OpenSTA/doc/OpenSTA.fodt

14298 lines
1.2 MiB
Raw Blame History

This file contains ambiguous Unicode characters

This file contains Unicode characters that might be confused with other characters. If you think that this is intentional, you can safely ignore this warning. Use the Escape button to reveal them.

<?xml version="1.0" encoding="UTF-8"?>
<office:document xmlns:css3t="http://www.w3.org/TR/css3-text/" xmlns:grddl="http://www.w3.org/2003/g/data-view#" xmlns:xhtml="http://www.w3.org/1999/xhtml" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xmlns:xsd="http://www.w3.org/2001/XMLSchema" xmlns:xforms="http://www.w3.org/2002/xforms" xmlns:dom="http://www.w3.org/2001/xml-events" xmlns:script="urn:oasis:names:tc:opendocument:xmlns:script:1.0" xmlns:form="urn:oasis:names:tc:opendocument:xmlns:form:1.0" xmlns:math="http://www.w3.org/1998/Math/MathML" xmlns:meta="urn:oasis:names:tc:opendocument:xmlns:meta:1.0" xmlns:loext="urn:org:documentfoundation:names:experimental:office:xmlns:loext:1.0" xmlns:field="urn:openoffice:names:experimental:ooo-ms-interop:xmlns:field:1.0" xmlns:number="urn:oasis:names:tc:opendocument:xmlns:datastyle:1.0" xmlns:officeooo="http://openoffice.org/2009/office" xmlns:table="urn:oasis:names:tc:opendocument:xmlns:table:1.0" xmlns:chart="urn:oasis:names:tc:opendocument:xmlns:chart:1.0" xmlns:formx="urn:openoffice:names:experimental:ooxml-odf-interop:xmlns:form:1.0" xmlns:svg="urn:oasis:names:tc:opendocument:xmlns:svg-compatible:1.0" xmlns:rpt="http://openoffice.org/2005/report" xmlns:dr3d="urn:oasis:names:tc:opendocument:xmlns:dr3d:1.0" xmlns:tableooo="http://openoffice.org/2009/table" xmlns:draw="urn:oasis:names:tc:opendocument:xmlns:drawing:1.0" xmlns:of="urn:oasis:names:tc:opendocument:xmlns:of:1.2" xmlns:text="urn:oasis:names:tc:opendocument:xmlns:text:1.0" xmlns:style="urn:oasis:names:tc:opendocument:xmlns:style:1.0" xmlns:dc="http://purl.org/dc/elements/1.1/" xmlns:calcext="urn:org:documentfoundation:names:experimental:calc:xmlns:calcext:1.0" xmlns:oooc="http://openoffice.org/2004/calc" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns:drawooo="http://openoffice.org/2010/draw" xmlns:ooow="http://openoffice.org/2004/writer" xmlns:config="urn:oasis:names:tc:opendocument:xmlns:config:1.0" xmlns:fo="urn:oasis:names:tc:opendocument:xmlns:xsl-fo-compatible:1.0" xmlns:ooo="http://openoffice.org/2004/office" xmlns:office="urn:oasis:names:tc:opendocument:xmlns:office:1.0" office:version="1.4" office:mimetype="application/vnd.oasis.opendocument.text">
<office:meta><dc:title>Parallax STA documentation</dc:title><meta:initial-creator>James Cherry</meta:initial-creator><meta:editing-cycles>483</meta:editing-cycles><meta:print-date>2025-03-17T12:59:52.463870538</meta:print-date><meta:creation-date>2010-07-31T21:07:00</meta:creation-date><dc:date>2025-11-04T12:25:14.489956000</dc:date><meta:editing-duration>P117DT14H41M24S</meta:editing-duration><meta:generator>LibreOffice/25.8.1.1$MacOSX_AARCH64 LibreOffice_project/54047653041915e595ad4e45cccea684809c77b5</meta:generator><meta:printed-by>PDF files: James Cherry</meta:printed-by><dc:creator>James Cherry</dc:creator><meta:document-statistic meta:table-count="164" meta:image-count="0" meta:object-count="0" meta:page-count="87" meta:paragraph-count="2203" meta:word-count="16326" meta:character-count="112980" meta:non-whitespace-character-count="97873"/><meta:user-defined meta:name="AppVersion">12.0000</meta:user-defined><meta:user-defined meta:name="DocSecurity" meta:value-type="float">0</meta:user-defined><meta:user-defined meta:name="HyperlinksChanged" meta:value-type="boolean">false</meta:user-defined><meta:user-defined meta:name="LinksUpToDate" meta:value-type="boolean">false</meta:user-defined><meta:user-defined meta:name="ScaleCrop" meta:value-type="boolean">false</meta:user-defined><meta:user-defined meta:name="ShareDoc" meta:value-type="boolean">false</meta:user-defined><meta:template xlink:type="simple" xlink:actuate="onRequest" xlink:title="Normal.dotm" xlink:href=""/></office:meta>
<office:settings>
<config:config-item-set config:name="ooo:view-settings">
<config:config-item config:name="ViewAreaTop" config:type="long">981699</config:config-item>
<config:config-item config:name="ViewAreaLeft" config:type="long">0</config:config-item>
<config:config-item config:name="ViewAreaWidth" config:type="long">30224</config:config-item>
<config:config-item config:name="ViewAreaHeight" config:type="long">17736</config:config-item>
<config:config-item config:name="ShowRedlineChanges" config:type="boolean">true</config:config-item>
<config:config-item config:name="InBrowseMode" config:type="boolean">false</config:config-item>
<config:config-item-map-indexed config:name="Views">
<config:config-item-map-entry>
<config:config-item config:name="ViewId" config:type="string">view2</config:config-item>
<config:config-item config:name="ViewLeft" config:type="long">16529</config:config-item>
<config:config-item config:name="ViewTop" config:type="long">988826</config:config-item>
<config:config-item config:name="VisibleLeft" config:type="long">0</config:config-item>
<config:config-item config:name="VisibleTop" config:type="long">981699</config:config-item>
<config:config-item config:name="VisibleRight" config:type="long">30222</config:config-item>
<config:config-item config:name="VisibleBottom" config:type="long">999434</config:config-item>
<config:config-item config:name="ZoomType" config:type="short">0</config:config-item>
<config:config-item config:name="ViewLayoutColumns" config:type="short">1</config:config-item>
<config:config-item config:name="ViewLayoutBookMode" config:type="boolean">false</config:config-item>
<config:config-item config:name="ZoomFactor" config:type="short">90</config:config-item>
<config:config-item config:name="IsSelectedFrame" config:type="boolean">false</config:config-item>
<config:config-item config:name="KeepRatio" config:type="boolean">false</config:config-item>
<config:config-item config:name="AnchoredTextOverflowLegacy" config:type="boolean">true</config:config-item>
<config:config-item config:name="LegacySingleLineFontwork" config:type="boolean">true</config:config-item>
<config:config-item config:name="ConnectorUseSnapRect" config:type="boolean">false</config:config-item>
<config:config-item config:name="IgnoreBreakAfterMultilineField" config:type="boolean">false</config:config-item>
<config:config-item config:name="UseTrailingEmptyLinesInLayout" config:type="boolean">false</config:config-item>
</config:config-item-map-entry>
</config:config-item-map-indexed>
</config:config-item-set>
<config:config-item-set config:name="ooo:configuration-settings">
<config:config-item config:name="PrintAnnotationMode" config:type="short">0</config:config-item>
<config:config-item config:name="PrintGraphics" config:type="boolean">true</config:config-item>
<config:config-item config:name="PrintHiddenText" config:type="boolean">false</config:config-item>
<config:config-item config:name="PrintTextPlaceholder" config:type="boolean">false</config:config-item>
<config:config-item config:name="PrintProspect" config:type="boolean">false</config:config-item>
<config:config-item config:name="PrintControls" config:type="boolean">true</config:config-item>
<config:config-item config:name="PrintBlackFonts" config:type="boolean">false</config:config-item>
<config:config-item config:name="PrintTables" config:type="boolean">true</config:config-item>
<config:config-item config:name="PrintReversed" config:type="boolean">false</config:config-item>
<config:config-item config:name="PrintFaxName" config:type="string"/>
<config:config-item config:name="PrintEmptyPages" config:type="boolean">false</config:config-item>
<config:config-item config:name="BalanceSpacesAndIdeographicSpaces" config:type="boolean">false</config:config-item>
<config:config-item config:name="MsWordCompGridMetrics" config:type="boolean">false</config:config-item>
<config:config-item config:name="NoClippingWithWrapPolygon" config:type="boolean">false</config:config-item>
<config:config-item config:name="MinRowHeightInclBorder" config:type="boolean">false</config:config-item>
<config:config-item config:name="ApplyParagraphMarkFormatToEmptyLineAtEndOfParagraph" config:type="boolean">false</config:config-item>
<config:config-item config:name="UseVariableWidthNBSP" config:type="boolean">false</config:config-item>
<config:config-item config:name="NoNumberingShowFollowBy" config:type="boolean">false</config:config-item>
<config:config-item config:name="JustifyLinesWithShrinking" config:type="boolean">false</config:config-item>
<config:config-item config:name="UseOldNumbering" config:type="boolean">false</config:config-item>
<config:config-item config:name="PrintProspectRTL" config:type="boolean">false</config:config-item>
<config:config-item config:name="AddParaSpacingToTableCells" config:type="boolean">true</config:config-item>
<config:config-item config:name="ImagePreferredDPI" config:type="int">0</config:config-item>
<config:config-item config:name="GutterAtTop" config:type="boolean">false</config:config-item>
<config:config-item config:name="HeaderSpacingBelowLastPara" config:type="boolean">false</config:config-item>
<config:config-item config:name="SaveVersionOnClose" config:type="boolean">false</config:config-item>
<config:config-item config:name="ProtectFields" config:type="boolean">false</config:config-item>
<config:config-item config:name="EmptyDbFieldHidesPara" config:type="boolean">false</config:config-item>
<config:config-item config:name="PrintPaperFromSetup" config:type="boolean">false</config:config-item>
<config:config-item config:name="DisableOffPagePositioning" config:type="boolean">false</config:config-item>
<config:config-item config:name="TabsRelativeToIndent" config:type="boolean">false</config:config-item>
<config:config-item config:name="MsWordCompMinLineHeightByFly" config:type="boolean">false</config:config-item>
<config:config-item config:name="ApplyParagraphMarkFormatToNumbering" config:type="boolean">false</config:config-item>
<config:config-item config:name="SurroundTextWrapSmall" config:type="boolean">false</config:config-item>
<config:config-item config:name="ContinuousEndnotes" config:type="boolean">false</config:config-item>
<config:config-item config:name="TabOverSpacing" config:type="boolean">false</config:config-item>
<config:config-item config:name="SubtractFlysAnchoredAtFlys" config:type="boolean">true</config:config-item>
<config:config-item config:name="TabOverMargin" config:type="boolean">false</config:config-item>
<config:config-item config:name="RsidRoot" config:type="int">933294</config:config-item>
<config:config-item config:name="EmbedComplexScriptFonts" config:type="boolean">true</config:config-item>
<config:config-item config:name="HyphenateURLs" config:type="boolean">true</config:config-item>
<config:config-item config:name="EmbedAsianScriptFonts" config:type="boolean">true</config:config-item>
<config:config-item config:name="EmbedOnlyUsedFonts" config:type="boolean">false</config:config-item>
<config:config-item config:name="BackgroundParaOverDrawings" config:type="boolean">false</config:config-item>
<config:config-item config:name="AddFrameOffsets" config:type="boolean">false</config:config-item>
<config:config-item config:name="NoGapAfterNoteNumber" config:type="boolean">false</config:config-item>
<config:config-item config:name="StylesNoDefault" config:type="boolean">false</config:config-item>
<config:config-item config:name="TabOverflow" config:type="boolean">false</config:config-item>
<config:config-item config:name="IgnoreFirstLineIndentInNumbering" config:type="boolean">false</config:config-item>
<config:config-item config:name="SmallCapsPercentage66" config:type="boolean">true</config:config-item>
<config:config-item config:name="CollapseEmptyCellPara" config:type="boolean">false</config:config-item>
<config:config-item config:name="MathBaselineAlignment" config:type="boolean">true</config:config-item>
<config:config-item config:name="DropCapPunctuation" config:type="boolean">false</config:config-item>
<config:config-item config:name="EmbedLatinScriptFonts" config:type="boolean">true</config:config-item>
<config:config-item config:name="MsWordCompTrailingBlanks" config:type="boolean">false</config:config-item>
<config:config-item config:name="Rsid" config:type="int">25757696</config:config-item>
<config:config-item config:name="CharacterCompressionType" config:type="short">0</config:config-item>
<config:config-item config:name="EmbeddedDatabaseName" config:type="string"/>
<config:config-item config:name="ApplyTextAttrToEmptyLineAtEndOfParagraph" config:type="boolean">false</config:config-item>
<config:config-item config:name="IgnoreTabsAndBlanksForLineCalculation" config:type="boolean">false</config:config-item>
<config:config-item config:name="FootnoteInColumnToPageEnd" config:type="boolean">false</config:config-item>
<config:config-item config:name="UseOldPrinterMetrics" config:type="boolean">false</config:config-item>
<config:config-item config:name="ClipAsCharacterAnchoredWriterFlyFrames" config:type="boolean">false</config:config-item>
<config:config-item config:name="TreatSingleColumnBreakAsPageBreak" config:type="boolean">false</config:config-item>
<config:config-item config:name="AddParaTableSpacing" config:type="boolean">false</config:config-item>
<config:config-item config:name="EmbedFonts" config:type="boolean">false</config:config-item>
<config:config-item config:name="UnxForceZeroExtLeading" config:type="boolean">false</config:config-item>
<config:config-item config:name="ProtectBookmarks" config:type="boolean">false</config:config-item>
<config:config-item config:name="ProtectForm" config:type="boolean">false</config:config-item>
<config:config-item config:name="OutlineLevelYieldsNumbering" config:type="boolean">false</config:config-item>
<config:config-item config:name="DoNotCaptureDrawObjsOnPage" config:type="boolean">false</config:config-item>
<config:config-item config:name="PrintRightPages" config:type="boolean">true</config:config-item>
<config:config-item config:name="ClippedPictures" config:type="boolean">false</config:config-item>
<config:config-item config:name="ForceTopAlignmentInCellWithFloatingAnchor" config:type="boolean">false</config:config-item>
<config:config-item config:name="RedlineProtectionKey" config:type="base64Binary"/>
<config:config-item config:name="PrintLeftPages" config:type="boolean">true</config:config-item>
<config:config-item config:name="DoNotJustifyLinesWithManualBreak" config:type="boolean">false</config:config-item>
<config:config-item config:name="AlignTabStopPosition" config:type="boolean">true</config:config-item>
<config:config-item config:name="AutoFirstLineIndentDisregardLineSpace" config:type="boolean">false</config:config-item>
<config:config-item config:name="PrintDrawings" config:type="boolean">true</config:config-item>
<config:config-item config:name="PrinterPaperFromSetup" config:type="boolean">false</config:config-item>
<config:config-item config:name="PaintHellOverHeaderFooter" config:type="boolean">false</config:config-item>
<config:config-item config:name="ConsiderTextWrapOnObjPos" config:type="boolean">false</config:config-item>
<config:config-item config:name="UseFormerObjectPositioning" config:type="boolean">false</config:config-item>
<config:config-item config:name="AddParaLineSpacingToTableCells" config:type="boolean">false</config:config-item>
<config:config-item config:name="PropLineSpacingShrinksFirstLine" config:type="boolean">false</config:config-item>
<config:config-item config:name="CurrentDatabaseCommandType" config:type="int">0</config:config-item>
<config:config-item config:name="AddExternalLeading" config:type="boolean">true</config:config-item>
<config:config-item config:name="UseFormerLineSpacing" config:type="boolean">false</config:config-item>
<config:config-item config:name="AllowPrintJobCancel" config:type="boolean">true</config:config-item>
<config:config-item config:name="FrameAutowidthWithMorePara" config:type="boolean">false</config:config-item>
<config:config-item config:name="TableRowKeep" config:type="boolean">false</config:config-item>
<config:config-item config:name="UseFormerTextWrapping" config:type="boolean">false</config:config-item>
<config:config-item config:name="AddVerticalFrameOffsets" config:type="boolean">false</config:config-item>
<config:config-item config:name="LinkUpdateMode" config:type="short">1</config:config-item>
<config:config-item config:name="IsLabelDocument" config:type="boolean">false</config:config-item>
<config:config-item config:name="UpdateFromTemplate" config:type="boolean">true</config:config-item>
<config:config-item config:name="MsWordUlTrailSpace" config:type="boolean">false</config:config-item>
<config:config-item config:name="FieldAutoUpdate" config:type="boolean">true</config:config-item>
<config:config-item config:name="PrinterIndependentLayout" config:type="string">high-resolution</config:config-item>
<config:config-item-map-indexed config:name="ForbiddenCharacters">
<config:config-item-map-entry>
<config:config-item config:name="Language" config:type="string">en</config:config-item>
<config:config-item config:name="Country" config:type="string">US</config:config-item>
<config:config-item config:name="Variant" config:type="string"/>
<config:config-item config:name="BeginLine" config:type="string"/>
<config:config-item config:name="EndLine" config:type="string"/>
</config:config-item-map-entry>
</config:config-item-map-indexed>
<config:config-item config:name="PrinterName" config:type="string"/>
<config:config-item config:name="LoadReadonly" config:type="boolean">false</config:config-item>
<config:config-item config:name="ChartAutoUpdate" config:type="boolean">true</config:config-item>
<config:config-item config:name="ApplyUserData" config:type="boolean">true</config:config-item>
<config:config-item config:name="CurrentDatabaseDataSource" config:type="string"/>
<config:config-item config:name="CurrentDatabaseCommand" config:type="string"/>
<config:config-item config:name="SaveGlobalDocumentLinks" config:type="boolean">false</config:config-item>
<config:config-item config:name="PrintPageBackground" config:type="boolean">true</config:config-item>
<config:config-item config:name="SaveThumbnail" config:type="boolean">true</config:config-item>
<config:config-item config:name="EmbedSystemFonts" config:type="boolean">false</config:config-item>
<config:config-item config:name="UnbreakableNumberings" config:type="boolean">false</config:config-item>
<config:config-item config:name="AddParaTableSpacingAtStart" config:type="boolean">true</config:config-item>
<config:config-item config:name="InvertBorderSpacing" config:type="boolean">false</config:config-item>
<config:config-item config:name="DoNotResetParaAttrsForNumFont" config:type="boolean">false</config:config-item>
<config:config-item config:name="TabAtLeftIndentForParagraphsInList" config:type="boolean">false</config:config-item>
<config:config-item config:name="IgnoreHiddenCharsForLineCalculation" config:type="boolean">false</config:config-item>
<config:config-item config:name="IsKernAsianPunctuation" config:type="boolean">false</config:config-item>
<config:config-item config:name="PrinterSetup" config:type="base64Binary"/>
</config:config-item-set>
</office:settings>
<office:scripts>
<office:script script:language="ooo:Basic">
<ooo:libraries xmlns:ooo="http://openoffice.org/2004/office" xmlns:xlink="http://www.w3.org/1999/xlink">
<ooo:library-embedded ooo:name="Standard"/>
</ooo:libraries>
</office:script>
</office:scripts>
<office:font-face-decls>
<style:font-face style:name="Andale Mono" svg:font-family="&apos;Andale Mono&apos;" style:font-family-generic="modern" style:font-pitch="fixed"/>
<style:font-face style:name="Arial" svg:font-family="Arial" style:font-family-generic="swiss" style:font-pitch="variable"/>
<style:font-face style:name="Bitstream Vera Sans Mono" svg:font-family="&apos;Bitstream Vera Sans Mono&apos;" style:font-family-generic="modern" style:font-pitch="fixed"/>
<style:font-face style:name="Cambria" svg:font-family="Cambria" style:font-family-generic="roman" style:font-pitch="variable"/>
<style:font-face style:name="Cambria1" svg:font-family="Cambria" style:font-family-generic="swiss" style:font-pitch="variable"/>
<style:font-face style:name="Courier" svg:font-family="Courier" style:font-family-generic="modern" style:font-pitch="variable"/>
<style:font-face style:name="Courier New" svg:font-family="&apos;Courier New&apos;" style:font-family-generic="system" style:font-pitch="variable"/>
<style:font-face style:name="Courier1" svg:font-family="Courier" style:font-family-generic="roman" style:font-pitch="variable"/>
<style:font-face style:name="Courier2" svg:font-family="Courier" style:font-family-generic="system" style:font-pitch="variable"/>
<style:font-face style:name="Helvetica" svg:font-family="Helvetica" style:font-family-generic="roman" style:font-pitch="variable"/>
<style:font-face style:name="Helvetica1" svg:font-family="Helvetica" style:font-family-generic="swiss" style:font-pitch="variable"/>
<style:font-face style:name="Helvetica2" svg:font-family="Helvetica" style:font-adornments="Regular" style:font-family-generic="swiss" style:font-pitch="variable"/>
<style:font-face style:name="Liberation Mono" svg:font-family="&apos;Liberation Mono&apos;" style:font-family-generic="modern" style:font-pitch="fixed"/>
<style:font-face style:name="Lucida Sans" svg:font-family="&apos;Lucida Sans&apos;" style:font-family-generic="swiss"/>
<style:font-face style:name="Lucida Sans1" svg:font-family="&apos;Lucida Sans&apos;" style:font-family-generic="system" style:font-pitch="variable"/>
<style:font-face style:name="Palatino" svg:font-family="Palatino" style:font-family-generic="roman" style:font-pitch="variable"/>
<style:font-face style:name="SimSun" svg:font-family="SimSun" style:font-family-generic="system" style:font-pitch="variable"/>
<style:font-face style:name="Times New Roman" svg:font-family="&apos;Times New Roman&apos;" style:font-family-generic="roman" style:font-pitch="variable"/>
<style:font-face style:name="Times New Roman1" svg:font-family="&apos;Times New Roman&apos;" style:font-family-generic="system" style:font-pitch="variable"/>
</office:font-face-decls>
<office:styles>
<draw:gradient draw:name="gradient" draw:style="linear" draw:start-color="#000000" draw:end-color="#ffffff" draw:start-intensity="100%" draw:end-intensity="100%" draw:angle="0deg" draw:border="0%">
<loext:gradient-stop svg:offset="0" loext:color-type="rgb" loext:color-value="#000000"/>
<loext:gradient-stop svg:offset="1" loext:color-type="rgb" loext:color-value="#ffffff"/></draw:gradient>
<draw:hatch draw:name="hatch" draw:style="single" draw:color="#3465a4" draw:distance="0.0079in" draw:rotation="0"/>
<style:default-style style:family="graphic">
<style:graphic-properties svg:stroke-color="#808080" draw:fill-color="#cfe7f5" fo:wrap-option="no-wrap" draw:shadow-offset-x="0.1181in" draw:shadow-offset-y="0.1181in" draw:start-line-spacing-horizontal="0.1114in" draw:start-line-spacing-vertical="0.1114in" draw:end-line-spacing-horizontal="0.1114in" draw:end-line-spacing-vertical="0.1114in" style:writing-mode="lr-tb" style:flow-with-text="true"/>
<style:paragraph-properties style:text-autospace="ideograph-alpha" style:line-break="strict" loext:tab-stop-distance="0in" style:writing-mode="lr-tb" style:font-independent-line-spacing="false">
<style:tab-stops/>
</style:paragraph-properties>
<style:text-properties style:use-window-font-color="true" loext:opacity="0%" style:font-name="Times New Roman" fo:font-size="10pt" fo:language="en" fo:country="US" style:letter-kerning="true" style:font-name-asian="Times New Roman1" style:font-size-asian="10pt" style:language-asian="en" style:country-asian="US" style:font-name-complex="Times New Roman1" style:font-size-complex="10pt" style:language-complex="ar" style:country-complex="SA"/>
</style:default-style>
<style:default-style style:family="paragraph">
<style:paragraph-properties fo:hyphenation-ladder-count="no-limit" fo:hyphenation-keep="auto" loext:hyphenation-keep-type="column" loext:hyphenation-keep-line="false" style:text-autospace="ideograph-alpha" style:punctuation-wrap="hanging" style:line-break="strict" style:tab-stop-distance="0.5in" style:writing-mode="lr-tb"/>
<style:text-properties style:use-window-font-color="true" loext:opacity="0%" style:font-name="Times New Roman" fo:font-size="10pt" fo:language="en" fo:country="US" style:letter-kerning="true" style:font-name-asian="Times New Roman1" style:font-size-asian="10pt" style:language-asian="en" style:country-asian="US" style:font-name-complex="Times New Roman1" style:font-size-complex="10pt" style:language-complex="ar" style:country-complex="SA" fo:hyphenate="false" fo:hyphenation-remain-char-count="2" fo:hyphenation-push-char-count="2" loext:hyphenation-no-caps="false" loext:hyphenation-no-last-word="false" loext:hyphenation-word-char-count="no-limit" loext:hyphenation-zone="no-limit"/>
</style:default-style>
<style:default-style style:family="table">
<style:table-properties table:border-model="collapsing"/>
</style:default-style>
<style:default-style style:family="table-row">
<style:table-row-properties fo:keep-together="auto"/>
</style:default-style>
<style:style style:name="Standard" style:family="paragraph" style:default-outline-level="" style:list-style-name="" style:class="text">
<style:paragraph-properties fo:orphans="2" fo:widows="2" fo:hyphenation-ladder-count="no-limit" fo:hyphenation-keep="auto" loext:hyphenation-keep-type="column" loext:hyphenation-keep-line="false" style:writing-mode="lr-tb"/>
<style:text-properties style:use-window-font-color="true" loext:opacity="0%" style:font-name="Helvetica" fo:font-family="Helvetica" style:font-family-generic="roman" style:font-pitch="variable" fo:hyphenate="false" fo:hyphenation-remain-char-count="2" fo:hyphenation-push-char-count="2" loext:hyphenation-no-caps="false" loext:hyphenation-no-last-word="false" loext:hyphenation-word-char-count="no-limit" loext:hyphenation-zone="no-limit"/>
</style:style>
<style:style style:name="Heading" style:family="paragraph" style:parent-style-name="Standard" style:next-style-name="Text_20_body" style:default-outline-level="" style:list-style-name="" style:class="chapter">
<style:paragraph-properties fo:margin-top="0.1665in" fo:margin-bottom="0.0835in" style:contextual-spacing="false" fo:keep-with-next="always"/>
<style:text-properties style:font-name="Arial" fo:font-family="Arial" style:font-family-generic="swiss" style:font-pitch="variable" fo:font-size="14pt" style:font-name-asian="SimSun" style:font-family-asian="SimSun" style:font-family-generic-asian="system" style:font-pitch-asian="variable" style:font-size-asian="14pt" style:font-name-complex="Lucida Sans1" style:font-family-complex="&apos;Lucida Sans&apos;" style:font-family-generic-complex="system" style:font-pitch-complex="variable" style:font-size-complex="14pt"/>
</style:style>
<style:style style:name="Text_20_body" style:display-name="Text body" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="" style:class="text">
<style:paragraph-properties fo:margin-top="0in" fo:margin-bottom="0.0835in" style:contextual-spacing="false"/>
</style:style>
<style:style style:name="List" style:family="paragraph" style:parent-style-name="Text_20_body" style:default-outline-level="" style:list-style-name="" style:class="list">
<style:text-properties style:font-name-complex="Lucida Sans" style:font-family-complex="&apos;Lucida Sans&apos;" style:font-family-generic-complex="swiss"/>
</style:style>
<style:style style:name="Caption" style:family="paragraph" style:parent-style-name="Standard" style:class="extra">
<style:paragraph-properties fo:margin-top="0.0835in" fo:margin-bottom="0.0835in" style:contextual-spacing="false" text:number-lines="false" text:line-number="0"/>
<style:text-properties fo:font-size="12pt" fo:font-style="italic" style:font-size-asian="12pt" style:font-style-asian="italic" style:font-name-complex="Lucida Sans" style:font-family-complex="&apos;Lucida Sans&apos;" style:font-family-generic-complex="swiss" style:font-size-complex="12pt" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="Index" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="" style:class="index">
<style:paragraph-properties text:number-lines="false" text:line-number="0">
<style:tab-stops>
<style:tab-stop style:position="3in"/>
</style:tab-stops>
</style:paragraph-properties>
<style:text-properties style:font-name-complex="Lucida Sans" style:font-family-complex="&apos;Lucida Sans&apos;" style:font-family-generic-complex="swiss"/>
</style:style>
<style:style style:name="Heading_20_1" style:display-name="Heading 1" style:family="paragraph" style:parent-style-name="Standard" style:next-style-name="Text_20_body" style:default-outline-level="1" style:list-style-name="" style:class="chapter">
<style:paragraph-properties fo:margin-top="0.1945in" fo:margin-bottom="0.0835in" style:contextual-spacing="false" fo:keep-with-next="always"/>
<style:text-properties fo:color="#000000" loext:opacity="100%" fo:font-size="14pt" fo:font-weight="bold" style:font-size-asian="14pt" style:font-weight-asian="bold"/>
</style:style>
<style:style style:name="Heading_20_2" style:display-name="Heading 2" style:family="paragraph" style:parent-style-name="Standard" style:next-style-name="Text_20_body" style:default-outline-level="2" style:list-style-name="" style:class="chapter">
<style:paragraph-properties fo:margin-top="0.1665in" fo:margin-bottom="0.0417in" style:contextual-spacing="false" fo:keep-with-next="always"/>
<style:text-properties fo:color="#000000" loext:opacity="100%" fo:font-size="12pt" fo:font-weight="bold" style:font-size-asian="12pt" style:font-weight-asian="bold"/>
</style:style>
<style:style style:name="Heading_20_3" style:display-name="Heading 3" style:family="paragraph" style:parent-style-name="Standard" style:next-style-name="Text_20_body" style:default-outline-level="3" style:class="chapter"/>
<style:style style:name="Heading_20_4" style:display-name="Heading 4" style:family="paragraph" style:parent-style-name="Standard" style:next-style-name="Text_20_body" style:default-outline-level="4" style:class="chapter"/>
<style:style style:name="Heading_20_5" style:display-name="Heading 5" style:family="paragraph" style:parent-style-name="Standard" style:next-style-name="Text_20_body" style:default-outline-level="5" style:class="chapter"/>
<style:style style:name="Heading_20_6" style:display-name="Heading 6" style:family="paragraph" style:parent-style-name="Standard" style:next-style-name="Text_20_body" style:default-outline-level="6" style:class="chapter"/>
<style:style style:name="Heading_20_7" style:display-name="Heading 7" style:family="paragraph" style:parent-style-name="Standard" style:next-style-name="Text_20_body" style:default-outline-level="7" style:class="chapter"/>
<style:style style:name="Heading_20_8" style:display-name="Heading 8" style:family="paragraph" style:parent-style-name="Standard" style:next-style-name="Text_20_body" style:default-outline-level="8" style:class="chapter"/>
<style:style style:name="Heading_20_9" style:display-name="Heading 9" style:family="paragraph" style:parent-style-name="Standard" style:next-style-name="Text_20_body" style:default-outline-level="9" style:class="chapter"/>
<style:style style:name="Heading_20_10" style:display-name="Heading 10" style:family="paragraph" style:parent-style-name="Heading" style:next-style-name="Text_20_body" style:default-outline-level="10" style:class="chapter">
<style:text-properties fo:font-size="10.5pt" fo:font-weight="bold" style:font-size-asian="10.5pt" style:font-weight-asian="bold" style:font-size-complex="75%" style:font-weight-complex="bold"/>
</style:style>
<style:style style:name="Numbering_20_1" style:display-name="Numbering 1" style:family="paragraph" style:parent-style-name="List" style:default-outline-level="" style:list-style-name="" style:class="list">
<style:paragraph-properties fo:margin-left="0.1965in" fo:margin-right="0in" fo:margin-top="0in" fo:margin-bottom="0.0835in" style:contextual-spacing="false" fo:text-indent="-0.1965in" style:auto-text-indent="false"/>
</style:style>
<style:style style:name="Numbering_20_1_20_Cont." style:display-name="Numbering 1 Cont." style:family="paragraph" style:parent-style-name="List" style:default-outline-level="" style:list-style-name="" style:class="list">
<style:paragraph-properties fo:margin-left="0.1965in" fo:margin-right="0in" fo:margin-top="0in" fo:margin-bottom="0.0835in" style:contextual-spacing="false" fo:text-indent="0in" style:auto-text-indent="false"/>
</style:style>
<style:style style:name="Table_20_Contents" style:display-name="Table Contents" style:family="paragraph" style:parent-style-name="Text_20_body" style:default-outline-level="" style:list-style-name="" style:class="extra">
<style:paragraph-properties text:number-lines="false" text:line-number="0"/>
</style:style>
<style:style style:name="Table_20_Heading" style:display-name="Table Heading" style:family="paragraph" style:parent-style-name="Table_20_Contents" style:default-outline-level="" style:list-style-name="" style:class="extra">
<style:paragraph-properties fo:text-align="center" style:justify-single-word="false" text:number-lines="false" text:line-number="0"/>
<style:text-properties fo:font-style="italic" fo:font-weight="bold" style:font-style-asian="italic" style:font-weight-asian="bold" style:font-weight-complex="bold"/>
</style:style>
<style:style style:name="Caption1" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-top="0.0835in" fo:margin-bottom="0.0835in" style:contextual-spacing="false" text:number-lines="false" text:line-number="0"/>
<style:text-properties fo:font-style="italic" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="index_20_heading" style:display-name="index heading" style:family="paragraph" style:parent-style-name="Heading" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-top="0in" fo:margin-bottom="0in" style:contextual-spacing="false" fo:keep-with-next="auto"/>
<style:text-properties style:font-name="Cambria1" fo:font-family="Cambria" style:font-family-generic="swiss" style:font-pitch="variable" fo:font-size="10pt" style:font-size-asian="10pt"/>
</style:style>
<style:style style:name="index_20_1" style:display-name="index 1" style:family="paragraph" style:parent-style-name="Index" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-left="0.139in" fo:margin-right="0in" fo:text-indent="-0.139in" style:auto-text-indent="false" text:number-lines="true" text:line-number="0">
<style:tab-stops/>
</style:paragraph-properties>
<style:text-properties style:font-name="Cambria" fo:font-family="Cambria" style:font-family-generic="roman" style:font-pitch="variable"/>
</style:style>
<style:style style:name="Index_20_Heading" style:display-name="Index Heading" style:family="paragraph" style:parent-style-name="Heading" style:class="index">
<style:paragraph-properties fo:margin-left="0in" fo:margin-right="0in" fo:text-indent="0in" style:auto-text-indent="false" text:number-lines="false" text:line-number="0"/>
<style:text-properties fo:font-size="16pt" fo:font-weight="bold" style:font-size-asian="16pt" style:font-weight-asian="bold" style:font-size-complex="16pt" style:font-weight-complex="bold"/>
</style:style>
<style:style style:name="Contents_20_Heading" style:display-name="Contents Heading" style:family="paragraph" style:parent-style-name="Heading" style:default-outline-level="" style:list-style-name="" style:class="index">
<style:paragraph-properties text:number-lines="false" text:line-number="0"/>
<style:text-properties fo:font-size="16pt" fo:font-weight="bold" style:font-size-asian="16pt" style:font-weight-asian="bold" style:font-size-complex="16pt" style:font-weight-complex="bold"/>
</style:style>
<style:style style:name="Contents_20_1" style:display-name="Contents 1" style:family="paragraph" style:parent-style-name="Index" style:default-outline-level="" style:list-style-name="" style:class="index">
<style:paragraph-properties fo:margin-left="0in" fo:margin-right="0in" fo:text-indent="0in" style:auto-text-indent="false">
<style:tab-stops>
<style:tab-stop style:position="6.8693in" style:type="right" style:leader-style="dotted" style:leader-text="."/>
</style:tab-stops>
</style:paragraph-properties>
</style:style>
<style:style style:name="Contents_20_2" style:display-name="Contents 2" style:family="paragraph" style:parent-style-name="Index" style:default-outline-level="" style:list-style-name="" style:class="index">
<style:paragraph-properties fo:margin-left="0.1965in" fo:margin-right="0in" fo:text-indent="0in" style:auto-text-indent="false">
<style:tab-stops>
<style:tab-stop style:position="6.8693in" style:type="right" style:leader-style="dotted" style:leader-text="."/>
</style:tab-stops>
</style:paragraph-properties>
</style:style>
<style:style style:name="Contents_20_3" style:display-name="Contents 3" style:family="paragraph" style:parent-style-name="Index" style:default-outline-level="" style:list-style-name="" style:class="index">
<style:paragraph-properties fo:margin-left="0.3929in" fo:margin-right="0in" fo:text-indent="0in" style:auto-text-indent="false">
<style:tab-stops>
<style:tab-stop style:position="6.8693in" style:type="right" style:leader-style="dotted" style:leader-text="."/>
</style:tab-stops>
</style:paragraph-properties>
</style:style>
<style:style style:name="Contents_20_4" style:display-name="Contents 4" style:family="paragraph" style:parent-style-name="Index" style:default-outline-level="" style:list-style-name="" style:class="index">
<style:paragraph-properties fo:margin-left="0.5898in" fo:margin-right="0in" fo:text-indent="0in" style:auto-text-indent="false">
<style:tab-stops>
<style:tab-stop style:position="6.8693in" style:type="right" style:leader-style="dotted" style:leader-text="."/>
</style:tab-stops>
</style:paragraph-properties>
</style:style>
<style:style style:name="Contents_20_6" style:display-name="Contents 6" style:family="paragraph" style:parent-style-name="Index" style:default-outline-level="" style:list-style-name="" style:class="index">
<style:paragraph-properties fo:margin-left="0.9827in" fo:margin-right="0in" fo:text-indent="0in" style:auto-text-indent="false">
<style:tab-stops>
<style:tab-stop style:position="6.8693in" style:type="right" style:leader-style="dotted" style:leader-text="."/>
</style:tab-stops>
</style:paragraph-properties>
</style:style>
<style:style style:name="Contents_20_7" style:display-name="Contents 7" style:family="paragraph" style:parent-style-name="Index" style:default-outline-level="" style:list-style-name="" style:class="index">
<style:paragraph-properties fo:margin-left="1.1791in" fo:margin-right="0in" fo:text-indent="0in" style:auto-text-indent="false">
<style:tab-stops>
<style:tab-stop style:position="6.8693in" style:type="right" style:leader-style="dotted" style:leader-text="."/>
</style:tab-stops>
</style:paragraph-properties>
</style:style>
<style:style style:name="Contents_20_8" style:display-name="Contents 8" style:family="paragraph" style:parent-style-name="Index" style:default-outline-level="" style:list-style-name="" style:class="index">
<style:paragraph-properties fo:margin-left="1.3756in" fo:margin-right="0in" fo:text-indent="0in" style:auto-text-indent="false">
<style:tab-stops>
<style:tab-stop style:position="6.8693in" style:type="right" style:leader-style="dotted" style:leader-text="."/>
</style:tab-stops>
</style:paragraph-properties>
</style:style>
<style:style style:name="Title" style:family="paragraph" style:parent-style-name="Standard" style:next-style-name="Subtitle" style:default-outline-level="" style:list-style-name="" style:class="chapter">
<style:paragraph-properties fo:margin-top="0.4898in" fo:margin-bottom="0.1665in" style:contextual-spacing="false" style:line-height-at-least="0.25in" fo:text-align="center" style:justify-single-word="false" fo:keep-with-next="always"/>
<style:text-properties fo:color="#000000" loext:opacity="100%" fo:font-size="18pt" fo:font-weight="bold" style:font-size-asian="18pt" style:font-weight-asian="bold" style:font-size-complex="18pt" style:font-weight-complex="bold"/>
</style:style>
<style:style style:name="Subtitle" style:family="paragraph" style:parent-style-name="Heading" style:next-style-name="Text_20_body" style:default-outline-level="" style:list-style-name="" style:class="chapter">
<style:paragraph-properties fo:text-align="center" style:justify-single-word="false"/>
<style:text-properties fo:font-size="14pt" fo:font-style="italic" style:font-size-asian="14pt" style:font-style-asian="italic" style:font-size-complex="14pt" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="List_20_Contents" style:display-name="List Contents" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="" style:class="html">
<style:paragraph-properties fo:margin-left="0.3937in" fo:margin-right="0in" fo:text-indent="0in" style:auto-text-indent="false"/>
</style:style>
<style:style style:name="Body" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-top="0in" fo:margin-bottom="0.2in" style:contextual-spacing="false"/>
</style:style>
<style:style style:name="Bulleted" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-left="0.25in" fo:margin-right="0in" fo:text-indent="-0.25in" style:auto-text-indent="false">
<style:tab-stops>
<style:tab-stop style:position="0.25in"/>
</style:tab-stops>
</style:paragraph-properties>
<style:text-properties fo:color="#000000" loext:opacity="100%" fo:font-size="12pt" style:font-size-asian="12pt"/>
</style:style>
<style:style style:name="Example" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-top="0in" fo:margin-bottom="0.2in" style:contextual-spacing="false"/>
<style:text-properties fo:color="#000000" loext:opacity="100%" style:font-name="Courier1" fo:font-family="Courier" style:font-family-generic="roman" style:font-pitch="variable"/>
</style:style>
<style:style style:name="Cmd_20_Heading" style:display-name="Cmd Heading" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:text-properties fo:color="#000000" loext:opacity="100%" fo:font-size="12pt" fo:font-weight="bold" style:font-size-asian="12pt" style:font-weight-asian="bold"/>
</style:style>
<style:style style:name="HeadingRunIn" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-top="0.0835in" fo:margin-bottom="0in" style:contextual-spacing="false" fo:keep-with-next="always"/>
<style:text-properties fo:color="#000000" loext:opacity="100%" fo:font-size="12pt" fo:font-weight="bold" style:font-size-asian="12pt" style:font-weight-asian="bold"/>
</style:style>
<style:style style:name="Indented" style:family="paragraph" style:parent-style-name="Body" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-left="0.25in" fo:margin-right="0in" fo:text-indent="0.0008in" style:auto-text-indent="false"/>
</style:style>
<style:style style:name="Numbered" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-left="0.25in" fo:margin-right="0in" fo:text-indent="-0.25in" style:auto-text-indent="false">
<style:tab-stops>
<style:tab-stop style:position="0.25in"/>
</style:tab-stops>
</style:paragraph-properties>
<style:text-properties fo:color="#000000" loext:opacity="100%" fo:font-size="12pt" style:font-size-asian="12pt"/>
</style:style>
<style:style style:name="Numbered1" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-left="0.25in" fo:margin-right="0in" fo:text-indent="-0.25in" style:auto-text-indent="false">
<style:tab-stops>
<style:tab-stop style:position="0.25in"/>
</style:tab-stops>
</style:paragraph-properties>
<style:text-properties fo:color="#000000" loext:opacity="100%" fo:font-size="12pt" style:font-size-asian="12pt"/>
</style:style>
<style:style style:name="TableFootnote" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-left="0.4098in" fo:margin-right="0.25in" fo:text-indent="-0.1598in" style:auto-text-indent="false">
<style:tab-stops>
<style:tab-stop style:position="0.4098in"/>
</style:tab-stops>
</style:paragraph-properties>
<style:text-properties fo:color="#000000" loext:opacity="100%"/>
</style:style>
<style:style style:name="TableTitle" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:text-properties fo:color="#000000" loext:opacity="100%" fo:font-size="12pt" fo:font-weight="bold" style:font-size-asian="12pt" style:font-weight-asian="bold"/>
</style:style>
<style:style style:name="Example_20__20_indented" style:display-name="Example indented" style:family="paragraph" style:parent-style-name="Example" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-left="0.25in" fo:margin-right="0in" fo:text-indent="0in" style:auto-text-indent="false"/>
</style:style>
<style:style style:name="Body_20_first" style:display-name="Body first" style:family="paragraph" style:parent-style-name="Body" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-top="0.2in" fo:margin-bottom="0.2in" style:contextual-spacing="false"/>
</style:style>
<style:style style:name="CellBody" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:text-properties fo:color="#000000" loext:opacity="100%" style:font-name="Courier1" fo:font-family="Courier" style:font-family-generic="roman" style:font-pitch="variable"/>
</style:style>
<style:style style:name="Preformatted_20_Text" style:display-name="Preformatted Text" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="" style:class="html">
<style:paragraph-properties fo:margin-top="0in" fo:margin-bottom="0in" style:contextual-spacing="false"/>
<style:text-properties style:font-name="Andale Mono" fo:font-family="&apos;Andale Mono&apos;" style:font-family-generic="modern" style:font-pitch="fixed" fo:font-size="10pt" style:font-name-asian="Andale Mono" style:font-family-asian="&apos;Andale Mono&apos;" style:font-family-generic-asian="modern" style:font-pitch-asian="fixed" style:font-size-asian="10pt" style:font-name-complex="Bitstream Vera Sans Mono" style:font-family-complex="&apos;Bitstream Vera Sans Mono&apos;" style:font-family-generic-complex="modern" style:font-pitch-complex="fixed" style:font-size-complex="10pt"/>
</style:style>
<style:style style:name="index_20_2" style:display-name="index 2" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-left="0.278in" fo:margin-right="0in" fo:text-indent="-0.139in" style:auto-text-indent="false"/>
<style:text-properties style:font-name="Cambria" fo:font-family="Cambria" style:font-family-generic="roman" style:font-pitch="variable"/>
</style:style>
<style:style style:name="index_20_3" style:display-name="index 3" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-left="0.4165in" fo:margin-right="0in" fo:text-indent="-0.139in" style:auto-text-indent="false"/>
<style:text-properties style:font-name="Cambria" fo:font-family="Cambria" style:font-family-generic="roman" style:font-pitch="variable"/>
</style:style>
<style:style style:name="index_20_4" style:display-name="index 4" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-left="0.5555in" fo:margin-right="0in" fo:text-indent="-0.139in" style:auto-text-indent="false"/>
<style:text-properties style:font-name="Cambria" fo:font-family="Cambria" style:font-family-generic="roman" style:font-pitch="variable"/>
</style:style>
<style:style style:name="index_20_5" style:display-name="index 5" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-left="0.6945in" fo:margin-right="0in" fo:text-indent="-0.139in" style:auto-text-indent="false"/>
<style:text-properties style:font-name="Cambria" fo:font-family="Cambria" style:font-family-generic="roman" style:font-pitch="variable"/>
</style:style>
<style:style style:name="index_20_6" style:display-name="index 6" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-left="0.8335in" fo:margin-right="0in" fo:text-indent="-0.139in" style:auto-text-indent="false"/>
<style:text-properties style:font-name="Cambria" fo:font-family="Cambria" style:font-family-generic="roman" style:font-pitch="variable"/>
</style:style>
<style:style style:name="index_20_7" style:display-name="index 7" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-left="0.972in" fo:margin-right="0in" fo:text-indent="-0.139in" style:auto-text-indent="false"/>
<style:text-properties style:font-name="Cambria" fo:font-family="Cambria" style:font-family-generic="roman" style:font-pitch="variable"/>
</style:style>
<style:style style:name="index_20_8" style:display-name="index 8" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-left="1.111in" fo:margin-right="0in" fo:text-indent="-0.139in" style:auto-text-indent="false"/>
<style:text-properties style:font-name="Cambria" fo:font-family="Cambria" style:font-family-generic="roman" style:font-pitch="variable"/>
</style:style>
<style:style style:name="index_20_9" style:display-name="index 9" style:family="paragraph" style:parent-style-name="Standard" style:default-outline-level="" style:list-style-name="">
<style:paragraph-properties fo:margin-left="1.25in" fo:margin-right="0in" fo:text-indent="-0.139in" style:auto-text-indent="false"/>
<style:text-properties style:font-name="Cambria" fo:font-family="Cambria" style:font-family-generic="roman" style:font-pitch="variable"/>
</style:style>
<style:style style:name="Index_20_1" style:display-name="Index 1" style:family="paragraph" style:parent-style-name="Index" style:class="index">
<style:paragraph-properties fo:margin-left="0in" fo:margin-right="0in" fo:text-indent="0in" style:auto-text-indent="false"/>
</style:style>
<style:style style:name="Default_20_Paragraph_20_Font" style:display-name="Default Paragraph Font" style:family="text">
<style:text-properties style:font-name="Helvetica2" fo:font-family="Helvetica" style:font-style-name="Regular" style:font-family-generic="swiss" style:font-pitch="variable"/>
</style:style>
<style:style style:name="Numbering_20_Symbols" style:display-name="Numbering Symbols" style:family="text"/>
<style:style style:name="Internet_20_link" style:display-name="Internet link" style:family="text">
<style:text-properties fo:color="#000080" loext:opacity="100%" fo:language="zxx" fo:country="none" style:text-underline-style="solid" style:text-underline-width="auto" style:text-underline-color="font-color" style:language-asian="zxx" style:country-asian="none" style:language-complex="zxx" style:country-complex="none"/>
</style:style>
<style:style style:name="FollowedHyperlink" style:family="text">
<style:text-properties fo:color="#800000" loext:opacity="100%" style:text-underline-style="solid" style:text-underline-width="auto" style:text-underline-color="font-color"/>
</style:style>
<style:style style:name="Emphasis" style:family="text">
<style:text-properties fo:font-style="italic" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="Variable" style:family="text">
<style:text-properties fo:font-style="italic" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="WW-Absatz-Standardschriftart" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart1" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart11" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart1111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart11111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart1111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart11111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart1111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart11111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart1111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart11111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart111111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart1111111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart11111111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart111111111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart1111111111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart11111111111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart111111111111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart1111111111111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart11111111111111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart111111111111111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart1111111111111111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart11111111111111111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart111111111111111111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart1111111111111111111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart11111111111111111111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart111111111111111111111111111111" style:family="text"/>
<style:style style:name="WW-Absatz-Standardschriftart1111111111111111111111111111111" style:family="text"/>
<style:style style:name="WW-Default_20_Paragraph_20_Font" style:display-name="WW-Default Paragraph Font" style:family="text"/>
<style:style style:name="WW-Emphasis" style:family="text">
<style:text-properties fo:font-style="italic" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="EquationVariables" style:family="text">
<style:text-properties fo:font-style="italic" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="WW-Variable" style:family="text">
<style:text-properties fo:font-style="italic" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="WW-Variable1" style:family="text">
<style:text-properties fo:font-style="italic" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="Command" style:family="text">
<style:text-properties style:font-name="Courier1" fo:font-family="Courier" style:font-family-generic="roman" style:font-pitch="variable" fo:font-weight="normal" style:font-weight-asian="normal"/>
</style:style>
<style:style style:name="Command_20_Argument" style:display-name="Command Argument" style:family="text" style:parent-style-name="Command">
<style:text-properties fo:font-style="italic" fo:font-weight="normal" style:font-style-asian="italic" style:font-weight-asian="normal"/>
</style:style>
<style:style style:name="Command_20_Heading" style:display-name="Command Heading" style:family="text" style:parent-style-name="Command">
<style:text-properties fo:font-weight="bold" style:font-weight-asian="bold"/>
</style:style>
<style:style style:name="WW-Numbering_20_Symbols" style:display-name="WW-Numbering Symbols" style:family="text"/>
<style:style style:name="WW-Numbering_20_Symbols1" style:display-name="WW-Numbering Symbols1" style:family="text"/>
<style:style style:name="Body_20_Char" style:display-name="Body Char" style:family="text" style:parent-style-name="Default_20_Paragraph_20_Font">
<style:text-properties style:font-name="Helvetica" fo:font-family="Helvetica" style:font-family-generic="roman" style:font-pitch="variable" fo:language="en" fo:country="US" style:language-complex="ar" style:country-complex="SA"/>
</style:style>
<style:style style:name="Teletype" style:family="text">
<style:text-properties style:font-name="Liberation Mono" fo:font-family="&apos;Liberation Mono&apos;" style:font-family-generic="modern" style:font-pitch="fixed" style:font-name-asian="Liberation Mono" style:font-family-asian="&apos;Liberation Mono&apos;" style:font-family-generic-asian="modern" style:font-pitch-asian="fixed" style:font-name-complex="Liberation Mono" style:font-family-complex="&apos;Liberation Mono&apos;" style:font-family-generic-complex="modern" style:font-pitch-complex="fixed"/>
</style:style>
<style:style style:name="Example" style:family="text">
<style:text-properties style:font-name="Liberation Mono" fo:font-family="&apos;Liberation Mono&apos;" style:font-family-generic="modern" style:font-pitch="fixed" style:font-name-asian="Liberation Mono" style:font-family-asian="&apos;Liberation Mono&apos;" style:font-family-generic-asian="modern" style:font-pitch-asian="fixed" style:font-name-complex="Liberation Mono" style:font-family-complex="&apos;Liberation Mono&apos;" style:font-family-generic-complex="modern" style:font-pitch-complex="fixed"/>
</style:style>
<style:style style:name="Index_20_Link" style:display-name="Index Link" style:family="text"/>
<text:outline-style style:name="Outline">
<text:outline-level-style text:level="1" loext:num-list-format="%1%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.3in" fo:text-indent="-0.3in" fo:margin-left="0.3in"/>
</style:list-level-properties>
</text:outline-level-style>
<text:outline-level-style text:level="2" loext:num-list-format="%2%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.4in" fo:text-indent="-0.4in" fo:margin-left="0.4in"/>
</style:list-level-properties>
</text:outline-level-style>
<text:outline-level-style text:level="3" loext:num-list-format="%3%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.5in" fo:text-indent="-0.5in" fo:margin-left="0.5in"/>
</style:list-level-properties>
</text:outline-level-style>
<text:outline-level-style text:level="4" loext:num-list-format="%4%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.6in" fo:text-indent="-0.6in" fo:margin-left="0.6in"/>
</style:list-level-properties>
</text:outline-level-style>
<text:outline-level-style text:level="5" loext:num-list-format="%5%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.7in" fo:text-indent="-0.7in" fo:margin-left="0.7in"/>
</style:list-level-properties>
</text:outline-level-style>
<text:outline-level-style text:level="6" loext:num-list-format="%6%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.8in" fo:text-indent="-0.8in" fo:margin-left="0.8in"/>
</style:list-level-properties>
</text:outline-level-style>
<text:outline-level-style text:level="7" loext:num-list-format="%7%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.9in" fo:text-indent="-0.9in" fo:margin-left="0.9in"/>
</style:list-level-properties>
</text:outline-level-style>
<text:outline-level-style text:level="8" loext:num-list-format="%8%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1in" fo:text-indent="-1in" fo:margin-left="1in"/>
</style:list-level-properties>
</text:outline-level-style>
<text:outline-level-style text:level="9" loext:num-list-format="%9%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.1in" fo:text-indent="-1.1in" fo:margin-left="1.1in"/>
</style:list-level-properties>
</text:outline-level-style>
<text:outline-level-style text:level="10" loext:num-list-format="%10%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.2in" fo:text-indent="-1.2in" fo:margin-left="1.2in"/>
</style:list-level-properties>
</text:outline-level-style>
</text:outline-style>
<text:list-style style:name="WWNum1">
<text:list-level-style-number text:level="1" loext:num-list-format="%1%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="2" loext:num-list-format="%2%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="3" loext:num-list-format="%3%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="4" loext:num-list-format="%4%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="5" loext:num-list-format="%5%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="6" loext:num-list-format="%6%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="7" loext:num-list-format="%7%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="8" loext:num-list-format="%8%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="9" loext:num-list-format="%9%" style:num-format="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="10" loext:num-list-format="%10%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.75in" fo:text-indent="-0.25in" fo:margin-left="2.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
</text:list-style>
<text:list-style style:name="WWNum2">
<text:list-level-style-bullet text:level="1" loext:num-list-format="%1%" style:num-suffix="" text:bullet-char="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.25in" fo:text-indent="-0.25in" fo:margin-left="0.25in"/>
</style:list-level-properties>
<style:text-properties fo:font-family="Symbol" style:font-style-name="Regular" style:font-pitch="variable" style:font-charset="x-symbol"/>
</text:list-level-style-bullet>
<text:list-level-style-number text:level="2" loext:num-list-format="%2%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.75in" fo:text-indent="-0.25in" fo:margin-left="0.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="3" loext:num-list-format="%3%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1in" fo:text-indent="-0.25in" fo:margin-left="1in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="4" loext:num-list-format="%4%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.25in" fo:text-indent="-0.25in" fo:margin-left="1.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="5" loext:num-list-format="%5%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.5in" fo:text-indent="-0.25in" fo:margin-left="1.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="6" loext:num-list-format="%6%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.75in" fo:text-indent="-0.25in" fo:margin-left="1.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="7" loext:num-list-format="%7%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2in" fo:text-indent="-0.25in" fo:margin-left="2in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="8" loext:num-list-format="%8%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.25in" fo:text-indent="-0.25in" fo:margin-left="2.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="9" loext:num-list-format="%9%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.5in" fo:text-indent="-0.25in" fo:margin-left="2.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="10" loext:num-list-format="%10%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.75in" fo:text-indent="-0.25in" fo:margin-left="2.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
</text:list-style>
<text:list-style style:name="WWNum3">
<text:list-level-style-bullet text:level="1" loext:num-list-format="%1%" style:num-suffix="" text:bullet-char="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.5in" fo:text-indent="-0.25in" fo:margin-left="0.5in"/>
</style:list-level-properties>
<style:text-properties fo:font-family="Symbol" style:font-style-name="Regular" style:font-pitch="variable" style:font-charset="x-symbol"/>
</text:list-level-style-bullet>
<text:list-level-style-number text:level="2" loext:num-list-format="%2%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.75in" fo:text-indent="-0.25in" fo:margin-left="0.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="3" loext:num-list-format="%3%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1in" fo:text-indent="-0.25in" fo:margin-left="1in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="4" loext:num-list-format="%4%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.25in" fo:text-indent="-0.25in" fo:margin-left="1.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="5" loext:num-list-format="%5%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.5in" fo:text-indent="-0.25in" fo:margin-left="1.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="6" loext:num-list-format="%6%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.75in" fo:text-indent="-0.25in" fo:margin-left="1.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="7" loext:num-list-format="%7%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2in" fo:text-indent="-0.25in" fo:margin-left="2in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="8" loext:num-list-format="%8%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.25in" fo:text-indent="-0.25in" fo:margin-left="2.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="9" loext:num-list-format="%9%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.5in" fo:text-indent="-0.25in" fo:margin-left="2.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="10" loext:num-list-format="%10%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.75in" fo:text-indent="-0.25in" fo:margin-left="2.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
</text:list-style>
<text:list-style style:name="WWNum4">
<text:list-level-style-bullet text:level="1" loext:num-list-format="%1%" style:num-suffix="" text:bullet-char="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.75in" fo:text-indent="-0.25in" fo:margin-left="0.75in"/>
</style:list-level-properties>
<style:text-properties fo:font-family="Symbol" style:font-style-name="Regular" style:font-pitch="variable" style:font-charset="x-symbol"/>
</text:list-level-style-bullet>
<text:list-level-style-number text:level="2" loext:num-list-format="%2%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.75in" fo:text-indent="-0.25in" fo:margin-left="0.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="3" loext:num-list-format="%3%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1in" fo:text-indent="-0.25in" fo:margin-left="1in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="4" loext:num-list-format="%4%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.25in" fo:text-indent="-0.25in" fo:margin-left="1.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="5" loext:num-list-format="%5%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.5in" fo:text-indent="-0.25in" fo:margin-left="1.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="6" loext:num-list-format="%6%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.75in" fo:text-indent="-0.25in" fo:margin-left="1.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="7" loext:num-list-format="%7%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2in" fo:text-indent="-0.25in" fo:margin-left="2in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="8" loext:num-list-format="%8%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.25in" fo:text-indent="-0.25in" fo:margin-left="2.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="9" loext:num-list-format="%9%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.5in" fo:text-indent="-0.25in" fo:margin-left="2.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="10" loext:num-list-format="%10%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.75in" fo:text-indent="-0.25in" fo:margin-left="2.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
</text:list-style>
<text:list-style style:name="WWNum5">
<text:list-level-style-bullet text:level="1" loext:num-list-format="%1%" style:num-suffix="" text:bullet-char="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1in" fo:text-indent="-0.25in" fo:margin-left="1in"/>
</style:list-level-properties>
<style:text-properties fo:font-family="Symbol" style:font-style-name="Regular" style:font-pitch="variable" style:font-charset="x-symbol"/>
</text:list-level-style-bullet>
<text:list-level-style-number text:level="2" loext:num-list-format="%2%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.75in" fo:text-indent="-0.25in" fo:margin-left="0.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="3" loext:num-list-format="%3%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1in" fo:text-indent="-0.25in" fo:margin-left="1in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="4" loext:num-list-format="%4%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.25in" fo:text-indent="-0.25in" fo:margin-left="1.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="5" loext:num-list-format="%5%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.5in" fo:text-indent="-0.25in" fo:margin-left="1.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="6" loext:num-list-format="%6%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.75in" fo:text-indent="-0.25in" fo:margin-left="1.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="7" loext:num-list-format="%7%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2in" fo:text-indent="-0.25in" fo:margin-left="2in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="8" loext:num-list-format="%8%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.25in" fo:text-indent="-0.25in" fo:margin-left="2.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="9" loext:num-list-format="%9%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.5in" fo:text-indent="-0.25in" fo:margin-left="2.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="10" loext:num-list-format="%10%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.75in" fo:text-indent="-0.25in" fo:margin-left="2.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
</text:list-style>
<text:list-style style:name="WWNum6">
<text:list-level-style-bullet text:level="1" loext:num-list-format="%1%" style:num-suffix="" text:bullet-char="">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.25in" fo:text-indent="-0.25in" fo:margin-left="1.25in"/>
</style:list-level-properties>
<style:text-properties fo:font-family="Symbol" style:font-style-name="Regular" style:font-pitch="variable" style:font-charset="x-symbol"/>
</text:list-level-style-bullet>
<text:list-level-style-number text:level="2" loext:num-list-format="%2%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.75in" fo:text-indent="-0.25in" fo:margin-left="0.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="3" loext:num-list-format="%3%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1in" fo:text-indent="-0.25in" fo:margin-left="1in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="4" loext:num-list-format="%4%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.25in" fo:text-indent="-0.25in" fo:margin-left="1.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="5" loext:num-list-format="%5%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.5in" fo:text-indent="-0.25in" fo:margin-left="1.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="6" loext:num-list-format="%6%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.75in" fo:text-indent="-0.25in" fo:margin-left="1.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="7" loext:num-list-format="%7%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2in" fo:text-indent="-0.25in" fo:margin-left="2in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="8" loext:num-list-format="%8%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.25in" fo:text-indent="-0.25in" fo:margin-left="2.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="9" loext:num-list-format="%9%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.5in" fo:text-indent="-0.25in" fo:margin-left="2.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="10" loext:num-list-format="%10%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.75in" fo:text-indent="-0.25in" fo:margin-left="2.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
</text:list-style>
<text:list-style style:name="WWNum7">
<text:list-level-style-number text:level="1" loext:num-list-format="%1%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.25in" fo:text-indent="-0.25in" fo:margin-left="0.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="2" loext:num-list-format="%2%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.75in" fo:text-indent="-0.25in" fo:margin-left="0.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="3" loext:num-list-format="%3%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1in" fo:text-indent="-0.25in" fo:margin-left="1in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="4" loext:num-list-format="%4%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.25in" fo:text-indent="-0.25in" fo:margin-left="1.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="5" loext:num-list-format="%5%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.5in" fo:text-indent="-0.25in" fo:margin-left="1.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="6" loext:num-list-format="%6%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.75in" fo:text-indent="-0.25in" fo:margin-left="1.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="7" loext:num-list-format="%7%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2in" fo:text-indent="-0.25in" fo:margin-left="2in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="8" loext:num-list-format="%8%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.25in" fo:text-indent="-0.25in" fo:margin-left="2.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="9" loext:num-list-format="%9%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.5in" fo:text-indent="-0.25in" fo:margin-left="2.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="10" loext:num-list-format="%10%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.75in" fo:text-indent="-0.25in" fo:margin-left="2.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
</text:list-style>
<text:list-style style:name="WWNum8">
<text:list-level-style-number text:level="1" loext:num-list-format="%1%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.5in" fo:text-indent="-0.25in" fo:margin-left="0.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="2" loext:num-list-format="%2%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.75in" fo:text-indent="-0.25in" fo:margin-left="0.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="3" loext:num-list-format="%3%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1in" fo:text-indent="-0.25in" fo:margin-left="1in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="4" loext:num-list-format="%4%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.25in" fo:text-indent="-0.25in" fo:margin-left="1.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="5" loext:num-list-format="%5%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.5in" fo:text-indent="-0.25in" fo:margin-left="1.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="6" loext:num-list-format="%6%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.75in" fo:text-indent="-0.25in" fo:margin-left="1.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="7" loext:num-list-format="%7%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2in" fo:text-indent="-0.25in" fo:margin-left="2in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="8" loext:num-list-format="%8%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.25in" fo:text-indent="-0.25in" fo:margin-left="2.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="9" loext:num-list-format="%9%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.5in" fo:text-indent="-0.25in" fo:margin-left="2.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="10" loext:num-list-format="%10%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.75in" fo:text-indent="-0.25in" fo:margin-left="2.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
</text:list-style>
<text:list-style style:name="WWNum9">
<text:list-level-style-number text:level="1" loext:num-list-format="%1%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.75in" fo:text-indent="-0.25in" fo:margin-left="0.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="2" loext:num-list-format="%2%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.75in" fo:text-indent="-0.25in" fo:margin-left="0.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="3" loext:num-list-format="%3%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1in" fo:text-indent="-0.25in" fo:margin-left="1in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="4" loext:num-list-format="%4%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.25in" fo:text-indent="-0.25in" fo:margin-left="1.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="5" loext:num-list-format="%5%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.5in" fo:text-indent="-0.25in" fo:margin-left="1.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="6" loext:num-list-format="%6%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.75in" fo:text-indent="-0.25in" fo:margin-left="1.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="7" loext:num-list-format="%7%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2in" fo:text-indent="-0.25in" fo:margin-left="2in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="8" loext:num-list-format="%8%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.25in" fo:text-indent="-0.25in" fo:margin-left="2.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="9" loext:num-list-format="%9%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.5in" fo:text-indent="-0.25in" fo:margin-left="2.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="10" loext:num-list-format="%10%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.75in" fo:text-indent="-0.25in" fo:margin-left="2.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
</text:list-style>
<text:list-style style:name="WWNum10">
<text:list-level-style-number text:level="1" loext:num-list-format="%1%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1in" fo:text-indent="-0.25in" fo:margin-left="1in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="2" loext:num-list-format="%2%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.75in" fo:text-indent="-0.25in" fo:margin-left="0.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="3" loext:num-list-format="%3%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1in" fo:text-indent="-0.25in" fo:margin-left="1in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="4" loext:num-list-format="%4%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.25in" fo:text-indent="-0.25in" fo:margin-left="1.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="5" loext:num-list-format="%5%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.5in" fo:text-indent="-0.25in" fo:margin-left="1.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="6" loext:num-list-format="%6%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.75in" fo:text-indent="-0.25in" fo:margin-left="1.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="7" loext:num-list-format="%7%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2in" fo:text-indent="-0.25in" fo:margin-left="2in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="8" loext:num-list-format="%8%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.25in" fo:text-indent="-0.25in" fo:margin-left="2.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="9" loext:num-list-format="%9%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.5in" fo:text-indent="-0.25in" fo:margin-left="2.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="10" loext:num-list-format="%10%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.75in" fo:text-indent="-0.25in" fo:margin-left="2.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
</text:list-style>
<text:list-style style:name="WWNum11">
<text:list-level-style-number text:level="1" loext:num-list-format="%1%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.25in" fo:text-indent="-0.25in" fo:margin-left="1.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="2" loext:num-list-format="%2%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="0.75in" fo:text-indent="-0.25in" fo:margin-left="0.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="3" loext:num-list-format="%3%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1in" fo:text-indent="-0.25in" fo:margin-left="1in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="4" loext:num-list-format="%4%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.25in" fo:text-indent="-0.25in" fo:margin-left="1.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="5" loext:num-list-format="%5%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.5in" fo:text-indent="-0.25in" fo:margin-left="1.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="6" loext:num-list-format="%6%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="1.75in" fo:text-indent="-0.25in" fo:margin-left="1.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="7" loext:num-list-format="%7%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2in" fo:text-indent="-0.25in" fo:margin-left="2in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="8" loext:num-list-format="%8%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.25in" fo:text-indent="-0.25in" fo:margin-left="2.25in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="9" loext:num-list-format="%9%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.5in" fo:text-indent="-0.25in" fo:margin-left="2.5in"/>
</style:list-level-properties>
</text:list-level-style-number>
<text:list-level-style-number text:level="10" loext:num-list-format="%10%." style:num-suffix="." style:num-format="1">
<style:list-level-properties text:list-level-position-and-space-mode="label-alignment">
<style:list-level-label-alignment text:label-followed-by="listtab" text:list-tab-stop-position="2.75in" fo:text-indent="-0.25in" fo:margin-left="2.75in"/>
</style:list-level-properties>
</text:list-level-style-number>
</text:list-style>
<text:notes-configuration text:note-class="footnote" style:num-format="1" text:start-value="0" text:footnotes-position="page" text:start-numbering-at="document"/>
<text:notes-configuration text:note-class="endnote" style:num-format="i" text:start-value="0"/>
<text:linenumbering-configuration text:number-lines="false" text:offset="0.1965in" style:num-format="1" text:number-position="left" text:increment="5"/>
<style:default-page-layout>
<style:page-layout-properties style:writing-mode="lr-tb" style:layout-grid-standard-mode="true"/>
</style:default-page-layout>
<loext:theme loext:name="Office">
<loext:theme-colors loext:name="LibreOffice">
<loext:color loext:name="dark1" loext:color="#000000"/>
<loext:color loext:name="light1" loext:color="#ffffff"/>
<loext:color loext:name="dark2" loext:color="#000000"/>
<loext:color loext:name="light2" loext:color="#ffffff"/>
<loext:color loext:name="accent1" loext:color="#18a303"/>
<loext:color loext:name="accent2" loext:color="#0369a3"/>
<loext:color loext:name="accent3" loext:color="#a33e03"/>
<loext:color loext:name="accent4" loext:color="#8e03a3"/>
<loext:color loext:name="accent5" loext:color="#c99c00"/>
<loext:color loext:name="accent6" loext:color="#c9211e"/>
<loext:color loext:name="hyperlink" loext:color="#0000ee"/>
<loext:color loext:name="followed-hyperlink" loext:color="#551a8b"/>
</loext:theme-colors>
</loext:theme>
</office:styles>
<office:automatic-styles>
<style:style style:name="Table1" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table1.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table1.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table1.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table1.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table4" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table4.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table4.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table4.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table4.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table4.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table5" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table5.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table5.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table5.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table5.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table6" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table6.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table6.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table6.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table6.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table6.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table8" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table8.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0632in"/>
</style:style>
<style:style style:name="Table8.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8028in"/>
</style:style>
<style:style style:name="Table8.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table8.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table8.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table9" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table9.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table9.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table9.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table9.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table9.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table13" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table13.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table13.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table13.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table13.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table13.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table14" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table14.A" style:family="table-column">
<style:table-column-properties style:column-width="2.2486in"/>
</style:style>
<style:style style:name="Table14.B" style:family="table-column">
<style:table-column-properties style:column-width="4.6174in"/>
</style:style>
<style:style style:name="Table14.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table14.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table14.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table15" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table15.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table15.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table15.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table15.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table16" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table16.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table16.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table16.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table16.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table17" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table17.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table17.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table17.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table17.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table17.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table7" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table7.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table7.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table7.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table7.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table7.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table148" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table148.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table148.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table148.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table148.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table148.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table151" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table151.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table151.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table151.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table151.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table151.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table147" style:family="table">
<style:table-properties style:width="6.8549in" fo:margin-left="0.0125in" fo:margin-right="0.0021in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="margins" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table147.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in" style:rel-column-width="19153*"/>
</style:style>
<style:style style:name="Table147.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8514in" style:rel-column-width="46382*"/>
</style:style>
<style:style style:name="Table147.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table147.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table147.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table2" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table2.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table2.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table2.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table2.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table2.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table11" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table11.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table11.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table11.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table11.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table11.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table18" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table18.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table18.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table18.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table18.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table18.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table19" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table19.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table19.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table19.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table19.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table69" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table69.A" style:family="table-column">
<style:table-column-properties style:column-width="2.3097in"/>
</style:style>
<style:style style:name="Table69.B" style:family="table-column">
<style:table-column-properties style:column-width="4.5576in"/>
</style:style>
<style:style style:name="Table69.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table69.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table69.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table69.13" style:family="table-row">
<style:table-row-properties style:min-row-height="0.3313in" fo:keep-together="always"/>
</style:style>
<style:style style:name="Table21" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table21.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table21.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table21.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table21.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table21.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table22" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table22.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table22.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table22.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table22.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table22.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table35" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table35.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table35.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table35.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table35.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table35.2" style:family="table-row">
<style:table-row-properties style:min-row-height="0.3715in" fo:keep-together="always"/>
</style:style>
<style:style style:name="Table35.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table35.8" style:family="table-row">
<style:table-row-properties style:min-row-height="0.4007in" fo:keep-together="always"/>
</style:style>
<style:style style:name="Table37" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table37.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table37.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table37.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table37.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table37.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table158" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table158.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table158.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table158.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table158.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table158.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table23" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table23.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table23.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table23.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table23.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table23.A3" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table24" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table24.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table24.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table24.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table24.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table24.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table25" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table25.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table25.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table25.1" style:family="table-row">
<style:table-row-properties style:min-row-height="0.875in" fo:keep-together="always"/>
</style:style>
<style:style style:name="Table25.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table25.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table25.3" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table25.4" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table25.5" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table25.6" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table26" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table26.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table26.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table26.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table26.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table26.2" style:family="table-row">
<style:table-row-properties style:min-row-height="0.3715in" fo:keep-together="always"/>
</style:style>
<style:style style:name="Table26.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table144" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table144.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table144.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table144.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table144.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table144.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table27" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table27.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table27.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table27.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table27.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table27.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table28" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table28.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table28.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table28.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table28.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table28.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table108" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table108.A" style:family="table-column">
<style:table-column-properties style:column-width="2.1257in"/>
</style:style>
<style:style style:name="Table108.B" style:family="table-column">
<style:table-column-properties style:column-width="4.7417in"/>
</style:style>
<style:style style:name="Table108.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table108.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table108.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table29" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table29.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table29.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8625in"/>
</style:style>
<style:style style:name="Table29.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table29.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table29.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table30" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table30.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table30.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table30.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table30.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table30.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table125" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table125.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table125.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table125.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table125.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table125.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table33" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table33.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table33.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table33.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table33.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table33.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table3" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table3.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table3.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table3.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table3.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table3.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table10" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table10.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table10.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table10.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table10.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table10.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table36" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table36.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table36.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table36.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table36.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table36.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table131" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table131.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table131.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table131.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table131.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table131.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table39" style:family="table">
<style:table-properties style:width="6.8778in" fo:margin-left="0in" fo:margin-right="-0.0083in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="margins" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table39.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in" style:rel-column-width="19090*"/>
</style:style>
<style:style style:name="Table39.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8743in" style:rel-column-width="46445*"/>
</style:style>
<style:style style:name="Table39.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table39.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table39.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table40" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table40.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table40.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table40.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table40.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table40.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table146" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table146.A" style:family="table-column">
<style:table-column-properties style:column-width="2.3153in"/>
</style:style>
<style:style style:name="Table146.B" style:family="table-column">
<style:table-column-properties style:column-width="4.5507in"/>
</style:style>
<style:style style:name="Table146.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table146.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table146.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table32" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table32.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table32.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table32.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table32.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table32.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table41" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table41.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table41.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table41.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table41.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table41.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table54" style:family="table">
<style:table-properties style:width="6.8681in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table54.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table54.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8646in"/>
</style:style>
<style:style style:name="Table54.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table54.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table54.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table160" style:family="table">
<style:table-properties style:width="6.8681in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table160.A" style:family="table-column">
<style:table-column-properties style:column-width="2.3708in"/>
</style:style>
<style:style style:name="Table160.B" style:family="table-column">
<style:table-column-properties style:column-width="4.4972in"/>
</style:style>
<style:style style:name="Table160.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table160.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table160.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table55" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table55.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0035in"/>
</style:style>
<style:style style:name="Table55.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8639in"/>
</style:style>
<style:style style:name="Table55.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table55.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table55.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table56" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table56.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table56.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table56.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table56.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table56.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table52" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table52.A" style:family="table-column">
<style:table-column-properties style:column-width="2.1257in"/>
</style:style>
<style:style style:name="Table52.B" style:family="table-column">
<style:table-column-properties style:column-width="4.7403in"/>
</style:style>
<style:style style:name="Table52.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table52.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table52.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table52.13" style:family="table-row">
<style:table-row-properties style:min-row-height="0.3313in" fo:keep-together="always"/>
</style:style>
<style:style style:name="Table59" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table59.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table59.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table59.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table59.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table59.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table142" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table142.A" style:family="table-column">
<style:table-column-properties style:column-width="2.1257in"/>
</style:style>
<style:style style:name="Table142.B" style:family="table-column">
<style:table-column-properties style:column-width="4.7403in"/>
</style:style>
<style:style style:name="Table142.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table142.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table142.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table50" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table50.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table50.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table50.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table50.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table50.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table155" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table155.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table155.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table155.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table155.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="0.05pt solid #000001"/>
</style:style>
<style:style style:name="Table155.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table157" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table157.A" style:family="table-column">
<style:table-column-properties style:column-width="2.1903in"/>
</style:style>
<style:style style:name="Table157.B" style:family="table-column">
<style:table-column-properties style:column-width="4.6757in"/>
</style:style>
<style:style style:name="Table157.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table157.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table157.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table60" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table60.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table60.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table60.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table60.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table60.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table61" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table61.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table61.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table61.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table61.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table161" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table161.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table161.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table161.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table161.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table161.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table62" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table62.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table62.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table62.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table62.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table62.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table63" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table63.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table63.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table63.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table63.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table63.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table65" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table65.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table65.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table65.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table65.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table65.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table127" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table127.A" style:family="table-column">
<style:table-column-properties style:column-width="2.2521in"/>
</style:style>
<style:style style:name="Table127.B" style:family="table-column">
<style:table-column-properties style:column-width="4.6139in"/>
</style:style>
<style:style style:name="Table127.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table127.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table127.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table67" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table67.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table67.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table67.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table67.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table67.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table51" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table51.A" style:family="table-column">
<style:table-column-properties style:column-width="2.1257in"/>
</style:style>
<style:style style:name="Table51.B" style:family="table-column">
<style:table-column-properties style:column-width="4.7403in"/>
</style:style>
<style:style style:name="Table51.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table51.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table51.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table57" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table57.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table57.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table57.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table57.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table57.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table162" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table162.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table162.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table162.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table162.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table162.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table152" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table152.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table152.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table152.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table152.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table163" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table163.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table163.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table163.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table163.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table163.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table154" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table154.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table154.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table154.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table154.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table154.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table31" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table31.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table31.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table31.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table31.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table31.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table70" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table70.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table70.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table70.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table70.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table70.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table71" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table71.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table71.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table71.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table71.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table71.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table72" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table72.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table72.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table72.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table72.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table72.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table73" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table73.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table73.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table73.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table73.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table73.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table74" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table74.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table74.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table74.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table74.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table74.A7" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table75" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table75.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table75.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table75.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table75.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table75.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table77" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table77.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table77.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table77.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table77.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table77.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table78" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table78.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table78.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table78.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table78.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table78.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table76" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table76.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table76.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table76.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table76.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table76.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table79" style:family="table">
<style:table-properties style:width="6.8743in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table79.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table79.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8743in"/>
</style:style>
<style:style style:name="Table79.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table79.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table79.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table80" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table80.A" style:family="table-column">
<style:table-column-properties style:column-width="2.8111in"/>
</style:style>
<style:style style:name="Table80.B" style:family="table-column">
<style:table-column-properties style:column-width="4.0549in"/>
</style:style>
<style:style style:name="Table80.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table80.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table80.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table81" style:family="table">
<style:table-properties style:width="6.8819in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table81.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table81.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8819in"/>
</style:style>
<style:style style:name="Table81.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table81.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table81.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table82" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table82.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table82.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table82.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table82.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table82.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table83" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table83.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table83.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table83.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table83.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table83.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table84" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table84.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table84.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table84.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table84.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table84.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table85" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table85.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table85.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table85.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table85.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table86" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table86.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table86.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table86.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table86.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table86.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table87" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table87.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table87.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table87.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table87.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table88" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table88.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table88.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table88.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table88.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table89" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table89.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table89.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table89.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table89.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table90" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table90.A" style:family="table-column">
<style:table-column-properties style:column-width="2.1889in"/>
</style:style>
<style:style style:name="Table90.B" style:family="table-column">
<style:table-column-properties style:column-width="4.6771in"/>
</style:style>
<style:style style:name="Table90.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table90.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table90.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table91" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table91.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table91.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table91.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table91.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table91.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table92" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table92.A" style:family="table-column">
<style:table-column-properties style:column-width="2.2889in"/>
</style:style>
<style:style style:name="Table92.B" style:family="table-column">
<style:table-column-properties style:column-width="4.5785in"/>
</style:style>
<style:style style:name="Table92.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table92.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table93" style:family="table">
<style:table-properties style:width="6.8674in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table93.A" style:family="table-column">
<style:table-column-properties style:column-width="2.2889in"/>
</style:style>
<style:style style:name="Table93.B" style:family="table-column">
<style:table-column-properties style:column-width="4.5785in"/>
</style:style>
<style:style style:name="Table93.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table93.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table94" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table94.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table94.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table94.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table94.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table94.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table95" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table95.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table95.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table95.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table95.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table95.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table96" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table96.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table96.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table96.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table96.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table96.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table97" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table97.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table97.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table97.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table97.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table97.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table98" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table98.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table98.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table98.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table98.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table98.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table99" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table99.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table99.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table99.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table99.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table99.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table100" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table100.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table100.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table100.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table100.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table100.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table101" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table101.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table101.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table101.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table101.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table102" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table102.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table102.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table102.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table102.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table102.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table103" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table103.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table103.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table103.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table103.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table104" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table104.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table104.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table104.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table104.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table104.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table105" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table105.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table105.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table105.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table105.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table105.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table106" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table106.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table106.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table106.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table106.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table106.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table107" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table107.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table107.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table107.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table107.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table107.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table111" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table111.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table111.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table111.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table111.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table111.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table112" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table112.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table112.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table112.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table112.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table112.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table113" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table113.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0632in"/>
</style:style>
<style:style style:name="Table113.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8028in"/>
</style:style>
<style:style style:name="Table113.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table113.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table113.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table114" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table114.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table114.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table114.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table114.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table114.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table115" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table115.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table115.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table115.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table115.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table115.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table149" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table149.A" style:family="table-column">
<style:table-column-properties style:column-width="2.1257in"/>
</style:style>
<style:style style:name="Table149.B" style:family="table-column">
<style:table-column-properties style:column-width="4.7403in"/>
</style:style>
<style:style style:name="Table149.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table149.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table149.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table116" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table116.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table116.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table116.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table116.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table116.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table117" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table117.A" style:family="table-column">
<style:table-column-properties style:column-width="2.0632in"/>
</style:style>
<style:style style:name="Table117.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8028in"/>
</style:style>
<style:style style:name="Table117.1" style:family="table-row">
<style:table-row-properties style:row-height="1.9389in" fo:keep-together="always"/>
</style:style>
<style:style style:name="Table117.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table117.2" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table117.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table117.3" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table117.4" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table117.5" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table117.6" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table117.7" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table68" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table68.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table68.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table68.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table68.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table68.A3" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table118" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table118.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table118.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table118.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table118.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table118.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table119" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table119.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table119.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table119.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table119.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table119.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table120" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table120.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table120.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table120.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table120.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table120.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table121" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table121.A" style:family="table-column">
<style:table-column-properties style:column-width="2.3778in"/>
</style:style>
<style:style style:name="Table121.B" style:family="table-column">
<style:table-column-properties style:column-width="4.4882in"/>
</style:style>
<style:style style:name="Table121.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table121.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table122" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table122.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table122.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table122.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table122.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table122.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table123" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table123.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table123.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table123.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table123.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table123.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table124" style:family="table">
<style:table-properties style:width="6.8681in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table124.A" style:family="table-column">
<style:table-column-properties style:column-width="2.4569in"/>
</style:style>
<style:style style:name="Table124.B" style:family="table-column">
<style:table-column-properties style:column-width="4.4111in"/>
</style:style>
<style:style style:name="Table124.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table124.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table124.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table156" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table156.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table156.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table156.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table156.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table156.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table12" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table12.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table12.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table12.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table12.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table12.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table20" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table20.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table20.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table20.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table20.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table20.A3" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table34" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table34.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table34.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table34.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table34.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table34.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table42" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table42.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table42.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table42.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table42.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table42.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table43" style:family="table">
<style:table-properties style:width="6.8743in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table43.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table43.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8743in"/>
</style:style>
<style:style style:name="Table43.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table43.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table43.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table44" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table44.A" style:family="table-column">
<style:table-column-properties style:column-width="3.0632in"/>
</style:style>
<style:style style:name="Table44.B" style:family="table-column">
<style:table-column-properties style:column-width="3.8028in"/>
</style:style>
<style:style style:name="Table44.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table44.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table44.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table45" style:family="table">
<style:table-properties style:width="6.8819in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table45.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table45.B" style:family="table-column">
<style:table-column-properties style:column-width="4.8819in"/>
</style:style>
<style:style style:name="Table45.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table45.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table45.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table46" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table46.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table46.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table46.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table46.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table46.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table47" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table47.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table47.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table47.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table47.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table47.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table53" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table53.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table53.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table53.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table53.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table53.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table164" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table164.A" style:family="table-column">
<style:table-column-properties style:column-width="2.1257in"/>
</style:style>
<style:style style:name="Table164.B" style:family="table-column">
<style:table-column-properties style:column-width="4.7403in"/>
</style:style>
<style:style style:name="Table164.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table164.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table164.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table48" style:family="table">
<style:table-properties style:width="6.8819in" fo:margin-left="0in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table48.A" style:family="table-column">
<style:table-column-properties style:column-width="1.9368in"/>
</style:style>
<style:style style:name="Table48.B" style:family="table-column">
<style:table-column-properties style:column-width="4.9451in"/>
</style:style>
<style:style style:name="Table48.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table48.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table48.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table64" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table64.A" style:family="table-column">
<style:table-column-properties style:column-width="1.9368in"/>
</style:style>
<style:style style:name="Table64.B" style:family="table-column">
<style:table-column-properties style:column-width="4.9292in"/>
</style:style>
<style:style style:name="Table64.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table64.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table159" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table159.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table159.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table159.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table159.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table159.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table128" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table128.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table128.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table128.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table128.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table49" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table49.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table49.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table49.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table49.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table49.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table153" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table153.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table153.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table153.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table153.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table153.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table129" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table129.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table129.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table129.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table129.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table129.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table150" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table150.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table150.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table150.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table150.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table150.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table58" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table58.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table58.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table58.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table58.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table58.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table109" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table109.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table109.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table109.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table109.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table109.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table66" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table66.A" style:family="table-column">
<style:table-column-properties style:column-width="2in"/>
</style:style>
<style:style style:name="Table66.B" style:family="table-column">
<style:table-column-properties style:column-width="4.866in"/>
</style:style>
<style:style style:name="Table66.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table66.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table66.A2" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border="none"/>
</style:style>
<style:style style:name="Table130" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table130.A" style:family="table-column">
<style:table-column-properties style:column-width="3in"/>
</style:style>
<style:style style:name="Table130.B" style:family="table-column">
<style:table-column-properties style:column-width="3.866in"/>
</style:style>
<style:style style:name="Table130.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table130.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table135" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table135.A" style:family="table-column">
<style:table-column-properties style:column-width="3in"/>
</style:style>
<style:style style:name="Table135.B" style:family="table-column">
<style:table-column-properties style:column-width="3.866in"/>
</style:style>
<style:style style:name="Table135.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table135.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table110" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table110.A" style:family="table-column">
<style:table-column-properties style:column-width="3in"/>
</style:style>
<style:style style:name="Table110.B" style:family="table-column">
<style:table-column-properties style:column-width="3.866in"/>
</style:style>
<style:style style:name="Table110.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table110.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table137" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table137.A" style:family="table-column">
<style:table-column-properties style:column-width="3in"/>
</style:style>
<style:style style:name="Table137.B" style:family="table-column">
<style:table-column-properties style:column-width="3.866in"/>
</style:style>
<style:style style:name="Table137.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table137.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table140" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table140.A" style:family="table-column">
<style:table-column-properties style:column-width="3in"/>
</style:style>
<style:style style:name="Table140.B" style:family="table-column">
<style:table-column-properties style:column-width="3.866in"/>
</style:style>
<style:style style:name="Table140.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table140.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table134" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table134.A" style:family="table-column">
<style:table-column-properties style:column-width="3in"/>
</style:style>
<style:style style:name="Table134.B" style:family="table-column">
<style:table-column-properties style:column-width="3.866in"/>
</style:style>
<style:style style:name="Table134.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table134.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table138" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table138.A" style:family="table-column">
<style:table-column-properties style:column-width="3in"/>
</style:style>
<style:style style:name="Table138.B" style:family="table-column">
<style:table-column-properties style:column-width="3.866in"/>
</style:style>
<style:style style:name="Table138.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table138.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table136" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table136.A" style:family="table-column">
<style:table-column-properties style:column-width="3in"/>
</style:style>
<style:style style:name="Table136.B" style:family="table-column">
<style:table-column-properties style:column-width="3.866in"/>
</style:style>
<style:style style:name="Table136.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table136.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table139" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table139.A" style:family="table-column">
<style:table-column-properties style:column-width="3in"/>
</style:style>
<style:style style:name="Table139.B" style:family="table-column">
<style:table-column-properties style:column-width="3.866in"/>
</style:style>
<style:style style:name="Table139.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table139.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table141" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table141.A" style:family="table-column">
<style:table-column-properties style:column-width="4in"/>
</style:style>
<style:style style:name="Table141.B" style:family="table-column">
<style:table-column-properties style:column-width="2.866in"/>
</style:style>
<style:style style:name="Table141.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table141.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table38" style:family="table">
<style:table-properties style:width="6.8743in" fo:margin-left="0in" fo:margin-right="-0.0049in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="margins" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table38.A" style:family="table-column">
<style:table-column-properties style:column-width="3in" style:rel-column-width="28599*"/>
</style:style>
<style:style style:name="Table38.B" style:family="table-column">
<style:table-column-properties style:column-width="3.8743in" style:rel-column-width="36936*"/>
</style:style>
<style:style style:name="Table38.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table38.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table132" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table132.A" style:family="table-column">
<style:table-column-properties style:column-width="3in"/>
</style:style>
<style:style style:name="Table132.B" style:family="table-column">
<style:table-column-properties style:column-width="3.866in"/>
</style:style>
<style:style style:name="Table132.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table132.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table133" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table133.A" style:family="table-column">
<style:table-column-properties style:column-width="3in"/>
</style:style>
<style:style style:name="Table133.B" style:family="table-column">
<style:table-column-properties style:column-width="3.866in"/>
</style:style>
<style:style style:name="Table133.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table133.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table143" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table143.A" style:family="table-column">
<style:table-column-properties style:column-width="3in"/>
</style:style>
<style:style style:name="Table143.B" style:family="table-column">
<style:table-column-properties style:column-width="3.866in"/>
</style:style>
<style:style style:name="Table143.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table143.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table126" style:family="table">
<style:table-properties style:width="6.8639in" fo:margin-left="0.0076in" fo:margin-right="-0.0021in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="margins" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table126.A" style:family="table-column">
<style:table-column-properties style:column-width="3in" style:rel-column-width="28643*"/>
</style:style>
<style:style style:name="Table126.B" style:family="table-column">
<style:table-column-properties style:column-width="3.8639in" style:rel-column-width="36892*"/>
</style:style>
<style:style style:name="Table126.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table126.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="Table145" style:family="table">
<style:table-properties style:width="6.866in" fo:margin-top="0in" fo:margin-bottom="0in" table:align="left" style:writing-mode="lr-tb"/>
</style:style>
<style:style style:name="Table145.A" style:family="table-column">
<style:table-column-properties style:column-width="3in"/>
</style:style>
<style:style style:name="Table145.B" style:family="table-column">
<style:table-column-properties style:column-width="3.866in"/>
</style:style>
<style:style style:name="Table145.1" style:family="table-row">
<style:table-row-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="Table145.A1" style:family="table-cell">
<style:table-cell-properties fo:padding="0in" fo:border-left="none" fo:border-right="none" fo:border-top="0.05pt solid #000001" fo:border-bottom="none"/>
</style:style>
<style:style style:name="P1" style:family="paragraph" style:parent-style-name="Title" style:master-page-name="Standard">
<style:paragraph-properties fo:margin-top="0in" fo:margin-bottom="0.1665in" style:contextual-spacing="false" style:page-number="auto"/>
<style:text-properties style:font-name="Palatino"/>
</style:style>
<style:style style:name="P2" style:family="paragraph" style:parent-style-name="Contents_20_1">
<style:paragraph-properties>
<style:tab-stops>
<style:tab-stop style:position="6.8689in" style:type="right" style:leader-style="dotted" style:leader-text="."/>
</style:tab-stops>
</style:paragraph-properties>
</style:style>
<style:style style:name="P3" style:family="paragraph" style:parent-style-name="Contents_20_2">
<style:paragraph-properties>
<style:tab-stops>
<style:tab-stop style:position="6.8689in" style:type="right" style:leader-style="dotted" style:leader-text="."/>
</style:tab-stops>
</style:paragraph-properties>
</style:style>
<style:style style:name="P4" style:family="paragraph" style:parent-style-name="Body">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
</style:style>
<style:style style:name="P5" style:family="paragraph" style:parent-style-name="Heading_20_1">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:text-align="start" style:justify-single-word="false"/>
<style:text-properties officeooo:rsid="00deee96" officeooo:paragraph-rsid="00deee96"/>
</style:style>
<style:style style:name="P6" style:family="paragraph" style:parent-style-name="Body">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="00df3087"/>
</style:style>
<style:style style:name="P7" style:family="paragraph" style:parent-style-name="Body">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:rsid="00df3087" officeooo:paragraph-rsid="00df3087"/>
</style:style>
<style:style style:name="P8" style:family="paragraph" style:parent-style-name="Body">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="00deee96"/>
</style:style>
<style:style style:name="P9" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties fo:font-style="normal" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="P10" style:family="paragraph" style:parent-style-name="Body">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
</style:style>
<style:style style:name="P11" style:family="paragraph" style:parent-style-name="Body">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="011e3834"/>
</style:style>
<style:style style:name="P12" style:family="paragraph" style:parent-style-name="Body">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="00e1cf9c"/>
</style:style>
<style:style style:name="P13" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:rsid="00e2e735" officeooo:paragraph-rsid="00e2e735"/>
</style:style>
<style:style style:name="P14" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:paragraph-rsid="00e2e735"/>
</style:style>
<style:style style:name="P15" style:family="paragraph" style:parent-style-name="Body">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:rsid="00e3f355" officeooo:paragraph-rsid="00e3f355"/>
</style:style>
<style:style style:name="P16" style:family="paragraph" style:parent-style-name="Example_20__20_indented" style:master-page-name="">
<loext:graphic-properties draw:fill-gradient-name="gradient" draw:fill-hatch-name="hatch"/>
<style:paragraph-properties fo:keep-together="always" fo:orphans="0" fo:widows="0" style:page-number="auto"/>
<style:text-properties officeooo:paragraph-rsid="0130dba2"/>
</style:style>
<style:style style:name="P17" style:family="paragraph" style:parent-style-name="Body">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:rsid="00e3f355" officeooo:paragraph-rsid="00eb6d1e"/>
</style:style>
<style:style style:name="P18" style:family="paragraph" style:parent-style-name="Body">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:rsid="00e496e0" officeooo:paragraph-rsid="00e5cc8a"/>
</style:style>
<style:style style:name="P19" style:family="paragraph" style:parent-style-name="Body">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="00e75c0e"/>
</style:style>
<style:style style:name="P20" style:family="paragraph" style:parent-style-name="Body">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:rsid="00ecf85f" officeooo:paragraph-rsid="00ecf85f"/>
</style:style>
<style:style style:name="P21" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:paragraph-rsid="011e3834"/>
</style:style>
<style:style style:name="P22" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:paragraph-rsid="016dd523"/>
</style:style>
<style:style style:name="P23" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:paragraph-rsid="011e89ab"/>
</style:style>
<style:style style:name="P24" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:paragraph-rsid="011e89ab"/>
</style:style>
<style:style style:name="P25" style:family="paragraph" style:parent-style-name="Heading_20_1">
<style:text-properties officeooo:paragraph-rsid="01394bd2"/>
</style:style>
<style:style style:name="P26" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:paragraph-rsid="013a5fca"/>
</style:style>
<style:style style:name="P27" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:paragraph-rsid="013a5fca"/>
</style:style>
<style:style style:name="P28" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:rsid="013a5fca" officeooo:paragraph-rsid="013a5fca"/>
</style:style>
<style:style style:name="P29" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
</style:style>
<style:style style:name="P30" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:rsid="014d4088" officeooo:paragraph-rsid="014d4088"/>
</style:style>
<style:style style:name="P31" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="005dcb06"/>
</style:style>
<style:style style:name="P32" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="005aab2d"/>
</style:style>
<style:style style:name="P33" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="P34" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="005f462d"/>
</style:style>
<style:style style:name="P35" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P36" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:paragraph-rsid="004bc01f"/>
</style:style>
<style:style style:name="P37" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="005f462d" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P38" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="005f462d" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P39" style:family="paragraph" style:parent-style-name="Body">
<style:paragraph-properties fo:keep-with-next="always"/>
</style:style>
<style:style style:name="P40" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
</style:style>
<style:style style:name="P41" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties fo:font-style="italic" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="P42" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:rsid="00602578" officeooo:paragraph-rsid="00602578"/>
</style:style>
<style:style style:name="P43" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="00615169" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P44" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="0064018e" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P45" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:paragraph-rsid="0064018e"/>
</style:style>
<style:style style:name="P46" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="00631d9e" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P47" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="normal" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="P48" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:paragraph-rsid="01286339"/>
</style:style>
<style:style style:name="P49" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="00653f2c" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="P50" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="00653f2c" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P51" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="0066d718"/>
</style:style>
<style:style style:name="P52" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="011a6360"/>
</style:style>
<style:style style:name="P53" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:rsid="0066d718" officeooo:paragraph-rsid="0066d718"/>
</style:style>
<style:style style:name="P54" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="0154fd6b"/>
</style:style>
<style:style style:name="P55" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:paragraph-rsid="0067b2f3"/>
</style:style>
<style:style style:name="P56" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="0067b2f3"/>
</style:style>
<style:style style:name="P57" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:rsid="0067b2f3" officeooo:paragraph-rsid="0067b2f3"/>
</style:style>
<style:style style:name="P58" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="00706e91"/>
</style:style>
<style:style style:name="P59" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:paragraph-rsid="00706e91"/>
</style:style>
<style:style style:name="P60" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="00706e91"/>
</style:style>
<style:style style:name="P61" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="006a7983"/>
</style:style>
<style:style style:name="P62" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="01697772"/>
</style:style>
<style:style style:name="P63" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="006c2bd5"/>
</style:style>
<style:style style:name="P64" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:rsid="00505efd" officeooo:paragraph-rsid="00505efd"/>
</style:style>
<style:style style:name="P65" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:rsid="00505efd" officeooo:paragraph-rsid="00505efd"/>
</style:style>
<style:style style:name="P66" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="0072f020"/>
</style:style>
<style:style style:name="P67" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:rsid="0086e9dc" officeooo:paragraph-rsid="0086e9dc"/>
</style:style>
<style:style style:name="P68" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:paragraph-rsid="001279b8"/>
</style:style>
<style:style style:name="P69" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:paragraph-rsid="001279b8"/>
</style:style>
<style:style style:name="P70" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:paragraph-rsid="014bca61"/>
</style:style>
<style:style style:name="P71" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:paragraph-rsid="0018ef7c"/>
</style:style>
<style:style style:name="P72" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="001279b8"/>
</style:style>
<style:style style:name="P73" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:paragraph-rsid="01698ad0"/>
</style:style>
<style:style style:name="P74" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:paragraph-rsid="0015556b"/>
</style:style>
<style:style style:name="P75" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:paragraph-rsid="008aa77f"/>
</style:style>
<style:style style:name="P76" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:paragraph-rsid="00bbb02f"/>
</style:style>
<style:style style:name="P77" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:paragraph-rsid="00bc6c5b"/>
</style:style>
<style:style style:name="P78" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:paragraph-rsid="0019cd6d"/>
</style:style>
<style:style style:name="P79" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="00758ac5"/>
</style:style>
<style:style style:name="P80" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:paragraph-rsid="007aa53b"/>
</style:style>
<style:style style:name="P81" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:rsid="0188e8fc" officeooo:paragraph-rsid="0188e8fc"/>
</style:style>
<style:style style:name="P82" style:family="paragraph" style:parent-style-name="Text_20_body">
<style:text-properties officeooo:rsid="0188e8fc" officeooo:paragraph-rsid="0188e8fc"/>
</style:style>
<style:style style:name="P83" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="01827f2c"/>
</style:style>
<style:style style:name="P84" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="01827f2c"/>
</style:style>
<style:style style:name="P85" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:rsid="0101d659" officeooo:paragraph-rsid="01827f2c"/>
</style:style>
<style:style style:name="P86" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="01827f2c"/>
</style:style>
<style:style style:name="P87" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="014d752f"/>
</style:style>
<style:style style:name="P88" style:family="paragraph" style:parent-style-name="Text_20_body">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:rsid="007d7983" officeooo:paragraph-rsid="007d7983"/>
</style:style>
<style:style style:name="P89" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="007d7983"/>
</style:style>
<style:style style:name="P90" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:rsid="007d7983" officeooo:paragraph-rsid="007d7983"/>
</style:style>
<style:style style:name="P91" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="007ba90f"/>
</style:style>
<style:style style:name="P92" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="01268a87"/>
</style:style>
<style:style style:name="P93" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:paragraph-rsid="01268a87"/>
</style:style>
<style:style style:name="P94" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="01590e40"/>
</style:style>
<style:style style:name="P95" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:rsid="00422fb2" officeooo:paragraph-rsid="01590e40"/>
</style:style>
<style:style style:name="P96" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="01590e40"/>
</style:style>
<style:style style:name="P97" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="01590e40"/>
</style:style>
<style:style style:name="P98" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:rsid="007ec192" officeooo:paragraph-rsid="007ec192"/>
</style:style>
<style:style style:name="P99" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="007ec192"/>
</style:style>
<style:style style:name="P100" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties style:font-name="Courier" style:font-name-complex="Courier New"/>
</style:style>
<style:style style:name="P101" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties style:font-name="Courier" fo:font-style="italic" officeooo:paragraph-rsid="007ec192" style:font-style-asian="italic" style:font-name-complex="Courier New"/>
</style:style>
<style:style style:name="P102" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="007eea6f"/>
</style:style>
<style:style style:name="P103" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:paragraph-rsid="01451991"/>
</style:style>
<style:style style:name="P104" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:paragraph-rsid="01451991"/>
</style:style>
<style:style style:name="P105" style:family="paragraph" style:parent-style-name="Body" style:master-page-name="">
<loext:graphic-properties draw:fill-gradient-name="gradient" draw:fill-hatch-name="hatch"/>
<style:paragraph-properties style:page-number="auto" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="01451991"/>
</style:style>
<style:style style:name="P106" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:paragraph-rsid="00351675"/>
</style:style>
<style:style style:name="P107" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:rsid="00351675" officeooo:paragraph-rsid="00351675"/>
</style:style>
<style:style style:name="P108" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="015a7a53"/>
</style:style>
<style:style style:name="P109" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:rsid="00422fb2" officeooo:paragraph-rsid="015a7a53"/>
</style:style>
<style:style style:name="P110" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="015a7a53"/>
</style:style>
<style:style style:name="P111" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="015a7a53"/>
</style:style>
<style:style style:name="P112" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="01758e4d"/>
</style:style>
<style:style style:name="P113" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="01758e4d"/>
</style:style>
<style:style style:name="P114" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:rsid="01758e4d" officeooo:paragraph-rsid="01758e4d"/>
</style:style>
<style:style style:name="P115" style:family="paragraph" style:parent-style-name="Standard">
<style:paragraph-properties fo:hyphenation-ladder-count="no-limit" fo:hyphenation-keep="auto" loext:hyphenation-keep-type="column" loext:hyphenation-keep-line="false"/>
<style:text-properties officeooo:paragraph-rsid="0185e6df" fo:hyphenate="true" fo:hyphenation-remain-char-count="2" fo:hyphenation-push-char-count="2" loext:hyphenation-no-caps="false" loext:hyphenation-no-last-word="false" loext:hyphenation-word-char-count="no-limit" loext:hyphenation-zone="no-limit"/>
</style:style>
<style:style style:name="P116" style:family="paragraph" style:parent-style-name="Standard">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:hyphenation-ladder-count="no-limit" fo:hyphenation-keep="auto" loext:hyphenation-keep-type="column" loext:hyphenation-keep-line="false"/>
<style:text-properties officeooo:paragraph-rsid="0082b45d" fo:hyphenate="true" fo:hyphenation-remain-char-count="2" fo:hyphenation-push-char-count="2" loext:hyphenation-no-caps="false" loext:hyphenation-no-last-word="false" loext:hyphenation-word-char-count="no-limit" loext:hyphenation-zone="no-limit"/>
</style:style>
<style:style style:name="P117" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:paragraph-properties fo:keep-together="always"/>
</style:style>
<style:style style:name="P118" style:family="paragraph" style:parent-style-name="Standard">
<style:paragraph-properties fo:hyphenation-ladder-count="no-limit" fo:hyphenation-keep="auto" loext:hyphenation-keep-type="column" loext:hyphenation-keep-line="false"/>
<style:text-properties fo:hyphenate="true" fo:hyphenation-remain-char-count="2" fo:hyphenation-push-char-count="2" loext:hyphenation-no-caps="false" loext:hyphenation-no-last-word="false" loext:hyphenation-word-char-count="no-limit" loext:hyphenation-zone="no-limit"/>
</style:style>
<style:style style:name="P119" style:family="paragraph" style:parent-style-name="Standard">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:hyphenation-ladder-count="no-limit" fo:hyphenation-keep="auto" loext:hyphenation-keep-type="column" loext:hyphenation-keep-line="false"/>
<style:text-properties officeooo:paragraph-rsid="00846b92" fo:hyphenate="true" fo:hyphenation-remain-char-count="2" fo:hyphenation-push-char-count="2" loext:hyphenation-no-caps="false" loext:hyphenation-no-last-word="false" loext:hyphenation-word-char-count="no-limit" loext:hyphenation-zone="no-limit"/>
</style:style>
<style:style style:name="P120" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:paragraph-properties fo:keep-together="always"/>
<style:text-properties officeooo:paragraph-rsid="0185e6df"/>
</style:style>
<style:style style:name="P121" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="01571ef5"/>
</style:style>
<style:style style:name="P122" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="01890800"/>
</style:style>
<style:style style:name="P123" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="01571ef5" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P124" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:paragraph-rsid="01571ef5"/>
</style:style>
<style:style style:name="P125" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="normal" officeooo:paragraph-rsid="01571ef5" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="P126" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="normal" officeooo:paragraph-rsid="01890800" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="P127" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:paragraph-rsid="01890800"/>
</style:style>
<style:style style:name="P128" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="01571ef5" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="P129" style:family="paragraph" style:parent-style-name="Text_20_body">
<style:text-properties officeooo:paragraph-rsid="01571ef5"/>
</style:style>
<style:style style:name="P130" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="01571ef5"/>
</style:style>
<style:style style:name="P131" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="01571ef5"/>
</style:style>
<style:style style:name="P132" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="00900ef1"/>
</style:style>
<style:style style:name="P133" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties style:font-style-complex="italic"/>
</style:style>
<style:style style:name="P134" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="00a1c792"/>
</style:style>
<style:style style:name="P135" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="0094f32d"/>
</style:style>
<style:style style:name="P136" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="01458fa7"/>
</style:style>
<style:style style:name="P137" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="0149d1db"/>
</style:style>
<style:style style:name="P138" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="01458fa7" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P139" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="01458fa7"/>
</style:style>
<style:style style:name="P140" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="normal" officeooo:rsid="01485f8e" officeooo:paragraph-rsid="01485f8e" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="P141" style:family="paragraph" style:parent-style-name="Standard">
<style:text-properties officeooo:rsid="01485f8e" officeooo:paragraph-rsid="01485f8e"/>
</style:style>
<style:style style:name="P142" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:rsid="01458fa7" officeooo:paragraph-rsid="01458fa7"/>
</style:style>
<style:style style:name="P143" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="0094f32d" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="P144" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="01485f8e"/>
</style:style>
<style:style style:name="P145" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="0096d787" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P146" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="00f175b2"/>
</style:style>
<style:style style:name="P147" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="00978cd4"/>
</style:style>
<style:style style:name="P148" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="00978cd4"/>
</style:style>
<style:style style:name="P149" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:paragraph-rsid="0099b901"/>
</style:style>
<style:style style:name="P150" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:rsid="00f49c88" officeooo:paragraph-rsid="00f49c88"/>
</style:style>
<style:style style:name="P151" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="017c58d0"/>
</style:style>
<style:style style:name="P152" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="017c58d0"/>
</style:style>
<style:style style:name="P153" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="017c58d0" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="P154" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="017c58d0"/>
</style:style>
<style:style style:name="P155" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="009b488e"/>
</style:style>
<style:style style:name="P156" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="009b488e"/>
</style:style>
<style:style style:name="P157" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:rsid="014345e2" officeooo:paragraph-rsid="014345e2"/>
</style:style>
<style:style style:name="P158" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="002d67a4"/>
</style:style>
<style:style style:name="P159" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="normal" officeooo:rsid="002d67a4" officeooo:paragraph-rsid="009d13c0" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="P160" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:rsid="009d13c0" officeooo:paragraph-rsid="009d13c0"/>
</style:style>
<style:style style:name="P161" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:rsid="002fef51" officeooo:paragraph-rsid="002fef51"/>
</style:style>
<style:style style:name="P162" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="009e51bd" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P163" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="017a610f"/>
</style:style>
<style:style style:name="P164" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="017a610f"/>
</style:style>
<style:style style:name="P165" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="003a3a41"/>
</style:style>
<style:style style:name="P166" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="00a2ae7c"/>
</style:style>
<style:style style:name="P167" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="013d0746"/>
</style:style>
<style:style style:name="P168" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="013d0746" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="P169" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:paragraph-rsid="013d0746"/>
</style:style>
<style:style style:name="P170" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:rsid="013d0746" officeooo:paragraph-rsid="013d0746" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="P171" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:rsid="013ed225" officeooo:paragraph-rsid="013ed225"/>
</style:style>
<style:style style:name="P172" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="017e9d19"/>
</style:style>
<style:style style:name="P173" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="normal" officeooo:paragraph-rsid="017e9d19" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="P174" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="017e9d19"/>
</style:style>
<style:style style:name="P175" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="017e9d19" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="P176" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:rsid="017e9d19" officeooo:paragraph-rsid="017e9d19"/>
</style:style>
<style:style style:name="P177" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="00a5b2c4"/>
</style:style>
<style:style style:name="P178" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="00a55ed8"/>
</style:style>
<style:style style:name="P179" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:rsid="011c56aa" officeooo:paragraph-rsid="011c56aa"/>
</style:style>
<style:style style:name="P180" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="00b0f943"/>
</style:style>
<style:style style:name="P181" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:rsid="00246ffe" officeooo:paragraph-rsid="00246ffe"/>
</style:style>
<style:style style:name="P182" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="0025d086"/>
</style:style>
<style:style style:name="P183" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties fo:font-style="italic" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P184" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="0026a6ae"/>
</style:style>
<style:style style:name="P185" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:paragraph-rsid="0026a6ae"/>
</style:style>
<style:style style:name="P186" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="0027df4e"/>
</style:style>
<style:style style:name="P187" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:paragraph-rsid="0027df4e"/>
</style:style>
<style:style style:name="P188" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties style:font-name="Courier"/>
</style:style>
<style:style style:name="P189" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties style:font-name="Courier" fo:font-style="italic" officeooo:paragraph-rsid="00b21b30" style:font-style-asian="italic" style:font-name-complex="Courier New"/>
</style:style>
<style:style style:name="P190" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties style:font-name="Courier"/>
</style:style>
<style:style style:name="P191" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="00b21b30"/>
</style:style>
<style:style style:name="P192" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="00b21b30" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P193" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="00b21b30" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P194" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="00b23567" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P195" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties fo:font-style="italic" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P196" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:paragraph-rsid="00b23567"/>
</style:style>
<style:style style:name="P197" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:rsid="00b2d2ee" officeooo:paragraph-rsid="00b2d2ee"/>
</style:style>
<style:style style:name="P198" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="00b2d2ee"/>
</style:style>
<style:style style:name="P199" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="00b2d2ee" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P200" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="00b2d2ee"/>
</style:style>
<style:style style:name="P201" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="00f9bfbf"/>
</style:style>
<style:style style:name="P202" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="00b44d95" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P203" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="00d7f1a5"/>
</style:style>
<style:style style:name="P204" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="00b62da5" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P205" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="0106de7e"/>
</style:style>
<style:style style:name="P206" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="00b810ea"/>
</style:style>
<style:style style:name="P207" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="010a1f49"/>
</style:style>
<style:style style:name="P208" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:paragraph-rsid="010a1f49"/>
</style:style>
<style:style style:name="P209" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:paragraph-rsid="010a1f49"/>
</style:style>
<style:style style:name="P210" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:rsid="0030e942" officeooo:paragraph-rsid="0030e942"/>
</style:style>
<style:style style:name="P211" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="00b90643"/>
</style:style>
<style:style style:name="P212" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:paragraph-rsid="0164dae5"/>
</style:style>
<style:style style:name="P213" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:paragraph-rsid="00bf4ff1"/>
</style:style>
<style:style style:name="P214" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:paragraph-rsid="00c08863"/>
</style:style>
<style:style style:name="P215" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties fo:margin-left="0.5in" fo:text-indent="0in" style:auto-text-indent="false"/>
<style:text-properties officeooo:paragraph-rsid="0165c905"/>
</style:style>
<style:style style:name="P216" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:paragraph-rsid="0022cf08"/>
</style:style>
<style:style style:name="P217" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:paragraph-rsid="0023b8dc"/>
</style:style>
<style:style style:name="P218" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="0185e6df"/>
</style:style>
<style:style style:name="P219" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:paragraph-rsid="00c6a255"/>
</style:style>
<style:style style:name="P220" style:family="paragraph" style:parent-style-name="Standard">
<style:paragraph-properties fo:margin-left="0in" fo:margin-right="0in" fo:margin-top="0in" fo:margin-bottom="0.2in" style:contextual-spacing="false" fo:line-height="100%" fo:text-align="start" style:justify-single-word="false" fo:keep-together="auto" fo:orphans="2" fo:widows="2" fo:text-indent="0in" style:auto-text-indent="false" fo:padding="0in" fo:border="none" fo:keep-with-next="auto"/>
<style:text-properties fo:font-variant="normal" fo:text-transform="none" fo:color="#000000" loext:opacity="100%" style:text-line-through-style="none" style:text-line-through-type="none" style:text-position="0% 100%" style:font-name="Courier1" fo:font-size="10pt" fo:font-style="normal" style:text-underline-style="none" fo:font-weight="normal" officeooo:rsid="001f5cd7" officeooo:paragraph-rsid="001f5cd7" style:font-name-asian="Courier2" style:font-size-asian="10pt" style:font-style-asian="normal" style:font-weight-asian="normal" style:font-name-complex="Courier2" style:font-size-complex="10pt"/>
</style:style>
<style:style style:name="P221" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="00c170d4"/>
</style:style>
<style:style style:name="P222" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:rsid="00c170d4" officeooo:paragraph-rsid="00c170d4"/>
</style:style>
<style:style style:name="P223" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:rsid="00c209c6" officeooo:paragraph-rsid="00c209c6" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P224" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:paragraph-rsid="00c2e1fb"/>
</style:style>
<style:style style:name="P225" style:family="paragraph" style:parent-style-name="CellBody">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
</style:style>
<style:style style:name="P226" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="00cc8a87"/>
</style:style>
<style:style style:name="P227" style:family="paragraph" style:parent-style-name="CellBody">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="00cc8a87"/>
</style:style>
<style:style style:name="P228" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="00cce8ff" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="P229" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="00dac5c2"/>
</style:style>
<style:style style:name="P230" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="00d09572" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P231" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="00d94bcd"/>
</style:style>
<style:style style:name="P232" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="00dac5c2"/>
</style:style>
<style:style style:name="P233" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:paragraph-rsid="00d9c110"/>
</style:style>
<style:style style:name="P234" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="00d17fac"/>
</style:style>
<style:style style:name="P235" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:rsid="00d17fac" officeooo:paragraph-rsid="00d17fac"/>
</style:style>
<style:style style:name="P236" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="01770b0b"/>
</style:style>
<style:style style:name="P237" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties style:font-name="Helvetica1" officeooo:rsid="01770b0b" officeooo:paragraph-rsid="01770b0b"/>
</style:style>
<style:style style:name="P238" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="017860c0"/>
</style:style>
<style:style style:name="P239" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties style:font-name="Helvetica1" officeooo:rsid="017860c0" officeooo:paragraph-rsid="017860c0"/>
</style:style>
<style:style style:name="P240" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="004411ee"/>
</style:style>
<style:style style:name="P241" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:rsid="004547bf" officeooo:paragraph-rsid="0045324c"/>
</style:style>
<style:style style:name="P242" style:family="paragraph" style:parent-style-name="Example_20__20_indented">
<style:text-properties officeooo:paragraph-rsid="0045324c"/>
</style:style>
<style:style style:name="P243" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="00d24c4e"/>
</style:style>
<style:style style:name="P244" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="010b460b"/>
</style:style>
<style:style style:name="P245" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:rsid="010b460b" officeooo:paragraph-rsid="010b460b"/>
</style:style>
<style:style style:name="P246" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="00fbc558"/>
</style:style>
<style:style style:name="P247" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:rsid="00fbc558" officeooo:paragraph-rsid="00fbc558"/>
</style:style>
<style:style style:name="P248" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties style:font-name="Courier" fo:font-style="italic" officeooo:paragraph-rsid="00fe6553" style:font-style-asian="italic" style:font-name-complex="Courier New"/>
</style:style>
<style:style style:name="P249" style:family="paragraph" style:parent-style-name="Body">
<style:paragraph-properties fo:margin-left="0.5in" fo:margin-right="0in" fo:text-indent="0in" style:auto-text-indent="false"/>
</style:style>
<style:style style:name="P250" style:family="paragraph" style:parent-style-name="Body">
<style:paragraph-properties fo:margin-left="0in" fo:margin-right="0in" fo:text-indent="0.5in" style:auto-text-indent="false"/>
</style:style>
<style:style style:name="P251" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="01017d14"/>
</style:style>
<style:style style:name="P252" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="0173772f"/>
</style:style>
<style:style style:name="P253" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="0173772f"/>
</style:style>
<style:style style:name="P254" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="0173772f"/>
</style:style>
<style:style style:name="P255" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="01052c6d" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P256" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="01052c6d"/>
</style:style>
<style:style style:name="P257" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="010d4549"/>
</style:style>
<style:style style:name="P258" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="010e418f"/>
</style:style>
<style:style style:name="P259" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:paragraph-rsid="010e418f"/>
</style:style>
<style:style style:name="P260" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="010e418f" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P261" style:family="paragraph" style:parent-style-name="Standard">
<style:text-properties officeooo:paragraph-rsid="0185e6df"/>
</style:style>
<style:style style:name="P262" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="0185e6df" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="P263" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:rsid="0185e6df" officeooo:paragraph-rsid="0185e6df"/>
</style:style>
<style:style style:name="P264" style:family="paragraph" style:parent-style-name="Standard">
<style:text-properties style:font-name="Courier"/>
</style:style>
<style:style style:name="P265" style:family="paragraph" style:parent-style-name="Standard">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties style:font-name="Courier"/>
</style:style>
<style:style style:name="P266" style:family="paragraph" style:parent-style-name="Standard">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties style:font-name="Courier" fo:font-style="italic" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="P267" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="01416667"/>
</style:style>
<style:style style:name="P268" style:family="paragraph" style:parent-style-name="Standard">
<style:text-properties style:font-name="Courier" officeooo:paragraph-rsid="01363be5"/>
</style:style>
<style:style style:name="P269" style:family="paragraph" style:parent-style-name="Standard">
<style:text-properties officeooo:rsid="0147e047" officeooo:paragraph-rsid="0147e047"/>
</style:style>
<style:style style:name="P270" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:rsid="002ca1cb" officeooo:paragraph-rsid="002ca1cb"/>
</style:style>
<style:style style:name="P271" style:family="paragraph" style:parent-style-name="Example">
<style:text-properties officeooo:paragraph-rsid="002ca1cb"/>
</style:style>
<style:style style:name="P272" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:rsid="0147e047" officeooo:paragraph-rsid="0147e047"/>
</style:style>
<style:style style:name="P273" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties fo:font-style="italic" officeooo:paragraph-rsid="0110669e" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="P274" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="0124b8b3"/>
</style:style>
<style:style style:name="P275" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:paragraph-rsid="001ad9c2"/>
</style:style>
<style:style style:name="P276" style:family="paragraph" style:parent-style-name="Standard">
<style:text-properties style:font-name="Courier" officeooo:paragraph-rsid="002b921f"/>
</style:style>
<style:style style:name="P277" style:family="paragraph" style:parent-style-name="Standard">
<style:text-properties style:font-name="Courier" officeooo:paragraph-rsid="001b7e53"/>
</style:style>
<style:style style:name="P278" style:family="paragraph" style:parent-style-name="Standard">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties style:font-name="Courier" officeooo:paragraph-rsid="011192a8"/>
</style:style>
<style:style style:name="P279" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:rsid="001ad9c2" officeooo:paragraph-rsid="002b921f"/>
</style:style>
<style:style style:name="P280" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties officeooo:rsid="001ad9c2" officeooo:paragraph-rsid="001cf89a"/>
</style:style>
<style:style style:name="P281" style:family="paragraph" style:parent-style-name="Standard">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties style:font-name="Courier" fo:font-style="italic" officeooo:rsid="001ad9c2" officeooo:paragraph-rsid="011192a8" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="P282" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:rsid="001ad9c2" officeooo:paragraph-rsid="001cf89a"/>
</style:style>
<style:style style:name="P283" style:family="paragraph" style:parent-style-name="Standard">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties style:font-name="Courier" fo:font-style="italic" officeooo:paragraph-rsid="001ad9c2" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="P284" style:family="paragraph" style:parent-style-name="Example">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-with-next="always"/>
<style:text-properties officeooo:paragraph-rsid="001ad9c2"/>
</style:style>
<style:style style:name="P285" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="004911c2"/>
</style:style>
<style:style style:name="P286" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:paragraph-rsid="00eff0d8"/>
</style:style>
<style:style style:name="P287" style:family="paragraph" style:parent-style-name="Body">
<style:text-properties officeooo:rsid="0040c94b" officeooo:paragraph-rsid="0040c94b"/>
</style:style>
<style:style style:name="P288" style:family="paragraph" style:parent-style-name="Standard">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties style:font-name="Courier" fo:font-style="normal" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="P289" style:family="paragraph" style:parent-style-name="Standard">
<style:paragraph-properties style:line-height-at-least="0.1945in"/>
<style:text-properties style:font-name="Courier" fo:font-style="italic" officeooo:paragraph-rsid="0111f81b" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="P290" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:paragraph-rsid="011a6360"/>
</style:style>
<style:style style:name="P291" style:family="paragraph" style:parent-style-name="Body_20_first">
<style:text-properties officeooo:rsid="011a6360" officeooo:paragraph-rsid="011a6360"/>
</style:style>
<style:style style:name="P292" style:family="paragraph" style:parent-style-name="Standard">
<style:text-properties style:font-name="Courier" fo:font-style="normal" officeooo:rsid="011b5c11" officeooo:paragraph-rsid="011b5c11" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="P293" style:family="paragraph" style:parent-style-name="Standard">
<style:text-properties style:font-name="Courier" fo:font-style="normal" officeooo:rsid="011b5c11" officeooo:paragraph-rsid="01545460" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="P294" style:family="paragraph" style:parent-style-name="Index_20_Heading">
<style:paragraph-properties fo:break-before="page"/>
</style:style>
<style:style style:name="P295" style:family="paragraph" style:parent-style-name="Index_20_1">
<style:paragraph-properties>
<style:tab-stops>
<style:tab-stop style:position="6.8689in" style:type="right" style:leader-style="dotted" style:leader-text="."/>
</style:tab-stops>
</style:paragraph-properties>
</style:style>
<style:style style:name="P296" style:family="paragraph" style:parent-style-name="Body">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:keep-together="always"/>
</style:style>
<style:style style:name="P297" style:family="paragraph" style:parent-style-name="Body">
<style:paragraph-properties style:line-height-at-least="0.1945in" fo:break-before="page"/>
</style:style>
<style:style style:name="T1" style:family="text">
<style:text-properties fo:font-style="italic" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T2" style:family="text">
<style:text-properties officeooo:rsid="00deee96"/>
</style:style>
<style:style style:name="T3" style:family="text">
<style:text-properties style:font-name="Courier" fo:font-style="italic" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T4" style:family="text">
<style:text-properties style:font-name="Courier1"/>
</style:style>
<style:style style:name="T5" style:family="text">
<style:text-properties officeooo:rsid="00df3087"/>
</style:style>
<style:style style:name="T6" style:family="text">
<style:text-properties officeooo:rsid="011e3834"/>
</style:style>
<style:style style:name="T7" style:family="text">
<style:text-properties style:font-name="Courier"/>
</style:style>
<style:style style:name="T8" style:family="text">
<style:text-properties style:font-name="Courier" officeooo:rsid="00e19558"/>
</style:style>
<style:style style:name="T9" style:family="text">
<style:text-properties officeooo:rsid="0051df83"/>
</style:style>
<style:style style:name="T10" style:family="text">
<style:text-properties officeooo:rsid="00e496e0"/>
</style:style>
<style:style style:name="T11" style:family="text">
<style:text-properties style:font-name="Courier" officeooo:rsid="00eb6d1e"/>
</style:style>
<style:style style:name="T12" style:family="text">
<style:text-properties officeooo:rsid="00e5cc8a"/>
</style:style>
<style:style style:name="T13" style:family="text">
<style:text-properties officeooo:rsid="00e75c0e"/>
</style:style>
<style:style style:name="T14" style:family="text">
<style:text-properties officeooo:rsid="015f5ddf"/>
</style:style>
<style:style style:name="T15" style:family="text">
<style:text-properties officeooo:rsid="0178bda9"/>
</style:style>
<style:style style:name="T16" style:family="text">
<style:text-properties style:font-name="Courier" officeooo:rsid="00e496e0"/>
</style:style>
<style:style style:name="T17" style:family="text">
<style:text-properties style:font-name="Courier" officeooo:rsid="00e75c0e"/>
</style:style>
<style:style style:name="T18" style:family="text">
<style:text-properties officeooo:rsid="011e89ab"/>
</style:style>
<style:style style:name="T19" style:family="text">
<style:text-properties officeooo:rsid="01394bd2"/>
</style:style>
<style:style style:name="T20" style:family="text">
<style:text-properties officeooo:rsid="013a5fca"/>
</style:style>
<style:style style:name="T21" style:family="text">
<style:text-properties officeooo:rsid="0056e838"/>
</style:style>
<style:style style:name="T22" style:family="text">
<style:text-properties fo:font-style="normal" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T23" style:family="text">
<style:text-properties style:use-window-font-color="true" loext:opacity="0%" style:font-name="Helvetica" officeooo:rsid="00542376"/>
</style:style>
<style:style style:name="T24" style:family="text">
<style:text-properties fo:font-style="italic" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="T25" style:family="text">
<style:text-properties fo:font-style="normal" style:font-style-asian="normal"/>
</style:style>
<style:style style:name="T26" style:family="text">
<style:text-properties officeooo:rsid="0129a1af"/>
</style:style>
<style:style style:name="T27" style:family="text">
<style:text-properties officeooo:rsid="012f9ae4"/>
</style:style>
<style:style style:name="T28" style:family="text">
<style:text-properties officeooo:rsid="004bc01f"/>
</style:style>
<style:style style:name="T29" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="004bc01f" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T30" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="005f462d" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T31" style:family="text">
<style:text-properties officeooo:rsid="005f462d" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T32" style:family="text">
<style:text-properties officeooo:rsid="004d5206"/>
</style:style>
<style:style style:name="T33" style:family="text">
<style:text-properties style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T34" style:family="text">
<style:text-properties style:font-name="Courier" officeooo:rsid="000e3dae"/>
</style:style>
<style:style style:name="T35" style:family="text">
<style:text-properties officeooo:rsid="00602578"/>
</style:style>
<style:style style:name="T36" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="00602578" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T37" style:family="text">
<style:text-properties officeooo:rsid="0076434a"/>
</style:style>
<style:style style:name="T38" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="00653f2c" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="T39" style:family="text">
<style:text-properties officeooo:rsid="0064018e"/>
</style:style>
<style:style style:name="T40" style:family="text">
<style:text-properties officeooo:rsid="00615169"/>
</style:style>
<style:style style:name="T41" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="0064018e" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T42" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="0077a4f2" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T43" style:family="text">
<style:text-properties officeooo:rsid="0077a4f2"/>
</style:style>
<style:style style:name="T44" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00631d9e" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T45" style:family="text">
<style:text-properties officeooo:rsid="00653f2c"/>
</style:style>
<style:style style:name="T46" style:family="text">
<style:text-properties officeooo:rsid="01278783"/>
</style:style>
<style:style style:name="T47" style:family="text">
<style:text-properties officeooo:rsid="01286339"/>
</style:style>
<style:style style:name="T48" style:family="text">
<style:text-properties officeooo:rsid="0065f1d4"/>
</style:style>
<style:style style:name="T49" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00653f2c" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T50" style:family="text">
<style:text-properties fo:font-family="Courier" style:font-family-generic="modern" style:font-pitch="fixed"/>
</style:style>
<style:style style:name="T51" style:family="text">
<style:text-properties fo:font-family="Courier" style:font-family-generic="modern" style:font-pitch="fixed" fo:font-style="italic" officeooo:rsid="011a6360" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T52" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="01190d55" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T53" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="01190d55" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="T54" style:family="text">
<style:text-properties fo:font-family="Courier" style:font-family-generic="modern" style:font-pitch="fixed" fo:font-style="normal" officeooo:rsid="011a6360" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T55" style:family="text">
<style:text-properties officeooo:rsid="011a6360"/>
</style:style>
<style:style style:name="T56" style:family="text">
<style:text-properties officeooo:rsid="0066d718"/>
</style:style>
<style:style style:name="T57" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00673d01" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T58" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="0067b2f3" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T59" style:family="text">
<style:text-properties officeooo:rsid="0067b2f3"/>
</style:style>
<style:style style:name="T60" style:family="text">
<style:text-properties officeooo:rsid="006c2bd5"/>
</style:style>
<style:style style:name="T61" style:family="text">
<style:text-properties officeooo:rsid="006a7983"/>
</style:style>
<style:style style:name="T62" style:family="text">
<style:text-properties officeooo:rsid="01697772"/>
</style:style>
<style:style style:name="T63" style:family="text">
<style:text-properties officeooo:rsid="0154fd6b"/>
</style:style>
<style:style style:name="T64" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="0154fd6b" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T65" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="0154fd6b" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T66" style:family="text">
<style:text-properties officeooo:rsid="007853e8"/>
</style:style>
<style:style style:name="T67" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="007853e8" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T68" style:family="text">
<style:text-properties officeooo:rsid="00718222"/>
</style:style>
<style:style style:name="T69" style:family="text">
<style:text-properties officeooo:rsid="0072f020"/>
</style:style>
<style:style style:name="T70" style:family="text">
<style:text-properties officeooo:rsid="0073d4af"/>
</style:style>
<style:style style:name="T71" style:family="text">
<style:text-properties officeooo:rsid="0073ea08"/>
</style:style>
<style:style style:name="T72" style:family="text">
<style:text-properties officeooo:rsid="001279b8"/>
</style:style>
<style:style style:name="T73" style:family="text">
<style:text-properties officeooo:rsid="0019cd6d"/>
</style:style>
<style:style style:name="T74" style:family="text">
<style:text-properties officeooo:rsid="014bca61"/>
</style:style>
<style:style style:name="T75" style:family="text">
<style:text-properties officeooo:rsid="0018ef7c"/>
</style:style>
<style:style style:name="T76" style:family="text">
<style:text-properties officeooo:rsid="0016ec46"/>
</style:style>
<style:style style:name="T77" style:family="text">
<style:text-properties officeooo:rsid="0088d7c4"/>
</style:style>
<style:style style:name="T78" style:family="text">
<style:text-properties officeooo:rsid="0010eab8"/>
</style:style>
<style:style style:name="T79" style:family="text">
<style:text-properties officeooo:rsid="01698ad0"/>
</style:style>
<style:style style:name="T80" style:family="text">
<style:text-properties officeooo:rsid="0013fa0e"/>
</style:style>
<style:style style:name="T81" style:family="text">
<style:text-properties officeooo:rsid="00bc96f0"/>
</style:style>
<style:style style:name="T82" style:family="text">
<style:text-properties officeooo:rsid="0015556b"/>
</style:style>
<style:style style:name="T83" style:family="text">
<style:text-properties fo:font-weight="normal" officeooo:rsid="0010eab8" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T84" style:family="text">
<style:text-properties fo:font-weight="normal" officeooo:rsid="008aa77f" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T85" style:family="text">
<style:text-properties officeooo:rsid="0017b7e3"/>
</style:style>
<style:style style:name="T86" style:family="text">
<style:text-properties style:font-name="Helvetica1" officeooo:rsid="0171ebb2"/>
</style:style>
<style:style style:name="T87" style:family="text">
<style:text-properties officeooo:rsid="00bbb02f"/>
</style:style>
<style:style style:name="T88" style:family="text">
<style:text-properties officeooo:rsid="01239c35"/>
</style:style>
<style:style style:name="T89" style:family="text">
<style:text-properties officeooo:rsid="00bc6c5b"/>
</style:style>
<style:style style:name="T90" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="0073f933" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T91" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="0073f933" style:font-style-asian="italic" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T92" style:family="text">
<style:text-properties officeooo:rsid="0073f933"/>
</style:style>
<style:style style:name="T93" style:family="text">
<style:text-properties fo:font-style="italic" style:font-style-asian="italic" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T94" style:family="text">
<style:text-properties officeooo:rsid="00631d9e"/>
</style:style>
<style:style style:name="T95" style:family="text">
<style:text-properties officeooo:rsid="0188e8fc"/>
</style:style>
<style:style style:name="T96" style:family="text">
<style:text-properties officeooo:rsid="0078fa56"/>
</style:style>
<style:style style:name="T97" style:family="text">
<style:text-properties officeooo:rsid="01827f2c"/>
</style:style>
<style:style style:name="T98" style:family="text">
<style:text-properties officeooo:rsid="0185e6df"/>
</style:style>
<style:style style:name="T99" style:family="text">
<style:text-properties officeooo:rsid="0101d659"/>
</style:style>
<style:style style:name="T100" style:family="text">
<style:text-properties officeooo:rsid="014d752f"/>
</style:style>
<style:style style:name="T101" style:family="text">
<style:text-properties fo:font-family="Helvetica" fo:font-size="10pt" officeooo:rsid="014d752f"/>
</style:style>
<style:style style:name="T102" style:family="text">
<style:text-properties fo:font-family="Helvetica" fo:font-size="10pt"/>
</style:style>
<style:style style:name="T103" style:family="text">
<style:text-properties officeooo:rsid="01268a87"/>
</style:style>
<style:style style:name="T104" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="007d7983" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T105" style:family="text">
<style:text-properties officeooo:rsid="007d7983"/>
</style:style>
<style:style style:name="T106" style:family="text">
<style:text-properties officeooo:rsid="01590e40"/>
</style:style>
<style:style style:name="T107" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00422fb2" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T108" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="00422fb2" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T109" style:family="text">
<style:text-properties officeooo:rsid="003771f5"/>
</style:style>
<style:style style:name="T110" style:family="text">
<style:text-properties fo:font-weight="normal" officeooo:rsid="003771f5" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T111" style:family="text">
<style:text-properties fo:font-weight="normal" officeooo:rsid="01590e40" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T112" style:family="text">
<style:text-properties officeooo:rsid="007fa243"/>
</style:style>
<style:style style:name="T113" style:family="text">
<style:text-properties officeooo:rsid="003eba94"/>
</style:style>
<style:style style:name="T114" style:family="text">
<style:text-properties officeooo:rsid="00f5e90a"/>
</style:style>
<style:style style:name="T115" style:family="text">
<style:text-properties style:font-name="Courier" style:font-name-complex="Courier New"/>
</style:style>
<style:style style:name="T116" style:family="text">
<style:text-properties style:font-name="Courier" fo:font-style="italic" style:font-style-asian="italic" style:font-name-complex="Courier New"/>
</style:style>
<style:style style:name="T117" style:family="text">
<style:text-properties officeooo:rsid="007ec192"/>
</style:style>
<style:style style:name="T118" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="007ec192" style:font-style-asian="normal" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T119" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="007ec192" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T120" style:family="text">
<style:text-properties officeooo:rsid="007eea6f"/>
</style:style>
<style:style style:name="T121" style:family="text">
<style:text-properties officeooo:rsid="014345e2"/>
</style:style>
<style:style style:name="T122" style:family="text">
<style:text-properties officeooo:rsid="00360b87"/>
</style:style>
<style:style style:name="T123" style:family="text">
<style:text-properties style:use-window-font-color="true" loext:opacity="0%" style:font-name="Helvetica" officeooo:rsid="00360b87"/>
</style:style>
<style:style style:name="T124" style:family="text">
<style:text-properties fo:font-family="Courier" style:font-family-generic="modern" style:font-pitch="fixed" officeooo:rsid="00360b87"/>
</style:style>
<style:style style:name="T125" style:family="text">
<style:text-properties officeooo:rsid="00335e54"/>
</style:style>
<style:style style:name="T126" style:family="text">
<style:text-properties fo:font-family="Courier" style:font-family-generic="modern" style:font-pitch="fixed" officeooo:rsid="00335e54"/>
</style:style>
<style:style style:name="T127" style:family="text">
<style:text-properties style:font-name="Helvetica1"/>
</style:style>
<style:style style:name="T128" style:family="text">
<style:text-properties officeooo:rsid="01451991"/>
</style:style>
<style:style style:name="T129" style:family="text">
<style:text-properties officeooo:rsid="0143ed97"/>
</style:style>
<style:style style:name="T130" style:family="text">
<style:text-properties officeooo:rsid="018629a1"/>
</style:style>
<style:style style:name="T131" style:family="text">
<style:text-properties officeooo:rsid="015dd42b"/>
</style:style>
<style:style style:name="T132" style:family="text">
<style:text-properties fo:font-weight="normal" officeooo:rsid="015a7a53" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T133" style:family="text">
<style:text-properties fo:font-weight="normal" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T134" style:family="text">
<style:text-properties officeooo:rsid="01758e4d"/>
</style:style>
<style:style style:name="T135" style:family="text">
<style:text-properties officeooo:rsid="01761c46"/>
</style:style>
<style:style style:name="T136" style:family="text">
<style:text-properties officeooo:rsid="01786f91"/>
</style:style>
<style:style style:name="T137" style:family="text">
<style:text-properties fo:font-style="italic" style:font-style-asian="italic" style:font-style-complex="italic" style:font-weight-complex="bold"/>
</style:style>
<style:style style:name="T138" style:family="text">
<style:text-properties fo:font-weight="normal" style:font-weight-asian="normal"/>
</style:style>
<style:style style:name="T139" style:family="text">
<style:text-properties fo:font-family="&apos;Courier New&apos;" style:font-family-generic="roman" style:font-pitch="variable" style:font-name-complex="Courier New"/>
</style:style>
<style:style style:name="T140" style:family="text">
<style:text-properties officeooo:rsid="010aee04"/>
</style:style>
<style:style style:name="T141" style:family="text">
<style:text-properties style:font-name-complex="Courier New"/>
</style:style>
<style:style style:name="T142" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="010aee04" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T143" style:family="text">
<style:text-properties officeooo:rsid="00846b92"/>
</style:style>
<style:style style:name="T144" style:family="text">
<style:text-properties officeooo:rsid="01890800"/>
</style:style>
<style:style style:name="T145" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="008daa0d" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="T146" style:family="text">
<style:text-properties officeooo:rsid="0156ee64"/>
</style:style>
<style:style style:name="T147" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00946c82" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T148" style:family="text">
<style:text-properties officeooo:rsid="00946c82"/>
</style:style>
<style:style style:name="T149" style:family="text">
<style:text-properties officeooo:rsid="008bf7b7"/>
</style:style>
<style:style style:name="T150" style:family="text">
<style:text-properties officeooo:rsid="008daa0d"/>
</style:style>
<style:style style:name="T151" style:family="text">
<style:text-properties officeooo:rsid="015696e0"/>
</style:style>
<style:style style:name="T152" style:family="text">
<style:text-properties fo:font-family="Courier" style:font-family-generic="modern" style:font-pitch="fixed" fo:font-style="normal" officeooo:rsid="008daa0d" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T153" style:family="text">
<style:text-properties fo:font-family="Courier" style:font-family-generic="modern" style:font-pitch="fixed" fo:font-style="normal" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T154" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="008daa0d" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T155" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="0156ee64" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T156" style:family="text">
<style:text-properties fo:font-family="Courier" style:font-family-generic="modern" style:font-pitch="fixed" officeooo:rsid="016f5829"/>
</style:style>
<style:style style:name="T157" style:family="text">
<style:text-properties fo:font-family="Courier" style:font-family-generic="modern" style:font-pitch="fixed" officeooo:rsid="018629a1"/>
</style:style>
<style:style style:name="T158" style:family="text">
<style:text-properties fo:font-family="Courier" style:font-family-generic="modern" style:font-pitch="fixed" officeooo:rsid="01612b0a"/>
</style:style>
<style:style style:name="T159" style:family="text">
<style:text-properties officeooo:rsid="00916107"/>
</style:style>
<style:style style:name="T160" style:family="text">
<style:text-properties fo:font-style="normal" style:font-style-asian="normal" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T161" style:family="text">
<style:text-properties officeooo:rsid="0094f32d"/>
</style:style>
<style:style style:name="T162" style:family="text">
<style:text-properties officeooo:rsid="01458fa7"/>
</style:style>
<style:style style:name="T163" style:family="text">
<style:text-properties officeooo:rsid="0149d1db"/>
</style:style>
<style:style style:name="T164" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="01485f8e" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T165" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="0149d1db" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T166" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="01485f8e" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="T167" style:family="text">
<style:text-properties officeooo:rsid="00f32e11"/>
</style:style>
<style:style style:name="T168" style:family="text">
<style:text-properties officeooo:rsid="00f49c88"/>
</style:style>
<style:style style:name="T169" style:family="text">
<style:text-properties officeooo:rsid="00978cd4"/>
</style:style>
<style:style style:name="T170" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00978cd4" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T171" style:family="text">
<style:text-properties officeooo:rsid="0099b901"/>
</style:style>
<style:style style:name="T172" style:family="text">
<style:text-properties officeooo:rsid="000f7126"/>
</style:style>
<style:style style:name="T173" style:family="text">
<style:text-properties officeooo:rsid="017c5823"/>
</style:style>
<style:style style:name="T174" style:family="text">
<style:text-properties officeooo:rsid="017d182f"/>
</style:style>
<style:style style:name="T175" style:family="text">
<style:text-properties officeooo:rsid="002d67a4"/>
</style:style>
<style:style style:name="T176" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="002d67a4" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T177" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="017a610f" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T178" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="017a610f" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T179" style:family="text">
<style:text-properties officeooo:rsid="01178187"/>
</style:style>
<style:style style:name="T180" style:family="text">
<style:text-properties officeooo:rsid="017a610f" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T181" style:family="text">
<style:text-properties officeooo:rsid="017a610f"/>
</style:style>
<style:style style:name="T182" style:family="text">
<style:text-properties fo:font-family="Courie" fo:font-style="italic" officeooo:rsid="017a610f" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T183" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="009e51bd" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T184" style:family="text">
<style:text-properties officeooo:rsid="003a3a41"/>
</style:style>
<style:style style:name="T185" style:family="text">
<style:text-properties fo:font-weight="normal" officeooo:rsid="003a3a41" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T186" style:family="text">
<style:text-properties style:font-name="Helvetica1" fo:font-weight="normal" officeooo:rsid="003a3a41" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T187" style:family="text">
<style:text-properties style:font-name="Helvetica1" fo:font-weight="normal" officeooo:rsid="003771f5" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T188" style:family="text">
<style:text-properties fo:font-weight="normal" officeooo:rsid="015f5ddf" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T189" style:family="text">
<style:text-properties style:font-name="Helvetica1" fo:font-weight="normal" officeooo:rsid="015f5ddf" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T190" style:family="text">
<style:text-properties style:font-name="Helvetica1" fo:font-weight="normal" officeooo:rsid="003cce0d" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T191" style:family="text">
<style:text-properties style:font-name="Helvetica1" fo:font-weight="normal" officeooo:rsid="003bb614" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T192" style:family="text">
<style:text-properties style:font-name="Helvetica1" fo:font-weight="normal" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T193" style:family="text">
<style:text-properties style:font-name="Helvetica1" fo:font-weight="normal" officeooo:rsid="004547bf" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T194" style:family="text">
<style:text-properties style:font-name="Helvetica1" fo:font-weight="normal" officeooo:rsid="00465a6e" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T195" style:family="text">
<style:text-properties style:font-name="Helvetica1" fo:font-weight="normal" officeooo:rsid="003e26a8" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T196" style:family="text">
<style:text-properties fo:font-style="normal" fo:font-weight="normal" style:font-style-asian="normal" style:font-weight-asian="normal" style:font-style-complex="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T197" style:family="text">
<style:text-properties fo:font-style="normal" fo:font-weight="normal" officeooo:rsid="00a2ae7c" style:font-style-asian="normal" style:font-weight-asian="normal" style:font-style-complex="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T198" style:family="text">
<style:text-properties officeooo:rsid="013d0746"/>
</style:style>
<style:style style:name="T199" style:family="text">
<style:text-properties officeooo:rsid="017e9d19"/>
</style:style>
<style:style style:name="T200" style:family="text">
<style:text-properties officeooo:rsid="00a2dc73"/>
</style:style>
<style:style style:name="T201" style:family="text">
<style:text-properties officeooo:rsid="00a4b677"/>
</style:style>
<style:style style:name="T202" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00a5b2c4" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T203" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00a55ed8" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T204" style:family="text">
<style:text-properties officeooo:rsid="00a55ed8"/>
</style:style>
<style:style style:name="T205" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00a6c13a" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T206" style:family="text">
<style:text-properties officeooo:rsid="00aee862"/>
</style:style>
<style:style style:name="T207" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00b098c9" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T208" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00aa946c" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T209" style:family="text">
<style:text-properties fo:font-style="italic" fo:font-weight="normal" style:font-style-asian="italic" style:font-weight-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T210" style:family="text">
<style:text-properties fo:font-style="normal" fo:font-weight="normal" officeooo:rsid="00aa946c" style:font-style-asian="normal" style:font-weight-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T211" style:family="text">
<style:text-properties fo:font-style="italic" fo:font-weight="normal" officeooo:rsid="00aa946c" style:font-style-asian="italic" style:font-weight-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T212" style:family="text">
<style:text-properties fo:font-style="italic" fo:font-weight="normal" style:font-style-asian="italic" style:font-weight-asian="normal"/>
</style:style>
<style:style style:name="T213" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00b0f943" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T214" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00acadbc" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T215" style:family="text">
<style:text-properties officeooo:rsid="00256b5c"/>
</style:style>
<style:style style:name="T216" style:family="text">
<style:text-properties fo:language="zxx" fo:country="none" officeooo:rsid="00256b5c"/>
</style:style>
<style:style style:name="T217" style:family="text">
<style:text-properties officeooo:rsid="0025d086"/>
</style:style>
<style:style style:name="T218" style:family="text">
<style:text-properties officeooo:rsid="00246ffe"/>
</style:style>
<style:style style:name="T219" style:family="text">
<style:text-properties officeooo:rsid="0026a6ae"/>
</style:style>
<style:style style:name="T220" style:family="text">
<style:text-properties officeooo:rsid="0027df4e"/>
</style:style>
<style:style style:name="T221" style:family="text">
<style:text-properties style:font-name="Helvetica1" officeooo:rsid="0027df4e"/>
</style:style>
<style:style style:name="T222" style:family="text">
<style:text-properties fo:font-style="italic" style:font-style-asian="italic" style:font-name-complex="Courier New"/>
</style:style>
<style:style style:name="T223" style:family="text">
<style:text-properties fo:font-style="italic" style:font-style-asian="italic" style:font-name-complex="Courier New" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T224" style:family="text">
<style:text-properties fo:font-weight="bold" style:font-weight-asian="bold" style:font-weight-complex="bold"/>
</style:style>
<style:style style:name="T225" style:family="text">
<style:text-properties officeooo:rsid="00b21b30"/>
</style:style>
<style:style style:name="T226" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="00b23567" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="T227" style:family="text">
<style:text-properties officeooo:rsid="00b23567"/>
</style:style>
<style:style style:name="T228" style:family="text">
<style:text-properties officeooo:rsid="00b2d2ee"/>
</style:style>
<style:style style:name="T229" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="00b2d2ee" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="T230" style:family="text">
<style:text-properties officeooo:rsid="00f9bfbf"/>
</style:style>
<style:style style:name="T231" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00b2d2ee" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T232" style:family="text">
<style:text-properties officeooo:rsid="00b62da5"/>
</style:style>
<style:style style:name="T233" style:family="text">
<style:text-properties officeooo:rsid="00b810ea"/>
</style:style>
<style:style style:name="T234" style:family="text">
<style:text-properties officeooo:rsid="010a1f49"/>
</style:style>
<style:style style:name="T235" style:family="text">
<style:text-properties fo:font-family="Courier" style:font-family-generic="modern" style:font-pitch="fixed" fo:font-weight="normal" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T236" style:family="text">
<style:text-properties officeooo:rsid="00b90643"/>
</style:style>
<style:style style:name="T237" style:family="text">
<style:text-properties officeooo:rsid="00bf4ff1"/>
</style:style>
<style:style style:name="T238" style:family="text">
<style:text-properties officeooo:rsid="0164dae5"/>
</style:style>
<style:style style:name="T239" style:family="text">
<style:text-properties officeooo:rsid="00c08863"/>
</style:style>
<style:style style:name="T240" style:family="text">
<style:text-properties officeooo:rsid="0167e5af"/>
</style:style>
<style:style style:name="T241" style:family="text">
<style:text-properties officeooo:rsid="0168cc6d"/>
</style:style>
<style:style style:name="T242" style:family="text">
<style:text-properties fo:font-family="Courier" style:font-family-generic="modern" style:font-pitch="fixed" officeooo:rsid="0164dae5"/>
</style:style>
<style:style style:name="T243" style:family="text">
<style:text-properties officeooo:rsid="0165c905"/>
</style:style>
<style:style style:name="T244" style:family="text">
<style:text-properties officeooo:rsid="0023b8dc"/>
</style:style>
<style:style style:name="T245" style:family="text">
<style:text-properties officeooo:rsid="0022cf08"/>
</style:style>
<style:style style:name="T246" style:family="text">
<style:text-properties fo:font-variant="normal" fo:text-transform="none" fo:color="#000000" loext:opacity="100%" style:text-line-through-style="none" style:text-line-through-type="none" style:text-position="0% 100%" style:font-name="Courier1" fo:font-size="10pt" fo:font-style="normal" style:text-underline-style="none" fo:font-weight="normal" officeooo:rsid="001f5cd7" style:font-name-asian="Courier2" style:font-size-asian="10pt" style:font-style-asian="normal" style:font-weight-asian="normal" style:font-name-complex="Courier2" style:font-size-complex="10pt"/>
</style:style>
<style:style style:name="T247" style:family="text">
<style:text-properties officeooo:rsid="00c6a255"/>
</style:style>
<style:style style:name="T248" style:family="text">
<style:text-properties officeooo:rsid="00c0ee78"/>
</style:style>
<style:style style:name="T249" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00c0b43d" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T250" style:family="text">
<style:text-properties officeooo:rsid="00c170d4"/>
</style:style>
<style:style style:name="T251" style:family="text">
<style:text-properties officeooo:rsid="012ea51f"/>
</style:style>
<style:style style:name="T252" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="0185e6df" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T253" style:family="text">
<style:text-properties officeooo:rsid="00c2e1fb"/>
</style:style>
<style:style style:name="T254" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00cec9a0" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T255" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00cce8ff" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T256" style:family="text">
<style:text-properties officeooo:rsid="00dac5c2"/>
</style:style>
<style:style style:name="T257" style:family="text">
<style:text-properties officeooo:rsid="00d94bcd"/>
</style:style>
<style:style style:name="T258" style:family="text">
<style:text-properties officeooo:rsid="00d94bcd" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T259" style:family="text">
<style:text-properties officeooo:rsid="00dac5c2" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T260" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00dac5c2" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T261" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00dc16b8" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T262" style:family="text">
<style:text-properties officeooo:rsid="00d7ff06"/>
</style:style>
<style:style style:name="T263" style:family="text">
<style:text-properties officeooo:rsid="00d9c110"/>
</style:style>
<style:style style:name="T264" style:family="text">
<style:text-properties officeooo:rsid="00dc16b8"/>
</style:style>
<style:style style:name="T265" style:family="text">
<style:text-properties fo:language="fr" fo:country="FR" fo:font-style="normal" style:font-style-asian="normal"/>
</style:style>
<style:style style:name="T266" style:family="text">
<style:text-properties fo:language="fr" fo:country="FR"/>
</style:style>
<style:style style:name="T267" style:family="text">
<style:text-properties fo:language="fr" fo:country="FR" officeooo:rsid="00d17fac"/>
</style:style>
<style:style style:name="T268" style:family="text">
<style:text-properties officeooo:rsid="00d17fac"/>
</style:style>
<style:style style:name="T269" style:family="text">
<style:text-properties style:text-underline-style="none"/>
</style:style>
<style:style style:name="T270" style:family="text">
<style:text-properties fo:language="fr" fo:country="FR" fo:font-style="italic" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T271" style:family="text">
<style:text-properties fo:language="fr" fo:country="FR" fo:font-style="italic" officeooo:rsid="01770b0b" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T272" style:family="text">
<style:text-properties fo:language="fr" fo:country="FR" fo:font-style="normal" officeooo:rsid="01770b0b" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T273" style:family="text">
<style:text-properties fo:language="fr" fo:country="FR" fo:font-style="normal" officeooo:rsid="01770b0b" style:font-style-asian="normal"/>
</style:style>
<style:style style:name="T274" style:family="text">
<style:text-properties fo:language="fr" fo:country="FR" fo:font-style="normal" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T275" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00d24c4e" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T276" style:family="text">
<style:text-properties officeooo:rsid="01770b0b"/>
</style:style>
<style:style style:name="T277" style:family="text">
<style:text-properties fo:language="fr" fo:country="FR" fo:font-style="normal" officeooo:rsid="00d17fac" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T278" style:family="text">
<style:text-properties fo:language="fr" fo:country="FR" fo:font-style="italic" officeooo:rsid="00d17fac" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T279" style:family="text">
<style:text-properties officeooo:rsid="0170d389"/>
</style:style>
<style:style style:name="T280" style:family="text">
<style:text-properties fo:language="fr" fo:country="FR" fo:font-style="normal" officeooo:rsid="017860c0" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T281" style:family="text">
<style:text-properties fo:language="fr" fo:country="FR" fo:font-style="italic" officeooo:rsid="017860c0" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T282" style:family="text">
<style:text-properties officeooo:rsid="01780769"/>
</style:style>
<style:style style:name="T283" style:family="text">
<style:text-properties officeooo:rsid="00465a6e"/>
</style:style>
<style:style style:name="T284" style:family="text">
<style:text-properties officeooo:rsid="00d24c4e"/>
</style:style>
<style:style style:name="T285" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="00d7764a" style:font-style-asian="normal"/>
</style:style>
<style:style style:name="T286" style:family="text">
<style:text-properties officeooo:rsid="010b6fdf"/>
</style:style>
<style:style style:name="T287" style:family="text">
<style:text-properties officeooo:rsid="00fbc558"/>
</style:style>
<style:style style:name="T288" style:family="text">
<style:text-properties officeooo:rsid="0029cce2"/>
</style:style>
<style:style style:name="T289" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="00fcb8ea" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="T290" style:family="text">
<style:text-properties officeooo:rsid="00fcb8ea"/>
</style:style>
<style:style style:name="T291" style:family="text">
<style:text-properties officeooo:rsid="0173772f"/>
</style:style>
<style:style style:name="T292" style:family="text">
<style:text-properties officeooo:rsid="01708355"/>
</style:style>
<style:style style:name="T293" style:family="text">
<style:text-properties officeooo:rsid="0170679d"/>
</style:style>
<style:style style:name="T294" style:family="text">
<style:text-properties style:font-name="Helvetica1" officeooo:rsid="0170679d"/>
</style:style>
<style:style style:name="T295" style:family="text">
<style:text-properties officeooo:rsid="01052c6d"/>
</style:style>
<style:style style:name="T296" style:family="text">
<style:text-properties officeooo:rsid="010d4549"/>
</style:style>
<style:style style:name="T297" style:family="text">
<style:text-properties officeooo:rsid="010e418f"/>
</style:style>
<style:style style:name="T298" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="010e418f" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T299" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="01363be5" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T300" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="0147e047" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T301" style:family="text">
<style:text-properties officeooo:rsid="01416667"/>
</style:style>
<style:style style:name="T302" style:family="text">
<style:text-properties officeooo:rsid="0142e1d2"/>
</style:style>
<style:style style:name="T303" style:family="text">
<style:text-properties officeooo:rsid="010fd4d2"/>
</style:style>
<style:style style:name="T304" style:family="text">
<style:text-properties officeooo:rsid="010fd913"/>
</style:style>
<style:style style:name="T305" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="0110669e" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T306" style:family="text">
<style:text-properties officeooo:rsid="0124b8b3"/>
</style:style>
<style:style style:name="T307" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="0124b8b3" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T308" style:family="text">
<style:text-properties officeooo:rsid="001ad9c2"/>
</style:style>
<style:style style:name="T309" style:family="text">
<style:text-properties officeooo:rsid="001b7e53"/>
</style:style>
<style:style style:name="T310" style:family="text">
<style:text-properties officeooo:rsid="002b921f"/>
</style:style>
<style:style style:name="T311" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="002b921f" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T312" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="001ad9c2" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T313" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="001b7e53" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T314" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="002b921f" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T315" style:family="text">
<style:text-properties style:font-name="Courier" fo:font-style="normal" officeooo:rsid="001b7e53" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T316" style:family="text">
<style:text-properties style:font-name="Courier" fo:font-style="italic" officeooo:rsid="001ad9c2" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T317" style:family="text">
<style:text-properties style:font-name="Courier" fo:font-style="normal" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T318" style:family="text">
<style:text-properties style:font-name="Courier" fo:font-style="italic" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="T319" style:family="text">
<style:text-properties officeooo:rsid="011192a8"/>
</style:style>
<style:style style:name="T320" style:family="text">
<style:text-properties fo:font-weight="normal" officeooo:rsid="001ad9c2" style:font-weight-asian="normal" style:font-weight-complex="normal"/>
</style:style>
<style:style style:name="T321" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="001e1c8c" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T322" style:family="text">
<style:text-properties officeooo:rsid="001e1c8c"/>
</style:style>
<style:style style:name="T323" style:family="text">
<style:text-properties officeooo:rsid="001fa067"/>
</style:style>
<style:style style:name="T324" style:family="text">
<style:text-properties officeooo:rsid="00ee37a1"/>
</style:style>
<style:style style:name="T325" style:family="text">
<style:text-properties style:font-name="Courier" officeooo:rsid="001adaad"/>
</style:style>
<style:style style:name="T326" style:family="text">
<style:text-properties style:font-name="Courier" officeooo:rsid="004a3f92"/>
</style:style>
<style:style style:name="T327" style:family="text">
<style:text-properties officeooo:rsid="004a3f92"/>
</style:style>
<style:style style:name="T328" style:family="text">
<style:text-properties officeooo:rsid="00eff0d8"/>
</style:style>
<style:style style:name="T329" style:family="text">
<style:text-properties officeooo:rsid="00ed9341"/>
</style:style>
<style:style style:name="T330" style:family="text">
<style:text-properties style:font-name="Courier" officeooo:rsid="002059c9"/>
</style:style>
<style:style style:name="T331" style:family="text">
<style:text-properties officeooo:rsid="00216b71"/>
</style:style>
<style:style style:name="T332" style:family="text">
<style:text-properties style:font-name="Courier" officeooo:rsid="00216b71"/>
</style:style>
<style:style style:name="T333" style:family="text">
<style:text-properties officeooo:rsid="001adaad"/>
</style:style>
<style:style style:name="T334" style:family="text">
<style:text-properties officeooo:rsid="0111f81b"/>
</style:style>
<style:style style:name="T335" style:family="text">
<style:text-properties officeooo:rsid="0155630a"/>
</style:style>
<style:style style:name="T336" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="011a6360" style:font-style-asian="italic" style:font-style-complex="italic"/>
</style:style>
<style:style style:name="T337" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="01190d55" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T338" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="01545460" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T339" style:family="text">
<style:text-properties officeooo:rsid="01545460"/>
</style:style>
<style:style style:name="T340" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="011a6360" style:font-style-asian="italic"/>
</style:style>
<style:style style:name="T341" style:family="text">
<style:text-properties fo:font-style="normal" officeooo:rsid="011a6360" style:font-style-asian="normal" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T342" style:family="text">
<style:text-properties fo:font-style="italic" officeooo:rsid="011a6360" style:font-style-asian="italic" style:font-style-complex="normal"/>
</style:style>
<style:style style:name="T343" style:family="text">
<style:text-properties officeooo:rsid="0152d532"/>
</style:style>
<style:style style:name="T344" style:family="text">
<style:text-properties fo:font-style="normal" fo:font-weight="bold" style:font-style-asian="normal" style:font-weight-asian="bold" style:font-weight-complex="bold"/>
</style:style>
<style:style style:name="T345" style:family="text">
<style:text-properties officeooo:rsid="0184d5cc"/>
</style:style>
<style:style style:name="T346" style:family="text">
<style:text-properties officeooo:rsid="015c4d1b"/>
</style:style>
<style:style style:name="T347" style:family="text">
<style:text-properties officeooo:rsid="0112af8d"/>
</style:style>
<style:style style:name="Sect1" style:family="section">
<style:section-properties style:editable="false">
<style:columns fo:column-count="1" fo:column-gap="0in"/>
</style:section-properties>
</style:style>
<style:page-layout style:name="pm1">
<style:page-layout-properties fo:page-width="8.5in" fo:page-height="11in" style:num-format="1" style:print-orientation="portrait" fo:margin-top="1in" fo:margin-bottom="1in" fo:margin-left="1in" fo:margin-right="0.6307in" style:writing-mode="lr-tb" style:layout-grid-color="#c0c0c0" style:layout-grid-lines="22860" style:layout-grid-base-height="0.1665in" style:layout-grid-ruby-height="0in" style:layout-grid-mode="none" style:layout-grid-ruby-below="false" style:layout-grid-print="false" style:layout-grid-display="false" style:layout-grid-base-width="0.1457in" style:layout-grid-snap-to="true" style:footnote-max-height="0in" loext:margin-gutter="0in">
<style:footnote-sep style:width="0.0071in" style:distance-before-sep="0.0398in" style:distance-after-sep="0.0398in" style:line-style="solid" style:adjustment="left" style:rel-width="25%" style:color="#000000"/>
</style:page-layout-properties>
<style:header-style/>
<style:footer-style/>
</style:page-layout>
<style:style style:name="dp1" style:family="drawing-page">
<style:drawing-page-properties draw:background-size="full"/>
</style:style>
</office:automatic-styles>
<office:master-styles>
<style:master-page style:name="Standard" style:page-layout-name="pm1" draw:style-name="dp1"/>
<style:master-page style:name="Converted1" style:page-layout-name="pm1" draw:style-name="dp1"/>
</office:master-styles>
<office:body>
<office:text text:use-soft-page-breaks="true">
<text:sequence-decls>
<text:sequence-decl text:display-outline-level="0" text:name="Illustration"/>
<text:sequence-decl text:display-outline-level="0" text:name="Table"/>
<text:sequence-decl text:display-outline-level="0" text:name="Text"/>
<text:sequence-decl text:display-outline-level="0" text:name="Drawing"/>
<text:sequence-decl text:display-outline-level="0" text:name="Figure"/>
</text:sequence-decls>
<text:p text:style-name="P1">OpenSTA</text:p>
<text:table-of-content text:style-name="Sect1" text:protected="true" text:name="Table of Contents1">
<text:table-of-content-source text:outline-level="10">
<text:index-title-template text:style-name="Contents_20_Heading">Table of Contents</text:index-title-template>
<text:table-of-content-entry-template text:outline-level="1" text:style-name="Contents_20_1">
<text:index-entry-link-start text:style-name="Index_20_Link"/>
<text:index-entry-chapter/>
<text:index-entry-text/>
<text:index-entry-tab-stop style:type="right" style:leader-char="."/>
<text:index-entry-page-number/>
<text:index-entry-link-end/>
</text:table-of-content-entry-template>
<text:table-of-content-entry-template text:outline-level="2" text:style-name="Contents_20_2">
<text:index-entry-link-start text:style-name="Index_20_Link"/>
<text:index-entry-chapter/>
<text:index-entry-text/>
<text:index-entry-tab-stop style:type="right" style:leader-char="."/>
<text:index-entry-page-number/>
<text:index-entry-link-end/>
</text:table-of-content-entry-template>
<text:table-of-content-entry-template text:outline-level="3" text:style-name="Contents_20_3">
<text:index-entry-link-start text:style-name="Index_20_Link"/>
<text:index-entry-chapter/>
<text:index-entry-text/>
<text:index-entry-tab-stop style:type="right" style:leader-char="."/>
<text:index-entry-page-number/>
<text:index-entry-link-end/>
</text:table-of-content-entry-template>
<text:table-of-content-entry-template text:outline-level="4" text:style-name="Contents_20_4">
<text:index-entry-link-start text:style-name="Index_20_Link"/>
<text:index-entry-chapter/>
<text:index-entry-text/>
<text:index-entry-tab-stop style:type="right" style:leader-char="."/>
<text:index-entry-page-number/>
<text:index-entry-link-end/>
</text:table-of-content-entry-template>
<text:table-of-content-entry-template text:outline-level="5" text:style-name="Contents_20_5">
<text:index-entry-link-start text:style-name="Index_20_Link"/>
<text:index-entry-chapter/>
<text:index-entry-text/>
<text:index-entry-tab-stop style:type="right" style:leader-char="."/>
<text:index-entry-page-number/>
<text:index-entry-link-end/>
</text:table-of-content-entry-template>
<text:table-of-content-entry-template text:outline-level="6" text:style-name="Contents_20_6">
<text:index-entry-link-start text:style-name="Index_20_Link"/>
<text:index-entry-chapter/>
<text:index-entry-text/>
<text:index-entry-tab-stop style:type="right" style:leader-char="."/>
<text:index-entry-page-number/>
<text:index-entry-link-end/>
</text:table-of-content-entry-template>
<text:table-of-content-entry-template text:outline-level="7" text:style-name="Contents_20_7">
<text:index-entry-link-start text:style-name="Index_20_Link"/>
<text:index-entry-chapter/>
<text:index-entry-text/>
<text:index-entry-tab-stop style:type="right" style:leader-char="."/>
<text:index-entry-page-number/>
<text:index-entry-link-end/>
</text:table-of-content-entry-template>
<text:table-of-content-entry-template text:outline-level="8" text:style-name="Contents_20_8">
<text:index-entry-link-start text:style-name="Index_20_Link"/>
<text:index-entry-chapter/>
<text:index-entry-text/>
<text:index-entry-tab-stop style:type="right" style:leader-char="."/>
<text:index-entry-page-number/>
<text:index-entry-link-end/>
</text:table-of-content-entry-template>
<text:table-of-content-entry-template text:outline-level="9" text:style-name="Contents_20_9">
<text:index-entry-link-start text:style-name="Index_20_Link"/>
<text:index-entry-chapter/>
<text:index-entry-text/>
<text:index-entry-tab-stop style:type="right" style:leader-char="."/>
<text:index-entry-page-number/>
<text:index-entry-link-end/>
</text:table-of-content-entry-template>
<text:table-of-content-entry-template text:outline-level="10" text:style-name="Contents_20_10">
<text:index-entry-link-start text:style-name="Index_20_Link"/>
<text:index-entry-chapter/>
<text:index-entry-text/>
<text:index-entry-tab-stop style:type="right" style:leader-char="."/>
<text:index-entry-page-number/>
<text:index-entry-link-end/>
</text:table-of-content-entry-template>
</text:table-of-content-source>
<text:index-body>
<text:index-title text:style-name="Sect1" text:name="Table of Contents1_Head" text:protected="true">
<text:p text:style-name="Contents_20_Heading">Table of Contents</text:p>
</text:index-title>
<text:p text:style-name="P2"><text:a xlink:type="simple" xlink:href="#__RefHeading___Toc42579_2528141652" text:style-name="Index_20_Link" text:visited-style-name="Index_20_Link">Command Line Arguments<text:tab/>1</text:a></text:p>
<text:p text:style-name="P2"><text:a xlink:type="simple" xlink:href="#__RefHeading___Toc42581_2528141652" text:style-name="Index_20_Link" text:visited-style-name="Index_20_Link">Example Command Scripts<text:tab/>1</text:a></text:p>
<text:p text:style-name="P3"><text:a xlink:type="simple" xlink:href="#__RefHeading___Toc42599_2528141652" text:style-name="Index_20_Link" text:visited-style-name="Index_20_Link">Timing Analysis using SDF<text:tab/>2</text:a></text:p>
<text:p text:style-name="P3"><text:a xlink:type="simple" xlink:href="#__RefHeading___Toc42601_2528141652" text:style-name="Index_20_Link" text:visited-style-name="Index_20_Link">Timing Analysis with Multiple Process Corners<text:tab/>2</text:a></text:p>
<text:p text:style-name="P3"><text:a xlink:type="simple" xlink:href="#__RefHeading___Toc42583_2528141652" text:style-name="Index_20_Link" text:visited-style-name="Index_20_Link">Power Analysis<text:tab/>2</text:a></text:p>
<text:p text:style-name="P2"><text:a xlink:type="simple" xlink:href="#__RefHeading___Toc42585_2528141652" text:style-name="Index_20_Link" text:visited-style-name="Index_20_Link">TCL Interpreter<text:tab/>3</text:a></text:p>
<text:p text:style-name="P2"><text:a xlink:type="simple" xlink:href="#__RefHeading___Toc42587_2528141652%20Copy%201" text:style-name="Index_20_Link" text:visited-style-name="Index_20_Link">Debugging Timing<text:tab/>4</text:a></text:p>
<text:p text:style-name="P3"><text:a xlink:type="simple" xlink:href="#__RefHeading___Toc46796_3412750463" text:style-name="Index_20_Link" text:visited-style-name="Index_20_Link">No paths found<text:tab/>4</text:a></text:p>
<text:p text:style-name="P3"><text:a xlink:type="simple" xlink:href="#__RefHeading___Toc46798_3412750463" text:style-name="Index_20_Link" text:visited-style-name="Index_20_Link">No path reported an endpoint<text:tab/>5</text:a></text:p>
<text:p text:style-name="P2"><text:a xlink:type="simple" xlink:href="#__RefHeading___Toc42587_2528141652" text:style-name="Index_20_Link" text:visited-style-name="Index_20_Link">Commands<text:tab/>6</text:a></text:p>
<text:p text:style-name="P2"><text:a xlink:type="simple" xlink:href="#__RefHeading___Toc42589_2528141652" text:style-name="Index_20_Link" text:visited-style-name="Index_20_Link">Filter Expressions<text:tab/>80</text:a></text:p>
<text:p text:style-name="P2"><text:a xlink:type="simple" xlink:href="#__RefHeading___Toc42591_2528141652" text:style-name="Index_20_Link" text:visited-style-name="Index_20_Link">Variables<text:tab/>80</text:a></text:p>
</text:index-body>
</text:table-of-content>
<text:h text:style-name="Heading_20_1" text:outline-level="1"><text:bookmark-start text:name="__RefHeading___Toc42579_2528141652"/><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:alphabetical-index-mark-start text:id="IMark53760025232"/><text:alphabetical-index-mark-start text:id="IMark53760024512"/><text:alphabetical-index-mark-start text:id="IMark53760023632"/>Command Line Arguments<text:bookmark-end text:name="__RefHeading___Toc42579_2528141652"/><text:alphabetical-index-mark-end text:id="IMark53760023632"/><text:alphabetical-index-mark-end text:id="IMark53760024512"/><text:alphabetical-index-mark-end text:id="IMark53760025232"/><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:h>
<text:p text:style-name="P4">The command line arguments for <text:span text:style-name="Command">sta</text:span> are shown below.</text:p>
<text:p text:style-name="Example_20__20_indented"><text:span text:style-name="Command">sta<text:line-break/> <text:s/>-help <text:s text:c="13"/>show help and exit<text:line-break/> <text:s/>-version <text:s text:c="10"/>show version and exit<text:line-break/> <text:s/>-no_init <text:s text:c="10"/>do not read ~/.sta<text:line-break/> <text:s/>-no_splash <text:s text:c="8"/>do not print the splash message<text:line-break/> <text:s/>-threads count|max use count threads<text:line-break/> <text:s/>-exit <text:s text:c="13"/>exit after reading </text:span><text:span text:style-name="Command"><text:span text:style-name="T1">cmd_file</text:span></text:span><text:span text:style-name="Command"><text:line-break/> <text:s/></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">cmd_file</text:span></text:span><text:span text:style-name="Command"> <text:s text:c="10"/>source </text:span><text:span text:style-name="Command"><text:span text:style-name="T1">cmd_file</text:span></text:span></text:p>
<text:p text:style-name="P4">When <text:span text:style-name="T2">Open</text:span>STA starts up, commands are first read from the user initialization file <text:span text:style-name="Command">~/.sta</text:span> if it exists. If a TCL command file <text:span text:style-name="T3">cmd_file</text:span> is specified <text:span text:style-name="T2">on the command line</text:span>, commands are read from the file and executed before entering an interactive TCL command interpreter. If -exit is specified the application exits after reading <text:span text:style-name="T3">cmd_file</text:span>. Use the TCL <text:span text:style-name="T4">exit</text:span> command to exit the application. The <text:span text:style-name="Command">threads</text:span> option specifies how many parallel threads to use. Use <text:span text:style-name="Command">threads max</text:span> to use one thread per processor.</text:p>
<text:h text:style-name="P5" text:outline-level="1"><text:bookmark-start text:name="__RefHeading___Toc42581_2528141652"/><text:alphabetical-index-mark-start text:id="IMark53760024032"/>Example Command Scripts<text:bookmark-end text:name="__RefHeading___Toc42581_2528141652"/><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:h>
<text:p text:style-name="P6"><text:span text:style-name="T2">To read a design into OpenSTA use the </text:span><text:span text:style-name="Command"><text:span text:style-name="T2">read_liberty</text:span></text:span><text:span text:style-name="T2"> command to read Liberty library files. Next, read hierarchical structural Verilog files with the </text:span><text:span text:style-name="Command"><text:span text:style-name="T2">read_verilog</text:span></text:span><text:span text:style-name="T2"> command. The </text:span><text:span text:style-name="Command"><text:span text:style-name="T2">link_design</text:span></text:span><text:span text:style-name="T2"> command </text:span><text:span text:style-name="T5">links the Verilog to the Liberty timing cells. </text:span>Any number of Liberty and Verilog files can be read before linking the design. </text:p>
<text:p text:style-name="P6"><text:span text:style-name="T5">Delays used for timing analysis are calculated using the Liberty timing models. If no parasitics are read only the pin capacitances of the timing models are used in delay calculation. Use the </text:span><text:span text:style-name="Command"><text:span text:style-name="T5">read_spef</text:span></text:span><text:span text:style-name="T5"> command to read parasitics from an extractor, or </text:span><text:span text:style-name="Command"><text:span text:style-name="T5">read_sdf</text:span></text:span><text:span text:style-name="T5"> to use delays calculated by an external delay calculator</text:span>.</text:p>
<text:p text:style-name="P7">Timing constraints can be entered as TCL commands or read using the <text:span text:style-name="Command">read_sdc</text:span> command.</text:p>
<text:p text:style-name="P7">The units used by OpenSTA for all command arguments and reports are taken from the first Liberty file that is read. Use the <text:span text:style-name="Command">set_cmd_units</text:span> command to override the default units.</text:p>
<text:h text:style-name="Heading_20_2" text:outline-level="2"><text:bookmark-start text:name="__RefHeading___Toc42599_2528141652"/><text:soft-page-break/><text:alphabetical-index-mark-start text:id="IMark53760024032"/>Timing Analysis <text:span text:style-name="T6">using SDF</text:span><text:bookmark-end text:name="__RefHeading___Toc42599_2528141652"/><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:h>
<text:p text:style-name="P8">A sample command file that reads a library and a Verilog netlist and reports timing checks is shown below.</text:p>
<text:p text:style-name="P9">read_liberty example1_slow.lib<text:line-break/>read_verilog example1.v<text:line-break/>link_design top<text:line-break/>read_sdf example1.sdf<text:line-break/>create_clock -name clk -period 10 {clk1 clk2 clk3}<text:line-break/>set_input_delay -clock clk 0 {in1 in2}<text:line-break/>report_checks</text:p>
<text:p text:style-name="P10">This example can be found in <text:span text:style-name="T7">examples/</text:span><text:span text:style-name="T8">sdf_delays</text:span><text:span text:style-name="T7">.tcl</text:span>.</text:p>
<text:h text:style-name="Heading_20_2" text:outline-level="2"><text:bookmark-start text:name="__RefHeading___Toc42601_2528141652"/><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="T2">Timing Analysis </text:span><text:span text:style-name="T6">with Multiple Process Corners</text:span><text:bookmark-end text:name="__RefHeading___Toc42601_2528141652"/><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:h>
<text:p text:style-name="P11"><text:span text:style-name="T2">A</text:span>n example command script using three process corners and +/-10% min/max derating is shown below.</text:p>
<text:p text:style-name="Example_20__20_indented">define_corners wc typ bc<text:line-break/>read_liberty -corner wc <text:s/>example1_slow.lib<text:line-break/>read_liberty -corner typ example1_typ.lib<text:line-break/>read_liberty -corner bc <text:s/>example1_fast.lib<text:line-break/>read_verilog example1.v<text:line-break/>link_design top<text:line-break/>set_timing_derate -early 0.9<text:line-break/>set_timing_derate -<text:span text:style-name="T9">late</text:span> 1.1<text:line-break/>create_clock -name clk -period 10 {clk1 clk2 clk3}<text:line-break/>set_input_delay -clock clk 0 {in1 in2}<text:line-break/>report_checks -path_delay min_max<text:line-break/>report_checks -corner typ</text:p>
<text:p text:style-name="P12">This example can be found in <text:span text:style-name="T7">examples/</text:span><text:span text:style-name="T8">spef_parasitics</text:span><text:span text:style-name="T7">.tcl</text:span>. Other examples can be found in the <text:span text:style-name="T7">examples</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> directory</text:span>.</text:p>
<text:h text:style-name="Heading_20_2" text:outline-level="2"><text:bookmark-start text:name="__RefHeading___Toc42583_2528141652"/><text:alphabetical-index-mark-start text:id="IMark53760024032"/>Power Analysis<text:bookmark-end text:name="__RefHeading___Toc42583_2528141652"/><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:h>
<text:p text:style-name="P13">OpenSTA also supports static power analysis with the <text:span text:style-name="Command">report_power</text:span> command. Probabalistic switching activities are propagated from the input ports to determine switching activities for internal pins.</text:p>
<text:p text:style-name="P14">read_liberty sky130hd_tt.lib<text:line-break/>read_verilog gcd_sky130hd.v<text:line-break/>link_design gcd<text:line-break/>read_sdc gcd_sky130hd.sdc<text:line-break/>read_spef gcd_sky130hd.spef<text:line-break/>set_power_activity -input -activity <text:span text:style-name="T10">0</text:span>.1<text:line-break/>set_power_activity -input_port reset -activity 0<text:line-break/>report_power</text:p>
<text:p text:style-name="P15">In this example the activity for all inputs is set to <text:span text:style-name="Command"><text:span text:style-name="T10">0</text:span></text:span><text:span text:style-name="Command">.1</text:span>, and then the activity for the <text:span text:style-name="Command">reset</text:span> signal is set to zero because it does not switch during steady state operation.</text:p>
<text:p text:style-name="P16"><text:soft-page-break/>Group <text:s text:c="17"/>Internal <text:s/>Switching <text:s text:c="3"/>Leakage <text:s text:c="5"/>Total<text:line-break/> <text:s text:c="25"/>Power <text:s text:c="5"/>Power <text:s text:c="5"/>Power <text:s text:c="5"/>Power (Watts)<text:line-break/>----------------------------------------------------------------<text:line-break/>Sequential <text:s text:c="12"/>3.27e-04 <text:s text:c="2"/>7.87e-05 <text:s text:c="2"/>2.96e-10 <text:s text:c="2"/>4.06e-04 <text:s/>36.4%<text:line-break/>Combinational <text:s text:c="9"/>2.34e-04 <text:s text:c="2"/>3.10e-04 <text:s text:c="2"/>6.95e-10 <text:s text:c="2"/>5.43e-04 <text:s/>48.7%<text:line-break/>Clock <text:s text:c="17"/>4.68e-05 <text:s text:c="2"/>1.20e-04 <text:s text:c="2"/>2.30e-11 <text:s text:c="2"/>1.67e-04 <text:s/>15.0%<text:line-break/>Macro <text:s text:c="17"/>0.00e+00 <text:s text:c="2"/>0.00e+00 <text:s text:c="2"/>0.00e+00 <text:s text:c="2"/>0.00e+00 <text:s text:c="2"/>0.0%<text:line-break/>Pad <text:s text:c="19"/>0.00e+00 <text:s text:c="2"/>0.00e+00 <text:s text:c="2"/>0.00e+00 <text:s text:c="2"/>0.00e+00 <text:s text:c="2"/>0.0%<text:line-break/>----------------------------------------------------------------<text:line-break/>Total <text:s text:c="17"/>6.07e-04 <text:s text:c="2"/>5.09e-04 <text:s text:c="2"/>1.01e-09 <text:s text:c="2"/>1.12e-03 100.0%<text:line-break/><text:line-break/> <text:s text:c="25"/>54.4% <text:s text:c="5"/>45.6% <text:s text:c="6"/>0.0%</text:p>
<text:p text:style-name="P17">This example can be found in <text:span text:style-name="T7">examples/</text:span><text:span text:style-name="T11">power</text:span><text:span text:style-name="T7">.tcl</text:span>.</text:p>
<text:p text:style-name="P18">Gate level simulation results can be <text:span text:style-name="T12">used</text:span> to get a more accurate power estimate. <text:span text:style-name="T12">For example, </text:span><text:span text:style-name="T13">the Icarus verilog simulator can be used to run the the test bench </text:span><text:span text:style-name="Command"><text:span text:style-name="T13">examples/gcd_tb.v</text:span></text:span><text:span text:style-name="T13"> for the gcd design in the previous example.</text:span></text:p>
<text:p text:style-name="Example_20__20_indented">iverilog -o gcd_tb gcd_tb.v<text:line-break/>vvp gcd_tb</text:p>
<text:p text:style-name="P18">The test bench writes the <text:span text:style-name="T12">VCD (Value Change Data)</text:span> file <text:span text:style-name="Command">gcd_sky130hd.vcd</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T13">which can then be read with the </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T13">read_</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T14">vcd</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T13"> command.</text:span></text:span></text:p>
<text:p text:style-name="Example_20__20_indented">read_liberty sky130hd_tt.lib<text:line-break/>read_verilog gcd_sky130hd.v<text:line-break/>link_design gcd<text:line-break/>read_sdc gcd_sky130hd.sdc<text:line-break/>read_spef gcd_sky130hd.spef<text:line-break/>read_<text:span text:style-name="T14">vcd</text:span> -scope gcd_tb/gcd1 gcd_sky130hd.vcd.<text:span text:style-name="T15">gz</text:span><text:line-break/>report_power</text:p>
<text:p text:style-name="P19"><text:span text:style-name="T10">This example can be found in </text:span><text:span text:style-name="T16">examples/</text:span><text:span text:style-name="T17">power_vcd</text:span><text:span text:style-name="T16">.tcl.</text:span></text:p>
<text:p text:style-name="P20">Note that in this simple example design simulation based activities does not significantly change the results.</text:p>
<text:h text:style-name="Heading_20_1" text:outline-level="1"><text:bookmark-start text:name="__RefHeading___Toc42585_2528141652"/><text:alphabetical-index-mark-start text:id="IMark53760024032"/>TCL Interpreter<text:bookmark-end text:name="__RefHeading___Toc42585_2528141652"/><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:h>
<text:p text:style-name="Body">Keyword arguments to commands may be abbreviated. For example,</text:p>
<text:p text:style-name="Example_20__20_indented">report_checks -unique</text:p>
<text:p text:style-name="Body">is equivalent to the following command.</text:p>
<text:p text:style-name="Example_20__20_indented">report_checks -<text:span text:style-name="Command">unique_paths_to_endpoint</text:span></text:p>
<text:p text:style-name="P21"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T6">The help command lists matching commands and their arguments.</text:span></text:span></text:p>
<text:p text:style-name="P22"><text:span text:style-name="T6">&gt; help</text:span> <text:span text:style-name="Command"><text:span text:style-name="T6">report*<text:line-break/>report_annotated_check [-setup] [-hold] [-recovery] [-removal] [-nochange]<text:line-break/></text:span></text:span><text:span text:style-name="Command"> [-width] [-period] [-max_skew] [-max_lines liness] [-</text:span><text:soft-page-break/><text:span text:style-name="Command">list_annotated]group_path_count<text:line-break/> <text:s text:c="2"/>[-list_not_annotated] [-constant_arcs]<text:line-break/>report_annotated_delay [-cell] [-net] [-from_in_ports] [-to_out_ports]<text:line-break/> <text:s text:c="2"/>[-max_lines liness] [-list_annotated] [-list_not_annotated] [-constant_arcs]<text:line-break/>report_arrival pin<text:line-break/>report_check_types [-violators] [-verbose] [-corner corner]<text:line-break/> <text:s text:c="2"/>[-format slack_only|end] [-max_delay] [-min_delay] [-recovery] [-removal]<text:line-break/> <text:s text:c="2"/>[-clock_gating_setup] [-clock_gating_hold] [-max_slew] [-min_slew]<text:line-break/> <text:s text:c="2"/>[-max_fanout] [-min_fanout] [-max_capacitance] [-min_capacitance<text:line-break/> <text:s text:c="2"/>[-min_pulse_width] [-min_period] [-max_skew] [-net net] [-digits digits<text:line-break/> <text:s text:c="2"/>[-no_line_splits] [&gt; filename] [&gt;&gt; filename]<text:line-break/>report_checks [-from from_list|-rise_from from_list|-fall_from from_list</text:span><text:span text:style-name="Command"><text:span text:style-name="T6">]<text:line-break/></text:span></text:span><text:span text:style-name="Command"> <text:s text:c="2"/>[-through through_list|-rise_through through_list|-fall_through through_list]<text:line-break/> <text:s text:c="2"/>[-to to_list|-rise_to to_list|-fall_to to_list] [-unconstrained]<text:line-break/> <text:s text:c="2"/>[-path_delay min|min_rise|min_fall|max|max_rise|max_fall|min_max]<text:line-break/> <text:s text:c="2"/>[-corner corner] [-group_path_count path_count]<text:line-break/> <text:s text:c="2"/>[-endpoint_path_count path_count]<text:line-break/> <text:s text:c="2"/>[-unique_paths_to_endpoint] [-slack_max slack_max] [-slack_min slack_min]<text:line-break/> <text:s text:c="2"/>[-sort_by_slack] [-path_group group_name]<text:line-break/> <text:s text:c="2"/>[-format full|full_clock|full_clock_expanded|short|end|summary]<text:line-break/>...</text:span></text:p>
<text:p text:style-name="P23"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T18">Many reporting commands support </text:span></text:span><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T18">redirection</text:span></text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T18"> of the output to a file much like a Unix shell.</text:span></text:span></text:p>
<text:p text:style-name="P24"><text:span text:style-name="Command">report_checks -</text:span><text:span text:style-name="Command"><text:span text:style-name="T18">to out1 &gt; path.log<text:line-break/>report_checks -to out2 &gt;&gt; path.log</text:span></text:span></text:p>
<text:h text:style-name="P25" text:outline-level="1"><text:bookmark-start text:name="__RefHeading___Toc42587_2528141652 Copy 1"/><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T19">Debugging Timing</text:span></text:span><text:bookmark-end text:name="__RefHeading___Toc42587_2528141652 Copy 1"/></text:h>
<text:p text:style-name="P23"><text:span text:style-name="Default_20_Paragraph_20_Font">Here are some guidelines for debugging your design if static timing does not report any paths, or does not report the expected paths.</text:span></text:p>
<text:p text:style-name="P23"><text:span text:style-name="Default_20_Paragraph_20_Font">Debugging timing problems generally involves using the following commands to follow the propagation of arrival times from a known arrival downstream to understand why the arrival times are not propagating:</text:span></text:p>
<text:p text:style-name="Example_20__20_indented"><text:span text:style-name="Command">report_edges<text:line-break/>report_arrivals<text:line-break/>report_net</text:span></text:p>
<text:p text:style-name="P26"><text:span text:style-name="Command">report_edges -</text:span><text:span text:style-name="Command"><text:span text:style-name="T20">from</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> can be used to walk forward and </text:span><text:span text:style-name="Command">report_edges -</text:span><text:span text:style-name="Command"><text:span text:style-name="T20">to</text:span></text:span><text:span text:style-name="T20"> to walk </text:span><text:span text:style-name="Default_20_Paragraph_20_Font">backward in the netlist/timing graph. </text:span><text:span text:style-name="Command">report_arrivals</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> shows the min/max rise/fall arrival times with respect to each clock that has a path to the pin. </text:span><text:span text:style-name="Command">report_net</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> shows connections to a net acro</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T20">s</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">s hierarchy levels.</text:span></text:p>
<text:h text:style-name="Heading_20_2" text:outline-level="2"><text:bookmark-start text:name="__RefHeading___Toc46796_3412750463"/>No paths found<text:bookmark-end text:name="__RefHeading___Toc46796_3412750463"/></text:h>
<text:p text:style-name="P23"><text:span text:style-name="Default_20_Paragraph_20_Font">The </text:span><text:span text:style-name="Command">report_checks</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> command only reports paths that are constrained by </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T19">t</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">iming checks or SDC commands such as </text:span><text:span text:style-name="Command">set_output_delay</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">. If the design </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T20">ha</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">s only combinational logic (no registers or latches), there are no timing checks, so no paths are reported. Use the </text:span><text:span text:style-name="Command">-unconstrained</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> option to </text:span><text:span text:style-name="Command">report_checks</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> to see unconstrained paths.</text:span></text:p>
<text:p text:style-name="Example_20__20_indented"><text:span text:style-name="Command">% report_checks -unconstrained</text:span></text:p>
<text:p text:style-name="P23"><text:span text:style-name="Default_20_Paragraph_20_Font">If the design is sequential (has registers or latches) and no paths are reported, it is likely that there is a problem with the clock propagation. Check the timing at an register in the design with the </text:span><text:span text:style-name="Command">report_arrivals</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> command.</text:span></text:p>
<text:p text:style-name="P27"><text:soft-page-break/><text:span text:style-name="Command">% report_arrivals r1/CP<text:line-break/> (clk ^) r 0.00:0.00 f INF:-INF<text:line-break/> (clk v) r INF:-INF f 5.00:5.00</text:span></text:p>
<text:p text:style-name="P23"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T20">In t</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">his </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T20">example</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the rising edge of the clock &quot;</text:span><text:span text:style-name="Command">clk</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">&quot; causes the rising arrival min:max time at 0.00, a</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T20">n</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">d the falling edge arrives </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T20">at </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">5.00. Since the rising edge of the clock causes the rising edge of the register clock pin, the clock path is positive unate.</text:span></text:p>
<text:p text:style-name="P23"><text:span text:style-name="Default_20_Paragraph_20_Font">The clock path should be positive or negative unate. Something is probably wrong with the clock network if it is non-unate. A non-unate clock path will report arrivals similar to the foillowing:</text:span></text:p>
<text:p text:style-name="Example_20__20_indented"><text:span text:style-name="Command">% report_arrivals r1/CP<text:line-break/> (clk ^) r 0.00:0.00 f 0.00:0.00<text:line-break/> (clk v) r 5.00:5.00 f 5.00:5.00</text:span></text:p>
<text:p text:style-name="P28">Notice that each clock edge causes both rise and fall arrivals at the register clock pin.</text:p>
<text:p text:style-name="P23"><text:span text:style-name="Default_20_Paragraph_20_Font">If </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T20">there are </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">no paths </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T20">to</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the register clock pin, nothing is printed. Use the </text:span><text:span text:style-name="Command">report_edge</text:span><text:span text:style-name="Command"><text:span text:style-name="T19">s</text:span></text:span><text:span text:style-name="Command"> -to</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> command to find the gate driving the clock pin.</text:span></text:p>
<text:p text:style-name="Example_20__20_indented"><text:span text:style-name="Command">% report_edges -to r1/CP<text:line-break/>i1/ZN -&gt; CP wire<text:line-break/> <text:s/>^ -&gt; ^ 0.00:0.00<text:line-break/> v -&gt; v 0.00:0.00</text:span></text:p>
<text:p text:style-name="P23"><text:span text:style-name="Default_20_Paragraph_20_Font">This shows that the gate/pin i1/ZN is driving the clock pin. The </text:span><text:span text:style-name="Command">report_edges -</text:span><text:span text:style-name="Command"><text:span text:style-name="T20">to</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> commond can be used to walk backward or forward through the netlist one gate/net at a time. By checking the arrivals with the </text:span><text:span text:style-name="Command">report_arrival</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> command you can determine where the path is broken.</text:span></text:p>
<text:h text:style-name="Heading_20_2" text:outline-level="2"><text:bookmark-start text:name="__RefHeading___Toc46798_3412750463"/><text:span text:style-name="Default_20_Paragraph_20_Font">No path reported an endpoint</text:span><text:bookmark-end text:name="__RefHeading___Toc46798_3412750463"/></text:h>
<text:p text:style-name="P23"><text:span text:style-name="Default_20_Paragraph_20_Font">In order for a timing check to be reported, there must be an arrival time at the data pin (the constrained pin) as well as the timing check clock pin. If </text:span><text:span text:style-name="Command">report_checks -to</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T20">a register input </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">does not report any paths, check that the </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T20">input is constrained by a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> timing check with </text:span><text:span text:style-name="Command">report_edges -to</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
<text:p text:style-name="Example_20__20_indented"><text:span text:style-name="Command">% report_edges -to r1/D<text:line-break/>CP -&gt; D hold<text:line-break/> <text:s/>^ -&gt; ^ -0.04:-0.04<text:line-break/> <text:s/>^ -&gt; v -0.03:-0.03<text:line-break/>CP -&gt; D setup<text:line-break/> <text:s/>^ -&gt; ^ 0.09:0.0<text:line-break/> <text:s/>^ -&gt; v 0.08:0.08<text:line-break/>in1 -&gt; D wire<text:line-break/> <text:s/>^ -&gt; ^ 0.00:0.00<text:line-break/> <text:s/>v -&gt; v 0.00:0.00</text:span></text:p>
<text:p text:style-name="P23"><text:span text:style-name="Default_20_Paragraph_20_Font">This reports the setup and hold checks for the D pin o</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T20">f</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> r1.</text:span></text:p>
<text:p text:style-name="P23"><text:span text:style-name="Default_20_Paragraph_20_Font">Next, check the arrival times at the D and CP pins of the register with </text:span><text:span text:style-name="Command">report_arrivals</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
<text:p text:style-name="Example_20__20_indented"><text:span text:style-name="Command">% report_arrivals r1/D<text:line-break/> (clk1 ^) r 1.00:1.00 f 1.00:1.00<text:line-break/>% report_arrivals r1/CP<text:line-break/> (clk1 ^) r 0.00:0.00 f INF:-INF<text:line-break/> (clk1 v) r INF:-INF f 5.00:5.00</text:span></text:p>
<text:p text:style-name="P23"><text:soft-page-break/><text:span text:style-name="Default_20_Paragraph_20_Font">If there are no arrivals on an input port of the design, use the </text:span><text:span text:style-name="Command">set_input_delay</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> command to specify the arrival times on the port.</text:span></text:p>
<text:h text:style-name="Heading_20_1" text:outline-level="1"><text:bookmark-start text:name="__RefHeading___Toc42587_2528141652"/><text:alphabetical-index-mark-start text:id="IMark53760024032"/>Commands<text:bookmark-end text:name="__RefHeading___Toc42587_2528141652"/><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:h>
<table:table table:name="Table1" table:style-name="Table1">
<table:table-column table:style-name="Table1.A"/>
<table:table-column table:style-name="Table1.B"/>
<table:table-row table:style-name="Table1.1">
<table:table-cell table:style-name="Table1.A1" office:value-type="string">
<text:p text:style-name="Text_20_body"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">all_clocks</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table1.A1" office:value-type="string">
<text:p text:style-name="P29"/>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="T4">all</text:span><text:span text:style-name="Command">_clocks</text:span> command returns a list of all clocks that have been defined.</text:p>
<table:table table:name="Table4" table:style-name="Table4">
<table:table-column table:style-name="Table4.A"/>
<table:table-column table:style-name="Table4.B"/>
<table:table-row table:style-name="Table4.1">
<table:table-cell table:style-name="Table4.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">all_inputs</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table4.A1" office:value-type="string">
<text:p text:style-name="P30">[-no_clocks]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table4.1">
<table:table-cell table:style-name="Table4.A2" office:value-type="string">
<text:p text:style-name="P30">-no_clocks</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table4.A2" office:value-type="string">
<text:p text:style-name="Text_20_body">Exclude inputs defined as clock sources.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="T4">all</text:span><text:span text:style-name="Command">_inputs</text:span> command returns a list of all input and bidirect ports of the current design.</text:p>
<table:table table:name="Table5" table:style-name="Table5">
<table:table-column table:style-name="Table5.A"/>
<table:table-column table:style-name="Table5.B"/>
<table:table-row table:style-name="Table5.1">
<table:table-cell table:style-name="Table5.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">all_outputs</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table5.A1" office:value-type="string">
<text:p text:style-name="P29"/>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="T4">all</text:span><text:span text:style-name="Command">_outputs</text:span> command returns a list of all output and bidirect ports of the design.</text:p>
<table:table table:name="Table6" table:style-name="Table6">
<table:table-column table:style-name="Table6.A"/>
<table:table-column table:style-name="Table6.B"/>
<table:table-row table:style-name="Table6.1">
<table:table-cell table:style-name="Table6.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">all_registers</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table6.A1" office:value-type="string">
<text:p text:style-name="P31">[-clock <text:span text:style-name="Command_20_Argument">clock_names</text:span>]<text:line-break/>[-cells <text:span text:style-name="T21">| </text:span>-data_pins <text:span text:style-name="T21">| </text:span>-clock_pins <text:span text:style-name="T21">| </text:span>-async_pins<text:line-break/> <text:span text:style-name="T21">| </text:span>output_pins]<text:line-break/>[-level_sensitive]<text:line-break/>[-edge_triggered]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table6.1">
<table:table-cell table:style-name="Table6.A2" office:value-type="string">
<text:p text:style-name="P32"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">-clock </text:span></text:span><text:span text:style-name="Command_20_Argument">clock_names </text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table6.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Body_20_Char">A list of clock names. Only registers clocked by these clocks are returned.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table6.1">
<table:table-cell table:style-name="Table6.A2" office:value-type="string">
<text:p text:style-name="P29">-cells</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table6.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Return a list of register instances.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table6.1">
<table:table-cell table:style-name="Table6.A2" office:value-type="string">
<text:p text:style-name="P29">-data_pins</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table6.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Return the register data pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table6.1">
<table:table-cell table:style-name="Table6.A2" office:value-type="string">
<text:p text:style-name="P29">-clock_pins</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table6.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Return the register clock pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table6.1">
<table:table-cell table:style-name="Table6.A2" office:value-type="string">
<text:p text:style-name="P29">-async_pins</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table6.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Return the register set/clear pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table6.1">
<table:table-cell table:style-name="Table6.A2" office:value-type="string">
<text:p text:style-name="P29">-output_pins</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table6.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Return the register output pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table6.1">
<table:table-cell table:style-name="Table6.A2" office:value-type="string">
<text:p text:style-name="P29">-level_sensitive</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table6.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Return level-sensitive latches.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table6.1">
<table:table-cell table:style-name="Table6.A2" office:value-type="string">
<text:p text:style-name="P29">-edge_triggered</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table6.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Return edge-triggered registers.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="T4">all</text:span><text:span text:style-name="Command">_registers</text:span> command returns a list of <text:s/>register instances or register pins in the design. Options allow the list of registers to be restricted in various ways. The -clock keyword restrcts the registers to those that are <text:soft-page-break/>clocked by a set of clocks. The <text:span text:style-name="Command">-cells</text:span> option returns the list of registers or latches (the default). The <text:span text:style-name="T23">-</text:span><text:span text:style-name="Command">data_pins</text:span>, <text:span text:style-name="Command">-clock_pins</text:span>, <text:span text:style-name="Command">-async_pins</text:span> and <text:span text:style-name="Command">-output_pins</text:span> options cause <text:span text:style-name="Command">all_registers</text:span> to return a list of register pins rather than instances. </text:p>
<table:table table:name="Table8" table:style-name="Table8">
<table:table-column table:style-name="Table8.A"/>
<table:table-column table:style-name="Table8.B"/>
<table:table-row table:style-name="Table8.1">
<table:table-cell table:style-name="Table8.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">check_setup</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table8.A1" office:value-type="string">
<text:p text:style-name="P29">[-verbose]<text:line-break/>[-unconstrained_endpoints]<text:line-break/>[-multiple_clock]<text:line-break/>[-no_clock]<text:line-break/>[-no_input_delay]<text:line-break/>[-loops]<text:line-break/>[-generated_clocks]<text:line-break/>[&gt; <text:span text:style-name="T24">filename</text:span>]<text:line-break/>[&gt;&gt; <text:span text:style-name="T24">filename</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table8.1">
<table:table-cell table:style-name="Table8.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-verbose</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table8.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Show offending objects rather than just error counts.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table8.1">
<table:table-cell table:style-name="Table8.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-unconstrained_endpoints</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table8.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Check path endpoints for timing constraints (timing check or set_output_delay).</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table8.1">
<table:table-cell table:style-name="Table8.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-multiple_clock</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table8.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Check register/latch clock pins for multiple clocks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table8.1">
<table:table-cell table:style-name="Table8.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-no_clock</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table8.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Check register/latch clock pins for a clock.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table8.1">
<table:table-cell table:style-name="Table8.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-no_input_delay</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table8.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Check for inputs that do not have a set_input_delay command.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table8.1">
<table:table-cell table:style-name="Table8.A2" office:value-type="string">
<text:p text:style-name="P29">-loops</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table8.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Check for combinational logic loops.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table8.1">
<table:table-cell table:style-name="Table8.A2" office:value-type="string">
<text:p text:style-name="P29">-generated_clocks</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table8.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Check that generated clock source pins have been defined as clocks.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="T7">check_setup</text:span> command performs sanity checks on the design. Individual checks can be performed with the keywords. If no check keywords are specified all checks are performed. <text:span text:style-name="T26">Checks that fail are reported as warnings. If no checks fail nothing is reported. </text:span><text:span text:style-name="T27">The command returns 1 if there are no warnings for use in scripts.</text:span></text:p>
<table:table table:name="Table9" table:style-name="Table9">
<table:table-column table:style-name="Table9.A"/>
<table:table-column table:style-name="Table9.B"/>
<table:table-row table:style-name="Table9.1">
<table:table-cell table:style-name="Table9.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">connect_pin</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table9.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T1">net<text:line-break/>port</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T22">|</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">pin</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table9.1">
<table:table-cell table:style-name="Table9.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">net</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table9.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A net to add connections to.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table9.1">
<table:table-cell table:style-name="Table9.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">port</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table9.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A port to connect to </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T1">net</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table9.1">
<table:table-cell table:style-name="Table9.A2" office:value-type="string">
<text:p text:style-name="P33">Pin</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table9.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A pin to connect to </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T1">net</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">connect_pin</text:span></text:span> command connects a port or instance pin to a net.</text:p>
<table:table table:name="Table13" table:style-name="Table13">
<table:table-column table:style-name="Table13.A"/>
<table:table-column table:style-name="Table13.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table13.1">
<table:table-cell table:style-name="Table13.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Heading">create_clock</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table13.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-period </text:span><text:span text:style-name="Command_20_Argument">period</text:span><text:span text:style-name="Command"><text:line-break/>[-name </text:span><text:span text:style-name="Command_20_Argument">clock_name</text:span><text:span text:style-name="Command">]<text:line-break/>[-waveform </text:span><text:span text:style-name="Command_20_Argument">edge_list</text:span><text:span text:style-name="Command">]<text:line-break/>[-add]<text:line-break/>[</text:span><text:span text:style-name="Command_20_Argument">pin_list</text:span><text:span text:style-name="Command">]</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table13.1">
<table:table-cell table:style-name="Table13.A2" office:value-type="string">
<text:p text:style-name="P34"><text:span text:style-name="Command">-period </text:span><text:span text:style-name="Command_20_Argument">period</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table13.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">The clock period.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table13.1">
<table:table-cell table:style-name="Table13.A2" office:value-type="string">
<text:p text:style-name="P34"><text:span text:style-name="Command">-name </text:span><text:span text:style-name="Command_20_Argument">clock_name</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table13.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of the clock.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table13.1">
<table:table-cell table:style-name="Table13.A2" office:value-type="string">
<text:p text:style-name="P34"><text:span text:style-name="Command">-waveform </text:span><text:span text:style-name="Command_20_Argument">edge_list</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table13.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of edge rise and fall time.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table13.1">
<table:table-cell table:style-name="Table13.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-add</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table13.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Add this clock to the clocks on </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T24">pin_list</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table13.1">
<table:table-cell table:style-name="Table13.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">pin_list</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table13.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of pins driven by the clock.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">create_clock</text:span> command defines the waveform of a clock used by the design.</text:p>
<text:p text:style-name="Body">If no <text:span text:style-name="Command_20_Argument">pin_list</text:span> is specified the clock is <text:span text:style-name="T24">virtual</text:span>. A virtual clock can be refered to by name in input arrival and departure time commands but is not attached to any pins in the design.</text:p>
<text:p text:style-name="Body">If no clock name is specified the name of the first pin is used as the clock name.</text:p>
<text:p text:style-name="Body">If a wavform is not specified the clock rises at zero and falls at half the clock period. The waveform is a list with time the clock rises as the first element and the time it falls as the second element.</text:p>
<text:p text:style-name="Body">If a clock is already defined on a pin the clock is redefined using the new clock parameters. If multiple clocks drive the same pin, use the <text:span text:style-name="Command">-add</text:span> option to prevent the existing definition from being overwritten.</text:p>
<text:p text:style-name="Body">The following command creates a clock with a period of 10 time units that rises at time 0 and falls at 5 time units on the pin named clk1.</text:p>
<text:p text:style-name="Example_20__20_indented">create_clock -period 10 clk1</text:p>
<text:p text:style-name="Body">The following command creates a clock with a period of 10 time units that is high at time zero, falls at time 2 and rises at time 8. The clock drives three pins named <text:span text:style-name="Command">clk1</text:span>, <text:span text:style-name="Command">clk2</text:span>, and <text:span text:style-name="Command">clk3</text:span>.</text:p>
<text:p text:style-name="Example_20__20_indented">create_clock -period 10 -waveform {8 2} -name clk {clk1 clk2 clk3}</text:p>
<table:table table:name="Table14" table:style-name="Table14">
<table:table-column table:style-name="Table14.A"/>
<table:table-column table:style-name="Table14.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table14.1">
<table:table-cell table:style-name="Table14.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">create_generated_clock</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table14.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">[-name </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">clock_name</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>-source </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">master_pin<text:line-break/></text:span></text:span><text:span text:style-name="Command">[-master_clock </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T1">master_clock</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-divide_by </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">divisor</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-multiply_by </text:span><text:span text:style-name="Command_20_Argument">multiplier</text:span><text:span text:style-name="Command">]<text:line-break/>[-duty_cycle </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">duty_cycle</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-invert]<text:line-break/>[-edges </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">edge_list</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-edge_shift </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">shift_list</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-add]<text:line-break/></text:span><text:span text:style-name="Command"><text:span text:style-name="T24">pin_list</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table14.1">
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="P34"><text:span text:style-name="Command">-name </text:span><text:span text:style-name="Command_20_Argument">clock_name</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of the generated clock.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table14.1">
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="P34"><text:span text:style-name="Command">-source </text:span><text:span text:style-name="Command_20_Argument">master_pin</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A pin </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T28">or port in</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the fanout of the master clock that is the source of the generated clock.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table14.1">
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="Command"><text:span text:style-name="T22">-master_clock </text:span></text:span>master_clock</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="P36"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T28">Use -master_clock to specify which source clock to use when multiple clocks are present on </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T29">master_pin</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T28">.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table14.1">
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="P37"><text:span text:style-name="Command"><text:span text:style-name="T22">-divide_by </text:span></text:span>divisor</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Divide the master clock period by </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T24">divisor</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table14.1">
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="P37"><text:span text:style-name="Command"><text:span text:style-name="T22">-multiply_by </text:span></text:span>multiplier</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Multiply the master clock period by </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T24">multiplier</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table14.1">
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="P37"><text:span text:style-name="Command"><text:span text:style-name="T22">-duty_cycle </text:span></text:span>duty_cycle</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">The percent of the period that the generated clock is high (between 0 and 100).</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table14.1">
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-invert</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Invert the master clock.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table14.1">
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="P37"><text:span text:style-name="Command"><text:span text:style-name="T22">-edges </text:span></text:span>edge_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="P38"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T30">List of master clock edges to use in the generated clock. Edges are numbered from 1. </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T31">edge_list</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T30"> must be 3 edges long.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table14.1">
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="P37"><text:span text:style-name="Command"><text:span text:style-name="T22">-edge_shift </text:span></text:span>shift_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Not supported.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table14.1">
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-add</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Add this clock to the </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T28">existing </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">clocks on </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T24">pin_list</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table14.1">
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">pin_list</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table14.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of pins driven by the </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T32">generated </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">clock.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">create_generated_clock</text:span> command is used to generate a clock from an existing clock definition. It is used to model clock generation circuits such as clock dividers and phase locked loops.</text:p>
<text:p text:style-name="Body">The <text:span text:style-name="Command">-divide_by</text:span>, <text:span text:style-name="Command">-multiply_by</text:span> and <text:span text:style-name="Command">-edges</text:span> arguments are mutually exclusive.</text:p>
<text:p text:style-name="Body">The <text:span text:style-name="Command">-multiply_by</text:span> option is used to generate a higher frequency clock from the source clock. The period of the generated clock is divided by <text:span text:style-name="Command_20_Argument">multiplier</text:span>. The clock <text:span text:style-name="Command_20_Argument">multiplier</text:span> must be a positive integer. If a duty cycle is specified the generated clock rises at zero and falls at period * duty_cycle / 100. If no duty cycle is specified the source clock edge times are divided by <text:span text:style-name="Command_20_Argument">multiplier</text:span>.</text:p>
<text:p text:style-name="Body"><text:soft-page-break/>The <text:span text:style-name="Command">-divide_by</text:span> option is used to generate a lower frequency clock from the source clock. The clock <text:span text:style-name="Command_20_Argument">divisor</text:span> must be a positive integer. If the clock divisor is a power of two the source clock period is multiplied by <text:span text:style-name="Command_20_Argument">divisor</text:span>, the clock rise time is the same as the source clock, and the clock fall edge is one half period later. If the clock divisor is not a power of two the source clock waveform edge times are multiplied by <text:span text:style-name="Command_20_Argument">divisor</text:span>.</text:p>
<text:p text:style-name="Body">The <text:span text:style-name="Command">-edges</text:span> option forms the generated clock waveform by selecting edges from the source clock waveform.</text:p>
<text:p text:style-name="Body">If the <text:span text:style-name="Command">-invert</text:span> option is specified the waveform derived above is inverted.</text:p>
<text:p text:style-name="Body">If a clock is already defined on a pin the clock is redefined using the new clock parameters. If multiple clocks drive the same pin, use the <text:span text:style-name="Command">-add</text:span> option to prevent the existing definition from being overwritten.</text:p>
<text:p text:style-name="P39">In the example show below generates a clock named <text:span text:style-name="Command">gclk1</text:span> on register output pin <text:span text:style-name="Command">r1/Q </text:span>by dividing it by four.</text:p>
<text:p text:style-name="Example_20__20_indented">create_clock -period 10 -waveform {1 8} clk1<text:line-break/>create_generated_clock -name gclk1 -source clk1 -divide_by 4 r1/Q</text:p>
<text:p text:style-name="Body">The generated clock has a period of 40, rises at time 1 and falls at time 21.</text:p>
<text:p text:style-name="Body">In the example shown below the duty cycle is used to define the derived clock waveform.</text:p>
<text:p text:style-name="Example_20__20_indented">create_generated_clock -name gclk1 -source clk1 -duty_cycle 50 \<text:line-break/> <text:s text:c="22"/>-multiply_by 2 r1/Q</text:p>
<text:p text:style-name="Body">The generated clock has a period of 5, rises at time .5 and falls at time 3.</text:p>
<text:p text:style-name="Body">In the example shown below the first, third and fifth source clock edges are used to define the derived clock waveform.</text:p>
<text:p text:style-name="Example_20__20_indented">create_generated_clock -name gclk1 -source clk1 -edges {1 3 5} r1/Q</text:p>
<text:p text:style-name="Body">The generated clock has a period of 20, rises at time 1 and falls at time 11.</text:p>
<table:table table:name="Table15" table:style-name="Table15">
<table:table-column table:style-name="Table15.A"/>
<table:table-column table:style-name="Table15.B"/>
<table:table-row table:style-name="Table15.1">
<table:table-cell table:style-name="Table15.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">create_voltage_area</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table15.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T33">[-name </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">name</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T33">]<text:line-break/>[-coordinate </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">coordinates</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T33">]<text:line-break/>[-guard_band_x </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">guard_x</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T33">]<text:line-break/>[-guard_band_y </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">guard_y</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T33">]</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1"><text:line-break/>cells</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">This command is parsed and ignored by timing analysis.</text:p>
<table:table table:name="Table16" table:style-name="Table16">
<table:table-column table:style-name="Table16.A"/>
<table:table-column table:style-name="Table16.B"/>
<table:table-row table:style-name="Table16.1">
<table:table-cell table:style-name="Table16.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">current_design</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table16.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">[</text:span><text:span text:style-name="Command_20_Argument">design</text:span><text:span text:style-name="Command">]</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first"/>
<table:table table:name="Table17" table:style-name="Table17">
<table:table-column table:style-name="Table17.A"/>
<table:table-column table:style-name="Table17.B"/>
<table:table-row table:style-name="Table17.1">
<table:table-cell table:style-name="Table17.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">current_instance</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table17.A1" office:value-type="string">
<text:p text:style-name="P29">[<text:span text:style-name="T1">instance</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table17.1">
<table:table-cell table:style-name="Table17.A2" office:value-type="string">
<text:p text:style-name="P33">instance</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table17.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Not supported.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first"/>
<table:table table:name="Table7" table:style-name="Table7">
<table:table-column table:style-name="Table7.A"/>
<table:table-column table:style-name="Table7.B"/>
<table:table-row table:style-name="Table7.1">
<table:table-cell table:style-name="Table7.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">define_corners</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table7.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="T1">corner1</text:span> <text:span text:style-name="T1">[corner2]...</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table7.1">
<table:table-cell table:style-name="Table7.A2" office:value-type="string">
<text:p text:style-name="P33">corner</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table7.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of a delay calculation corner.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Use the <text:span text:style-name="T7">define_corners</text:span> command to define the names of multiple process/temperature/voltage corners. The <text:span text:style-name="T7">define_corners</text:span> command must follow <text:span text:style-name="T7">set_operating_conditions -analysis_type </text:span><text:span text:style-name="T34">and </text:span>precede any reference to the corner names and can only appear once in a command file. There is no support for re-defining corners.</text:p>
<text:p text:style-name="Body_20_first">For analysis type single, each corner has one delay calculation result and early/late path arrivals. For analysis type best_case/worst_case and on_chip_variation, each corner has min/max delay calculation results and early/late path arrivals.</text:p>
<table:table table:name="Table148" table:style-name="Table148">
<table:table-column table:style-name="Table148.A"/>
<table:table-column table:style-name="Table148.B"/>
<table:table-row table:style-name="Table148.1">
<table:table-cell table:style-name="Table148.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">delete_clock</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table148.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">[-all] </text:span></text:span><text:span text:style-name="Command_20_Argument">clocks</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table148.1">
<table:table-cell table:style-name="Table148.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">clocks</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table148.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks to remove.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first"/>
<table:table table:name="Table151" table:style-name="Table151">
<table:table-column table:style-name="Table151.A"/>
<table:table-column table:style-name="Table151.B"/>
<table:table-row table:style-name="Table151.1">
<table:table-cell table:style-name="Table151.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">delete_from_list</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table151.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">list objects</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table151.1">
<table:table-cell table:style-name="Table151.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">list</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table151.A2" office:value-type="string">
<text:p text:style-name="P41">A list of objects.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table151.1">
<table:table-cell table:style-name="Table151.A2" office:value-type="string">
<text:p text:style-name="P33">objects</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table151.A2" office:value-type="string">
<text:p text:style-name="P41">A list of objects to delete from list.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first"/>
<table:table table:name="Table147" table:style-name="Table147">
<table:table-column table:style-name="Table147.A"/>
<table:table-column table:style-name="Table147.B"/>
<table:table-row table:style-name="Table147.1">
<table:table-cell table:style-name="Table147.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">delete_generated_clock</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table147.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">[-all] </text:span></text:span><text:span text:style-name="Command_20_Argument">clocks</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table147.1">
<table:table-cell table:style-name="Table147.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">clocks</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table147.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of generated clocks to remove.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first"/>
<table:table table:name="Table2" table:style-name="Table2">
<table:table-column table:style-name="Table2.A"/>
<table:table-column table:style-name="Table2.B"/>
<table:table-row table:style-name="Table2.1">
<table:table-cell table:style-name="Table2.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">delete_instance</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table2.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">instance</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table2.1">
<table:table-cell table:style-name="Table2.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">instance</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table2.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T35">I</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">nstance to delete.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The network editing command <text:span text:style-name="Command">delete_instance</text:span> removes an instance from the design.</text:p>
<table:table table:name="Table11" table:style-name="Table11">
<table:table-column table:style-name="Table11.A"/>
<table:table-column table:style-name="Table11.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table11.1">
<table:table-cell table:style-name="Table11.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">delete_net</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table11.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T1">net</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table11.1">
<table:table-cell table:style-name="Table11.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T1">ne</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T36">t</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table11.A2" office:value-type="string">
<text:p text:style-name="P42"><text:span text:style-name="Default_20_Paragraph_20_Font">Net to delete.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The network editing command <text:span text:style-name="T7">delete</text:span><text:span text:style-name="Command">_net</text:span> removes a net from the design.</text:p>
<table:table table:name="Table18" table:style-name="Table18">
<table:table-column table:style-name="Table18.A"/>
<table:table-column table:style-name="Table18.B"/>
<table:table-row table:style-name="Table18.1">
<table:table-cell table:style-name="Table18.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">disconnect_pin</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table18.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="T24">net<text:line-break/>port </text:span><text:span text:style-name="T22">| </text:span><text:span text:style-name="T24">pin </text:span><text:span text:style-name="T22">| </text:span>-all</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table18.1">
<table:table-cell table:style-name="Table18.A2" office:value-type="string">
<text:p text:style-name="P33">net</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table18.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">The net to disconnect pins from.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table18.1">
<table:table-cell table:style-name="Table18.A2" office:value-type="string">
<text:p text:style-name="P35">port</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table18.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A port to connect to </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T1">net</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table18.1">
<table:table-cell table:style-name="Table18.A2" office:value-type="string">
<text:p text:style-name="P33">pin</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table18.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A pin to connect to </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T1">net</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table18.1">
<table:table-cell table:style-name="Table18.A2" office:value-type="string">
<text:p text:style-name="P29">-all</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table18.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Disconnect all pins from the net.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Disconnects a port or pin from a net. Parasitics connected to the pin are deleted.</text:p>
<table:table table:name="Table19" table:style-name="Table19">
<table:table-column table:style-name="Table19.A"/>
<table:table-column table:style-name="Table19.B"/>
<table:table-row table:style-name="Table19.1">
<table:table-cell table:style-name="Table19.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">elapsed_run_time</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table19.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"/></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Returns the total clock run time in seconds as a float.</text:p>
<table:table table:name="Table69" table:style-name="Table69">
<table:table-column table:style-name="Table69.A"/>
<table:table-column table:style-name="Table69.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">find_timing_paths</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A1" office:value-type="string">
<text:p text:style-name="P29">[-from <text:span text:style-name="T24">from_list</text:span><text:line-break/> |-rise_from <text:span text:style-name="T24">from_list</text:span><text:line-break/> |-fall_from <text:span text:style-name="T24">from_list</text:span>]<text:line-break/>[-through <text:span text:style-name="T24">through_list</text:span><text:line-break/> <text:span text:style-name="T37">|</text:span>-rise_through <text:span text:style-name="T24">through_list</text:span><text:line-break/><text:span text:style-name="T37"> |</text:span>-fall_through <text:span text:style-name="T24">through_list</text:span>]<text:line-break/>[-to <text:span text:style-name="T24">to_list</text:span><text:line-break/> |-rise_to<text:span text:style-name="T24"> to_list</text:span><text:line-break/> |-fall_to<text:span text:style-name="T24"> to_list</text:span>]<text:line-break/>[-unconstrained]<text:line-break/>[-path_delay min|min_rise|min_fall<text:line-break/> <text:s text:c="11"/>|max|max_rise|max_fall<text:line-break/> <text:s text:c="11"/>|min_max]<text:line-break/>[-group_path_count <text:span text:style-name="T24">path_count</text:span>]<text:line-break/>[-endpoint_path_count <text:span text:style-name="T24">endpoint_path_count</text:span>]<text:line-break/>[-unique_paths_to_endpoint]<text:line-break/>[-corner <text:span text:style-name="T1">corner</text:span>]<text:line-break/>[-slack_max <text:span text:style-name="T24">max_slack</text:span>]<text:line-break/>[-slack_min <text:span text:style-name="T24">min_slack</text:span>]<text:line-break/>[-sort_by_slack]<text:line-break/>[-path_group <text:span text:style-name="T24">group</text:span><text:span text:style-name="T38">s</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P43"><text:span text:style-name="T22">-from </text:span>from_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39">Return paths from a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of clocks, instances, ports, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T40">register clock </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">pins, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T40">or latch data pins.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P44"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T41">rise_</text:span><text:span text:style-name="T22">from </text:span>from_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P45"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39">Return paths from the rising edge of</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> clocks, instances, ports, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T40">register clock </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">pins, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T40">or latch data pins.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P44"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T41">fall_</text:span><text:span text:style-name="T22">from </text:span>from_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P45"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39">Return paths from the falling edge of</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> clocks, instances, ports, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T40">register clock </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">pins, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T40">or latch data pins.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P46"><text:span text:style-name="T22">-through </text:span>through_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P45"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39">Return paths through a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of instances, pins or nets.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P46"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T42">rise_</text:span><text:span text:style-name="T22">through </text:span>through_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P45"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39">Return </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T43">rising </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39">paths through a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of instances, pins or nets.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P46"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T42">fall_</text:span><text:span text:style-name="T22">through </text:span>through_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P45"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39">Return </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T43">falling </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39">paths through a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of instances, pins or nets.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T44">to </text:span>to_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45">Return paths to a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of clocks, instances, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T42">rise_</text:span><text:span text:style-name="T44">to </text:span>to_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45">Return </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T43">rising </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45">paths to a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of clocks, instances, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T42">fall_</text:span><text:span text:style-name="T44">to </text:span>to_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45">Return </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T43">falling </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45">paths to a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of clocks, instances, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P47">-unconstrained</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P48"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T46">R</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">eport unconstrained paths </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T47">also</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-path_delay min</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Return min path (hold) checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table69.13">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-path_delay min_rise</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Return min path (hold) checks for rising endpoints.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-path_delay min_fall</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Return min path (hold) checks for falling endpoints.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-path_delay max</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Return max path (setup) checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-path_delay max_rise</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Return max path (setup) checks for rising endpoints.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-path_delay max_fall</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Return max path (setup) checks for falling endpoints.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-path_delay min_max</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Return max and max path (setup and hold) checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P46"><text:span text:style-name="T22">-group_path_count </text:span>path_count</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">The number of paths to </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45">r</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">eturn in each path group.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P46"><text:span text:style-name="T22">-endpoint_path_count </text:span>endpoint_path_count</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">The number of paths to </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45">r</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">eturn for each endpoint.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P47">unique_paths_to_endpoint</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Return multiple paths to an endpoint that traverse different pins without showing multiple paths with different rise/fall transitions.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P49"><text:span text:style-name="T22">-corner </text:span>corner</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Return paths for one process corner.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P50"><text:span text:style-name="T22">-slack_max </text:span>max_slack</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Return paths with slack </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T48">less</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> than </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T24">max_slack</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P50"><text:span text:style-name="T22">-slack_m</text:span><text:span text:style-name="T49">in</text:span><text:span text:style-name="T22"> </text:span>min_slack</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Return paths with slack </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T48">greater </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">than </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T24">min_slack</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P29">-sort_by_slack</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Sort paths by slack rather than slack </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T48">within </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">path group</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T48">s</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table69.1">
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="P50"><text:span text:style-name="T22">-path_group </text:span>group<text:span text:style-name="T45">s</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table69.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45">Return paths in path groups. P</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">ath</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45">s in all</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> groups are </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45">r</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">eturned if this option is not specified.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="T7">find_timing_paths</text:span> command returns a list of path objects for scripting. Use the <text:span text:style-name="T7">get_property</text:span> function to access properties of the paths.</text:p>
<table:table table:name="Table21" table:style-name="Table21">
<table:table-column table:style-name="Table21.A"/>
<table:table-column table:style-name="Table21.B"/>
<table:table-row table:style-name="Table21.1">
<table:table-cell table:style-name="Table21.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">get_cells</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table21.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">[-hierarchical]<text:line-break/>[-hsc </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">separator</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-filter </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">expr</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-regexp]<text:line-break/>[-nocase]</text:span><text:span text:style-name="Command_20_Argument"><text:line-break/></text:span><text:span text:style-name="Command">[-quiet]<text:line-break/>[-of_objects </text:span><text:span text:style-name="Command_20_Argument">objects</text:span><text:span text:style-name="Command">]<text:line-break/>[</text:span><text:span text:style-name="Command_20_Argument">patterns</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">]</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table21.1">
<table:table-cell table:style-name="Table21.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-hierarchical</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table21.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Searches hierarchy levels below the current instance for matches.</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table21.1">
<table:table-cell table:style-name="Table21.A2" office:value-type="string">
<text:p text:style-name="P51"><text:span text:style-name="Command"><text:span text:style-name="T22">-hsc </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">separator</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table21.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Character to use to separate hierarchical instance names in </text:span><text:span text:style-name="Command_20_Argument">patterns</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T24">.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table21.1">
<table:table-cell table:style-name="Table21.A2" office:value-type="string">
<text:p text:style-name="P51"><text:span text:style-name="Command"><text:span text:style-name="T22">-filter </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T24">expr</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table21.A2" office:value-type="string">
<text:p text:style-name="P52"><text:span text:style-name="Default_20_Paragraph_20_Font">A filter expression of the form<text:line-break/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T50"> <text:s/>“</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T51">property</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">==</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T52">value”</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T53"><text:line-break/></text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">where </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T51">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is a </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T54">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> supported by the </text:span><text:span text:style-name="Command">get_property</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> command. <text:s/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T55">See the section “Filter Expressions” for additional forms.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table21.1">
<table:table-cell table:style-name="Table21.A2" office:value-type="string">
<text:p text:style-name="P53">-regexp</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table21.A2" office:value-type="string">
<text:p text:style-name="P51"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T56">Use regular expression matching instead of <text:s/>glob pattern matching.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table21.1">
<table:table-cell table:style-name="Table21.A2" office:value-type="string">
<text:p text:style-name="P53">-nocase</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table21.A2" office:value-type="string">
<text:p text:style-name="P53"><text:span text:style-name="Default_20_Paragraph_20_Font">Ignore case when matching. <text:s/>Only valid with </text:span><text:span text:style-name="Command">regexp</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table21.1">
<table:table-cell table:style-name="Table21.A2" office:value-type="string">
<text:p text:style-name="P53">-quiet</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table21.A2" office:value-type="string">
<text:p text:style-name="P53"><text:span text:style-name="Default_20_Paragraph_20_Font">Do not warn if no matches are found.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table21.1">
<table:table-cell table:style-name="Table21.A2" office:value-type="string">
<text:p text:style-name="P51"><text:span text:style-name="Command"><text:span text:style-name="T22">-of_objects </text:span></text:span><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table21.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of a pin or net, a list of pins returned by </text:span><text:span text:style-name="Command">get_pins</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">, or a list of nets returned by </text:span><text:span text:style-name="Command">get_nets</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">. The </text:span><text:span text:style-name="Command">hierarchical</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> option cannot be used with </text:span><text:span text:style-name="Command">of_objects</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table21.1">
<table:table-cell table:style-name="Table21.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">patterns</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table21.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of instance name patterns.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">get_cells</text:span> command returns a list of all cell instances that match <text:span text:style-name="Command_20_Argument">patterns</text:span>.</text:p>
<table:table table:name="Table22" table:style-name="Table22">
<table:table-column table:style-name="Table22.A"/>
<table:table-column table:style-name="Table22.B"/>
<table:table-row table:style-name="Table22.1">
<table:table-cell table:style-name="Table22.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">get_clocks</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table22.A1" office:value-type="string">
<text:p text:style-name="P54"><text:span text:style-name="Command">[-regexp]<text:line-break/>[-nocase]<text:line-break/>[-filter </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">expr</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-quiet]</text:span><text:span text:style-name="Command_20_Argument"><text:line-break/>patterns</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table22.1">
<table:table-cell table:style-name="Table22.A2" office:value-type="string">
<text:p text:style-name="P53">-regexp</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table22.A2" office:value-type="string">
<text:p text:style-name="P51"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T56">Use regular expression matching instead of <text:s/>glob pattern matching.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table22.1">
<table:table-cell table:style-name="Table22.A2" office:value-type="string">
<text:p text:style-name="P53">-nocase</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table22.A2" office:value-type="string">
<text:p text:style-name="P53"><text:span text:style-name="Default_20_Paragraph_20_Font">Ignore case when matching. <text:s/>Only valid with </text:span><text:span text:style-name="Command">regexp</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table22.1">
<table:table-cell table:style-name="Table22.A2" office:value-type="string">
<text:p text:style-name="P51"><text:span text:style-name="Command"><text:span text:style-name="T22">-filter </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T24">expr</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table22.A2" office:value-type="string">
<text:p text:style-name="P52"><text:span text:style-name="Default_20_Paragraph_20_Font">A filter expression of the form<text:line-break/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T50"> <text:s/>“</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T51">property</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">==</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T52">value”</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T53"><text:line-break/></text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">where </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T51">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is a </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T54">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> supported by the </text:span><text:span text:style-name="Command">get_property</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> command. <text:s/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T55">See the section “Filter Expressions” for additional forms.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table22.1">
<table:table-cell table:style-name="Table22.A2" office:value-type="string">
<text:p text:style-name="P53">-quiet</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table22.A2" office:value-type="string">
<text:p text:style-name="P53"><text:span text:style-name="Default_20_Paragraph_20_Font">Do not warn if no matches are found.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table22.1">
<table:table-cell table:style-name="Table22.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">patterns</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table22.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clock name patterns.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">get_clocks</text:span> command returns a list of all clocks that have been defined.</text:p>
<table:table table:name="Table35" table:style-name="Table35">
<table:table-column table:style-name="Table35.A"/>
<table:table-column table:style-name="Table35.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table35.1">
<table:table-cell table:style-name="Table35.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">get_fanin</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table35.A1" office:value-type="string">
<text:p text:style-name="P29">-to <text:span text:style-name="T24">sink_list</text:span><text:line-break/>[-flat]<text:line-break/>[-only_cells]<text:line-break/>[-startpoints_only]<text:line-break/>[-levels <text:span text:style-name="T24">level_count</text:span>]<text:line-break/>[-pin_levels <text:span text:style-name="T24">pin_count</text:span>]<text:line-break/>[-trace_arcs timing|enabled|all]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table35.2">
<table:table-cell table:style-name="Table35.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">-</text:span></text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T57">to </text:span></text:span></text:span><text:span text:style-name="Command_20_Argument">sink_list</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table35.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">List of pins, ports, or nets to find the fanin of. For nets, the fanin of driver pins on the nets are returned.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table35.1">
<table:table-cell table:style-name="Table35.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-flat</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table35.A2" office:value-type="string">
<text:p text:style-name="P55"><text:span text:style-name="Default_20_Paragraph_20_Font">With </text:span><text:span text:style-name="Command">flat</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> pins in the fanin at any hierarchy level are returned. Without </text:span><text:span text:style-name="Command">flat</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> only pins at the same hierarchy level as the sinks are returned.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table35.1">
<table:table-cell table:style-name="Table35.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-only_cells</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table35.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Return the instances connected to the pins in the fanin.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table35.1">
<table:table-cell table:style-name="Table35.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-startpoints_only</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table35.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Only return pins that are startpoints.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table35.1">
<table:table-cell table:style-name="Table35.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T22">-</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T58">level </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T24">level_count</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table35.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Only return pins within </text:span><text:span text:style-name="Command_20_Argument">level_count</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> instance traversals.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table35.1">
<table:table-cell table:style-name="Table35.A2" office:value-type="string">
<text:p text:style-name="P56"><text:span text:style-name="Command">-pin_levels </text:span><text:span text:style-name="Command_20_Argument">pin_count</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table35.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Only return pins within </text:span><text:span text:style-name="Command_20_Argument">pin_count</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> pin traversals.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table35.8">
<table:table-cell table:style-name="Table35.A2" office:value-type="string">
<text:p text:style-name="P29">-trace_arcs <text:span text:style-name="T59">timing</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table35.A2" office:value-type="string">
<text:p text:style-name="P55"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T59">Only trace through timing arcs that are not disabled.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table35.8">
<table:table-cell table:style-name="Table35.A2" office:value-type="string">
<text:p text:style-name="P56">-trace_arcs <text:span text:style-name="T59">enabled</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table35.A2" office:value-type="string">
<text:p text:style-name="P57"><text:span text:style-name="Default_20_Paragraph_20_Font">Only trace through timing arcs that are not disabled.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table35.8">
<table:table-cell table:style-name="Table35.A2" office:value-type="string">
<text:p text:style-name="P56">-trace_arcs <text:span text:style-name="T59">all</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table35.A2" office:value-type="string">
<text:p text:style-name="P57"><text:span text:style-name="Default_20_Paragraph_20_Font">Trace through all arcs, including disabled ones.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="T7">get</text:span><text:span text:style-name="Command"><text:span text:style-name="T7">_f</text:span></text:span><text:span text:style-name="Command">anin</text:span> <text:s/>command returns traverses the design from <text:span text:style-name="Command_20_Argument">sink_list</text:span> pins, ports or nets backwards and return the fanin pins or instances.</text:p>
<table:table table:name="Table37" table:style-name="Table37">
<table:table-column table:style-name="Table37.A"/>
<table:table-column table:style-name="Table37.B"/>
<table:table-row table:style-name="Table37.1">
<table:table-cell table:style-name="Table37.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">get_fanout</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table37.A1" office:value-type="string">
<text:p text:style-name="P29">-from <text:span text:style-name="T24">source_list</text:span><text:line-break/>[-flat]<text:line-break/>[-only_cells]<text:line-break/>[-endpoints_only]<text:line-break/>[-levels <text:span text:style-name="T24">level_count</text:span>]<text:line-break/>[-pin_levels <text:span text:style-name="T24">pin_count</text:span>]<text:line-break/>[-trace_arcs timing|enabled|all]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table37.1">
<table:table-cell table:style-name="Table37.A2" office:value-type="string">
<text:p text:style-name="P56"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">-from </text:span></text:span><text:span text:style-name="Command_20_Argument">source_list</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table37.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">List of pins, ports, or nets to find the fanout of. For nets, the fanout of load pins on the nets are returned.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table37.1">
<table:table-cell table:style-name="Table37.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-flat</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table37.A2" office:value-type="string">
<text:p text:style-name="P55"><text:span text:style-name="Default_20_Paragraph_20_Font">With </text:span><text:span text:style-name="Command">flat</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> pins in the fanin at any hierarchy level are returned. Without </text:span><text:span text:style-name="Command">flat</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> only pins at the same hierarchy level as the sinks are returned.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table37.1">
<table:table-cell table:style-name="Table37.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-only_cells</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table37.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Return the instances connected to the pins in the fanout.</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table37.1">
<table:table-cell table:style-name="Table37.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-endpoints_only</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table37.A2" office:value-type="string">
<text:p text:style-name="Example">Only return pins that are endpoints.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table37.1">
<table:table-cell table:style-name="Table37.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T22">-</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T58">level </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T24">level_count</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table37.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Only return pins within </text:span><text:span text:style-name="Command_20_Argument">level_count</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> instance traversals.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table37.1">
<table:table-cell table:style-name="Table37.A2" office:value-type="string">
<text:p text:style-name="P56"><text:span text:style-name="Command">-pin_levels </text:span><text:span text:style-name="Command_20_Argument">pin_count</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table37.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Only return pins within </text:span><text:span text:style-name="Command_20_Argument">pin_count</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> pin traversals.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table37.1">
<table:table-cell table:style-name="Table37.A2" office:value-type="string">
<text:p text:style-name="P29">-trace_arcs <text:span text:style-name="T59">timing</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table37.A2" office:value-type="string">
<text:p text:style-name="P55"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T59">Only trace through timing arcs that are not disabled.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table37.1">
<table:table-cell table:style-name="Table37.A2" office:value-type="string">
<text:p text:style-name="P56">-trace_arcs <text:span text:style-name="T59">enabled</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table37.A2" office:value-type="string">
<text:p text:style-name="P57"><text:span text:style-name="Default_20_Paragraph_20_Font">Only trace through timing arcs that are not disabled.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table37.1">
<table:table-cell table:style-name="Table37.A2" office:value-type="string">
<text:p text:style-name="P56">-trace_arcs <text:span text:style-name="T59">all</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table37.A2" office:value-type="string">
<text:p text:style-name="P57"><text:span text:style-name="Default_20_Paragraph_20_Font">Trace through all arcs, including disabled ones.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="T7">get</text:span><text:span text:style-name="Command">_fanout</text:span> <text:s/>command returns traverses the design from <text:span text:style-name="Command_20_Argument">source_list</text:span> pins, ports or nets backwards and return the fanout pins or instances.</text:p>
<table:table table:name="Table158" table:style-name="Table158">
<table:table-column table:style-name="Table158.A"/>
<table:table-column table:style-name="Table158.B"/>
<table:table-row table:style-name="Table158.1">
<table:table-cell table:style-name="Table158.A1" office:value-type="string">
<text:p text:style-name="P58"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">get_full_name</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table158.A1" office:value-type="string">
<text:p text:style-name="P58"><text:span text:style-name="Command"><text:span text:style-name="T1">object</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table158.1">
<table:table-cell table:style-name="Table158.A2" office:value-type="string">
<text:p text:style-name="P58"><text:span text:style-name="Command"><text:span text:style-name="T1">object</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table158.A2" office:value-type="string">
<text:p text:style-name="P59">A library, cell, port, instance, pin or timing arc object.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P60">Return the name of <text:span text:style-name="T3">object</text:span>. Equivalent to <text:span text:style-name="T7">[get_property </text:span><text:span text:style-name="T3">object</text:span><text:span text:style-name="T7"> full_name]</text:span>.</text:p>
<table:table table:name="Table23" table:style-name="Table23">
<table:table-column table:style-name="Table23.A"/>
<table:table-column table:style-name="Table23.B"/>
<table:table-row table:style-name="Table23.1">
<table:table-cell table:style-name="Table23.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Heading">get_lib_cells</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table23.A1" office:value-type="string">
<text:p text:style-name="P54"><text:span text:style-name="Command">[-of_objects </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">objects</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-hsc </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">separator</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-filter </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">expr</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-regexp]<text:line-break/>[-nocase]<text:line-break/>[-quiet]</text:span><text:span text:style-name="Command_20_Argument"><text:line-break/>pattern</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T60">s</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table23.1">
<table:table-cell table:style-name="Table23.A1" office:value-type="string">
<text:p text:style-name="P61"><text:span text:style-name="Command"><text:span text:style-name="T33">-of_objects </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">objects</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table23.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of instance objects.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table23.1">
<table:table-cell table:style-name="Table23.A3" office:value-type="string">
<text:p text:style-name="P61"><text:span text:style-name="Command">-hsc </text:span><text:span text:style-name="Command_20_Argument">separator</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table23.A3" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Character that separates the library name and cell name in </text:span><text:span text:style-name="Command_20_Argument">pattern</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T60">s</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">. </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T61">Defaults to </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T61">/</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T61">.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table23.1">
<table:table-cell table:style-name="Table23.A3" office:value-type="string">
<text:p text:style-name="P51"><text:span text:style-name="Command"><text:span text:style-name="T22">-filter </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T24">expr</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table23.A3" office:value-type="string">
<text:p text:style-name="P52"><text:span text:style-name="Default_20_Paragraph_20_Font">A filter expression of the form<text:line-break/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T50"> <text:s/>“</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T51">property</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">==</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T52">value”</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T53"><text:line-break/></text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">where </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T51">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is a </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T54">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> supported by the </text:span><text:span text:style-name="Command">get_property</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> command. <text:s/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T55">See the section “Filter Expressions” for additional forms.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table23.1">
<table:table-cell table:style-name="Table23.A3" office:value-type="string">
<text:p text:style-name="P53">-regexp</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table23.A3" office:value-type="string">
<text:p text:style-name="P51"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T56">Use regular expression matching instead of <text:s/>glob pattern matching.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table23.1">
<table:table-cell table:style-name="Table23.A3" office:value-type="string">
<text:p text:style-name="P53">-nocase</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table23.A3" office:value-type="string">
<text:p text:style-name="P53"><text:span text:style-name="Default_20_Paragraph_20_Font">Ignore case when matching. <text:s/>Only valid with </text:span><text:span text:style-name="Command">regexp</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table23.1">
<table:table-cell table:style-name="Table23.A3" office:value-type="string">
<text:p text:style-name="P53">-quiet</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table23.A3" office:value-type="string">
<text:p text:style-name="P53"><text:span text:style-name="Default_20_Paragraph_20_Font">Do not warn if no matches are found.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table23.1">
<table:table-cell table:style-name="Table23.A3" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">pattern</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T60">s</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table23.A3" office:value-type="string">
<text:p text:style-name="Example">A list of library cell name patterns of the form library_name/cell_name.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">get_lib_cells</text:span> command returns a list of library cells that match <text:span text:style-name="Command_20_Argument">pattern</text:span>. The library name can be prepended to the cell name pattern with the <text:span text:style-name="Command_20_Argument">separator</text:span> character, which defaults to <text:span text:style-name="Command">hierarchy_separator</text:span>.</text:p>
<table:table table:name="Table24" table:style-name="Table24">
<table:table-column table:style-name="Table24.A"/>
<table:table-column table:style-name="Table24.B"/>
<table:table-row table:style-name="Table24.1">
<table:table-cell table:style-name="Table24.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">get_lib_pins</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table24.A1" office:value-type="string">
<text:p text:style-name="P62"><text:span text:style-name="Command">[-of_objects </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">objects</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-hsc </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">separator</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-filter </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">expr</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-regexp]<text:line-break/>[-nocase]<text:line-break/>[-quiet]</text:span><text:span text:style-name="Command_20_Argument"><text:line-break/>patterns</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table24.1">
<table:table-cell table:style-name="Table24.A2" office:value-type="string">
<text:p text:style-name="P61"><text:span text:style-name="Command"><text:span text:style-name="T33">-of_objects </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">objects</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table24.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T62">library cell</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> objects.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table24.1">
<table:table-cell table:style-name="Table24.A2" office:value-type="string">
<text:p text:style-name="P61"><text:span text:style-name="Command">-hsc </text:span><text:span text:style-name="Command_20_Argument">separator</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table24.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Character that separates the library name, cell name </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T60">and port name </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">in </text:span><text:span text:style-name="Command_20_Argument">pattern</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">. </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T61">Defaults to </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T61">/</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T61">.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table24.1">
<table:table-cell table:style-name="Table24.A2" office:value-type="string">
<text:p text:style-name="P51"><text:span text:style-name="Command"><text:span text:style-name="T22">-filter </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T24">expr</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table24.A2" office:value-type="string">
<text:p text:style-name="P52"><text:span text:style-name="Default_20_Paragraph_20_Font">A filter expression of the form<text:line-break/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T50"> <text:s/>“</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T51">property</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">==</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T52">value”</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T53"><text:line-break/></text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">where </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T51">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is a </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T54">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> supported by the </text:span><text:span text:style-name="Command">get_property</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> command. <text:s/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T55">See the section “Filter Expressions” for additional forms.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table24.1">
<table:table-cell table:style-name="Table24.A2" office:value-type="string">
<text:p text:style-name="P53">-regexp</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table24.A2" office:value-type="string">
<text:p text:style-name="P51"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T56">Use regular expression matching instead of <text:s/>glob pattern matching.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table24.1">
<table:table-cell table:style-name="Table24.A2" office:value-type="string">
<text:p text:style-name="P53">-nocase</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table24.A2" office:value-type="string">
<text:p text:style-name="P53"><text:span text:style-name="Default_20_Paragraph_20_Font">Ignore case when matching. <text:s/>Only valid with </text:span><text:span text:style-name="Command">regexp</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table24.1">
<table:table-cell table:style-name="Table24.A2" office:value-type="string">
<text:p text:style-name="P53">-quiet</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table24.A2" office:value-type="string">
<text:p text:style-name="P53"><text:span text:style-name="Default_20_Paragraph_20_Font">Do not warn if no matches are found.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table24.1">
<table:table-cell table:style-name="Table24.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">patterns</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table24.A2" office:value-type="string">
<text:p text:style-name="Example">A list of library port name patterns of the form library_name/cell_name/port_name.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">get_lib_pins</text:span> command returns a list of library ports that match <text:span text:style-name="Command_20_Argument">pattern</text:span>. <text:s text:c="4"/>Use <text:span text:style-name="Command_20_Argument">separator</text:span> to separate the library and cell name patterns from the port name in <text:span text:style-name="Command_20_Argument">pattern</text:span>.</text:p>
<table:table table:name="Table25" table:style-name="Table25">
<table:table-column table:style-name="Table25.A"/>
<table:table-column table:style-name="Table25.B"/>
<table:table-row table:style-name="Table25.1">
<table:table-cell table:style-name="Table25.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">get_libs</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table25.A1" office:value-type="string">
<text:p text:style-name="P54"><text:span text:style-name="Command">[-filter </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">expr</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-regexp]<text:line-break/>[-nocase]<text:line-break/>[-quiet]</text:span><text:span text:style-name="Command_20_Argument"><text:line-break/>patterns</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table25.1">
<table:table-cell table:style-name="Table25.A2" office:value-type="string">
<text:p text:style-name="P51"><text:span text:style-name="Command"><text:span text:style-name="T22">-filter </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T24">expr</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table25.A2" office:value-type="string">
<text:p text:style-name="P52"><text:span text:style-name="Default_20_Paragraph_20_Font">A filter expression of the form<text:line-break/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T50"> <text:s/>“</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T51">property</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">==</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T52">value”</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T53"><text:line-break/></text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">where </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T51">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is a </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T54">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> supported by the </text:span><text:span text:style-name="Command">get_property</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> command. <text:s/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T55">See the section “Filter Expressions” for additional forms.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table25.3">
<table:table-cell table:style-name="Table25.A2" office:value-type="string">
<text:p text:style-name="P53">-regexp</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table25.A2" office:value-type="string">
<text:p text:style-name="P51"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T56">Use regular expression matching instead of <text:s/>glob pattern matching.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table25.4">
<table:table-cell table:style-name="Table25.A2" office:value-type="string">
<text:p text:style-name="P53">-nocase</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table25.A2" office:value-type="string">
<text:p text:style-name="P53"><text:span text:style-name="Default_20_Paragraph_20_Font">Ignore case when matching. <text:s/>Only valid with </text:span><text:span text:style-name="Command">regexp</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table25.5">
<table:table-cell table:style-name="Table25.A2" office:value-type="string">
<text:p text:style-name="P53">-quiet</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table25.A2" office:value-type="string">
<text:p text:style-name="P53"><text:span text:style-name="Default_20_Paragraph_20_Font">Do not warn if no matches are found.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table25.6">
<table:table-cell table:style-name="Table25.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">patterns</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table25.A2" office:value-type="string">
<text:p text:style-name="Example">A list of library name patterns.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">get_libs</text:span> command returns a list of clocks that match <text:span text:style-name="T24">patterns</text:span>.</text:p>
<table:table table:name="Table26" table:style-name="Table26">
<table:table-column table:style-name="Table26.A"/>
<table:table-column table:style-name="Table26.B"/>
<table:table-row table:style-name="Table26.1">
<table:table-cell table:style-name="Table26.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">get_nets</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table26.A1" office:value-type="string">
<text:p text:style-name="P54"><text:span text:style-name="Command">[-hierarchical]<text:line-break/>[-hsc </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">separator</text:span></text:span><text:span text:style-name="Command">]<text:line-break/></text:span><text:span text:style-name="Command"><text:span text:style-name="T63">[-filter </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T64">expr</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T65">]</text:span></text:span><text:span text:style-name="Command"><text:line-break/>[-regexp]<text:line-break/>[-nocase]<text:line-break/>[-quiet]</text:span><text:span text:style-name="Command_20_Argument"><text:line-break/></text:span><text:span text:style-name="Command">[-of_objects </text:span><text:span text:style-name="Command_20_Argument">objects</text:span><text:span text:style-name="Command">]<text:line-break/></text:span><text:span text:style-name="Command"><text:span text:style-name="T66">[</text:span></text:span><text:span text:style-name="Command_20_Argument">patterns</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T67">]</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table26.2">
<table:table-cell table:style-name="Table26.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-hierarchical</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table26.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Searches hierarchy levels below the current instance for matches.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table26.1">
<table:table-cell table:style-name="Table26.A2" office:value-type="string">
<text:p text:style-name="P61"><text:span text:style-name="Command">-hsc </text:span><text:span text:style-name="Command_20_Argument">separator</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table26.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Character that separates the library name, cell name </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T60">and port name </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">in </text:span><text:span text:style-name="Command_20_Argument">pattern</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">. </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T61">Defaults to </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T61">/</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T61">.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table26.1">
<table:table-cell table:style-name="Table26.A2" office:value-type="string">
<text:p text:style-name="P51"><text:span text:style-name="Command"><text:span text:style-name="T22">-filter </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T24">expr</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table26.A2" office:value-type="string">
<text:p text:style-name="P52"><text:span text:style-name="Default_20_Paragraph_20_Font">A filter expression of the form<text:line-break/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T50"> <text:s/>“</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T51">property</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">==</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T52">value”</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T53"><text:line-break/></text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">where </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T51">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is a </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T54">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> supported by the </text:span><text:span text:style-name="Command">get_property</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> command. <text:s/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T55">See the section “Filter Expressions” for additional forms.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table26.1">
<table:table-cell table:style-name="Table26.A2" office:value-type="string">
<text:p text:style-name="P53">-regexp</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table26.A2" office:value-type="string">
<text:p text:style-name="P51"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T56">Use regular expression matching instead of <text:s/>glob pattern matching.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table26.1">
<table:table-cell table:style-name="Table26.A2" office:value-type="string">
<text:p text:style-name="P53">-nocase</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table26.A2" office:value-type="string">
<text:p text:style-name="P53"><text:span text:style-name="Default_20_Paragraph_20_Font">Ignore case when matching. <text:s/>Only valid with </text:span><text:span text:style-name="Command">regexp</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table26.1">
<table:table-cell table:style-name="Table26.A2" office:value-type="string">
<text:p text:style-name="P53">-quiet</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table26.A2" office:value-type="string">
<text:p text:style-name="P53"><text:span text:style-name="Default_20_Paragraph_20_Font">Do not warn if no matches are found.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table26.1">
<table:table-cell table:style-name="Table26.A2" office:value-type="string">
<text:p text:style-name="P63"><text:span text:style-name="Command">-of_objects </text:span><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table26.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of a pin or instance, a list of pins returned by </text:span><text:span text:style-name="Command">get_pins</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">, or a list of instances returned by </text:span><text:span text:style-name="Command">get_cells</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">. The </text:span><text:span text:style-name="Command">hierarchical </text:span><text:span text:style-name="Default_20_Paragraph_20_Font">option cannot be used with </text:span><text:span text:style-name="Command">of_objects</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table26.1">
<table:table-cell table:style-name="Table26.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">patterns</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table26.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of net name patterns.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first"><text:soft-page-break/>The <text:span text:style-name="Command">get_nets</text:span> command returns a list of all nets that match <text:span text:style-name="Command_20_Argument">patterns</text:span>.</text:p>
<table:table table:name="Table144" table:style-name="Table144">
<table:table-column table:style-name="Table144.A"/>
<table:table-column table:style-name="Table144.B"/>
<table:table-row table:style-name="Table144.1">
<table:table-cell table:style-name="Table144.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">get_name</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table144.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T1">object</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table144.1">
<table:table-cell table:style-name="Table144.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T1">object</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table144.A2" office:value-type="string">
<text:p text:style-name="Example">A library, cell, port, instance, pin or timing arc object.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Return the name of <text:span text:style-name="T3">object</text:span>. Equivalent to <text:span text:style-name="T7">[get_property </text:span><text:span text:style-name="T3">object</text:span><text:span text:style-name="T7"> name]</text:span>.</text:p>
<table:table table:name="Table27" table:style-name="Table27">
<table:table-column table:style-name="Table27.A"/>
<table:table-column table:style-name="Table27.B"/>
<table:table-row table:style-name="Table27.1">
<table:table-cell table:style-name="Table27.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">get_pins</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table27.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">[-hierarchical]<text:line-break/>[-hsc </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">separator</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-filter </text:span><text:span text:style-name="Command_20_Argument">expr</text:span><text:span text:style-name="Command">]<text:line-break/>[-regexp]<text:line-break/>[-nocase]<text:line-break/>[-quiet]<text:line-break/>[-of_objects </text:span><text:span text:style-name="Command_20_Argument">objects</text:span><text:span text:style-name="Command">]<text:line-break/></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">[</text:span></text:span><text:span text:style-name="Command_20_Argument">patterns</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">]</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table27.1">
<table:table-cell table:style-name="Table27.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-hierarchical</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table27.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Searches hierarchy levels below the current instance for matches.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table27.1">
<table:table-cell table:style-name="Table27.A2" office:value-type="string">
<text:p text:style-name="P61"><text:span text:style-name="Command">-hsc </text:span><text:span text:style-name="Command_20_Argument">separator</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table27.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Character that separates the library name, cell name </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T60">and port name </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">in </text:span><text:span text:style-name="Command_20_Argument">pattern</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">. </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T61">Defaults to </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T61">/</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T61">.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table27.1">
<table:table-cell table:style-name="Table27.A2" office:value-type="string">
<text:p text:style-name="P51"><text:span text:style-name="Command"><text:span text:style-name="T22">-filter </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T24">expr</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table27.A2" office:value-type="string">
<text:p text:style-name="P52"><text:span text:style-name="Default_20_Paragraph_20_Font">A filter expression of the form<text:line-break/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T50"> <text:s/>“</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T51">property</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">==</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T52">value”</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T53"><text:line-break/></text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">where </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T51">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is a </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T54">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> supported by the </text:span><text:span text:style-name="Command">get_property</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> command. <text:s/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T55">See the section “Filter Expressions” for additional forms.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table27.1">
<table:table-cell table:style-name="Table27.A2" office:value-type="string">
<text:p text:style-name="P53">-nocase</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table27.A2" office:value-type="string">
<text:p text:style-name="P53"><text:span text:style-name="Default_20_Paragraph_20_Font">Ignore case when matching. <text:s/>Only valid with </text:span><text:span text:style-name="Command">regexp</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table27.1">
<table:table-cell table:style-name="Table27.A2" office:value-type="string">
<text:p text:style-name="P53">-quiet</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table27.A2" office:value-type="string">
<text:p text:style-name="P53"><text:span text:style-name="Default_20_Paragraph_20_Font">Do not warn if no matches are found.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table27.1">
<table:table-cell table:style-name="Table27.A2" office:value-type="string">
<text:p text:style-name="P63"><text:span text:style-name="Command">-of_objects </text:span><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table27.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of a </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T68">net</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> or instance, a list of </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T68">nets</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> returned by </text:span><text:span text:style-name="Command">get_</text:span><text:span text:style-name="Command"><text:span text:style-name="T68">nets</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">, or a list of instances returned by </text:span><text:span text:style-name="Command">get_cells</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">. The </text:span><text:span text:style-name="Command">hierarchical </text:span><text:span text:style-name="Default_20_Paragraph_20_Font">option cannot be used with </text:span><text:span text:style-name="Command">of_objects</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table27.1">
<table:table-cell table:style-name="Table27.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">patterns</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table27.A2" office:value-type="string">
<text:p text:style-name="Example">A list of pin name patterns.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">get_pins</text:span> command returns a list of all instance pins that match <text:span text:style-name="Command_20_Argument">patterns</text:span>.</text:p>
<text:p text:style-name="P64">A useful idiom to find the driver pin for a net is the following.</text:p>
<text:p text:style-name="P65">get_pins -of_objects [get_net <text:span text:style-name="T1">net_name</text:span>] -filter “direction==output”</text:p>
<table:table table:name="Table28" table:style-name="Table28">
<table:table-column table:style-name="Table28.A"/>
<table:table-column table:style-name="Table28.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table28.1">
<table:table-cell table:style-name="Table28.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">get_ports</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table28.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">[-filter </text:span><text:span text:style-name="Command_20_Argument">expr</text:span><text:span text:style-name="Command">]<text:line-break/>[-regexp]<text:line-break/>[-nocase]<text:line-break/>[-quiet]<text:line-break/>[-of_objects </text:span><text:span text:style-name="Command_20_Argument">objects</text:span><text:span text:style-name="Command">]<text:line-break/>[</text:span><text:span text:style-name="Command_20_Argument">patterns</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">]</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table28.1">
<table:table-cell table:style-name="Table28.A2" office:value-type="string">
<text:p text:style-name="P51"><text:span text:style-name="Command"><text:span text:style-name="T22">-filter </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T24">expr</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table28.A2" office:value-type="string">
<text:p text:style-name="P52"><text:span text:style-name="Default_20_Paragraph_20_Font">A filter expression of the form<text:line-break/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T50"> <text:s/>“</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T51">property</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">==</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T52">value”</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T53"><text:line-break/></text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">where </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T51">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is a </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T54">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> supported by the </text:span><text:span text:style-name="Command">get_property</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> command. <text:s/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T55">See the section “Filter Expressions” for additional forms.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table28.1">
<table:table-cell table:style-name="Table28.A2" office:value-type="string">
<text:p text:style-name="P53">-regexp</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table28.A2" office:value-type="string">
<text:p text:style-name="P51"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T56">Use regular expression matching instead of <text:s/>glob pattern matching.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table28.1">
<table:table-cell table:style-name="Table28.A2" office:value-type="string">
<text:p text:style-name="P53">-nocase</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table28.A2" office:value-type="string">
<text:p text:style-name="P53"><text:span text:style-name="Default_20_Paragraph_20_Font">Ignore case when matching. <text:s/>Only valid with </text:span><text:span text:style-name="Command">regexp</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table28.1">
<table:table-cell table:style-name="Table28.A2" office:value-type="string">
<text:p text:style-name="P53">-quiet</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table28.A2" office:value-type="string">
<text:p text:style-name="P53"><text:span text:style-name="Default_20_Paragraph_20_Font">Do not warn if no matches are found.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table28.1">
<table:table-cell table:style-name="Table28.A2" office:value-type="string">
<text:p text:style-name="P51"><text:span text:style-name="Command"><text:span text:style-name="T22">-of_objects </text:span></text:span><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table28.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of <text:s/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T69">net or </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">a list of nets returned by </text:span><text:span text:style-name="Command">get_nets</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table28.1">
<table:table-cell table:style-name="Table28.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">patterns</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table28.A2" office:value-type="string">
<text:p text:style-name="Example">A list of port name patterns.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">get_ports</text:span> command returns a list of all top level ports that match <text:span text:style-name="Command_20_Argument">patterns</text:span>.</text:p>
<table:table table:name="Table108" table:style-name="Table108">
<table:table-column table:style-name="Table108.A"/>
<table:table-column table:style-name="Table108.B"/>
<table:table-row table:style-name="Table108.1">
<table:table-cell table:style-name="Table108.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">get_property</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table108.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">[-object_type </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">object_type</text:span></text:span><text:span text:style-name="Command">]<text:line-break/></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">object</text:span></text:span><text:span text:style-name="Command"><text:line-break/></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T1">property</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table108.1">
<table:table-cell table:style-name="Table108.A2" office:value-type="string">
<text:p text:style-name="P66"><text:span text:style-name="Command"><text:span text:style-name="T24">-object_type object_type</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table108.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T70">The type of </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T70">object</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T70"> when it is specified as a name.</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T70"><text:line-break/></text:span></text:span><text:span text:style-name="Command">cell|pin|net|port|clock|</text:span><text:span text:style-name="Command"><text:span text:style-name="T71">library|library_cell|library_pin|</text:span></text:span><text:span text:style-name="Command">timing_arc</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table108.1">
<table:table-cell table:style-name="Table108.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T1">object</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table108.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">An object </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T70">returned by </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T70">get_cells, get_pins, get_nets, get_ports, get_clocks, </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T71">get_libs, get_lib_cells, get_lib_pins,</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T70"> or get_timing_arcs</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T70">, </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">or object name. </text:span><text:span text:style-name="Command">object_type</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is required if </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">object</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is a name.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table108.1">
<table:table-cell table:style-name="Table108.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T1">property</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table108.A2" office:value-type="string">
<text:p text:style-name="Example">A property name.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The properties for different objects types are shown below. </text:p>
<text:p text:style-name="P67">cell (SDC lib_cell)</text:p>
<text:p text:style-name="P68"><text:span text:style-name="T72">base_name<text:line-break/>filename<text:line-break/></text:span><text:soft-page-break/><text:span text:style-name="T72">full_name<text:line-break/>library<text:line-break/></text:span>name</text:p>
<text:p text:style-name="P69">clock</text:p>
<text:p text:style-name="P70"><text:span text:style-name="T73">full_name<text:line-break/></text:span>is_generated<text:line-break/><text:span text:style-name="T74">is_propagated<text:line-break/>is_virtual</text:span><text:line-break/>name<text:line-break/>period<text:line-break/>sources</text:p>
<text:p text:style-name="P69">edge</text:p>
<text:p text:style-name="P71">delay_max_fall<text:line-break/>delay_min_fall<text:line-break/>delay_max_rise<text:line-break/>delay_min_rise<text:line-break/><text:span text:style-name="T75">full_name<text:line-break/>from_pin<text:line-break/>sense<text:line-break/>to_pin</text:span></text:p>
<text:p text:style-name="P72">instance (<text:span text:style-name="T76">SDC </text:span>cell)</text:p>
<text:p text:style-name="P73"><text:span text:style-name="T76">cell<text:line-break/></text:span>full_name<text:line-break/><text:span text:style-name="T74">is_buffer<text:line-break/>is_clock_gate<text:line-break/>is_hierarchical<text:line-break/>is_inverter<text:line-break/>is_macro<text:line-break/>is_memory</text:span><text:line-break/><text:span text:style-name="T76">liberty_cell</text:span><text:line-break/><text:span text:style-name="T76">name<text:line-break/>ref_name</text:span></text:p>
<text:p text:style-name="P69">liberty_cell <text:span text:style-name="T77">(SDC lib_cell)</text:span></text:p>
<text:p text:style-name="P73"><text:span text:style-name="T72">area<text:line-break/></text:span>base_name<text:line-break/><text:span text:style-name="T72">dont_use</text:span><text:line-break/><text:span text:style-name="T78">filename</text:span><text:line-break/>full_name<text:line-break/><text:span text:style-name="T72">is_buffer<text:line-break/>is_inverter<text:line-break/></text:span><text:span text:style-name="T79">is_memory</text:span><text:line-break/><text:span text:style-name="T72">library</text:span><text:line-break/><text:span text:style-name="T72">name</text:span></text:p>
<text:p text:style-name="P69">liberty_port <text:span text:style-name="T77">(SDC lib_pin)</text:span></text:p>
<text:p text:style-name="P74"><text:soft-page-break/><text:span text:style-name="T80">capacitance<text:line-break/></text:span>direction<text:line-break/><text:span text:style-name="T80">drive_resistance<text:line-break/>drive_resistance_</text:span><text:span text:style-name="T81">max_fall</text:span><text:span text:style-name="T80"><text:line-break/>drive_resistance_</text:span><text:span text:style-name="T81">max_rise</text:span><text:span text:style-name="T80"><text:line-break/>drive_resistance_</text:span><text:span text:style-name="T81">min_fall</text:span><text:span text:style-name="T80"><text:line-break/>drive_resistance_</text:span><text:span text:style-name="T81">min_rise</text:span><text:line-break/>full_name<text:line-break/><text:span text:style-name="T82">intrinsic_delay<text:line-break/>intrinsic_delay_max_</text:span><text:span text:style-name="T81">fall</text:span><text:span text:style-name="T82"><text:line-break/>intrinsic_delay_</text:span><text:span text:style-name="T81">max_rise</text:span><text:span text:style-name="T82"><text:line-break/>intrinsic_delay_</text:span><text:span text:style-name="T81">min_fall</text:span><text:span text:style-name="T82"><text:line-break/>intrinsic_delay_</text:span><text:span text:style-name="T81">min_rise</text:span><text:line-break/><text:span text:style-name="T80">is_register_clock<text:line-break/>lib_cell</text:span><text:line-break/><text:span text:style-name="T80">name</text:span></text:p>
<text:p text:style-name="P69">library</text:p>
<text:p text:style-name="P75"><text:span text:style-name="T83">filename</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T83"> </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T84">(Liberty library only)</text:span></text:span><text:span text:style-name="T83"><text:line-break/></text:span>name<text:line-break/><text:span text:style-name="T72">full_name</text:span></text:p>
<text:p text:style-name="P69">net</text:p>
<text:p text:style-name="P68">full_name<text:line-break/><text:span text:style-name="T75">name</text:span></text:p>
<text:p text:style-name="Body">path (PathEnd)</text:p>
<text:p text:style-name="Example_20__20_indented">endpoint<text:line-break/>endpoint_clock<text:line-break/>endpoint_clock_pin<text:line-break/>slack<text:line-break/>startpoint<text:line-break/>startpoint_clock<text:line-break/>points</text:p>
<text:p text:style-name="P69">pin</text:p>
<text:p text:style-name="P76"><text:span text:style-name="T85">activity </text:span><text:span text:style-name="T86">(activity in transitions per second, duty cycle, origin)</text:span><text:span text:style-name="T85"><text:line-break/></text:span><text:span text:style-name="T87">slew_max_</text:span><text:span text:style-name="T85">fall<text:line-break/></text:span><text:span text:style-name="T87">slew_max_rise</text:span><text:span text:style-name="T85"><text:line-break/></text:span><text:span text:style-name="T87">slew_min_fall<text:line-break/>slew_min_rise</text:span><text:line-break/>clocks<text:line-break/><text:span text:style-name="T88">clock_domains</text:span><text:line-break/>direction<text:line-break/>full_name<text:line-break/><text:span text:style-name="T74">is_hierarchical<text:line-break/>is_port</text:span><text:line-break/>is_register_clock<text:line-break/>lib_pin_name<text:line-break/><text:span text:style-name="T87">name</text:span><text:line-break/><text:span text:style-name="T87">slack_max<text:line-break/></text:span><text:soft-page-break/><text:span text:style-name="T87">slack_</text:span>max_fall<text:line-break/><text:span text:style-name="T87">slack_</text:span>max_rise<text:line-break/><text:span text:style-name="T87">slack_min<text:line-break/>slack_</text:span>min_fall<text:line-break/><text:span text:style-name="T87">slack_</text:span>min_rise</text:p>
<text:p text:style-name="P69">port</text:p>
<text:p text:style-name="P77"><text:span text:style-name="T72">activity<text:line-break/></text:span><text:span text:style-name="T87">slew_max_</text:span><text:span text:style-name="T85">fall<text:line-break/></text:span><text:span text:style-name="T87">slew_max_rise</text:span><text:span text:style-name="T85"><text:line-break/></text:span><text:span text:style-name="T87">slew_min_fall<text:line-break/>slew_min_rise</text:span><text:line-break/>direction<text:line-break/>full_name<text:line-break/><text:span text:style-name="T72">liberty_port<text:line-break/></text:span><text:span text:style-name="T89">name</text:span><text:span text:style-name="T72"><text:line-break/></text:span><text:span text:style-name="T87">slack_max<text:line-break/>slack_</text:span><text:span text:style-name="T72">max_fall<text:line-break/></text:span><text:span text:style-name="T87">slack_</text:span><text:span text:style-name="T72">max_rise<text:line-break/></text:span><text:span text:style-name="T87">slack_min<text:line-break/>slack_</text:span><text:span text:style-name="T72">min_fall<text:line-break/></text:span><text:span text:style-name="T87">slack_</text:span><text:span text:style-name="T72">min_rise</text:span></text:p>
<text:p text:style-name="P69">point (PathRef)</text:p>
<text:p text:style-name="P78">arrival<text:line-break/>pin<text:line-break/>required<text:line-break/>slack</text:p>
<table:table table:name="Table29" table:style-name="Table29">
<table:table-column table:style-name="Table29.A"/>
<table:table-column table:style-name="Table29.B"/>
<table:table-row table:style-name="Table29.1">
<table:table-cell table:style-name="Table29.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">get_timing_edges</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table29.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">[-from </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">from_pins</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-to </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">to_pins</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-of_objects </text:span><text:span text:style-name="Command_20_Argument">objects</text:span><text:span text:style-name="Command">]<text:line-break/>[-filter </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">expr</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[</text:span><text:span text:style-name="Command_20_Argument">patterns</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">]</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table29.1">
<table:table-cell table:style-name="Table29.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T22">-</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T90">f</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T91">ro</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T90">m </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T24">from_pin</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table29.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">A </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T92">list</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> of pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table29.1">
<table:table-cell table:style-name="Table29.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T22">-</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T90">to </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T24">to_pin</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table29.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T92">list</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> of pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table29.1">
<table:table-cell table:style-name="Table29.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">-</text:span></text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T90">of_objects </text:span></text:span></text:span><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table29.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T92">list</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> of instances or library cells. The </text:span><text:span text:style-name="Command">from</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> and </text:span><text:span text:style-name="Command">-to</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> options cannot be used with </text:span><text:span text:style-name="Command">of_objects</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table29.1">
<table:table-cell table:style-name="Table29.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T93">-</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T91">filte</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T90">r </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T24">expr</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table29.A2" office:value-type="string">
<text:p text:style-name="P52"><text:span text:style-name="Default_20_Paragraph_20_Font">A filter expression of the form<text:line-break/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T50"> <text:s/>“</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T51">property</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">==</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T52">value”</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T53"><text:line-break/></text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">where </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T51">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is a </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T54">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> supported by the </text:span><text:span text:style-name="Command">get_property</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> command. <text:s/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T55">See the section “Filter Expressions” for additional forms.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first"><text:soft-page-break/>The <text:span text:style-name="Command">get_timing_edges</text:span> command returns a <text:span text:style-name="T92">list</text:span> of timing edges (arcs) to, from or between pins. The result can be passed to <text:span text:style-name="Command">get_property</text:span> or <text:span text:style-name="Command">set_disable_timing</text:span>.</text:p>
<table:table table:name="Table30" table:style-name="Table30">
<table:table-column table:style-name="Table30.A"/>
<table:table-column table:style-name="Table30.B"/>
<table:table-row table:style-name="Table30.1">
<table:table-cell table:style-name="Table30.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">group_path</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table30.A1" office:value-type="string">
<text:p text:style-name="P79">-name <text:span text:style-name="T24">group_name<text:line-break/></text:span>[-weight <text:span text:style-name="T24">weight</text:span>]<text:line-break/>[-critical_range <text:span text:style-name="T24">range</text:span>]<text:line-break/>[-from <text:span text:style-name="T24">from_list</text:span><text:line-break/> |-rise_from <text:span text:style-name="T24">from_list</text:span><text:line-break/> |-fall_from <text:span text:style-name="T24">from_list</text:span>]<text:line-break/>[-through <text:span text:style-name="T24">through_list</text:span><text:span text:style-name="T44">]</text:span><text:line-break/><text:span text:style-name="T94">[</text:span>-rise_through <text:span text:style-name="T24">through_list</text:span><text:span text:style-name="T44">]</text:span><text:line-break/><text:span text:style-name="T94">[</text:span>-fall_through <text:span text:style-name="T24">through_list</text:span>]<text:line-break/>[-to <text:span text:style-name="T24">to_list</text:span><text:line-break/> |-rise_to<text:span text:style-name="T24"> to_list</text:span><text:line-break/> |-fall_to<text:span text:style-name="T24"> to_list</text:span>]<text:line-break/><text:span text:style-name="T95">[-default]</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table30.1">
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T22">-</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T90">name </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T24">group_name</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of the path group.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table30.1">
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">-</text:span></text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T90">weight </text:span></text:span></text:span><text:span text:style-name="Command_20_Argument">weight</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Not supported.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table30.1">
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T90">-critical_range </text:span>range</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Not supported.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table30.1">
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P43"><text:span text:style-name="T22">-from </text:span>from_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T37">Group</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39"> paths from a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of clocks, instances, ports, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T40">register clock </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">pins, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T40">or latch data pins.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table30.1">
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P44"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T41">rise_</text:span><text:span text:style-name="T22">from </text:span>from_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P45"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T37">Group </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39"><text:s/>paths from the rising edge of</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> clocks, instances, ports, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T40">register clock </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">pins, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T40">or latch data pins.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table30.1">
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P44"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T41">fall_</text:span><text:span text:style-name="T22">from </text:span>from_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P45"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T37">Group</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39"> paths from the falling edge of</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> clocks, instances, ports, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T40">register clock </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">pins, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T40">or latch data pins.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table30.1">
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P46"><text:span text:style-name="T22">-through </text:span>through_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P45"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T96">Group</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39"> paths through a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of instances, pins or nets.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table30.1">
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P46"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T42">rise_</text:span><text:span text:style-name="T22">through </text:span>through_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P45"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T96">Group</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39"> </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T43">rising </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39">paths through a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of instances, pins or nets.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table30.1">
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P46"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T42">fall_</text:span><text:span text:style-name="T22">through </text:span>through_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P45"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T96">Group</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39"> </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T43">falling </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39">paths through a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of instances, pins or nets.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table30.1">
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T44">to </text:span>to_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P80"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T96">Group</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45"> paths to a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of clocks, instances, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table30.1">
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T42">rise_</text:span><text:span text:style-name="T44">to </text:span>to_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P80"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T96">Group</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45"> </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T43">rising </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45">paths to a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of clocks, instances, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table30.1">
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T42">fall_</text:span><text:span text:style-name="T44">to </text:span>to_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P80"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T96">Group</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45"> </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T43">falling </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45">paths to a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of clocks, instances, port-s or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table30.1">
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P81">-default</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table30.A2" office:value-type="string">
<text:p text:style-name="P82">Restore the paths in the path group <text:span text:style-name="T50">-from/-to/-through/-to</text:span> to their default path group.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">group_path</text:span> command is used to group paths reported by the <text:span text:style-name="Command">report_checks</text:span> command. See <text:span text:style-name="Command">set_false_path</text:span> for a description of allowed <text:span text:style-name="Command_20_Argument">from_list</text:span>, <text:span text:style-name="Command_20_Argument">through_list</text:span> and <text:span text:style-name="Command_20_Argument">to_list</text:span> objects.</text:p>
<table:table table:name="Table125" table:style-name="Table125">
<table:table-column table:style-name="Table125.A"/>
<table:table-column table:style-name="Table125.B"/>
<table:table-row table:style-name="Table125.1">
<table:table-cell table:style-name="Table125.A1" office:value-type="string">
<text:p text:style-name="P83"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:alphabetical-index-mark-start text:id="IMark53760025232"/><text:alphabetical-index-mark-start text:id="IMark53760024512"/><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T97">include</text:span></text:span><text:alphabetical-index-mark-end text:id="IMark53760024512"/><text:alphabetical-index-mark-end text:id="IMark53760025232"/><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table125.A1" office:value-type="string">
<text:p text:style-name="P83"><text:span text:style-name="Command">[-echo</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">|-e</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-verbose</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">|-v</text:span></text:span><text:span text:style-name="Command">]</text:span><text:span text:style-name="Command_20_Argument"><text:line-break/>filename</text:span><text:span text:style-name="Command"><text:line-break/></text:span><text:span text:style-name="Command"><text:span text:style-name="T99">[</text:span></text:span><text:span text:style-name="Command">&gt; </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T99">log_filename</text:span></text:span><text:span text:style-name="Command">]<text:line-break/></text:span><text:span text:style-name="Command"><text:span text:style-name="T99">[</text:span></text:span><text:span text:style-name="Command">&gt;&gt; </text:span><text:span text:style-name="Command"><text:span text:style-name="T99">log_</text:span></text:span><text:span text:style-name="Command_20_Argument">filename</text:span><text:span text:style-name="Command">]</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table125.1">
<table:table-cell table:style-name="Table125.A2" office:value-type="string">
<text:p text:style-name="P83"><text:span text:style-name="Command">-ech</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">o|-e</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table125.A2" office:value-type="string">
<text:p text:style-name="P84"><text:span text:style-name="Default_20_Paragraph_20_Font">Print each command before evaluating it.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table125.1">
<table:table-cell table:style-name="Table125.A2" office:value-type="string">
<text:p text:style-name="P83"><text:span text:style-name="Command">-verbose</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">|-v</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table125.A2" office:value-type="string">
<text:p text:style-name="P84"><text:span text:style-name="Default_20_Paragraph_20_Font">Print each command before evaluating it as well as the result it returns.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table125.1">
<table:table-cell table:style-name="Table125.A2" office:value-type="string">
<text:p text:style-name="P83"><text:span text:style-name="Command_20_Argument">filename</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table125.A2" office:value-type="string">
<text:p text:style-name="P84"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of the file containing commands to read.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table125.1">
<table:table-cell table:style-name="Table125.A2" office:value-type="string">
<text:p text:style-name="P83"><text:span text:style-name="Command">&gt; </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T99">log_filename</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table125.A2" office:value-type="string">
<text:p text:style-name="P85"><text:span text:style-name="Default_20_Paragraph_20_Font">Redirect command output to log_filename.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table125.1">
<table:table-cell table:style-name="Table125.A2" office:value-type="string">
<text:p text:style-name="P83"><text:span text:style-name="Command">&gt;&gt; </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T99">log_filename</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table125.A2" office:value-type="string">
<text:p text:style-name="P85"><text:span text:style-name="Default_20_Paragraph_20_Font">Redirect command output and append log_filename.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P86">Read STA/SDC/Tcl commands from <text:span text:style-name="Command_20_Argument">filename</text:span>.</text:p>
<text:p text:style-name="P86">The <text:span text:style-name="Command"><text:span text:style-name="T97">include</text:span></text:span> command stops and reports any errors encountered while reading a file unless <text:span text:style-name="Command">sta_continue_on_error</text:span> is <text:span text:style-name="Command">1</text:span>.</text:p>
<table:table table:name="Table33" table:style-name="Table33">
<table:table-column table:style-name="Table33.A"/>
<table:table-column table:style-name="Table33.B"/>
<table:table-row table:style-name="Table33.1">
<table:table-cell table:style-name="Table33.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">link_design</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table33.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T100">[-no_black_boxes]<text:line-break/></text:span></text:span><text:span text:style-name="Command">[</text:span><text:span text:style-name="Command"><text:span text:style-name="T24">cell_name</text:span></text:span><text:span text:style-name="Command">]</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table33.1">
<table:table-cell table:style-name="Table33.A2" office:value-type="string">
<text:p text:style-name="P87"><text:span text:style-name="Command"><text:span text:style-name="T100">-no_black_boxes</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table33.A2" office:value-type="string">
<text:p text:style-name="P88"><text:span text:style-name="T101">Do not </text:span><text:span text:style-name="T102">make empty “black box” cells for instances that reference undefined cells. </text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table33.1">
<table:table-cell table:style-name="Table33.A2" office:value-type="string">
<text:p text:style-name="P89"><text:span text:style-name="Command"><text:span text:style-name="T24">cell_name</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table33.A2" office:value-type="string">
<text:p text:style-name="P90"><text:span text:style-name="Default_20_Paragraph_20_Font">The top level module/cell name of the design hierarchy to link.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P91">Link (elaborate, flatten) the the top level cell <text:span text:style-name="Command_20_Argument">cell_name</text:span>. The design must be linked after reading netlist and library files. The default value of <text:span text:style-name="Command_20_Argument">cell_name</text:span> is the current design.</text:p>
<text:p text:style-name="Body">The linker creates empty &quot;block box&quot; cells for instances the reference undefined cells when the variable <text:span text:style-name="Command">link_create_black_boxes</text:span> is <text:span text:style-name="Command">true</text:span>. When <text:span text:style-name="Command">link_create_black_boxes</text:span> is <text:span text:style-name="Command">false</text:span> an error is reported and the link fails.</text:p>
<text:p text:style-name="Body">The <text:span text:style-name="Command">link_design</text:span> command returns <text:span text:style-name="Command">1</text:span> if the link succeeds and <text:span text:style-name="Command">0</text:span> if it fails.</text:p>
<table:table table:name="Table3" table:style-name="Table3">
<table:table-column table:style-name="Table3.A"/>
<table:table-column table:style-name="Table3.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table3.1">
<table:table-cell table:style-name="Table3.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">make_instance</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table3.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T1">inst_path<text:line-break/>lib_cell</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table3.1">
<table:table-cell table:style-name="Table3.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T1">inst_path</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table3.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A hierarchical instance name.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table3.1">
<table:table-cell table:style-name="Table3.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T1">lib_cell</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table3.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">The library cell of the new instance.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">make_instance</text:span></text:span> command makes an instance of library cell <text:span text:style-name="Command_20_Argument">lib_cell</text:span>.</text:p>
<table:table table:name="Table10" table:style-name="Table10">
<table:table-column table:style-name="Table10.A"/>
<table:table-column table:style-name="Table10.B"/>
<table:table-row table:style-name="Table10.1">
<table:table-cell table:style-name="Table10.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">make_net</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table10.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T1">net_name_list</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table10.1">
<table:table-cell table:style-name="Table10.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">net_name_list</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table10.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of net names.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Creates a net for each hierarchical net name.</text:p>
<table:table table:name="Table36" table:style-name="Table36">
<table:table-column table:style-name="Table36.A"/>
<table:table-column table:style-name="Table36.B"/>
<table:table-row table:style-name="Table36.1">
<table:table-cell table:style-name="Table36.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">read_liberty</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table36.A1" office:value-type="string">
<text:p text:style-name="P92">[-corner <text:span text:style-name="T1">corner</text:span>]<text:line-break/>[-min]<text:line-break/>[-max]<text:line-break/>[-infer_latch<text:span text:style-name="T103">es</text:span>]<text:line-break/><text:span text:style-name="Command_20_Argument">filename</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table36.1">
<table:table-cell table:style-name="Table36.A2" office:value-type="string">
<text:p text:style-name="P33"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T104">corner </text:span>corner</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table36.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Use </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T105">the </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">library for process corner </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">corner</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T22"> delay calculation.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table36.1">
<table:table-cell table:style-name="Table36.A2" office:value-type="string">
<text:p text:style-name="P29">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table36.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Use library for min delay calculation.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table36.1">
<table:table-cell table:style-name="Table36.A2" office:value-type="string">
<text:p text:style-name="P29">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table36.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Use library for max delay calculation.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table36.1">
<table:table-cell table:style-name="Table36.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">filename</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table36.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T105">liberty file </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">name to read.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">read_liberty</text:span> command reads a Liberty format library file. The first library that is read sets the units used by SDC/TCL commands and reporting. The <text:span text:style-name="Command">include_file</text:span> attribute is supported.</text:p>
<text:p text:style-name="P93"><text:span text:style-name="T103">Some Liberty libraries do not include </text:span><text:span text:style-name="Example"><text:span text:style-name="T103">latch</text:span></text:span><text:span text:style-name="T103"> groups for cells that are describe transparent latches. In that situation the </text:span><text:span text:style-name="Example"><text:span text:style-name="T103">-infer_latches</text:span></text:span><text:span text:style-name="T103"> command flag can be used to infer the latches. The timing arcs required for a latch to be inferred should look like the following:</text:span></text:p>
<text:p text:style-name="Example_20__20_indented">cell (infered_latch) {<text:line-break/> <text:s/>pin(D) {<text:line-break/> <text:s text:c="3"/>direction : input ;<text:line-break/> <text:s text:c="3"/>timing () {<text:line-break/> <text:s text:c="5"/>related_pin : &quot;E&quot; ;<text:line-break/> <text:s text:c="5"/>timing_type : setup_falling ;<text:line-break/> <text:s text:c="3"/>}<text:line-break/> <text:s text:c="3"/>timing () {<text:line-break/> <text:s text:c="5"/>related_pin : &quot;E&quot; ;<text:line-break/> <text:s text:c="5"/>timing_type : hold_falling ;<text:line-break/><text:soft-page-break/> <text:s text:c="3"/>}<text:line-break/> <text:s/>}<text:line-break/> <text:s/>pin(E) {<text:line-break/> <text:s text:c="3"/>direction : input;<text:line-break/> <text:s/>}<text:line-break/> <text:s/>pin(Q) {<text:line-break/> <text:s text:c="3"/>direction : output ;<text:line-break/> <text:s text:c="3"/>timing () {<text:line-break/> <text:s text:c="5"/>related_pin : &quot;D&quot; ;<text:line-break/> <text:s text:c="3"/>}<text:line-break/> <text:s text:c="3"/>timing () {<text:line-break/> <text:s text:c="5"/>related_pin : &quot;E&quot; ;<text:line-break/> <text:s text:c="5"/>timing_type : rising_edge ;<text:line-break/> <text:s text:c="3"/>}<text:line-break/> <text:s/>}<text:line-break/>}</text:p>
<text:p text:style-name="Body">In this example a positive level-sensitive latch is inferred.</text:p>
<text:p text:style-name="Body_20_first">Files compressed with gzip are automatically uncompressed.</text:p>
<table:table table:name="Table131" table:style-name="Table131">
<table:table-column table:style-name="Table131.A"/>
<table:table-column table:style-name="Table131.B"/>
<table:table-row table:style-name="Table131.1">
<table:table-cell table:style-name="Table131.A1" office:value-type="string">
<text:p text:style-name="P94"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">read_</text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T106">saif</text:span></text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table131.A1" office:value-type="string">
<text:p text:style-name="P94"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T107">[-scope </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T108">scope</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T107">]<text:line-break/></text:span></text:span><text:span text:style-name="Command_20_Argument">filename</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table131.1">
<table:table-cell table:style-name="Table131.A2" office:value-type="string">
<text:p text:style-name="P94"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T108">scope</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table131.A2" office:value-type="string">
<text:p text:style-name="P95">T<text:span text:style-name="Default_20_Paragraph_20_Font">he </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T106">SAIF</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> scope of the current design to extract simulation data. Typically the test bench name and design under test instance name. Scope levels are separated with </text:span><text:span text:style-name="Command">/</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table131.1">
<table:table-cell table:style-name="Table131.A2" office:value-type="string">
<text:p text:style-name="P94"><text:span text:style-name="Command_20_Argument">filename</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table131.A2" office:value-type="string">
<text:p text:style-name="P96"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of the </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T106">SAIF</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> file to read.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P97"><text:span text:style-name="T109">The </text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T110">read_</text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T111">saif</text:span></text:span><text:span text:style-name="T109"> command reads a </text:span><text:span text:style-name="T106">SAIF</text:span><text:span text:style-name="T109"> (Switching Activity Interchange Format) file from a Verilog simulat</text:span><text:span text:style-name="T112">ion</text:span><text:span text:style-name="T109"> and extracts pin activities and duty cycles for use in power estimation. </text:span><text:span text:style-name="T113">Files compressed with gzip are supported. </text:span><text:span text:style-name="T114">Annotated activities are propagated to the fanout of the annotated pins.</text:span></text:p>
<table:table table:name="Table39" table:style-name="Table39">
<table:table-column table:style-name="Table39.A"/>
<table:table-column table:style-name="Table39.B"/>
<table:table-row table:style-name="Table39.1">
<table:table-cell table:style-name="Table39.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">read_sdc</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table39.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">[-echo]<text:line-break/></text:span><text:span text:style-name="Command_20_Argument">filename</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table39.1">
<table:table-cell table:style-name="Table39.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-echo</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table39.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Print each command before evaluating it.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table39.1">
<table:table-cell table:style-name="Table39.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">filename</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table39.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">SDC command file.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Read SDC commands from <text:span text:style-name="Command_20_Argument">filename</text:span>.</text:p>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">read_sdc</text:span> command stops and reports any errors encountered while reading a file unless <text:span text:style-name="Command">sta_continue_on_error</text:span> is <text:span text:style-name="Command">1</text:span>.</text:p>
<text:p text:style-name="Body_20_first">Files compressed with gzip are automatically uncompressed.</text:p>
<table:table table:name="Table40" table:style-name="Table40">
<table:table-column table:style-name="Table40.A"/>
<table:table-column table:style-name="Table40.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table40.1">
<table:table-cell table:style-name="Table40.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">read_sdf</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table40.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">[-corner </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T1">corner</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">]</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25"><text:line-break/>[-unescaped_dividers]<text:line-break/></text:span></text:span><text:span text:style-name="Command_20_Argument">filename</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table40.1">
<table:table-cell table:style-name="Table40.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">-</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T104">corner </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T1">corner</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table40.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Process corner delays to annotate.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table40.1">
<table:table-cell table:style-name="Table40.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-unescaped_dividers</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table40.A2" office:value-type="string">
<text:p text:style-name="P10">With this option path names in the SDF do not have to escape hierarchy dividers when the path name is escaped. For example, the escaped Verilog name &quot;\inst1/inst2 &quot; can be referenced as &quot;inst1/inst2&quot;. The correct SDF name is &quot;inst1\/inst2&quot;, since the divider does not represent a change in hierarchy in this case.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table40.1">
<table:table-cell table:style-name="Table40.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">filename</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table40.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of the SDF file to read.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Read SDF delay<text:span text:style-name="T105">s</text:span> from a file. The min and max values in the SDF tuples are used to annotate the delays for <text:span text:style-name="T3">corner</text:span>. The typical values in the SDF tuples are ignored. If multiple corners are defined <text:span text:style-name="T7">-corner</text:span> must be specified.</text:p>
<text:p text:style-name="Body_20_first">Files compressed with gzip are automatically uncompressed.</text:p>
<text:p text:style-name="Body"><text:span text:style-name="Command">INCREMENT</text:span> is supported as an alias for <text:span text:style-name="Command">INCREMENTAL</text:span>.</text:p>
<text:p text:style-name="P39">The following SDF statements are not supported.</text:p>
<text:p text:style-name="Example_20__20_indented">PORT<text:line-break/>INSTANCE wildcards</text:p>
<table:table table:name="Table146" table:style-name="Table146">
<table:table-column table:style-name="Table146.A"/>
<table:table-column table:style-name="Table146.B"/>
<table:table-row table:style-name="Table146.1">
<table:table-cell table:style-name="Table146.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">read_spef</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table146.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">[-min]<text:line-break/>[-max]<text:line-break/>[-path path]<text:line-break/>[-corner </text:span></text:span><text:span text:style-name="Command_20_Argument">corner</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">]<text:line-break/></text:span></text:span><text:span text:style-name="T115">[-keep_capacitive_coupling]<text:line-break/>[-coupling_reduction_factor </text:span><text:span text:style-name="T116">factor</text:span><text:span text:style-name="T115">]</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25"><text:line-break/>[-reduce]<text:line-break/></text:span></text:span><text:span text:style-name="Command_20_Argument">filename</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table146.1">
<table:table-cell table:style-name="Table146.A2" office:value-type="string">
<text:p text:style-name="P29">-<text:span text:style-name="T117">min</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table146.A2" office:value-type="string">
<text:p text:style-name="P98"><text:span text:style-name="Default_20_Paragraph_20_Font">Annotate parasitics for min delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table146.1">
<table:table-cell table:style-name="Table146.A2" office:value-type="string">
<text:p text:style-name="P29">-<text:span text:style-name="T117">max</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table146.A2" office:value-type="string">
<text:p text:style-name="P98"><text:span text:style-name="Default_20_Paragraph_20_Font">Annotate parasitics for max delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table146.1">
<table:table-cell table:style-name="Table146.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">path</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table146.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Hierarchical </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T117">block </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">instance path to annotate with <text:s/>parasitics.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table146.1">
<table:table-cell table:style-name="Table146.A2" office:value-type="string">
<text:p text:style-name="P99"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T118">-corner</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T119"> </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T24">corner</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table146.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T117">Annotate parasitics for one</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> process corner.</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table146.1">
<table:table-cell table:style-name="Table146.A2" office:value-type="string">
<text:p text:style-name="P100">keep_capacitive_coupling</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table146.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Keep coupling capacitors in parasitic networks rather than converting them to grounded capacitors.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table146.1">
<table:table-cell table:style-name="Table146.A2" office:value-type="string">
<text:p text:style-name="P101">coupling_reduction_factor<text:line-break/>factor</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table146.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Factor to multiply coupling capacitance by when reducing parasitic networks. </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T120">The default value is 1.0.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table146.1">
<table:table-cell table:style-name="Table146.A2" office:value-type="string">
<text:p text:style-name="P102"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-reduce</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table146.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Reduce detailed parasitics </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T121">and do not save the detailed parastic network</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table146.1">
<table:table-cell table:style-name="Table146.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">filename</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table146.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of the parasitics file to read.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">read_spef</text:span> command reads a file of net parasitics in <text:alphabetical-index-mark-start text:id="IMark53760024032"/>SPEF<text:alphabetical-index-mark-end text:id="IMark53760024032"/> format. <text:span text:style-name="T122">Use the </text:span><text:span text:style-name="T123"></text:span><text:span text:style-name="T124">report_parasitic_annotation</text:span><text:span text:style-name="T122"> command to check for nets that are not annotated.</text:span></text:p>
<text:p text:style-name="Body">Files compressed with gzip are automatically uncompressed.</text:p>
<text:p text:style-name="P103">Separate parasitics can be annotated for <text:span text:style-name="T125">corners and </text:span>min and max paths using the <text:span text:style-name="T50">-</text:span><text:span text:style-name="T126">corner, </text:span><text:span text:style-name="Command">min</text:span><text:span text:style-name="Command"><text:span text:style-name="T127"> and </text:span></text:span><text:span text:style-name="Command">-max</text:span> arguments. <text:span text:style-name="T128">To use the same parastiics for every corner and for min/max delay calculation read the SPEF without </text:span><text:span text:style-name="Command"><text:span text:style-name="T128">-corner</text:span></text:span><text:span text:style-name="T128">, </text:span><text:span text:style-name="Command"><text:span text:style-name="T128">-min</text:span></text:span><text:span text:style-name="T128">, and </text:span><text:span text:style-name="Command"><text:span text:style-name="T128">-max</text:span></text:span><text:span text:style-name="T128"> options. </text:span></text:p>
<text:p text:style-name="Example_20__20_indented"><text:span text:style-name="Command">read_spef</text:span> <text:span text:style-name="Command_20_Argument"><text:span text:style-name="T128">spef1</text:span></text:span></text:p>
<text:p text:style-name="P103"><text:span text:style-name="T128">To use separate parastics for min/max delay, use the </text:span><text:span text:style-name="Command"><text:span text:style-name="T128">-min</text:span></text:span><text:span text:style-name="T128">, and </text:span><text:span text:style-name="Command"><text:span text:style-name="T128">-max</text:span></text:span><text:span text:style-name="T128"> options for each SPEF file.</text:span></text:p>
<text:p text:style-name="P104"><text:span text:style-name="Command">read_spef</text:span> <text:span text:style-name="Command"><text:span text:style-name="T128">-min </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T128">spef1</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:line-break/></text:span><text:span text:style-name="Command">read_spef</text:span><text:span text:style-name="Command_20_Argument"> </text:span><text:span text:style-name="Command"><text:span text:style-name="T128">-max </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T128">spef2</text:span></text:span></text:p>
<text:p text:style-name="P105"><text:span text:style-name="T128">To use separate parastics for each corner, use the </text:span><text:span text:style-name="Command"><text:span text:style-name="T128">-corner</text:span></text:span><text:span text:style-name="T128"> option for each SPEF file.</text:span></text:p>
<text:p text:style-name="P104"><text:span text:style-name="Command">read_spef</text:span> <text:span text:style-name="Command"><text:span text:style-name="T128">-corner ss </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T128">spef1</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:line-break/></text:span><text:span text:style-name="Command">read_spef</text:span><text:span text:style-name="Command_20_Argument"> </text:span><text:span text:style-name="Command"><text:span text:style-name="T128">-corner tt spef2</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T128"><text:line-break/></text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T128">read_spef</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T128"> </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T128">-corner ff </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T128">spef3</text:span></text:span></text:p>
<text:p text:style-name="P103"><text:span text:style-name="T128">To use separate parastics for each corner and separate min/max delay calculation, use the </text:span><text:span text:style-name="Command"><text:span text:style-name="T128">-corner</text:span></text:span><text:span text:style-name="T128"> option along with the </text:span><text:span text:style-name="Command"><text:span text:style-name="T128">-min</text:span></text:span><text:span text:style-name="T128">, and </text:span><text:span text:style-name="Command"><text:span text:style-name="T128">-max</text:span></text:span><text:span text:style-name="T128"> options.</text:span></text:p>
<text:p text:style-name="P104"><text:span text:style-name="Command">read_spef</text:span> <text:span text:style-name="Command"><text:span text:style-name="T128">-corner ss -min </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T128">spef1</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:line-break/></text:span><text:span text:style-name="Command">read_spef</text:span><text:span text:style-name="Command_20_Argument"> </text:span><text:span text:style-name="Command"><text:span text:style-name="T128">-corner ss -max spef2</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T128"><text:line-break/></text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T128">read_spef</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T128"> </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T128">-corner ff -min spef</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T128">3<text:line-break/></text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T128">read_spef</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T128"> </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T128">-corner ff -max spef</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T128">4</text:span></text:span></text:p>
<text:p text:style-name="P103">With the <text:span text:style-name="Command">-reduce</text:span> <text:span text:style-name="T129">option</text:span>, the current delay calculator reduces the parastic network to the appropriate type and deletes the parasitic network. <text:span text:style-name="T121">This </text:span>substantially reduc<text:span text:style-name="T121">es</text:span> the memory required to store the parasitics.</text:p>
<text:p text:style-name="Body">Coupling capacitors are multiplied by the <text:span text:style-name="Command">coupling_reduction_factor</text:span> when a parasitic network is reduced.</text:p>
<text:p text:style-name="Body">The following SPEF constructs are ignored.</text:p>
<text:p text:style-name="Example_20__20_indented">*DESIGN_FLOW (all values are ignored)<text:line-break/>*S slews<text:line-break/><text:soft-page-break/>*D driving cell<text:line-break/>*I pin capacitances (library cell capacitances are used instead)<text:line-break/>*Q r_net load poles<text:line-break/>*K r_net load residues</text:p>
<text:p text:style-name="P106">If the SPEF file contains triplet values the first value is used.</text:p>
<text:p text:style-name="P107">Parasitic networks (DSPEF) can be annotated on hierarchical block<text:span text:style-name="T122">s</text:span> using the -path argument to specify the instance path to the block. Parasitic networks in the higher level netlist are stitched together at the hierarch<text:span text:style-name="T130">i</text:span>cal pins of the blocks.</text:p>
<table:table table:name="Table32" table:style-name="Table32">
<table:table-column table:style-name="Table32.A"/>
<table:table-column table:style-name="Table32.B"/>
<table:table-row table:style-name="Table32.1">
<table:table-cell table:style-name="Table32.A1" office:value-type="string">
<text:p text:style-name="P108"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">read_</text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T131">vcd</text:span></text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table32.A1" office:value-type="string">
<text:p text:style-name="P108"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T107">[-scope </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T108">scope</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T107">]<text:line-break/></text:span></text:span><text:span text:style-name="Command_20_Argument">filename</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table32.1">
<table:table-cell table:style-name="Table32.A2" office:value-type="string">
<text:p text:style-name="P108"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T108">scope</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table32.A2" office:value-type="string">
<text:p text:style-name="P109">T<text:span text:style-name="Default_20_Paragraph_20_Font">he VCD scope of the current design to extract simulation data. Typically the test bench name and design under test instance name. Scope levels are separated with </text:span><text:span text:style-name="Command">/</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table32.1">
<table:table-cell table:style-name="Table32.A2" office:value-type="string">
<text:p text:style-name="P108"><text:span text:style-name="Command_20_Argument">filename</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table32.A2" office:value-type="string">
<text:p text:style-name="P110"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of the </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T109">VCD</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> file to read.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P111"><text:span text:style-name="T109">The </text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T110">read_</text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T132">vcd</text:span></text:span><text:span text:style-name="T109"> command reads a VCD (Value Change Dump) file from a Verilog simulat</text:span><text:span text:style-name="T112">ion</text:span><text:span text:style-name="T109"> and extracts pin activities and duty cycles for use in power estimation. </text:span><text:span text:style-name="T113">Files compressed with gzip are supported. </text:span><text:span text:style-name="T114">Annotated activities are propagated to the fanout of the annotated pins.</text:span></text:p>
<table:table table:name="Table41" table:style-name="Table41">
<table:table-column table:style-name="Table41.A"/>
<table:table-column table:style-name="Table41.B"/>
<table:table-row table:style-name="Table41.1">
<table:table-cell table:style-name="Table41.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">read_verilog</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table41.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">filename</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table41.1">
<table:table-cell table:style-name="Table41.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">filename</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table41.A2" office:value-type="string">
<text:p text:style-name="P40">The name of the verilog file to read.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">read_verilog</text:span> command reads a gate level <text:alphabetical-index-mark-start text:id="IMark53760024032"/>verilog netlist<text:alphabetical-index-mark-end text:id="IMark53760024032"/>. After all verilog netlist and Liberty libraries are read the design must be linked with the <text:span text:style-name="Command">link_design</text:span> command.</text:p>
<text:p text:style-name="Body">Verilog 2001 module port declaratation<text:span text:style-name="T112">s are </text:span>support<text:span text:style-name="T112">ed</text:span>. An example is shown below.</text:p>
<text:p text:style-name="Example_20__20_indented">module top (input in1, in2, clk1, clk2, clk3,<text:line-break/> <text:s text:c="11"/>output out);</text:p>
<text:p text:style-name="Body">Files compressed with gzip are automatically uncompressed.</text:p>
<table:table table:name="Table54" table:style-name="Table54">
<table:table-column table:style-name="Table54.A"/>
<table:table-column table:style-name="Table54.B"/>
<table:table-row table:style-name="Table54.1">
<table:table-cell table:style-name="Table54.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">replace_cell</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table54.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">instance_list<text:line-break/>replacement_cell</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table54.1">
<table:table-cell table:style-name="Table54.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">instance_list</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table54.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of instances to swap the cell.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table54.1">
<table:table-cell table:style-name="Table54.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">replacement_cell</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table54.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The replacement lib cell.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command_20_Heading"><text:span text:style-name="T133">replace_cell</text:span></text:span> command changes the cell of an instance. The replacement cell must have the same port list (number, name, and order) as the instance&apos;s existing cell for the replacement to be successful.</text:p>
<table:table table:name="Table160" table:style-name="Table160">
<table:table-column table:style-name="Table160.A"/>
<table:table-column table:style-name="Table160.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table160.1">
<table:table-cell table:style-name="Table160.A1" office:value-type="string">
<text:p text:style-name="P112"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">replace_</text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T134">activity_annotation</text:span></text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table160.A1" office:value-type="string">
<text:p text:style-name="P112"><text:span text:style-name="Command"><text:span text:style-name="T22">[-report_unannotated]<text:line-break/>[-report_annotated]</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table160.1">
<table:table-cell table:style-name="Table160.A2" office:value-type="string">
<text:p text:style-name="P112"><text:span text:style-name="Command"><text:span text:style-name="T22">-report_unannotated</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table160.A2" office:value-type="string">
<text:p text:style-name="P113"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T134">Report unannotated pins.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table160.1">
<table:table-cell table:style-name="Table160.A2" office:value-type="string">
<text:p text:style-name="P112"><text:span text:style-name="Command"><text:span text:style-name="T22">-report_unannotated</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table160.A2" office:value-type="string">
<text:p text:style-name="P113"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T134">Report annotated pins.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P114">Report <text:span text:style-name="T135">a summary of </text:span>pins that are annotated by <text:span text:style-name="T50">read_vcd,</text:span> <text:span text:style-name="T50">read_saif</text:span> or <text:span text:style-name="T50">set_power_activity</text:span>. <text:span text:style-name="T136">Sequential internal pins and hierarchical pins are ignored.</text:span></text:p>
<table:table table:name="Table55" table:style-name="Table55">
<table:table-column table:style-name="Table55.A"/>
<table:table-column table:style-name="Table55.B"/>
<table:table-row table:style-name="Table55.1">
<table:table-cell table:style-name="Table55.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_annotated_check</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table55.A1" office:value-type="string">
<text:p text:style-name="P115"><text:span text:style-name="Command">[-setup]<text:line-break/>[-hold]<text:line-break/>[-recovery]<text:line-break/>[-removal]<text:line-break/>[-nochange]<text:line-break/>[-width]<text:line-break/>[-period]<text:line-break/>[-max_skew]<text:line-break/>[-max_line </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">lines</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">report</text:span></text:span><text:span text:style-name="Command">_annotated]<text:line-break/>[-</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">report</text:span></text:span><text:span text:style-name="Command">_</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">un</text:span></text:span><text:span text:style-name="Command">annotated]</text:span><text:span text:style-name="Command"><text:line-break/>[-constant_arcs]</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table55.1">
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T33">-setup</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report annotated setup checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table55.1">
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T33">-hold</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report annotated hold checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table55.1">
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T33">-recovery</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report annotated recovery checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table55.1">
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T33">-removal</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report annotated removal checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table55.1">
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T33">-nochange</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report annotated nochange checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table55.1">
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T33">-width</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report annotated width checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table55.1">
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T33">-period</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report annotated period checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table55.1">
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T33">-max_skew</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report annotated max skew checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table55.1">
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P116"><text:span text:style-name="Command"><text:span text:style-name="T137">-max_line lines</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Maximum number of lines listed by the </text:span><text:span text:style-name="Command"></text:span><text:span text:style-name="Command"><text:span text:style-name="T98">report</text:span></text:span><text:span text:style-name="Command">_annotated</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> and </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T98">report</text:span></text:span><text:span text:style-name="Command">_</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">un</text:span></text:span><text:span text:style-name="Command">annotated</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> options.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table55.1">
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">report</text:span></text:span><text:span text:style-name="Command">_annotated</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T98">Report</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> annotated timing arcs.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table55.1">
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">report</text:span></text:span><text:span text:style-name="Command">_</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">un</text:span></text:span><text:span text:style-name="Command">annotated</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T98">Report</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> unannotated timing arcs.</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table55.1">
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-constant_arcs</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table55.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report separate annotation counts for arcs disabled by logic constants (</text:span><text:span text:style-name="Command">set_logic_one, set_logic_zero</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">).</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P117">The <text:span text:style-name="Command_20_Heading"><text:span text:style-name="T138">report_annotated_check</text:span></text:span><text:span text:style-name="Body_20_Char"> command reports a summary of SDF timing check annotation. The </text:span><text:span text:style-name="Command">-</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">report</text:span></text:span><text:span text:style-name="Command">_annotated</text:span><text:span text:style-name="Body_20_Char"> and </text:span><text:span text:style-name="Command"></text:span><text:span text:style-name="Command"><text:span text:style-name="T98">report</text:span></text:span><text:span text:style-name="Command">_annotated</text:span><text:span text:style-name="Body_20_Char"> options can be used to list arcs that are annotated or not annotated.</text:span></text:p>
<table:table table:name="Table56" table:style-name="Table56">
<table:table-column table:style-name="Table56.A"/>
<table:table-column table:style-name="Table56.B"/>
<table:table-row table:style-name="Table56.1">
<table:table-cell table:style-name="Table56.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_annotated_delay</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table56.A1" office:value-type="string">
<text:p text:style-name="P118"><text:span text:style-name="T115">[-cell]<text:line-break/>[-net]<text:line-break/>[-from_in_ports]<text:line-break/>[-to_out_ports]</text:span><text:span text:style-name="T139"><text:line-break/></text:span><text:span text:style-name="Command">[-max_line</text:span><text:span text:style-name="Command"><text:span text:style-name="T140">s</text:span></text:span><text:span text:style-name="Command"> </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">lines</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">report</text:span></text:span><text:span text:style-name="Command">_annotated]<text:line-break/>[-</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">report</text:span></text:span><text:span text:style-name="Command">_</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">un</text:span></text:span><text:span text:style-name="Command">annotated]<text:line-break/>[-constant_arcs]</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table56.1">
<table:table-cell table:style-name="Table56.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T33">-cell</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table56.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report annotated cell delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table56.1">
<table:table-cell table:style-name="Table56.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T33">-net</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table56.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report annotated internal net delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table56.1">
<table:table-cell table:style-name="Table56.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T33">-</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T141">from_in_ports</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table56.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report annotated delays from input ports.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table56.1">
<table:table-cell table:style-name="Table56.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T33">-</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T141">to_out_ports</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table56.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report annotated delays to output ports.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table56.1">
<table:table-cell table:style-name="Table56.A2" office:value-type="string">
<text:p text:style-name="P119"><text:span text:style-name="Command"><text:span text:style-name="T22">-max_line</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T142">s</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T22"> </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">lines</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table56.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Maximum number of lines listed by the </text:span><text:span text:style-name="Command"></text:span><text:span text:style-name="Command"><text:span text:style-name="T98">report</text:span></text:span><text:span text:style-name="Command">_annotated</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> and </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T98">report</text:span></text:span><text:span text:style-name="Command">_</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">un</text:span></text:span><text:span text:style-name="Command">annotated</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> options.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table56.1">
<table:table-cell table:style-name="Table56.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">report</text:span></text:span><text:span text:style-name="Command">_annotated</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table56.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T98">Report</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> annotated timing arcs.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table56.1">
<table:table-cell table:style-name="Table56.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">report</text:span></text:span><text:span text:style-name="Command">_</text:span><text:span text:style-name="Command"><text:span text:style-name="T98">un</text:span></text:span><text:span text:style-name="Command">annotated</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table56.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T98">Report</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> unannotated timing arcs.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table56.1">
<table:table-cell table:style-name="Table56.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-constant_arcs</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table56.A2" office:value-type="string">
<text:p text:style-name="Body_20_first">Report separate annotation counts for arcs disabled by logic constants (set_logic_one, set_logic_zero).</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P120">The <text:span text:style-name="Command_20_Heading"><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T138">report_annotated_delay </text:span></text:span></text:span><text:span text:style-name="Body_20_Char"><text:span text:style-name="Body_20_Char">command reports a summary of SDF delay annotation. Without the </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="Command">from_in_ports</text:span></text:span><text:span text:style-name="Body_20_Char"><text:span text:style-name="Body_20_Char"> and </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="Command">to_out_ports</text:span></text:span><text:span text:style-name="Body_20_Char"><text:span text:style-name="Body_20_Char"> options arcs to and from top level ports </text:span></text:span><text:span text:style-name="Body_20_Char"><text:span text:style-name="Body_20_Char"><text:span text:style-name="T143">are</text:span></text:span></text:span><text:span text:style-name="Body_20_Char"><text:span text:style-name="Body_20_Char"> not reported. The </text:span></text:span><text:span text:style-name="Body_20_Char"><text:span text:style-name="Body_20_Char"><text:span text:style-name="T98">report</text:span></text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="Command">_annotated</text:span></text:span><text:span text:style-name="Body_20_Char"><text:span text:style-name="Body_20_Char"> and </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="Command"></text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="Command"><text:span text:style-name="T98">report</text:span></text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="Command">_</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T98">un</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="Command">annotated</text:span></text:span><text:span text:style-name="Body_20_Char"><text:span text:style-name="Body_20_Char"> options can be used to list arcs that are annotated or not annotated.</text:span></text:span></text:p>
<table:table table:name="Table52" table:style-name="Table52">
<table:table-column table:style-name="Table52.A"/>
<table:table-column table:style-name="Table52.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A1" office:value-type="string">
<text:p text:style-name="P121"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_checks</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A1" office:value-type="string">
<text:p text:style-name="P122">[-from <text:span text:style-name="T24">from_list</text:span><text:line-break/> |-rise_from <text:span text:style-name="T24">from_list</text:span><text:line-break/> |-fall_from <text:span text:style-name="T24">from_list</text:span>]<text:line-break/>[-through <text:span text:style-name="T24">through_list</text:span><text:line-break/> |-rise_through <text:span text:style-name="T24">through_list</text:span><text:line-break/> |-fall_through <text:span text:style-name="T24">through_list</text:span>]<text:line-break/>[-to <text:span text:style-name="T24">to_list</text:span><text:line-break/> |-rise_to<text:span text:style-name="T24"> to_list</text:span><text:line-break/> |-fall_to<text:span text:style-name="T24"> to_list</text:span>]<text:line-break/>[-unconstrained]<text:line-break/>[-path_delay min|min_rise|min_fall<text:line-break/> <text:s text:c="11"/>|max|max_rise|max_fall<text:line-break/> <text:s text:c="11"/>|min_max]<text:line-break/>[-group_path_count <text:span text:style-name="T24">path_count</text:span>]<text:line-break/>[-endpoint_path_count <text:span text:style-name="T24">endpoint_path_count</text:span>]<text:line-break/>[-unique_paths_to_endpoint]<text:line-break/><text:span text:style-name="T144">[</text:span>-unique_<text:span text:style-name="T144">edges</text:span>_to_endpoint]<text:line-break/>[-corner <text:span text:style-name="T1">corner</text:span>]<text:line-break/>[-slack_max <text:span text:style-name="T24">max_slack</text:span>]<text:line-break/>[-slack_min <text:span text:style-name="T24">min_slack</text:span>]<text:line-break/>[-sort_by_slack]<text:line-break/>[-path_group <text:span text:style-name="T24">group</text:span><text:span text:style-name="T145">s</text:span>]<text:line-break/>[-format end|full|short|summary<text:line-break/> <text:s text:c="11"/>|full_clock|full_clock_expanded<text:line-break/> <text:s text:c="11"/><text:span text:style-name="T146">|json</text:span>]<text:line-break/>[-fields <text:span text:style-name="T1">fields</text:span>]<text:line-break/>[-digits <text:span text:style-name="T24">digits</text:span>]<text:line-break/>[-no_line_split]<text:line-break/>[&gt; <text:span text:style-name="T24">filename</text:span>]<text:line-break/>[&gt;&gt; <text:span text:style-name="T24">filename</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P123"><text:span text:style-name="T22">-from </text:span>from_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T37">Report</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39"> paths from a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of clocks, instances, ports, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T40">register clock </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">pins, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T40">or latch data pins.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P123"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T41">rise_</text:span><text:span text:style-name="T22">from </text:span>from_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T37">Report </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39"><text:s/>paths from the rising edge of</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> clocks, instances, ports, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T40">register clock </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">pins, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T40">or latch data pins.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P123"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T41">fall_</text:span><text:span text:style-name="T22">from </text:span>from_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T37">Report</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39"> paths from the falling edge of</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> clocks, instances, ports, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T40">register clock </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">pins, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T40">or latch data pins.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P123"><text:span text:style-name="T22">-through </text:span>through_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T96">Report</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39"> paths through a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of instances, pins or nets.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P123"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T42">rise_</text:span><text:span text:style-name="T22">through </text:span>through_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T96">Report</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39"> </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T43">rising </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39">paths through a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of instances, pins or nets.</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P123"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T42">fall_</text:span><text:span text:style-name="T22">through </text:span>through_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T96">Report</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39"> </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T43">falling </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T39">paths through a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of instances, pins or nets.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P123"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T44">to </text:span>to_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T96">Report</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45"> paths to a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of clocks, instances, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P123"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T42">rise_</text:span><text:span text:style-name="T44">to </text:span>to_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T96">Report</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45"> </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T43">rising </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45">paths to a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of clocks, instances, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P123"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T42">fall_</text:span><text:span text:style-name="T44">to </text:span>to_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T96">Report</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45"> </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T43">falling </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T45">paths to a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> list of clocks, instances, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P125">-unconstrained</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T46">R</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">eport unconstrained paths </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T47">also</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">. </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T46">The unconstrained path group is not reported without this option</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P121"><text:span text:style-name="Command">-path_delay min</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">Report min path (hold) checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.13">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P121"><text:span text:style-name="Command">-path_delay min_rise</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">Report min path (hold) checks for rising endpoints.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P121"><text:span text:style-name="Command">-path_delay min_fall</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">Report min path (hold) checks for falling endpoints.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P121"><text:span text:style-name="Command">-path_delay max</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">Report max path (setup) checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P121"><text:span text:style-name="Command">-path_delay max_rise</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">Report max path (setup) checks for rising endpoints.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P121"><text:span text:style-name="Command">-path_delay max_fall</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">Report max path (setup) checks for falling endpoints.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P121"><text:span text:style-name="Command">-path_delay min_max</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">Report max and max path (setup and hold) checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P123"><text:span text:style-name="T22">-group_path_count </text:span>path_count</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">The number of paths to report in each path group. The default is 1.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P123"><text:span text:style-name="T22">-endpoint_path_count</text:span> endpoint_path_count</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">The number of paths to report for each endpoint. The default is 1.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P125">unique_paths_to_endpoint</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">When multiple paths to an endpoint are specified with </text:span><text:span text:style-name="Command">endpoint_path_count,</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> many of the paths may differ only in the rise/fall edges of the pins in the paths. With this option only the worst path through the set of pi</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T144">n</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">s is reported.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P126">unique_<text:span text:style-name="T144">edges</text:span>_to_endpoint</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P127"><text:span text:style-name="Default_20_Paragraph_20_Font">When multiple paths to an endpoint are specified with </text:span><text:span text:style-name="Command">endpoint_path_count,</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T144">conditional timing arcs result in paths that through the same pins and rise/fall edges. </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">With this option only the worst path through the set of pi</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T144">ns and rise/fall edges</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is reported.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P128"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T147">corner </text:span>corner</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T148">R</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">eport paths for </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T148">one</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> process corner. </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T149">The</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> default </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T149">is to report</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> paths </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T149">for</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> all process corners.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P123"><text:span text:style-name="T22">-slack_max </text:span>max_slack</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">Only report paths with less slack than </text:span><text:span text:style-name="Command_20_Argument">max_slack</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P123"><text:span text:style-name="T22">-slack_min </text:span>min_slack</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">Only report paths with more slack than </text:span><text:span text:style-name="Command_20_Argument">min_slack</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P121">-sort_by_slack</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">Sort paths by slack rather than slack grouped by path group.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P123"><text:span text:style-name="T22">-path_group </text:span>group<text:span text:style-name="T150">s</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">List of path group</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T150">s</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> to report. </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T150">The default is to report a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">ll path groups.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P121">-format end</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">Report path ends in one line with delay, required time and slack.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P121">-format full</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">Report path start and end points and the path. This is the default path type.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P121">-format full_clock</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">Report path start and end points, the path, and the source and and target clock paths.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P121">-format full_clock_expanded</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">Report path start and end points, the path, and the source and and target clock paths. If the clock is generated and propagated, the path from the clock source pin is also reported.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P121">-format short</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">Report only path start and end points.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P121">-format summary</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P124"><text:span text:style-name="Default_20_Paragraph_20_Font">Report only path ends with delay.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P121">-format <text:span text:style-name="T151">json</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P129"><text:span text:style-name="T151">Report in json format. </text:span><text:span text:style-name="T152">-</text:span><text:span text:style-name="T153">fields</text:span><text:span text:style-name="T154"> </text:span><text:span text:style-name="T155">is ignored.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P128"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T154">fields </text:span>fields</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P121"><text:span text:style-name="Default_20_Paragraph_20_Font">List of </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T50">capacitance|slew|input_pins|</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T156">hierarc</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T157">h</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T156">i</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T157">c</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T156">al_pins|</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T50">nets|fanout</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T158">|src_attr</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P128"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T154">digits </text:span>digits</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P130"><text:span text:style-name="Default_20_Paragraph_20_Font">The number of digits after the decimal point to report. The default value is the variable <text:s/></text:span><text:span text:style-name="Command">sta_report_default_digits</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table52.1">
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P121">-no_line_splits</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table52.A2" office:value-type="string">
<text:p text:style-name="P130"><text:span text:style-name="Default_20_Paragraph_20_Font">Do not split long lines into multiple lines.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P131">The <text:span text:style-name="Command">report_checks</text:span> command reports paths in the design. <text:span text:style-name="T159">Paths are reported in groups by capture clock, unclocked path delays, gated clocks and unconstrained.</text:span></text:p>
<text:p text:style-name="P132">See <text:span text:style-name="Command">set_false_path</text:span> for a description of allowed <text:span text:style-name="Command_20_Argument">from_list</text:span>, <text:span text:style-name="Command_20_Argument">through_list</text:span> and <text:span text:style-name="Command_20_Argument">to_list</text:span> objects.</text:p>
<table:table table:name="Table59" table:style-name="Table59">
<table:table-column table:style-name="Table59.A"/>
<table:table-column table:style-name="Table59.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table59.1">
<table:table-cell table:style-name="Table59.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_check_types</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table59.A1" office:value-type="string">
<text:p text:style-name="P29">[-violators]<text:line-break/>[-verbose]<text:line-break/>[-format slack_only|end]<text:line-break/>[-max_delay]<text:line-break/>[-min_delay]<text:line-break/>[-recovery]<text:line-break/>[-removal]<text:line-break/>[-clock_gating_setup]<text:line-break/>[-clock_gating_hold]<text:line-break/>[-max_slew]<text:line-break/>[-min_slew]<text:line-break/>[-min_pulse_width]<text:line-break/>[-min_period]<text:line-break/>[-digits <text:span text:style-name="T24">digits</text:span>]<text:line-break/>[-no_split_lines]<text:line-break/>[&gt; <text:span text:style-name="T24">filename</text:span>]<text:line-break/>[&gt;&gt; <text:span text:style-name="T24">filename</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table59.1">
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T160">-violators</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report all violated timing and design rule constraints.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table59.1">
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P133">-verbose</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Use a verbose output format.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table59.1">
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P29">-format <text:span text:style-name="T161">slack_only</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T161">R</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">eport the minimum slack for each </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T161">timing </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">check.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table59.1">
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P29">-format <text:span text:style-name="T161">end</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T161">R</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">eport the endpoint for each check.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table59.1">
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P29">-max_delay</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report setup and max delay path delay constraints.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table59.1">
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P29">-min_delay</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report hold and min delay path delay constraints.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table59.1">
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P29">-recovery</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report asynchronous recovery checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table59.1">
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P29">-removal</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report asynchronous removal checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table59.1">
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P29">-clock_gating_setup</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report gated clock enable setup checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table59.1">
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P29">-clock_gating_hold</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report gated clock hold setup checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table59.1">
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P29">-max_slew</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report max transition design rule checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table59.1">
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P29">-max_skew</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report max skew design rule checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table59.1">
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P29">-min_pulse_width</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report min pulse width design rule checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table59.1">
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P29">-min_period</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report min period design rule checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table59.1">
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P29">-min_slew</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report min slew design rule checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table59.1">
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P33"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T154">digits </text:span>digits</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P134"><text:span text:style-name="Default_20_Paragraph_20_Font">The number of digits after the decimal point to report. The default value is the variable <text:s/></text:span><text:span text:style-name="Command">sta_report_default_digits</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table59.1">
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P29">-no_split_lines</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table59.A2" office:value-type="string">
<text:p text:style-name="P135"><text:span text:style-name="Default_20_Paragraph_20_Font">Do not split long lines into multiple lines.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">report_check_types</text:span> command reports the slack for each type of timing and design rule constraint. The keyword options allow a subset of the constraint types to be reported.</text:p>
<table:table table:name="Table142" table:style-name="Table142">
<table:table-column table:style-name="Table142.A"/>
<table:table-column table:style-name="Table142.B"/>
<table:table-row table:style-name="Table142.1">
<table:table-cell table:style-name="Table142.A1" office:value-type="string">
<text:p text:style-name="P136"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:alphabetical-index-mark-start text:id="IMark53760025232"/><text:span text:style-name="Command_20_Heading">report_clock_</text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T162">latency</text:span></text:span><text:alphabetical-index-mark-end text:id="IMark53760025232"/><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table142.A1" office:value-type="string">
<text:p text:style-name="P137">[-clock <text:span text:style-name="T1">clocks</text:span>]<text:line-break/><text:span text:style-name="T163">[</text:span><text:span text:style-name="T164">-include_internal_latency</text:span><text:span text:style-name="T165">]</text:span><text:span text:style-name="T24"><text:line-break/></text:span>[<text:span text:style-name="T22">-digits</text:span><text:span text:style-name="T24"> digits</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table142.1">
<table:table-cell table:style-name="Table142.A2" office:value-type="string">
<text:p text:style-name="P138"><text:span text:style-name="T22">-clock </text:span><text:span text:style-name="T33">clocks</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table142.A2" office:value-type="string">
<text:p text:style-name="P139"><text:span text:style-name="Default_20_Paragraph_20_Font">The clocks to report.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table142.1">
<table:table-cell table:style-name="Table142.A2" office:value-type="string">
<text:p text:style-name="P140">-include_internal_latency</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table142.A2" office:value-type="string">
<text:p text:style-name="P141">Include internal clock latency from liberty min/max_clock_tree_path timing groups.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table142.1">
<table:table-cell table:style-name="Table142.A2" office:value-type="string">
<text:p text:style-name="P138"><text:span text:style-name="T22">-digits</text:span> digits</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table142.A2" office:value-type="string">
<text:p text:style-name="P139"><text:span text:style-name="Default_20_Paragraph_20_Font">The number of digits to report for delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P142">Report the clock network latency.</text:p>
<table:table table:name="Table50" table:style-name="Table50">
<table:table-column table:style-name="Table50.A"/>
<table:table-column table:style-name="Table50.B"/>
<table:table-row table:style-name="Table50.1">
<table:table-cell table:style-name="Table50.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_clock_min_period</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table50.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="T22">[-clocks </text:span><text:span text:style-name="T1">clocks</text:span><text:span text:style-name="T22">]<text:line-break/>[-include_port_paths]</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table50.1">
<table:table-cell table:style-name="Table50.A2" office:value-type="string">
<text:p text:style-name="P143"><text:span text:style-name="T22">-clocks </text:span>clocks</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table50.A2" office:value-type="string">
<text:p text:style-name="P40">The clocks to report.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table50.1">
<table:table-cell table:style-name="Table50.A2" office:value-type="string">
<text:p text:style-name="P47">-include_port_paths</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table50.A2" office:value-type="string">
<text:p text:style-name="P40">Include paths from input port and to output ports.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Report the minimum period and maximum frequency for <text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">clocks</text:span></text:span>. If the <text:span text:style-name="T7">-clocks</text:span> argument is not specified all clock<text:span text:style-name="T161">s</text:span> are reported. The minimum period is determined by examining the smallest slack paths between registers the rising edges of the clock or between falling edges of the clock. Paths between different clocks, different clock edges of the same clock, level sensitive latches, or paths constrained by set_multicycle_path, set_max_path are not considered.</text:p>
<table:table table:name="Table155" table:style-name="Table155">
<table:table-column table:style-name="Table155.A"/>
<table:table-column table:style-name="Table155.B"/>
<table:table-row table:style-name="Table155.1">
<table:table-cell table:style-name="Table155.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_clock_properties</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table155.A1" office:value-type="string">
<text:p text:style-name="P29">[<text:span text:style-name="T24">clock_names</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table155.1">
<table:table-cell table:style-name="Table155.A2" office:value-type="string">
<text:p text:style-name="P35">clock_names</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table155.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">List of clock names to report.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">report_clock_properties</text:span> command reports the period and rise/fall edge times for each clock that has been defined.</text:p>
<table:table table:name="Table157" table:style-name="Table157">
<table:table-column table:style-name="Table157.A"/>
<table:table-column table:style-name="Table157.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table157.1">
<table:table-cell table:style-name="Table157.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:alphabetical-index-mark-start text:id="IMark53760025232"/><text:span text:style-name="Command_20_Heading">report_clock_skew</text:span><text:alphabetical-index-mark-end text:id="IMark53760025232"/><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table157.A1" office:value-type="string">
<text:p text:style-name="P144">[-setup|-hold]<text:line-break/>[-clock <text:span text:style-name="T1">clocks</text:span>]<text:span text:style-name="T166"><text:line-break/></text:span><text:span text:style-name="T164">[-include_internal_latency]</text:span><text:span text:style-name="T24"><text:line-break/></text:span>[<text:span text:style-name="T22">-digits</text:span><text:span text:style-name="T24"> digits</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table157.1">
<table:table-cell table:style-name="Table157.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-setup</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table157.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report skew for setup checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table157.1">
<table:table-cell table:style-name="Table157.A2" office:value-type="string">
<text:p text:style-name="P29">-hold</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table157.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report skew for hold checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table157.1">
<table:table-cell table:style-name="Table157.A2" office:value-type="string">
<text:p text:style-name="P145"><text:span text:style-name="T22">-clock </text:span><text:span text:style-name="T33">clocks</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table157.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The clocks to report.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table157.1">
<table:table-cell table:style-name="Table157.A2" office:value-type="string">
<text:p text:style-name="P140">-include_internal_latency</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table157.A2" office:value-type="string">
<text:p text:style-name="P141">Include internal clock latency from liberty min/max_clock_tree_path timing groups.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table157.1">
<table:table-cell table:style-name="Table157.A2" office:value-type="string">
<text:p text:style-name="P145"><text:span text:style-name="T22">-digits</text:span> digits</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table157.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The number of digits to report for delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P146">Report the maximum difference in clock arrival between <text:span text:style-name="T167">every</text:span> source and target register <text:span text:style-name="T168">that has a path between the source and target registers</text:span>.</text:p>
<table:table table:name="Table60" table:style-name="Table60">
<table:table-column table:style-name="Table60.A"/>
<table:table-column table:style-name="Table60.B"/>
<table:table-row table:style-name="Table60.1">
<table:table-cell table:style-name="Table60.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_dcalc</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table60.A1" office:value-type="string">
<text:p text:style-name="P29">[-from <text:span text:style-name="T1">from_pin</text:span>]<text:line-break/>[-to <text:span text:style-name="T1">to_pin</text:span>]<text:line-break/>[-corner <text:span text:style-name="T1">corner</text:span>]<text:line-break/>[-min]<text:line-break/>[-max]<text:line-break/>[-digits <text:span text:style-name="T24">digits</text:span>]<text:line-break/>[&gt; <text:span text:style-name="T24">filename</text:span>]<text:line-break/>[&gt;&gt; <text:span text:style-name="T24">filename</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table60.1">
<table:table-cell table:style-name="Table60.A2" office:value-type="string">
<text:p text:style-name="P147"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">-from </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T1">from_pin</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table60.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T169">Report delay calculations for timing arcs from instance input pin </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T169">from_pin</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table60.1">
<table:table-cell table:style-name="Table60.A2" office:value-type="string">
<text:p text:style-name="P33"><text:span text:style-name="T170">-to </text:span>to_pin</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table60.A2" office:value-type="string">
<text:p text:style-name="P148"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T169">Report delay calculations for timing arcs to instance output pin to</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T169">_pin</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table60.1">
<table:table-cell table:style-name="Table60.A2" office:value-type="string">
<text:p text:style-name="P33"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T147">corner </text:span>corner</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table60.A2" office:value-type="string">
<text:p text:style-name="P149"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T148">R</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">eport paths for process </text:span><text:span text:style-name="Command_20_Argument">corner</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">. The </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T7">-corner</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> keyword is required if more than one process corner is defined. </text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table60.1">
<table:table-cell table:style-name="Table60.A2" office:value-type="string">
<text:p text:style-name="P47">-<text:span text:style-name="T171">min</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table60.A2" office:value-type="string">
<text:p text:style-name="P149"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T171">Report delay calculation for min delays.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table60.1">
<table:table-cell table:style-name="Table60.A2" office:value-type="string">
<text:p text:style-name="P47">-<text:span text:style-name="T171">max</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table60.A2" office:value-type="string">
<text:p text:style-name="P149"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T171">Report delay calculation for max delays.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table60.1">
<table:table-cell table:style-name="Table60.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T170">digits </text:span>digits</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table60.A2" office:value-type="string">
<text:p text:style-name="P150"><text:span text:style-name="Default_20_Paragraph_20_Font">The number of digits after the decimal point to report. The default is </text:span>sta_report_default_digits.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">report_dcalc</text:span> command shows how the delays between instance pins are calculated. It is useful for debugging problems with delay calculation.</text:p>
<table:table table:name="Table61" table:style-name="Table61">
<table:table-column table:style-name="Table61.A"/>
<table:table-column table:style-name="Table61.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table61.1">
<table:table-cell table:style-name="Table61.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_disabled_edges</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table61.A1" office:value-type="string">
<text:p text:style-name="P35"/>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">report_disabled_edges</text:span> command reports disabled timing arcs along with the reason they are disabled. Each disabled timing arc is reported as the instance name along with the from and to ports of the arc. The disable reason is shown next. Arcs that are disabled with <text:span text:style-name="Command">set_disable_timing</text:span> are reported with <text:span text:style-name="Command">constraint</text:span> as the reason. Arcs that are disabled by constants are reported with <text:span text:style-name="Command">constant</text:span> as the reason along with the constant instance pin and value. Arcs that are disabled to break combinational feedback loops are reported with <text:span text:style-name="Command">loop</text:span> as the reason.</text:p>
<text:p text:style-name="Example_20__20_indented">&gt; report_disable<text:span text:style-name="T172">d_edges</text:span><text:line-break/>u1 A B constant B=0</text:p>
<table:table table:name="Table161" table:style-name="Table161">
<table:table-column table:style-name="Table161.A"/>
<table:table-column table:style-name="Table161.B"/>
<table:table-row table:style-name="Table161.1">
<table:table-cell table:style-name="Table161.A1" office:value-type="string">
<text:p text:style-name="P151"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_</text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T173">edges</text:span></text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table161.A1" office:value-type="string">
<text:p text:style-name="P151">[-from <text:span text:style-name="T1">from_pin</text:span>]<text:line-break/>[-to <text:span text:style-name="T1">to_pin</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table161.1">
<table:table-cell table:style-name="Table161.A2" office:value-type="string">
<text:p text:style-name="P151"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">-from </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T1">from_pin</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table161.A2" office:value-type="string">
<text:p text:style-name="P152"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T169">Report </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T174">edges/</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T169">timing arcs from pin </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T169">from_pin</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table161.1">
<table:table-cell table:style-name="Table161.A2" office:value-type="string">
<text:p text:style-name="P153"><text:span text:style-name="T170">-to </text:span>to_pin</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table161.A2" office:value-type="string">
<text:p text:style-name="P152"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T169">Report </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T174">edges/</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T169">timing arcs to pin to</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T169">_pin</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P154"><text:span text:style-name="T173">Report the edges/</text:span><text:span text:style-name="T174">timing arcs</text:span><text:span text:style-name="T173"> and their delays in the timing graph from/to/between pins.</text:span></text:p>
<table:table table:name="Table62" table:style-name="Table62">
<table:table-column table:style-name="Table62.A"/>
<table:table-column table:style-name="Table62.B"/>
<table:table-row table:style-name="Table62.1">
<table:table-cell table:style-name="Table62.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_instance</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table62.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="T24">instance_path<text:line-break/></text:span>[&gt; <text:span text:style-name="T24">filename</text:span>]<text:line-break/>[&gt;&gt; <text:span text:style-name="T24">filename</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table62.1">
<table:table-cell table:style-name="Table62.A2" office:value-type="string">
<text:p text:style-name="P35">instance_path</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table62.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Hierarchical path to an instance.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first"/>
<table:table table:name="Table63" table:style-name="Table63">
<table:table-column table:style-name="Table63.A"/>
<table:table-column table:style-name="Table63.B"/>
<table:table-row table:style-name="Table63.1">
<table:table-cell table:style-name="Table63.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_lib_cell</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table63.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="T24">cell_name<text:line-break/></text:span>[&gt; <text:span text:style-name="T24">filename</text:span>]<text:line-break/>[&gt;&gt; <text:span text:style-name="T24">filename</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table63.1">
<table:table-cell table:style-name="Table63.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">cell_name</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table63.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of a library cell.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Describe the liberty library cell <text:span text:style-name="T24">cell_name.</text:span></text:p>
<table:table table:name="Table65" table:style-name="Table65">
<table:table-column table:style-name="Table65.A"/>
<table:table-column table:style-name="Table65.B"/>
<table:table-row table:style-name="Table65.1">
<table:table-cell table:style-name="Table65.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_net</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table65.A1" office:value-type="string">
<text:p text:style-name="P29">[-digits <text:span text:style-name="T24">digits</text:span>]<text:line-break/><text:span text:style-name="T24">net_path<text:line-break/></text:span>[&gt; <text:span text:style-name="T24">filename</text:span>]<text:line-break/>[&gt;&gt; <text:span text:style-name="T24">filename</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table65.1">
<table:table-cell table:style-name="Table65.A2" office:value-type="string">
<text:p text:style-name="P155">-digits <text:span text:style-name="T24">digits</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table65.A2" office:value-type="string">
<text:p text:style-name="P156"><text:span text:style-name="Default_20_Paragraph_20_Font">The number of digits after the decimal point to report. The default value is the variable <text:s/>sta_report_default_digits.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table65.1">
<table:table-cell table:style-name="Table65.A2" office:value-type="string">
<text:p text:style-name="P35">net_path</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table65.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Hierarchical path to a net.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P157">Report the connections and capacitance of a net.</text:p>
<table:table table:name="Table127" table:style-name="Table127">
<table:table-column table:style-name="Table127.A"/>
<table:table-column table:style-name="Table127.B"/>
<table:table-row table:style-name="Table127.1">
<table:table-cell table:style-name="Table127.A1" office:value-type="string">
<text:p text:style-name="P158"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_</text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T175">parasitic_annotation</text:span></text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table127.A1" office:value-type="string">
<text:p text:style-name="P158"><text:span text:style-name="T176">[-report_unannotated]</text:span><text:span text:style-name="T24"><text:line-break/></text:span>[&gt; <text:span text:style-name="T24">filename</text:span>]<text:line-break/>[&gt;&gt; <text:span text:style-name="T24">filename</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table127.1">
<table:table-cell table:style-name="Table127.A2" office:value-type="string">
<text:p text:style-name="P159">-report_unannotated</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table127.A2" office:value-type="string">
<text:p text:style-name="P160"><text:span text:style-name="Default_20_Paragraph_20_Font">Report unannotated and partially annotated nets.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P161">Report SPEF parasitic annotation completeness.</text:p>
<table:table table:name="Table67" table:style-name="Table67">
<table:table-column table:style-name="Table67.A"/>
<table:table-column table:style-name="Table67.B"/>
<table:table-row table:style-name="Table67.1">
<table:table-cell table:style-name="Table67.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_power</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table67.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="T22">[-instances</text:span><text:span text:style-name="T24"> instances</text:span><text:span text:style-name="T22">]<text:line-break/></text:span><text:span text:style-name="T177">[-highest_power_instances </text:span><text:span text:style-name="T178">count</text:span><text:span text:style-name="T177">]</text:span><text:span text:style-name="T24"><text:line-break/></text:span><text:span text:style-name="T22">[-digits </text:span><text:span text:style-name="T1">digits</text:span><text:span text:style-name="T22">]<text:line-break/></text:span>[&gt; <text:span text:style-name="T24">filename</text:span>]<text:line-break/>[&gt;&gt; <text:span text:style-name="T24">filename</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table67.1">
<table:table-cell table:style-name="Table67.A2" office:value-type="string">
<text:p text:style-name="P162"><text:span text:style-name="T22">-instances</text:span> instances</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table67.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report the power for </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T179">each instance of</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T1">instances</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">. </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T179">If the instance is hierarchical the total power for the instances inside the hierarchical instance is reported.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table67.1">
<table:table-cell table:style-name="Table67.A2" office:value-type="string">
<text:p text:style-name="P163"><text:span text:style-name="T177">-highest_power_instances </text:span><text:span text:style-name="T180">count</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table67.A2" office:value-type="string">
<text:p text:style-name="P164"><text:span text:style-name="Default_20_Paragraph_20_Font">Report the power for </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T181">the </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T182">count</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T181"> highest power instances.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table67.1">
<table:table-cell table:style-name="Table67.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T183">digits </text:span>digits</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table67.A2" office:value-type="string">
<text:p text:style-name="P134"><text:span text:style-name="Default_20_Paragraph_20_Font">The number of digits after the decimal point to report. The default value is the variable <text:s/></text:span><text:span text:style-name="Command">sta_report_default_digits</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P165"><text:span text:style-name="T184">The </text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T185">report_power</text:span></text:span><text:span text:style-name="T184"> command uses static power analysis based on propagated or annotated pin activities in the circuit using Liberty power models. </text:span>The internal, switching, leakage and total power are reported. Design power is reported separately for combinational, sequential, macro and pad groups. Power values are reported in watts.</text:p>
<text:p text:style-name="Standard"><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T186">T</text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T187">he </text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T110">read_</text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T188">vcd or read_saif</text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T110"> </text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T187">command</text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T189">s</text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T187"> can be used to read activities </text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T190">from</text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T187"> a file </text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T186">based on </text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T191">simulation. If no simulation activities are available,</text:span></text:span> the <text:span text:style-name="Command_20_Heading"><text:span text:style-name="T133">set_power_activity</text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T192"> command </text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T191">should be used</text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T192"> to set </text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T190">the </text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T192">activit</text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T190">y</text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T192"> of input ports or pins in the design. </text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T193">The default input activity and duty </text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T194">for inputs </text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T193">are 0.1 and 0.5 respectively. </text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T192">The activities are propagated </text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T195">from annotated input ports or pins </text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T192">through gates and used in the power calculations.</text:span></text:span></text:p>
<text:p text:style-name="Example_20__20_indented">Group <text:s text:c="17"/>Internal <text:s/>Switching <text:s text:c="3"/>Leakage <text:s text:c="5"/>Total<text:line-break/> <text:s text:c="25"/>Power <text:s text:c="5"/>Power <text:s text:c="5"/>Power <text:s text:c="5"/>Power<text:line-break/>----------------------------------------------------------------<text:line-break/>Sequential <text:s text:c="12"/>3.29e-06 <text:s text:c="2"/>3.41e-08 <text:s text:c="2"/>2.37e-07 <text:s text:c="2"/>3.56e-06 <text:s/>92.4%<text:line-break/>Combinational <text:s text:c="9"/>1.86e-07 <text:s text:c="2"/>3.31e-08 <text:s text:c="2"/>7.51e-08 <text:s text:c="2"/>2.94e-07 <text:s text:c="2"/>7.6%<text:line-break/>Macro <text:s text:c="17"/>0.00e+00 <text:s text:c="2"/>0.00e+00 <text:s text:c="2"/>0.00e+00 <text:s text:c="2"/>0.00e+00 <text:s text:c="2"/>0.0%<text:line-break/>Pad <text:s text:c="19"/>0.00e+00 <text:s text:c="2"/>0.00e+00 <text:s text:c="2"/>0.00e+00 <text:s text:c="2"/>0.00e+00 <text:s text:c="2"/>0.0%<text:line-break/><text:soft-page-break/>---------------------------------------------------------------<text:line-break/>Total <text:s text:c="17"/>3.48e-06 <text:s text:c="2"/>6.72e-08 <text:s text:c="2"/>3.12e-07 <text:s text:c="2"/>3.86e-06 100.0%<text:line-break/> <text:s text:c="25"/>90.2% <text:s text:c="6"/>1.7% <text:s text:c="6"/>8.1%</text:p>
<table:table table:name="Table51" table:style-name="Table51">
<table:table-column table:style-name="Table51.A"/>
<table:table-column table:style-name="Table51.B"/>
<table:table-row table:style-name="Table51.1">
<table:table-cell table:style-name="Table51.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_pulse_width_checks</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table51.A1" office:value-type="string">
<text:p text:style-name="P29">[-verbose]<text:line-break/>[-digits <text:span text:style-name="T24">digits</text:span>]<text:line-break/>[-no_line_splits]<text:line-break/>[<text:span text:style-name="T24">pins</text:span>]<text:line-break/>[&gt; <text:span text:style-name="T24">filename</text:span>]<text:line-break/>[&gt;&gt; <text:span text:style-name="T24">filename</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table51.1">
<table:table-cell table:style-name="Table51.A2" office:value-type="string">
<text:p text:style-name="P133">-verbose</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table51.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Use a verbose output format.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table51.1">
<table:table-cell table:style-name="Table51.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T196">-</text:span><text:span text:style-name="T197">digits </text:span>digits</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table51.A2" office:value-type="string">
<text:p text:style-name="P166"><text:span text:style-name="Default_20_Paragraph_20_Font">The number of digits after the decimal point to report. The default value is the variable <text:s/></text:span><text:span text:style-name="Command">sta_report_default_digits</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table51.1">
<table:table-cell table:style-name="Table51.A2" office:value-type="string">
<text:p text:style-name="P29">-no_line_splits</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table51.A2" office:value-type="string">
<text:p text:style-name="P40"/>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table51.1">
<table:table-cell table:style-name="Table51.A2" office:value-type="string">
<text:p text:style-name="P35">pins</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table51.A2" office:value-type="string">
<text:p text:style-name="P40">List of pins or ports to report.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command_20_Heading"><text:span text:style-name="T133">report_pulse_width_checks</text:span></text:span> command reports min pulse width checks for pins in the clock network. If <text:span text:style-name="Command_20_Argument">pins</text:span> is not specified all clock network pins are reported.</text:p>
<table:table table:name="Table57" table:style-name="Table57">
<table:table-column table:style-name="Table57.A"/>
<table:table-column table:style-name="Table57.B"/>
<table:table-row table:style-name="Table57.1">
<table:table-cell table:style-name="Table57.A1" office:value-type="string">
<text:p text:style-name="P167"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_</text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T198">slews</text:span></text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table57.A1" office:value-type="string">
<text:p text:style-name="P167">[-corner <text:span text:style-name="T1">corner</text:span>]<text:line-break/><text:span text:style-name="T1">pin</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table57.1">
<table:table-cell table:style-name="Table57.A2" office:value-type="string">
<text:p text:style-name="P168"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T147">corner </text:span>corner</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table57.A2" office:value-type="string">
<text:p text:style-name="P169"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T148">R</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">eport paths for process </text:span><text:span text:style-name="Command_20_Argument">corner</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">. The </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T7">-corner</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> keyword is required if more than one process corner is defined. </text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table57.1">
<table:table-cell table:style-name="Table57.A2" office:value-type="string">
<text:p text:style-name="P170">pin</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table57.A2" office:value-type="string">
<text:p text:style-name="P169"><text:span text:style-name="Default_20_Paragraph_20_Font"/></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P171">Report the slews at <text:span text:style-name="Command"><text:span text:style-name="T1">pin</text:span></text:span></text:p>
<table:table table:name="Table162" table:style-name="Table162">
<table:table-column table:style-name="Table162.A"/>
<table:table-column table:style-name="Table162.B"/>
<table:table-row table:style-name="Table162.1">
<table:table-cell table:style-name="Table162.A1" office:value-type="string">
<text:p text:style-name="P172"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_</text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T199">tns</text:span></text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table162.A1" office:value-type="string">
<text:p text:style-name="P172"><text:span text:style-name="T22">[-min]<text:line-break/>[-max]</text:span><text:span text:style-name="T24"><text:line-break/></text:span><text:span text:style-name="T22">[-digits </text:span><text:span text:style-name="T1">digits</text:span><text:span text:style-name="T22">]</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table162.1">
<table:table-cell table:style-name="Table162.A2" office:value-type="string">
<text:p text:style-name="P173">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table162.A2" office:value-type="string">
<text:p text:style-name="P174"><text:span text:style-name="Default_20_Paragraph_20_Font">Report the </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T199">total</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T22">max/setup slack</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table162.1">
<table:table-cell table:style-name="Table162.A2" office:value-type="string">
<text:p text:style-name="P173">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table162.A2" office:value-type="string">
<text:p text:style-name="P174"><text:span text:style-name="Default_20_Paragraph_20_Font">Report the </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T199">total</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> min/hold</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T22"> slack</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table162.1">
<table:table-cell table:style-name="Table162.A2" office:value-type="string">
<text:p text:style-name="P175"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T154">digits </text:span>digits</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table162.A2" office:value-type="string">
<text:p text:style-name="P174"><text:span text:style-name="Default_20_Paragraph_20_Font">The number of digits after the decimal point to report. The default value is the variable <text:s/></text:span><text:span text:style-name="Command">sta_report_default_digits</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P176"><text:soft-page-break/>Report the total negative slack.</text:p>
<table:table table:name="Table152" table:style-name="Table152">
<table:table-column table:style-name="Table152.A"/>
<table:table-column table:style-name="Table152.B"/>
<table:table-row table:style-name="Table152.1">
<table:table-cell table:style-name="Table152.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_units</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table152.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"/></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Report the <text:span text:style-name="T200">units used for </text:span>command <text:span text:style-name="T200">arguments and reporting</text:span>.</text:p>
<text:p text:style-name="Example_20__20_indented">report_units<text:line-break/> time 1ns<text:line-break/> capacitance 1pF<text:line-break/> resistance 1kohm<text:line-break/> voltage 1v<text:line-break/> current 1A<text:line-break/> power 1pW<text:line-break/> distance 1um</text:p>
<table:table table:name="Table163" table:style-name="Table163">
<table:table-column table:style-name="Table163.A"/>
<table:table-column table:style-name="Table163.B"/>
<table:table-row table:style-name="Table163.1">
<table:table-cell table:style-name="Table163.A1" office:value-type="string">
<text:p text:style-name="P172"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_</text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T199">wns</text:span></text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table163.A1" office:value-type="string">
<text:p text:style-name="P172"><text:span text:style-name="T22">[-min]<text:line-break/>[-max]</text:span><text:span text:style-name="T24"><text:line-break/></text:span><text:span text:style-name="T22">[-digits </text:span><text:span text:style-name="T1">digits</text:span><text:span text:style-name="T22">]</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table163.1">
<table:table-cell table:style-name="Table163.A2" office:value-type="string">
<text:p text:style-name="P173">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table163.A2" office:value-type="string">
<text:p text:style-name="P174"><text:span text:style-name="Default_20_Paragraph_20_Font">Report the worst </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T22">max/setup slack</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table163.1">
<table:table-cell table:style-name="Table163.A2" office:value-type="string">
<text:p text:style-name="P173">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table163.A2" office:value-type="string">
<text:p text:style-name="P174"><text:span text:style-name="Default_20_Paragraph_20_Font">Report the worst min/hold</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T22"> slack</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table163.1">
<table:table-cell table:style-name="Table163.A2" office:value-type="string">
<text:p text:style-name="P175"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T154">digits </text:span>digits</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table163.A2" office:value-type="string">
<text:p text:style-name="P174"><text:span text:style-name="Default_20_Paragraph_20_Font">The number of digits after the decimal point to report. The default value is the variable <text:s/></text:span><text:span text:style-name="Command">sta_report_default_digits</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P176">Report the worst negative slack. If the worst slack is positive, zero is reported.</text:p>
<table:table table:name="Table154" table:style-name="Table154">
<table:table-column table:style-name="Table154.A"/>
<table:table-column table:style-name="Table154.B"/>
<table:table-row table:style-name="Table154.1">
<table:table-cell table:style-name="Table154.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">report_worst_slack</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table154.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="T22">[-min]<text:line-break/>[-max]</text:span><text:span text:style-name="T24"><text:line-break/></text:span><text:span text:style-name="T22">[-digits </text:span><text:span text:style-name="T1">digits</text:span><text:span text:style-name="T22">]</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table154.1">
<table:table-cell table:style-name="Table154.A2" office:value-type="string">
<text:p text:style-name="P47">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table154.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report the worst </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T22">max/setup slack</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table154.1">
<table:table-cell table:style-name="Table154.A2" office:value-type="string">
<text:p text:style-name="P47">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table154.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Report the worst min/hold</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T22"> slack</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table154.1">
<table:table-cell table:style-name="Table154.A2" office:value-type="string">
<text:p text:style-name="P33"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T154">digits </text:span>digits</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table154.A2" office:value-type="string">
<text:p text:style-name="P134"><text:span text:style-name="Default_20_Paragraph_20_Font">The number of digits after the decimal point to report. The default value is the variable <text:s/></text:span><text:span text:style-name="Command">sta_report_default_digits</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first"/>
<table:table table:name="Table31" table:style-name="Table31">
<table:table-column table:style-name="Table31.A"/>
<table:table-column table:style-name="Table31.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table31.1">
<table:table-cell table:style-name="Table31.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_assigned_check</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table31.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-setup</text:span></text:span><text:span text:style-name="Command">|-hold|-recovery|-removal</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25"><text:line-break/>[-rise]<text:line-break/>[-fall]<text:line-break/>[-corner </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T1">corner</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">]<text:line-break/>[-min]<text:line-break/>[-max]<text:line-break/>[-from</text:span></text:span><text:span text:style-name="Command_20_Argument"> from_pins</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">]<text:line-break/>[-to </text:span></text:span><text:span text:style-name="Command_20_Argument">to_pins</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">]<text:line-break/>[-clock rise|fall]<text:line-break/>[-cond sdf_cond]<text:line-break/>[-worst]</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:line-break/>margin</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table31.1">
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-setup</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T201">Annotate setup</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> timing check</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T201">s</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table31.1">
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-hold</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T201">Annotate hold</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> timing check</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T201">s</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table31.1">
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-recovery</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T201">Annotate recovery</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> timing check</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T201">s</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table31.1">
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-removal</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T201">Annotate removal</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> timing check</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T201">s</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table31.1">
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Annotate rising delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table31.1">
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Annotate falling delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table31.1">
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P33"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T202">corner </text:span>corner</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P177"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of a process corner. The </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T7">-corner</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> keyword is required if more than one process corner is defined. </text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table31.1">
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P29">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Annotate the minimum value of the process corner.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table31.1">
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P29">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Annotate the maximum value of the process corner.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table31.1">
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">-</text:span></text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T203">from </text:span></text:span></text:span><text:span text:style-name="Command_20_Argument">from_pins</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of pins for the clock.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table31.1">
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">-</text:span></text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T203">to </text:span></text:span></text:span><text:span text:style-name="Command_20_Argument">to_pins</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of pins for the data.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table31.1">
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P178">-clock <text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">rise|fall</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T204">timing check </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">clock pin transition.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table31.1">
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">margin</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table31.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T22"> timing check </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T203">margin</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_assigned_check</text:span> command is used to annotate the timing checks between two pins on an instance. The annotated delay overrides the calculated delay. This command is an interactive way to back-annotate delays like an SDF file.</text:p>
<table:table table:name="Table70" table:style-name="Table70">
<table:table-column table:style-name="Table70.A"/>
<table:table-column table:style-name="Table70.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table70.1">
<table:table-cell table:style-name="Table70.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_assigned_delay</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table70.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-</text:span></text:span><text:span text:style-name="Command">cell|-net</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25"><text:line-break/>[-rise]<text:line-break/>[-fall]<text:line-break/>[-corner </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T1">corner</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">]<text:line-break/>[-min]<text:line-break/>[-max]<text:line-break/>[-from</text:span></text:span><text:span text:style-name="Command_20_Argument"> from_pins</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">]<text:line-break/>[-to </text:span></text:span><text:span text:style-name="Command_20_Argument">to_pins</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">]</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:line-break/>delay</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table70.1">
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-cell</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Annotate the delays between two pins on an instance.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table70.1">
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P29">-net</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Annotate the delays between two pins on a net.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table70.1">
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Annotate the rising delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table70.1">
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Annotate the falling delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table70.1">
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P33"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T202">corner </text:span>corner</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P177"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of a process corner. The </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T7">-corner</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> keyword is required if more than one process corner is defined. </text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table70.1">
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P29">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Annotate the minimum delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table70.1">
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P29">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Annotate the maximum delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table70.1">
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">-</text:span></text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T205">from </text:span></text:span></text:span><text:span text:style-name="Command_20_Argument">from_pins</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table70.1">
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">-</text:span></text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T205">to </text:span></text:span></text:span><text:span text:style-name="Command_20_Argument">to_pins</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table70.1">
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">delay</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table70.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The delay between </text:span><text:span text:style-name="Command_20_Argument">from_pins</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> and </text:span><text:span text:style-name="Command_20_Argument">to_pins</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_assigned_delay</text:span> command is used to annotate the delays between two pins on an instance or net. The annotated delay overrides the calculated delay. This command is an interactive way to back-annotate delays like an SDF file.</text:p>
<text:p text:style-name="Body_20_first">Use the <text:span text:style-name="T7">-corner</text:span> keyword to specify a process corner. The <text:span text:style-name="T7">-corner</text:span> keyword is required if more than one process corner is defined. </text:p>
<table:table table:name="Table71" table:style-name="Table71">
<table:table-column table:style-name="Table71.A"/>
<table:table-column table:style-name="Table71.B"/>
<table:table-row table:style-name="Table71.1">
<table:table-cell table:style-name="Table71.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_assigned_transition</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table71.A1" office:value-type="string">
<text:p text:style-name="P29">[-rise]<text:line-break/>[-fall]<text:line-break/>[-corner <text:span text:style-name="T1">corner</text:span>]<text:line-break/>[-min]<text:line-break/>[-max]<text:line-break/><text:span text:style-name="T24">slew<text:line-break/>pin_list</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table71.1">
<table:table-cell table:style-name="Table71.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table71.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Annotate the rising transition.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table71.1">
<table:table-cell table:style-name="Table71.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table71.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Annotate the falling transition.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table71.1">
<table:table-cell table:style-name="Table71.A2" office:value-type="string">
<text:p text:style-name="P33"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T147">corner </text:span>corner</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table71.A2" office:value-type="string">
<text:p text:style-name="P149"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T206">Annotate delays</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> for process </text:span><text:span text:style-name="Command_20_Argument">corner</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table71.1">
<table:table-cell table:style-name="Table71.A2" office:value-type="string">
<text:p text:style-name="P29">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table71.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Annotate the minimum transition time.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table71.1">
<table:table-cell table:style-name="Table71.A2" office:value-type="string">
<text:p text:style-name="P29">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table71.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Annotate the maximum transition time.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table71.1">
<table:table-cell table:style-name="Table71.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">slew</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table71.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The pin transition time.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table71.1">
<table:table-cell table:style-name="Table71.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">pin_list</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table71.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_assigned_transition</text:span> command is used to annotate the transition time (slew) of a pin. The annotated transition time overrides the calculated transition time.</text:p>
<table:table table:name="Table72" table:style-name="Table72">
<table:table-column table:style-name="Table72.A"/>
<table:table-column table:style-name="Table72.B"/>
<table:table-row table:style-name="Table72.1">
<table:table-cell table:style-name="Table72.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_case_analysis</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table72.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">0|1|zero|one|rise|rising|fall|falling<text:line-break/></text:span></text:span><text:span text:style-name="Command_20_Argument">port_or_pin_list</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table72.1">
<table:table-cell table:style-name="Table72.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">port_or_pin_list</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table72.A2" office:value-type="string">
<text:p text:style-name="P40">A list of ports or pins.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_case_analysis</text:span> command sets the signal on a port or pin to a constant logic value. No paths are propagated from constant pins. Constant values set with the <text:span text:style-name="Command">set_case_analysis</text:span> command are propagated through downstream gates.</text:p>
<text:p text:style-name="P179">Conditional timing arcs with <text:span text:style-name="Example">mode</text:span> groups are controlled by logic values on the instance pins.</text:p>
<table:table table:name="Table73" table:style-name="Table73">
<table:table-column table:style-name="Table73.A"/>
<table:table-column table:style-name="Table73.B"/>
<table:table-row table:style-name="Table73.1">
<table:table-cell table:style-name="Table73.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_clock_gating_check</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table73.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">[-setup </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">setup_time</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-hold </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">hold_time</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-rise]<text:line-break/>[-fall]<text:line-break/>[-high]<text:line-break/>[-low]<text:line-break/>[</text:span><text:span text:style-name="Command"><text:span text:style-name="T24">objects</text:span></text:span><text:span text:style-name="Command">]</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table73.1">
<table:table-cell table:style-name="Table73.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T207">setup </text:span>setup_time</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table73.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Clock enable setup margin.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table73.1">
<table:table-cell table:style-name="Table73.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T207">hold </text:span>hold_time</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table73.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Clock enable hold margin.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table73.1">
<table:table-cell table:style-name="Table73.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table73.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The setup/hold margin is for the rising edge of the clock enable.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table73.1">
<table:table-cell table:style-name="Table73.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table73.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The setup/hold margin is for the falling edge of the clock enable.</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table73.1">
<table:table-cell table:style-name="Table73.A2" office:value-type="string">
<text:p text:style-name="P29">-high</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table73.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The gating clock is active high (pin and instance objects only).</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table73.1">
<table:table-cell table:style-name="Table73.A2" office:value-type="string">
<text:p text:style-name="P29">-low</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table73.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The gating clock is active low (pin and instance objects only).</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table73.1">
<table:table-cell table:style-name="Table73.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table73.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks, instances, pins or ports.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_clock_gating_check</text:span> command is used to add setup or hold timing checks for data signals used to gate clocks. </text:p>
<text:p text:style-name="Body">If no objects are specified the setup/hold margin is global and applies to all clock gating circuits in the design. If neither of the <text:span text:style-name="Command">-rise</text:span> and <text:span text:style-name="Command">-fall</text:span> options are used the setup/hold margin applies to the rising and falling <text:s/>edges of the clock gating signal. </text:p>
<text:p text:style-name="Body">Normally the library cell function is used to determine the active state of the clock. The clock is active high for AND/NAND functions and active low for OR/NOR functions. The <text:span text:style-name="Command">-high</text:span> and <text:span text:style-name="Command">-low</text:span> options are used to specify the active state of the clock for other cells, such as a MUX.</text:p>
<text:p text:style-name="Body">If multiple <text:span text:style-name="Command">set_clock_gating_check</text:span> commands apply to a clock gating instance he priority of the commands is shown below (highest to lowest priority).</text:p>
<text:p text:style-name="Example_20__20_indented">clock enable pin<text:line-break/>instance<text:line-break/>clock pin<text:line-break/>clock<text:line-break/>global</text:p>
<table:table table:name="Table74" table:style-name="Table74">
<table:table-column table:style-name="Table74.A"/>
<table:table-column table:style-name="Table74.B"/>
<table:table-row table:style-name="Table74.1">
<table:table-cell table:style-name="Table74.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_clock_groups</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table74.A1" office:value-type="string">
<text:p text:style-name="Body"><text:span text:style-name="Command">[-name </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">name</text:span></text:span><text:span text:style-name="Command">]<text:line-break/>[-logically_exclusive]<text:line-break/>[-physically_exclusive]<text:line-break/>[-asynchronous]<text:line-break/>[-allow_paths]<text:line-break/>-group </text:span><text:span text:style-name="Command"><text:span text:style-name="T24">clocks</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table74.1">
<table:table-cell table:style-name="Table74.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">-</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T208">name </text:span></text:span><text:span text:style-name="Command_20_Argument">name</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table74.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T25">The clock group name.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table74.1">
<table:table-cell table:style-name="Table74.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-logically_exclusive</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table74.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T25">The clocks in different groups do not interact logically but can be physically present on the same chip. Paths between clock groups are considered for noise analysis.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table74.1">
<table:table-cell table:style-name="Table74.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-physically_exclusive</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table74.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T25">The clocks in different groups cannot be present at the same time on a chip. Paths between clock groups are </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">not</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T25"> considered for noise analysis.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table74.1">
<table:table-cell table:style-name="Table74.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-asynchronous</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table74.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T25">The clock groups are asynchronous. Paths between clock groups are considered for noise analysis.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table74.1">
<table:table-cell table:style-name="Table74.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-allow_paths</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table74.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font"/></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table74.1">
<table:table-cell table:style-name="Table74.A7" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">clocks</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table74.A7" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks in the group.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first"><text:soft-page-break/>The <text:span text:style-name="Command">set_clock_groups</text:span> command is used to define groups of clocks that interact with each other. Clocks in different groups do not interact and paths between them are not reported. Use a <text:span text:style-name="Command">group</text:span> argument for each clock group.</text:p>
<table:table table:name="Table75" table:style-name="Table75">
<table:table-column table:style-name="Table75.A"/>
<table:table-column table:style-name="Table75.B"/>
<table:table-row table:style-name="Table75.1">
<table:table-cell table:style-name="Table75.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_clock_latency</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table75.A1" office:value-type="string">
<text:p text:style-name="P29">[-source]<text:span text:style-name="T24"><text:line-break/></text:span>[-clock <text:span text:style-name="T24">clock</text:span>]<text:line-break/>[-rise]<text:span text:style-name="T24"><text:line-break/></text:span>[-fall]<text:line-break/>[-min]<text:line-break/>[-max]<text:line-break/><text:span text:style-name="T24">delay<text:line-break/>objects</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table75.1">
<table:table-cell table:style-name="Table75.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T138">-source</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table75.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">The latency is at the clock source.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table75.1">
<table:table-cell table:style-name="Table75.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T209">-</text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T210">clo</text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T211">ck </text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T212">clock</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table75.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">If multiple clocks are defined at a pin this use this option to specify the latency for a specific clock.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table75.1">
<table:table-cell table:style-name="Table75.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table75.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The latency is for the rising edge of the clock.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table75.1">
<table:table-cell table:style-name="Table75.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table75.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The latency is for the falling edge of the clock.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table75.1">
<table:table-cell table:style-name="Table75.A2" office:value-type="string">
<text:p text:style-name="P29">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table75.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Command_20_Argument">delay</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is the minimum latency.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table75.1">
<table:table-cell table:style-name="Table75.A2" office:value-type="string">
<text:p text:style-name="P29">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table75.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Command_20_Argument">delay</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is the maximum latency.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table75.1">
<table:table-cell table:style-name="Table75.A2" office:value-type="string">
<text:p text:style-name="P35">delay</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table75.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Clock source or insertion delay.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table75.1">
<table:table-cell table:style-name="Table75.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table75.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks, pins or ports.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_clock_latency</text:span> command describes expected delays of the clock tree when analyzing a design using ideal clocks. Use the <text:span text:style-name="Command">-source</text:span> option to specify latency at the clock source, also known as insertion delay. Source latency is delay in the clock tree that is external to the design or a clock tree internal to an instance that implements a complex logic function.</text:p>
<table:table table:name="Table77" table:style-name="Table77">
<table:table-column table:style-name="Table77.A"/>
<table:table-column table:style-name="Table77.B"/>
<table:table-row table:style-name="Table77.1">
<table:table-cell table:style-name="Table77.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_clock_transition</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table77.A1" office:value-type="string">
<text:p text:style-name="P29">[-rise]<text:line-break/>[-fall]<text:line-break/>[-min]<text:line-break/>[-max]<text:span text:style-name="T24"><text:line-break/>transition<text:line-break/>clocks</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table77.1">
<table:table-cell table:style-name="Table77.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table77.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T213">Set the </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T22">transition </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T213">time</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T22"> for the rising edge of the clock.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table77.1">
<table:table-cell table:style-name="Table77.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table77.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T213">Set the </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T22">transition </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T213">time</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T22"> for the </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T213">falling</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T22"> edge of the clock.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table77.1">
<table:table-cell table:style-name="Table77.A2" office:value-type="string">
<text:p text:style-name="P29">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table77.A2" office:value-type="string">
<text:p text:style-name="P180"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T213">Set the min </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T22">transition </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T213">time</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T22">.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table77.1">
<table:table-cell table:style-name="Table77.A2" office:value-type="string">
<text:p text:style-name="P29">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table77.A2" office:value-type="string">
<text:p text:style-name="P180"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T213">Set the min </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T22">transition </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T213">time</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T22">.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table77.1">
<table:table-cell table:style-name="Table77.A2" office:value-type="string">
<text:p text:style-name="P35">transition</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table77.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Clock transition time (slew).</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table77.1">
<table:table-cell table:style-name="Table77.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">clocks</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table77.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_clock_transition</text:span> command describes expected transition times of the clock tree when analyzing a design using ideal clocks. </text:p>
<table:table table:name="Table78" table:style-name="Table78">
<table:table-column table:style-name="Table78.A"/>
<table:table-column table:style-name="Table78.B"/>
<table:table-row table:style-name="Table78.1">
<table:table-cell table:style-name="Table78.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_clock_uncertainty</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table78.A1" office:value-type="string">
<text:p text:style-name="P29">[-from|-rise_from|-fall_from <text:span text:style-name="T24">from_clock</text:span>]<text:span text:style-name="T24"><text:line-break/></text:span>[<text:span text:style-name="T24">-</text:span>to|-rise_to|-fall_to<text:span text:style-name="T24"> to_clock</text:span>]<text:line-break/>[-rise]<text:line-break/>[-fall]<text:line-break/>[-setup]<text:line-break/>[-hold]<text:span text:style-name="T24"><text:line-break/>uncertainty<text:line-break/></text:span>[<text:span text:style-name="T24">objects</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table78.1">
<table:table-cell table:style-name="Table78.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T214">from </text:span>from_clock</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table78.A2" office:value-type="string">
<text:p text:style-name="P181"><text:span text:style-name="Default_20_Paragraph_20_Font">Inter-clock uncertainty source clock.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table78.1">
<table:table-cell table:style-name="Table78.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T214">to </text:span>to_clock</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table78.A2" office:value-type="string">
<text:p text:style-name="P181"><text:span text:style-name="Default_20_Paragraph_20_Font">Inter-clock uncertainty target clock.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table78.1">
<table:table-cell table:style-name="Table78.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table78.A2" office:value-type="string">
<text:p text:style-name="P182"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T215">Inter-clock target clock rise edge, alternative to </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T216">rise_to</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T215">.Inter-clock target clock rise edge, alternative to </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T216">rise_to</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T215">.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table78.1">
<table:table-cell table:style-name="Table78.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table78.A2" office:value-type="string">
<text:p text:style-name="P182"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T215">Inter-clock target clock rise edge, alternative to </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T217">fall</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T216">_to</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T215">.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table78.1">
<table:table-cell table:style-name="Table78.A2" office:value-type="string">
<text:p text:style-name="P29">-setup</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table78.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T24">uncertainty</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T218">for</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> setup check</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T218">s</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table78.1">
<table:table-cell table:style-name="Table78.A2" office:value-type="string">
<text:p text:style-name="P29">-hold</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table78.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T24">uncertainty</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T218">for</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> hold </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T218">checks</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table78.1">
<table:table-cell table:style-name="Table78.A2" office:value-type="string">
<text:p text:style-name="P183">uncertainty</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table78.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Clock uncertainty.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table78.1">
<table:table-cell table:style-name="Table78.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table78.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P184">The <text:span text:style-name="Command">set_clock_uncertainty</text:span> command specifies the uncertainty or jitter in a clock. <text:span text:style-name="T219">The uncertainty for a clock can be specified on its source pin or port, or the clock itself. </text:span></text:p>
<text:p text:style-name="P185"><text:span text:style-name="Command">set_clock_uncertainty .</text:span><text:span text:style-name="Command"><text:span text:style-name="T219">1 [get_clock clk1]</text:span></text:span></text:p>
<text:p text:style-name="P186"><text:span text:style-name="T219">Inter-clock uncertainty between the source and target clocks of timing checks is specified with the </text:span><text:span text:style-name="Command"><text:span text:style-name="T219">from|rise_from|-fall_from</text:span></text:span><text:span text:style-name="T219"> </text:span><text:span text:style-name="T220">and</text:span><text:span text:style-name="T219"> </text:span><text:span text:style-name="T220">to</text:span><text:span text:style-name="Command"><text:span text:style-name="T219">|rise_</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T220">to</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T219">|-fall_</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T220">to</text:span></text:span><text:span text:style-name="T219"> arguments .</text:span></text:p>
<text:p text:style-name="P185"><text:soft-page-break/><text:span text:style-name="Command">set_clock_uncertainty -</text:span><text:span text:style-name="Command"><text:span text:style-name="T219">from [get_clock clk1] -to [get_clocks clk2] </text:span></text:span><text:span text:style-name="Command">.</text:span><text:span text:style-name="Command"><text:span text:style-name="T219">1</text:span></text:span></text:p>
<text:p text:style-name="P186"><text:span text:style-name="Command"><text:span text:style-name="T221">The following commands are equivalent.</text:span></text:span></text:p>
<text:p text:style-name="P187"><text:span text:style-name="Command"><text:span text:style-name="T220">set_clock_uncertainty -</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T219">from [get_clock clk1] -</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T220">rise_</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T219">to [get_clocks clk2] </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T220">.</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T219">1<text:line-break/></text:span></text:span><text:span text:style-name="Command">set_clock_uncertainty -</text:span><text:span text:style-name="Command"><text:span text:style-name="T219">from [get_clock clk1] -to [get_clocks clk2] -</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T220">rise </text:span></text:span><text:span text:style-name="Command">.</text:span><text:span text:style-name="Command"><text:span text:style-name="T219">1</text:span></text:span></text:p>
<table:table table:name="Table76" table:style-name="Table76">
<table:table-column table:style-name="Table76.A"/>
<table:table-column table:style-name="Table76.B"/>
<table:table-row table:style-name="Table76.1">
<table:table-cell table:style-name="Table76.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_cmd_units</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table76.A1" office:value-type="string">
<text:p text:style-name="P188"><text:span text:style-name="T141">[-capacitance </text:span><text:span text:style-name="T222">cap_unit</text:span><text:span text:style-name="T141">]<text:line-break/>[-resistance </text:span><text:span text:style-name="T222">res_unit</text:span><text:span text:style-name="T141">]<text:line-break/>[-time </text:span><text:span text:style-name="T222">time_unit</text:span><text:span text:style-name="T141">]<text:line-break/>[-voltage </text:span><text:span text:style-name="T222">voltage_unit</text:span><text:span text:style-name="T141">]<text:line-break/>[-current </text:span><text:span text:style-name="T222">current_unit</text:span><text:span text:style-name="T141">]<text:line-break/>[-power </text:span><text:span text:style-name="T222">power_unit</text:span><text:span text:style-name="T141">]<text:line-break/>[-distance </text:span><text:span text:style-name="T223">distance_unit</text:span><text:span text:style-name="T141">]</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table76.1">
<table:table-cell table:style-name="Table76.A2" office:value-type="string">
<text:p text:style-name="P189"><text:span text:style-name="T22">-capacitance </text:span>cap_unit</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table76.A2" office:value-type="string">
<text:p text:style-name="P188"><text:span text:style-name="Default_20_Paragraph_20_Font">The capacitance scale factor followed by </text:span>&apos;f&apos;.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table76.1">
<table:table-cell table:style-name="Table76.A2" office:value-type="string">
<text:p text:style-name="P189"><text:span text:style-name="T22">-resistance </text:span>res_unit</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table76.A2" office:value-type="string">
<text:p text:style-name="P188"><text:span text:style-name="Default_20_Paragraph_20_Font">The resistance scale factor followed by </text:span>&apos;ohm&apos;.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table76.1">
<table:table-cell table:style-name="Table76.A2" office:value-type="string">
<text:p text:style-name="P189"><text:span text:style-name="T22">-time </text:span>time_unit</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table76.A2" office:value-type="string">
<text:p text:style-name="P188"><text:span text:style-name="Default_20_Paragraph_20_Font">The time scale factor followed by &apos;s&apos;.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table76.1">
<table:table-cell table:style-name="Table76.A2" office:value-type="string">
<text:p text:style-name="P189"><text:span text:style-name="T22">-voltage </text:span>voltage_unit</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table76.A2" office:value-type="string">
<text:p text:style-name="P190"><text:span text:style-name="Default_20_Paragraph_20_Font">The voltage scale factor followed by </text:span>&apos;v<text:span text:style-name="T224">&apos;</text:span>.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table76.1">
<table:table-cell table:style-name="Table76.A2" office:value-type="string">
<text:p text:style-name="P189"><text:span text:style-name="T22">-current </text:span>current_unit</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table76.A2" office:value-type="string">
<text:p text:style-name="P190"><text:span text:style-name="Default_20_Paragraph_20_Font">The current scale factor followed by </text:span>&apos;A&apos;.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table76.1">
<table:table-cell table:style-name="Table76.A2" office:value-type="string">
<text:p text:style-name="P189"><text:span text:style-name="T22">-power </text:span>power_unit</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table76.A2" office:value-type="string">
<text:p text:style-name="P190"><text:span text:style-name="Default_20_Paragraph_20_Font">The power scale factor followed by </text:span>&apos;w&apos;.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table76.1">
<table:table-cell table:style-name="Table76.A2" office:value-type="string">
<text:p text:style-name="P189"><text:span text:style-name="T22">-distance </text:span>distance_unit</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table76.A2" office:value-type="string">
<text:p text:style-name="P190"><text:span text:style-name="Default_20_Paragraph_20_Font">The distance scale factor followed by &apos;m&apos;.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command"><text:span text:style-name="T7">set_cmd_units</text:span></text:span> command is used to<text:span text:style-name="T133"> change</text:span> the units used by the STA command interpreter when parsing commands and reporting results. <text:span text:style-name="T219">The default units are the units specified in the first Liberty library file that is read.</text:span></text:p>
<text:p text:style-name="Body">Units are specified as a scale factor followed by a unit name. The scale factors are as follows.</text:p>
<text:p text:style-name="Example_20__20_indented"><text:span text:style-name="Command">M 1E+6<text:line-break/>k 1E+3<text:line-break/>m 1E-3<text:line-break/>u 1E-6<text:line-break/>n 1E-9<text:line-break/>p 1E-12<text:line-break/>f 1E-15</text:span></text:p>
<text:p text:style-name="Body">An example of the <text:span text:style-name="Command">set_units</text:span> command is shown below.</text:p>
<text:p text:style-name="Example_20__20_indented"><text:span text:style-name="Command">set_cmd_units -time ns -capacitance pF -current mA -voltage V <text:line-break/> <text:s text:c="13"/>-resistance kOhm -distance um</text:span></text:p>
<table:table table:name="Table79" table:style-name="Table79">
<table:table-column table:style-name="Table79.A"/>
<table:table-column table:style-name="Table79.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table79.1">
<table:table-cell table:style-name="Table79.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_data_check</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table79.A1" office:value-type="string">
<text:p text:style-name="P191">[-from<text:span text:style-name="T225">|-rise_from|-fall_from</text:span> <text:span text:style-name="T24">from_</text:span><text:span text:style-name="T226">pin</text:span>]<text:line-break/>[-to<text:span text:style-name="T225">|-rise_to|-fall_to</text:span> <text:span text:style-name="T24">to_</text:span><text:span text:style-name="T226">pin</text:span>]<text:line-break/>[-setup]<text:line-break/>[-hold]<text:line-break/>[-clock <text:span text:style-name="T24">clock</text:span>]<text:line-break/><text:span text:style-name="T24">margin</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table79.1">
<table:table-cell table:style-name="Table79.A2" office:value-type="string">
<text:p text:style-name="P192"><text:span text:style-name="T22">-from </text:span>from_<text:span text:style-name="T227">pin</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table79.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">A pin used as the timing check reference.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table79.1">
<table:table-cell table:style-name="Table79.A2" office:value-type="string">
<text:p text:style-name="P192"><text:span text:style-name="T22">-to </text:span>to_<text:span text:style-name="T227">pin</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table79.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">A pin that the setup/hold check is applied to.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table79.1">
<table:table-cell table:style-name="Table79.A2" office:value-type="string">
<text:p text:style-name="P29">-setup</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table79.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Add a setup timing check.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table79.1">
<table:table-cell table:style-name="Table79.A2" office:value-type="string">
<text:p text:style-name="P29">-hold</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table79.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Add a hold timing check.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table79.1">
<table:table-cell table:style-name="Table79.A2" office:value-type="string">
<text:p text:style-name="P193"><text:span text:style-name="T22">-clock </text:span>clock</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table79.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The setup/hold check clock.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table79.1">
<table:table-cell table:style-name="Table79.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">margin</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table79.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The setup or hold time margin.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_data_check</text:span> command is used to add a setup or hold timing check between two pins.</text:p>
<table:table table:name="Table80" table:style-name="Table80">
<table:table-column table:style-name="Table80.A"/>
<table:table-column table:style-name="Table80.B"/>
<table:table-row table:style-name="Table80.1">
<table:table-cell table:style-name="Table80.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:alphabetical-index-mark-start text:id="IMark53760025232"/><text:span text:style-name="Command_20_Heading">set_disable_inferred_clock_gating</text:span><text:alphabetical-index-mark-end text:id="IMark53760025232"/><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table80.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table80.1">
<table:table-cell table:style-name="Table80.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table80.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clock gating instances, clock gating pins, or clock enable pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command_20_Heading"><text:span text:style-name="T138">set_disable_inferred_clock_gating</text:span></text:span> command disables clock gating checks on a clock gating instance, clock gating pin, or clock gating enable pin.</text:p>
<table:table table:name="Table81" table:style-name="Table81">
<table:table-column table:style-name="Table81.A"/>
<table:table-column table:style-name="Table81.B"/>
<table:table-row table:style-name="Table81.1">
<table:table-cell table:style-name="Table81.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_disable_timing</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table81.A1" office:value-type="string">
<text:p text:style-name="P29">[-from <text:span text:style-name="T24">from_port</text:span>]<text:line-break/>[-to <text:span text:style-name="T24">to_port</text:span>]<text:line-break/><text:span text:style-name="T24">objects</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table81.1">
<table:table-cell table:style-name="Table81.A2" office:value-type="string">
<text:p text:style-name="P194"><text:span text:style-name="T22">-from </text:span>from_port</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table81.A2" office:value-type="string">
<text:p text:style-name="P195"/>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table81.1">
<table:table-cell table:style-name="Table81.A2" office:value-type="string">
<text:p text:style-name="P194"><text:span text:style-name="T22">-to </text:span>to_port</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table81.A2" office:value-type="string">
<text:p text:style-name="P195"/>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table81.1">
<table:table-cell table:style-name="Table81.A2" office:value-type="string">
<text:p text:style-name="P35">objects</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table81.A2" office:value-type="string">
<text:p text:style-name="P196"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of instances, ports, pins, cells, cell/port, </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T227">or </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">library/cell/port.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_disable_timing</text:span> command is used to disable paths though pins in the design. There are many different forms of the command depending on the objects specified in <text:span text:style-name="Command_20_Argument">objects</text:span>.</text:p>
<text:p text:style-name="Body">All timing paths though an instance are disabled when <text:span text:style-name="Command_20_Argument">objects</text:span> contains an instance. Timing checks in the instance are <text:span text:style-name="T24">not</text:span> disabled. </text:p>
<text:p text:style-name="Example_20__20_indented"><text:soft-page-break/>set_disable_timing u2</text:p>
<text:p text:style-name="Body">The <text:span text:style-name="Command">-from</text:span> and <text:span text:style-name="Command">-to</text:span> options can be used to restrict the disabled path to those from, to or between specific pins on the instance.</text:p>
<text:p text:style-name="Example_20__20_indented">set_disable_timing -from A u2<text:line-break/>set_disable_timing -to Z u2<text:line-break/>set_disable_timing -from A -to Z u2</text:p>
<text:p text:style-name="Body">A list of top level ports or instance pins can also be disabled.</text:p>
<text:p text:style-name="Example_20__20_indented">set_disable_timing u2/Z<text:line-break/>set_disable_timing in1</text:p>
<text:p text:style-name="Body">Timing paths though all instances of a library cell in the design can be disabled by naming the cell using a hierarchy separator between the library and cell name. Paths from or to a cell port can be disabled with the <text:span text:style-name="Command">-from</text:span> and <text:span text:style-name="Command">-to</text:span> options or a port name after library and cell names.</text:p>
<text:p text:style-name="Example_20__20_indented">set_disable_timing liberty1/snl_bufx2<text:line-break/>set_disable_timing -from A liberty1/snl_bufx<text:line-break/>set_disable_timing -to Z liberty1/snl_bufx<text:line-break/>set_disable_timing liberty1/snl_bufx2/A</text:p>
<table:table table:name="Table82" table:style-name="Table82">
<table:table-column table:style-name="Table82.A"/>
<table:table-column table:style-name="Table82.B"/>
<table:table-row table:style-name="Table82.1">
<table:table-cell table:style-name="Table82.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_drive</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table82.A1" office:value-type="string">
<text:p text:style-name="P29">[-rise]<text:line-break/>[-fall]<text:line-break/>[-max]<text:line-break/>[-min]<text:span text:style-name="T24"><text:line-break/>resistance<text:line-break/>port</text:span><text:span text:style-name="T226">s</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table82.1">
<table:table-cell table:style-name="Table82.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table82.A2" office:value-type="string">
<text:p text:style-name="P197"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the drive rise resistance.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table82.1">
<table:table-cell table:style-name="Table82.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table82.A2" office:value-type="string">
<text:p text:style-name="P197"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the drive fall resistance.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table82.1">
<table:table-cell table:style-name="Table82.A2" office:value-type="string">
<text:p text:style-name="P29">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table82.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T228">Set the</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> maximum </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T228">resistance</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table82.1">
<table:table-cell table:style-name="Table82.A2" office:value-type="string">
<text:p text:style-name="P29">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table82.A2" office:value-type="string">
<text:p text:style-name="P198"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T228">Set the</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> m</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T228">in</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">imum </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T228">resistance</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table82.1">
<table:table-cell table:style-name="Table82.A2" office:value-type="string">
<text:p text:style-name="P35">resistance</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table82.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The external drive resistance.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table82.1">
<table:table-cell table:style-name="Table82.A2" office:value-type="string">
<text:p text:style-name="P35">port<text:span text:style-name="T227">s</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table82.A2" office:value-type="string">
<text:p text:style-name="Example">A list of ports.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_drive</text:span> command describes the resistance of an input port external driver. </text:p>
<table:table table:name="Table83" table:style-name="Table83">
<table:table-column table:style-name="Table83.A"/>
<table:table-column table:style-name="Table83.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table83.1">
<table:table-cell table:style-name="Table83.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_driving_cell</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table83.A1" office:value-type="string">
<text:p text:style-name="P29">[-lib_cell <text:span text:style-name="T24">cell_name</text:span>]<text:line-break/>[-library <text:span text:style-name="T24">library</text:span>]<text:line-break/>[-rise]<text:line-break/>[-fall]<text:line-break/>[-min]<text:line-break/>[-max]<text:line-break/>[-pin <text:span text:style-name="T24">pin</text:span>]<text:line-break/>[-from_pin <text:span text:style-name="T24">from_pin</text:span>]<text:line-break/>[-input_transition_rise <text:span text:style-name="T24">trans_rise</text:span>]<text:line-break/>[-input_transition_fall <text:span text:style-name="T24">trans_fall</text:span>]<text:span text:style-name="T24"><text:line-break/>port</text:span><text:span text:style-name="T229">s</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table83.1">
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P199"><text:span text:style-name="T22">-lib_cell </text:span>cell_name</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P200"><text:span text:style-name="Default_20_Paragraph_20_Font">The driving cell.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table83.1">
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P199"><text:span text:style-name="T22">-library </text:span>library</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P200"><text:span text:style-name="Default_20_Paragraph_20_Font">The driving cell </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T133">library</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table83.1">
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P201"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T228">Set</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the driving cell </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T230">for a </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T228">rising</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T230"> edge</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table83.1">
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P198"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T228">Set</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T228"> </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">driving cell </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T230">for a falling edge</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table83.1">
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P29">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P198"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T228">Set</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T228"> </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">driving cell </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T228">for max delays</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table83.1">
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P29">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P198"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T228">Set</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T228"> </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">driving cell </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T228">for min delays</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table83.1">
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T231">pin </text:span>pin</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The output port of the driving cell.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table83.1">
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T231">from_pin </text:span>from_pin</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Use </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T228">timing arcs</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> from </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T228">from_</text:span></text:span><text:span text:style-name="Command_20_Argument">pin</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> to the output pin.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table83.1">
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P199"><text:span text:style-name="T22">-input_transition_rise </text:span>trans_rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The transition time for a rising input at </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T24">from_pin</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table83.1">
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P199"><text:span text:style-name="T22">-input_transition_</text:span><text:span text:style-name="T231">fall</text:span><text:span text:style-name="T22"> </text:span>trans_fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The transition time for a falling input at </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T24">from_pin</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table83.1">
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="P35">port<text:span text:style-name="T228">s</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table83.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of ports.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_driving_cell</text:span> command describes an input port external driver. </text:p>
<table:table table:name="Table84" table:style-name="Table84">
<table:table-column table:style-name="Table84.A"/>
<table:table-column table:style-name="Table84.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table84.1">
<table:table-cell table:style-name="Table84.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_false_path</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table84.A1" office:value-type="string">
<text:p text:style-name="P29">[-setup]<text:line-break/>[-hold]<text:line-break/>[-rise]<text:line-break/>[-fall]<text:line-break/>[-from <text:span text:style-name="T24">from_list</text:span>]<text:line-break/>[-rise_from <text:span text:style-name="T24">from_list</text:span>]<text:line-break/>[-fall_from <text:span text:style-name="T24">from_list</text:span>]<text:line-break/>[-through <text:span text:style-name="T24">through_list</text:span>]<text:line-break/>[-rise_through <text:span text:style-name="T24">through_list</text:span>]<text:line-break/>[-fall_through <text:span text:style-name="T24">through_list</text:span>]<text:line-break/>[-to <text:span text:style-name="T24">to_list</text:span>]<text:line-break/>[-rise_to <text:span text:style-name="T24">to_list</text:span>]<text:line-break/>[-fall_to <text:span text:style-name="T24">to_list</text:span>]<text:line-break/>[-reset_path]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table84.1">
<table:table-cell table:style-name="Table84.A2" office:value-type="string">
<text:p text:style-name="P29">-setup</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table84.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T232">A</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">pply to setup checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table84.1">
<table:table-cell table:style-name="Table84.A2" office:value-type="string">
<text:p text:style-name="P29">-hold</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table84.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T232">A</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">pply to hold checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table84.1">
<table:table-cell table:style-name="Table84.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table84.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T232">A</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">pply to rising path edges.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table84.1">
<table:table-cell table:style-name="Table84.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table84.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T232">A</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">pply to falling path edges.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table84.1">
<table:table-cell table:style-name="Table84.A2" office:value-type="string">
<text:p text:style-name="P29">-reset_path</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table84.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Remove any matching </text:span><text:span text:style-name="Command">set_false_path, set_multicycle_path, set_max_delay, set_min_delay exceptions</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> first.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table84.1">
<table:table-cell table:style-name="Table84.A2" office:value-type="string">
<text:p text:style-name="P202"><text:span text:style-name="T22">-from </text:span>from_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table84.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks, instances, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table84.1">
<table:table-cell table:style-name="Table84.A2" office:value-type="string">
<text:p text:style-name="P202"><text:span text:style-name="T22">-through </text:span>through_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table84.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of instances, pins or nets.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table84.1">
<table:table-cell table:style-name="Table84.A2" office:value-type="string">
<text:p text:style-name="P202"><text:span text:style-name="T22">-to </text:span>to_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table84.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks, instances, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_false_path</text:span> command disables timing along a path from, through and to a group of design objects.</text:p>
<text:p text:style-name="Body">Objects in <text:span text:style-name="Command_20_Argument">from_list</text:span> can be clocks, register/latch instances, or register/latch clock pins. The <text:span text:style-name="Command">-rise_from</text:span> and <text:span text:style-name="Command">-fall_from</text:span> keywords restrict the false paths to a specific clock edge.</text:p>
<text:p text:style-name="Body">Objects in <text:span text:style-name="Command_20_Argument">through_list</text:span> can be nets, instances, instance pins, or hierarchical pins,. The <text:span text:style-name="Command">-rise_through</text:span> and <text:span text:style-name="Command">-fall_through</text:span> keywords restrict the false paths to a specific path edge that traverses through the object.</text:p>
<text:p text:style-name="Body">Objects in <text:span text:style-name="Command_20_Argument">to_list</text:span> can be clocks, register/latch instances, or register/latch clock pins. The <text:span text:style-name="Command">-rise_to</text:span> and <text:span text:style-name="Command">-fall_to</text:span> keywords restrict the false paths to a specific transition at the path end.</text:p>
<table:table table:name="Table85" table:style-name="Table85">
<table:table-column table:style-name="Table85.A"/>
<table:table-column table:style-name="Table85.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table85.1">
<table:table-cell table:style-name="Table85.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_fanout_load</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table85.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">fanout<text:line-break/>port_list</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">This command is ignored.</text:p>
<table:table table:name="Table86" table:style-name="Table86">
<table:table-column table:style-name="Table86.A"/>
<table:table-column table:style-name="Table86.B"/>
<table:table-row table:style-name="Table86.1">
<table:table-cell table:style-name="Table86.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_hierarchy_separator</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table86.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">separator</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table86.1">
<table:table-cell table:style-name="Table86.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">separator</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table86.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Character used to separate hierarchical names.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Set the character used to separate names in a hierarchical instance, net or pin name. This separator is used by the command interpreter to read arguments and print results. The default separator is <text:span text:style-name="Command">&apos;/&apos;</text:span>.</text:p>
<table:table table:name="Table87" table:style-name="Table87">
<table:table-column table:style-name="Table87.A"/>
<table:table-column table:style-name="Table87.B"/>
<table:table-row table:style-name="Table87.1">
<table:table-cell table:style-name="Table87.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_ideal_latency</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table87.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">[-rise] [-fall] [-min] [-max] </text:span><text:span text:style-name="Command_20_Argument">delay objects</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_ideal_latency</text:span> command is parsed but ignored.</text:p>
<table:table table:name="Table88" table:style-name="Table88">
<table:table-column table:style-name="Table88.A"/>
<table:table-column table:style-name="Table88.B"/>
<table:table-row table:style-name="Table88.1">
<table:table-cell table:style-name="Table88.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_ideal_network</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table88.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">[-no_propagation] </text:span><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_ideal_network</text:span> command is parsed but ignored.</text:p>
<table:table table:name="Table89" table:style-name="Table89">
<table:table-column table:style-name="Table89.A"/>
<table:table-column table:style-name="Table89.B"/>
<table:table-row table:style-name="Table89.1">
<table:table-cell table:style-name="Table89.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_ideal_transition</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table89.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">[-rise] [-fall] [-min] [-max] </text:span><text:span text:style-name="Command_20_Argument">transition_time objects</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_ideal_transition</text:span> command is parsed but ignored.</text:p>
<table:table table:name="Table90" table:style-name="Table90">
<table:table-column table:style-name="Table90.A"/>
<table:table-column table:style-name="Table90.B"/>
<table:table-row table:style-name="Table90.1">
<table:table-cell table:style-name="Table90.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_input_delay</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table90.A1" office:value-type="string">
<text:p text:style-name="P29">[-rise]<text:line-break/>[-fall]<text:line-break/>[-max]<text:line-break/>[-min]<text:line-break/>[-clock <text:span text:style-name="T24">clock</text:span>]<text:line-break/>[-clock_fall]<text:line-break/>[-reference_pin <text:span text:style-name="Command_20_Argument">ref_pin</text:span>]<text:line-break/>[-source_latency_included]<text:line-break/>[-network_latency_included]<text:line-break/>[-add_delay]<text:line-break/><text:span text:style-name="T24">delay<text:line-break/>port_pin_list</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table90.1">
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T232">Set</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the arrival time for the rising edge of the input.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table90.1">
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T232">Set</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the arrival time for the falling edge of the input.</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table90.1">
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P29">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P203"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T232">Set</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the maximum arrival time.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table90.1">
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P29">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P203"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T232">Set</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the minimum arrival time.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table90.1">
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P204"><text:span text:style-name="T22">-clock </text:span>clock</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The arrival time is from </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">clock</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table90.1">
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P29">-clock_fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The arrival time is from the falling edge of </text:span><text:span text:style-name="Command_20_Argument">clock</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T24">.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table90.1">
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P205"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">-reference_pin </text:span></text:span><text:span text:style-name="Command_20_Argument">ref_pin</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The arrival time is with respect to the clock that arrives at </text:span><text:span text:style-name="Command_20_Argument">ref_pin</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table90.1">
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P29">-source_latency_included</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T233">D no add</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the clock source latency (insertion delay) to the delay value.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table90.1">
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P29">-network_latency_included</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P206"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T233">Do not add</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the clock latency to the delay value </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T233">when the clock is ideal</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table90.1">
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P29">-add_delay</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Add this arrival to any existing arrivals.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table90.1">
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P35">delay</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The arrival time after </text:span><text:span text:style-name="Command_20_Argument">clock</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table90.1">
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">pin_port_list</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table90.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of pins or ports.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P207">The <text:span text:style-name="Command">set_input_delay</text:span> command is used to specify the arrival time of an input signal.</text:p>
<text:p text:style-name="P207"><text:span text:style-name="T234">The following command sets the min, max, rise and fall times on the </text:span><text:span text:style-name="Command"><text:span text:style-name="T234">in1</text:span></text:span><text:span text:style-name="T234"> input port </text:span><text:span text:style-name="Command"><text:span text:style-name="T234">1.0</text:span></text:span><text:span text:style-name="T234"> time units after the rising edge of </text:span><text:span text:style-name="Command"><text:span text:style-name="T234">clk1</text:span></text:span><text:span text:style-name="T234">.</text:span></text:p>
<text:p text:style-name="P208">set_input_delay -clock clk1 1.0 [get_ports in1]</text:p>
<text:p text:style-name="P209"><text:span text:style-name="T234">Use multiple commands with the -add_delay option to specify separate arrival times for min, max, rise and fall times or multiple clocks. For example, the following specifies separate arrival times with respect to clocks </text:span><text:span text:style-name="Command"><text:span text:style-name="T234">clk1</text:span></text:span><text:span text:style-name="T234"> and </text:span><text:span text:style-name="Command"><text:span text:style-name="T234">clk2</text:span></text:span><text:span text:style-name="T234">.</text:span></text:p>
<text:p text:style-name="P208">set_input_delay -clock clk1 1.0 [get_ports in1]<text:line-break/>set_input_delay -<text:span text:style-name="T234">add_delay </text:span>-clock clk<text:span text:style-name="T234">2</text:span> <text:span text:style-name="T234">2</text:span>.0 [get_ports in1]</text:p>
<text:p text:style-name="P209">The <text:span text:style-name="Command">reference_pin</text:span> option is used to specify an arrival time with respect to the arrival on a pin in the clock network. For propagated clocks, the input arrival time is relative to the clock arrival time at the reference pin (the clock source latency and network latency from the clock source to the reference pin). For ideal clocks, input arrival time is relative to the reference pin clock source latency. With the <text:span text:style-name="Command">-clock_fall</text:span> flag the arrival time is relative to the falling transition at the reference pin. If no clocks arrive at the reference pin the <text:span text:style-name="Command">set_input_delay</text:span> command is ignored. If no <text:span text:style-name="Command">-clock</text:span> is specified the arrival time is with respect to all clocks that arrive at the reference pin. The <text:span text:style-name="Command">-source_latency_included</text:span> and <text:span text:style-name="Command">-network_latency_included</text:span> options cannot be used with <text:span text:style-name="Command">-reference_pin</text:span>.</text:p>
<text:p text:style-name="P210">Paths from inputs that do not have an arrival time defined by <text:span text:style-name="T50">set_input_delay</text:span> are not reported. Set the<text:span text:style-name="T133"> </text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T133">sta_input_port_default_clock</text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T192"> variable to </text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T235">1</text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T192"> to report paths from inputs without a </text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T235">set_input_delay</text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T192">.</text:span></text:span></text:p>
<table:table table:name="Table91" table:style-name="Table91">
<table:table-column table:style-name="Table91.A"/>
<table:table-column table:style-name="Table91.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table91.1">
<table:table-cell table:style-name="Table91.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_input_transition</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table91.A1" office:value-type="string">
<text:p text:style-name="P29">[-rise]<text:line-break/>[-fall]<text:line-break/>[-max]<text:line-break/>[-min]<text:line-break/><text:span text:style-name="T24">transition<text:line-break/>port_list</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table91.1">
<table:table-cell table:style-name="Table91.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table91.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T236">Set the</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> rising edge </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T236">transition</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table91.1">
<table:table-cell table:style-name="Table91.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table91.A2" office:value-type="string">
<text:p text:style-name="P211"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T236">Set the</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T236">falling</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> edge </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T236">transition</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table91.1">
<table:table-cell table:style-name="Table91.A2" office:value-type="string">
<text:p text:style-name="P29">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table91.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T236">Set</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the minimum transition time.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table91.1">
<table:table-cell table:style-name="Table91.A2" office:value-type="string">
<text:p text:style-name="P29">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table91.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T236">Set</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the maximum transition time.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table91.1">
<table:table-cell table:style-name="Table91.A2" office:value-type="string">
<text:p text:style-name="P35">transition</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table91.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The transition time (slew).</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table91.1">
<table:table-cell table:style-name="Table91.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">port_list</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table91.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of ports.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_input_transition</text:span> command is used to specify the transition time (slew) of an input signal.</text:p>
<table:table table:name="Table92" table:style-name="Table92">
<table:table-column table:style-name="Table92.A"/>
<table:table-column table:style-name="Table92.B"/>
<table:table-row table:style-name="Table92.1">
<table:table-cell table:style-name="Table92.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_level_shifter_strategy</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table92.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T33">[-rule </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">rule_type</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T33">]</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">This command is parsed and ignored by timing analysis.</text:p>
<table:table table:name="Table93" table:style-name="Table93">
<table:table-column table:style-name="Table93.A"/>
<table:table-column table:style-name="Table93.B"/>
<table:table-row table:style-name="Table93.1">
<table:table-cell table:style-name="Table93.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_level_shifter_threshold</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table93.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T33">[-voltage </text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1">voltage</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T33">]</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">This command is parsed and ignored by timing analysis.</text:p>
<table:table table:name="Table94" table:style-name="Table94">
<table:table-column table:style-name="Table94.A"/>
<table:table-column table:style-name="Table94.B"/>
<table:table-row table:style-name="Table94.1">
<table:table-cell table:style-name="Table94.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_load</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table94.A1" office:value-type="string">
<text:p text:style-name="P29">[-rise]<text:line-break/>[-fall]<text:line-break/>[-max]<text:line-break/>[-min]<text:line-break/>[-subtract_pin_load]<text:line-break/>[-pin_load]<text:line-break/>[-wire_load]<text:line-break/><text:span text:style-name="T24">capacitance<text:line-break/>objects</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table94.1">
<table:table-cell table:style-name="Table94.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table94.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T237">Set t</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">he </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T238">external port </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T237">rising </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">capacitance </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T238">(ports only).</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table94.1">
<table:table-cell table:style-name="Table94.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table94.A2" office:value-type="string">
<text:p text:style-name="P212"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T237">Set t</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">he </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T238">external port </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T237">falling </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">capacitance </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T238">(ports only)</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table94.1">
<table:table-cell table:style-name="Table94.A2" office:value-type="string">
<text:p text:style-name="P29">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table94.A2" office:value-type="string">
<text:p text:style-name="P213"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T237">Set t</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">he </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T237">max </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">capacitance.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table94.1">
<table:table-cell table:style-name="Table94.A2" office:value-type="string">
<text:p text:style-name="P29">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table94.A2" office:value-type="string">
<text:p text:style-name="P214"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T237">Set t</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">he </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T237">m</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T239">in</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T237"> </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">capacitance.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table94.1">
<table:table-cell table:style-name="Table94.A2" office:value-type="string">
<text:p text:style-name="P29">-subtract_pin_load</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table94.A2" office:value-type="string">
<text:p text:style-name="P212"><text:span text:style-name="Default_20_Paragraph_20_Font">Subtract the capacitance of all instance pins connected to the net from </text:span><text:span text:style-name="Command_20_Argument">capacitance </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T238">(nets only)</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">. </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T238">If the resulting capacitance is negative, zero is used. </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T240">Pin capacitance</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T241">s</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T240"> </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T241">are</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T240"> ignored by delay calculation when this option is used.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table94.1">
<table:table-cell table:style-name="Table94.A2" office:value-type="string">
<text:p text:style-name="P29">-pin_load</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table94.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Command_20_Argument">capacitance</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is external instance pin capacitance (ports only).</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table94.1">
<table:table-cell table:style-name="Table94.A2" office:value-type="string">
<text:p text:style-name="P29">-wire_load</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table94.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Command_20_Argument">capacitance</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is external wire capacitance (ports only).</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table94.1">
<table:table-cell table:style-name="Table94.A2" office:value-type="string">
<text:p text:style-name="P35">capacitance</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table94.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">The capacitance, in library capacitance units.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table94.1">
<table:table-cell table:style-name="Table94.A2" office:value-type="string">
<text:p text:style-name="P35">objects</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table94.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of nets or ports.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_load</text:span> command annotates <text:span text:style-name="T238">wire </text:span>capacitance on a net or <text:span text:style-name="T238">external capacitance on a </text:span>port. <text:span text:style-name="T238">There are four different uses for the </text:span><text:span text:style-name="T242">set_load</text:span><text:span text:style-name="T238"> commanc:</text:span></text:p>
<text:p text:style-name="P215">set_load -wire_load <text:span text:style-name="T1">port</text:span> <text:s/>external <text:span text:style-name="T243">port </text:span>wire <text:span text:style-name="T243">capacitance</text:span><text:line-break/>set_load -pin_load <text:span text:style-name="T1">port</text:span> <text:s text:c="2"/>external <text:span text:style-name="T243">port </text:span>pin <text:span text:style-name="T243">capacitance</text:span><text:line-break/>set_load <text:span text:style-name="T1">port</text:span> <text:s text:c="12"/>same as -pin_load<text:line-break/>set_load <text:span text:style-name="T1">net</text:span> <text:s text:c="13"/><text:span text:style-name="T243">net wire capacitance</text:span></text:p>
<text:p text:style-name="P216"><text:span text:style-name="T238">External p</text:span>ort <text:span text:style-name="T238">capacitance </text:span>can <text:span text:style-name="T238">be</text:span> annotated separately with the <text:span text:style-name="Command">-pin_load</text:span> and <text:span text:style-name="Command">wire_load</text:span> options. Without the <text:span text:style-name="Command">-pin_load</text:span> and <text:span text:style-name="Command">-wire_load</text:span> options pin capacitance is annotated.</text:p>
<text:p text:style-name="P217"><text:span text:style-name="T243">When annotating n</text:span>et wire capacitance <text:span text:style-name="T243">with </text:span>the <text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">-subtract_pin_load</text:span></text:span> option the capacitance of all instance pins connected to the net is subtracted from <text:span text:style-name="Command_20_Argument">capacitance</text:span>. <text:span text:style-name="T243">Setting the capacitance on a net overrides </text:span><text:span text:style-name="T244">SPEF </text:span><text:span text:style-name="T245">parasitics </text:span><text:span text:style-name="T243">for delay calculation</text:span><text:span text:style-name="T245">.</text:span></text:p>
<table:table table:name="Table95" table:style-name="Table95">
<table:table-column table:style-name="Table95.A"/>
<table:table-column table:style-name="Table95.B"/>
<table:table-row table:style-name="Table95.1">
<table:table-cell table:style-name="Table95.A1" office:value-type="string">
<text:p text:style-name="P40"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_logic_dc</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table95.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">port_list</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table95.1">
<table:table-cell table:style-name="Table95.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">port_pin_list</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table95.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">List of ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Set a port or pin to a constant unknown logic value. No paths are propagated from constant pins. </text:p>
<table:table table:name="Table96" table:style-name="Table96">
<table:table-column table:style-name="Table96.A"/>
<table:table-column table:style-name="Table96.B"/>
<table:table-row table:style-name="Table96.1">
<table:table-cell table:style-name="Table96.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_logic_one</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table96.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">port_list</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table96.1">
<table:table-cell table:style-name="Table96.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">port_pin_list</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table96.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">List of ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Set a port or pin to a constant logic one value. No paths are propagated from constant pins. Constant values set with the <text:span text:style-name="Command">set_logic_one</text:span> command are <text:span text:style-name="T224">not</text:span> propagated through downstream gates.</text:p>
<table:table table:name="Table97" table:style-name="Table97">
<table:table-column table:style-name="Table97.A"/>
<table:table-column table:style-name="Table97.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table97.1">
<table:table-cell table:style-name="Table97.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_logic_zero</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table97.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">port_list</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table97.1">
<table:table-cell table:style-name="Table97.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">port_pin_list</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table97.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">List of ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Set a port or pin to a constant logic zero value. No paths are propagated from constant pins. Constant values set with the <text:span text:style-name="Command">set_logic_zero</text:span> command are <text:span text:style-name="T224">not</text:span> propagated through downstream gates.</text:p>
<table:table table:name="Table98" table:style-name="Table98">
<table:table-column table:style-name="Table98.A"/>
<table:table-column table:style-name="Table98.B"/>
<table:table-row table:style-name="Table98.1">
<table:table-cell table:style-name="Table98.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_max_area</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table98.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">area</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table98.1">
<table:table-cell table:style-name="Table98.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">area</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table98.A2" office:value-type="string">
<text:p text:style-name="P29"/>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_max_area</text:span> command is ignored during timing but is included in SDC files that are written.</text:p>
<table:table table:name="Table99" table:style-name="Table99">
<table:table-column table:style-name="Table99.A"/>
<table:table-column table:style-name="Table99.B"/>
<table:table-row table:style-name="Table99.1">
<table:table-cell table:style-name="Table99.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_max_capacitance</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table99.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">capacitance<text:line-break/>objects</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table99.1">
<table:table-cell table:style-name="Table99.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">capacitance</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table99.A2" office:value-type="string">
<text:p text:style-name="P35"/>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table99.1">
<table:table-cell table:style-name="Table99.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">objects</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table99.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">List of ports or cells.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_max_capacitance</text:span> command is ignored during timing but is included in SDC files that are written.</text:p>
<table:table table:name="Table100" table:style-name="Table100">
<table:table-column table:style-name="Table100.A"/>
<table:table-column table:style-name="Table100.B"/>
<table:table-row table:style-name="Table100.1">
<table:table-cell table:style-name="Table100.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_max_delay</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table100.A1" office:value-type="string">
<text:p text:style-name="P218">[-rise]<text:line-break/>[-fall]<text:line-break/>[-from <text:span text:style-name="T24">from_list</text:span>]<text:line-break/>[-rise_from <text:span text:style-name="T24">from_list</text:span>]<text:line-break/>[-fall_from <text:span text:style-name="T24">from_list</text:span>]<text:line-break/>[-through <text:span text:style-name="T24">through_list</text:span>]<text:line-break/>[-rise_through <text:span text:style-name="T24">through_list</text:span>]<text:line-break/>[-fall_through <text:span text:style-name="T24">through_list</text:span>]<text:line-break/>[-to <text:span text:style-name="T24">to_list</text:span>]<text:line-break/>[-rise_to <text:span text:style-name="T24">to_list</text:span>]<text:line-break/>[-fall_to <text:span text:style-name="T24">to_list</text:span>]<text:line-break/>[-ignore_clock_latency]<text:line-break/><text:span text:style-name="T98">[</text:span><text:span text:style-name="T246">-probe]</text:span><text:line-break/>[-reset_path]<text:line-break/><text:span text:style-name="T24">delay</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table100.1">
<table:table-cell table:style-name="Table100.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table100.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T247">Set max delay for</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T248">rising </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">paths.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table100.1">
<table:table-cell table:style-name="Table100.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table100.A2" office:value-type="string">
<text:p text:style-name="P219"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T247">Set max delay for </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:s/>falling </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T248">paths</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table100.1">
<table:table-cell table:style-name="Table100.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T249">from </text:span>from_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table100.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks, instances, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table100.1">
<table:table-cell table:style-name="Table100.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T249">through </text:span>through_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table100.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of instances, pins or nets.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table100.1">
<table:table-cell table:style-name="Table100.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T249">to </text:span>to_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table100.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks, instances, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table100.1">
<table:table-cell table:style-name="Table100.A2" office:value-type="string">
<text:p text:style-name="P47">-ignore_clock_latency</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table100.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Ignore clock latency at the source and target registers.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table100.1">
<table:table-cell table:style-name="Table100.A2" office:value-type="string">
<text:p text:style-name="P47">-<text:span text:style-name="T98">probe</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table100.A2" office:value-type="string">
<text:p text:style-name="P220">Do not break paths at internal pins (non startpoints).</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table100.1">
<table:table-cell table:style-name="Table100.A2" office:value-type="string">
<text:p text:style-name="P29">-reset_path</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table100.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Remove any matching </text:span><text:span text:style-name="Command">set_false_path, set_multicycle_path, set_max_delay, set_min_delay</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> exceptions first.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table100.1">
<table:table-cell table:style-name="Table100.A2" office:value-type="string">
<text:p text:style-name="P35">delay</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table100.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">The maximum delay.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_max_delay</text:span> command constrains the maximum delay through combinational logic paths. See <text:span text:style-name="Command">set_false_path</text:span> for a description of allowed <text:span text:style-name="Command_20_Argument">from_list</text:span>, <text:span text:style-name="Command_20_Argument">through_list</text:span> and <text:span text:style-name="Command_20_Argument">to_list</text:span> objects. If the <text:span text:style-name="Command_20_Argument">to_list</text:span> ends at a timing check the setup/hold time is included in the path delay.</text:p>
<text:p text:style-name="Body">When the <text:span text:style-name="T7">-ignore_clock_latency</text:span> option is used clock latency at the source and destination of the path delay is ignored. The constraint is reported in the default path group (<text:span text:style-name="T7">**default**</text:span>) rather than the clock path group when the path ends at a timing check.</text:p>
<table:table table:name="Table101" table:style-name="Table101">
<table:table-column table:style-name="Table101.A"/>
<table:table-column table:style-name="Table101.B"/>
<table:table-row table:style-name="Table101.1">
<table:table-cell table:style-name="Table101.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_max_dynamic_power</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table101.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="T24">power </text:span>[<text:span text:style-name="T24">unit</text:span>]</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_max_dynamic_power</text:span> command is ignored.</text:p>
<table:table table:name="Table102" table:style-name="Table102">
<table:table-column table:style-name="Table102.A"/>
<table:table-column table:style-name="Table102.B"/>
<table:table-row table:style-name="Table102.1">
<table:table-cell table:style-name="Table102.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_max_fanout</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table102.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">fanout<text:line-break/>objects</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table102.1">
<table:table-cell table:style-name="Table102.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">fanout</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table102.A2" office:value-type="string">
<text:p text:style-name="P35"/>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table102.1">
<table:table-cell table:style-name="Table102.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">objects</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table102.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">List of ports or cells.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_max_fanout</text:span> command is ignored during timing but is included in SDC files that are written.</text:p>
<table:table table:name="Table103" table:style-name="Table103">
<table:table-column table:style-name="Table103.A"/>
<table:table-column table:style-name="Table103.B"/>
<table:table-row table:style-name="Table103.1">
<table:table-cell table:style-name="Table103.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_max_leakage_power</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table103.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="T24">power </text:span>[<text:span text:style-name="T24">unit</text:span>]</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_max_leakage_power</text:span> command is ignored.</text:p>
<table:table table:name="Table104" table:style-name="Table104">
<table:table-column table:style-name="Table104.A"/>
<table:table-column table:style-name="Table104.B"/>
<table:table-row table:style-name="Table104.1">
<table:table-cell table:style-name="Table104.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_max_time_borrow</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table104.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">delay<text:line-break/>objects</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table104.1">
<table:table-cell table:style-name="Table104.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">delay</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table104.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The maximum time the latches can borrow.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table104.1">
<table:table-cell table:style-name="Table104.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">objects</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table104.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">List of clocks, instances or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command_20_Heading"><text:span text:style-name="T138">set_max_time_borrow</text:span></text:span> command specifies the maximum amount of time that latches can borrow. Time borrowing is the time that a data input to a transparent latch arrives after the latch opens. </text:p>
<table:table table:name="Table105" table:style-name="Table105">
<table:table-column table:style-name="Table105.A"/>
<table:table-column table:style-name="Table105.B"/>
<table:table-row table:style-name="Table105.1">
<table:table-cell table:style-name="Table105.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_max_transition</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table105.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">[-data_path]<text:line-break/>[-clock_path]<text:line-break/>[-rise]<text:line-break/>[-fall]<text:line-break/></text:span><text:span text:style-name="Command"><text:span text:style-name="T24">transition<text:line-break/>objects</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table105.1">
<table:table-cell table:style-name="Table105.A2" office:value-type="string">
<text:p text:style-name="P221"><text:span text:style-name="Command">-data_path</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table105.A2" office:value-type="string">
<text:p text:style-name="P222"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the <text:s/>max slew for data paths.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table105.1">
<table:table-cell table:style-name="Table105.A2" office:value-type="string">
<text:p text:style-name="P221"><text:span text:style-name="Command">-clock_path</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table105.A2" office:value-type="string">
<text:p text:style-name="P222"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the <text:s/>max slew for clock paths.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table105.1">
<table:table-cell table:style-name="Table105.A2" office:value-type="string">
<text:p text:style-name="P221"><text:span text:style-name="Command">-rise</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table105.A2" office:value-type="string">
<text:p text:style-name="P222"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the <text:s/>max slew for rising paths.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table105.1">
<table:table-cell table:style-name="Table105.A2" office:value-type="string">
<text:p text:style-name="P221"><text:span text:style-name="Command">-fall</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table105.A2" office:value-type="string">
<text:p text:style-name="P222"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the <text:s/>max slew for falling paths.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table105.1">
<table:table-cell table:style-name="Table105.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">transition</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table105.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The maximum </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T250">slew/</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">transition time.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table105.1">
<table:table-cell table:style-name="Table105.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">objects</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table105.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">List of clocks, ports or designs.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The set_max_transition command is specifies the maximum transition time (slew) design rule checked by the <text:span text:style-name="Command">report_</text:span><text:span text:style-name="Command"><text:span text:style-name="T251">check_types</text:span></text:span><text:span text:style-name="Command"> max_transition</text:span> command. </text:p>
<text:p text:style-name="Body_20_first">If specified for a design, the default maximum transition is set for the design.</text:p>
<text:p text:style-name="Body">If specified for a clock, the maximum transition is applied to all pins in the clock domain. The <text:span text:style-name="Command">clock_path </text:span>option restricts the maximum transition to clocks in clock paths. The <text:span text:style-name="Command">-data_path</text:span> option restricts the maximum transition to clocks data paths. The <text:span text:style-name="Command">clock_path</text:span>, <text:span text:style-name="Command">-data_path</text:span>, <text:span text:style-name="Command">-rise</text:span> and <text:span text:style-name="Command">fall</text:span> options only apply to clock objects.</text:p>
<table:table table:name="Table106" table:style-name="Table106">
<table:table-column table:style-name="Table106.A"/>
<table:table-column table:style-name="Table106.B"/>
<table:table-row table:style-name="Table106.1">
<table:table-cell table:style-name="Table106.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_min_capacitance</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table106.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">capacitance<text:line-break/>objects</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table106.1">
<table:table-cell table:style-name="Table106.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">capacitance</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table106.A2" office:value-type="string">
<text:p text:style-name="P223"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T22">Minimum capacitance.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table106.1">
<table:table-cell table:style-name="Table106.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">objects</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table106.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">List of ports or cells.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_min_capacitance</text:span> command is ignored during timing but is included in SDC files that are written.</text:p>
<table:table table:name="Table107" table:style-name="Table107">
<table:table-column table:style-name="Table107.A"/>
<table:table-column table:style-name="Table107.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table107.1">
<table:table-cell table:style-name="Table107.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_min_delay</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table107.A1" office:value-type="string">
<text:p text:style-name="P29">[-rise]<text:line-break/>[-fall]<text:line-break/>[-from <text:span text:style-name="T24">from_list</text:span>]<text:line-break/>[-rise_from <text:span text:style-name="T24">from_list</text:span>]<text:line-break/>[-fall_from <text:span text:style-name="T24">from_list</text:span>]<text:line-break/>[-through <text:span text:style-name="T24">through_list</text:span>]<text:line-break/>[-rise_through <text:span text:style-name="T24">through_list</text:span>]<text:line-break/>[-fall_through <text:span text:style-name="T24">through_list</text:span>]<text:line-break/>[-to <text:span text:style-name="T24">to_list</text:span>]<text:line-break/>[-rise_to <text:span text:style-name="T24">to_list</text:span>]<text:line-break/>[-fall_to <text:span text:style-name="T24">to_list</text:span>]<text:line-break/>[<text:span text:style-name="T22">-ignore_clock_latency]<text:line-break/></text:span><text:span text:style-name="T252">[-probe]</text:span><text:line-break/>[-reset_path]<text:line-break/><text:span text:style-name="T24">delay</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table107.1">
<table:table-cell table:style-name="Table107.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table107.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T247">Set min delay for</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T248">rising </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">paths.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table107.1">
<table:table-cell table:style-name="Table107.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table107.A2" office:value-type="string">
<text:p text:style-name="P219"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T247">Set min delay for </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:s/>falling </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T248">paths</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table107.1">
<table:table-cell table:style-name="Table107.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T249">from </text:span>from_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table107.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks, instances, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table107.1">
<table:table-cell table:style-name="Table107.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T249">through </text:span>through_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table107.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of instances, pins or nets.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table107.1">
<table:table-cell table:style-name="Table107.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T249">to </text:span>to_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table107.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks, instances, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table107.1">
<table:table-cell table:style-name="Table107.A2" office:value-type="string">
<text:p text:style-name="P47">-ignore_clock_latency</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table107.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Ignore clock latency at the source and target registers.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table107.1">
<table:table-cell table:style-name="Table107.A2" office:value-type="string">
<text:p text:style-name="P47">-<text:span text:style-name="T98">probe</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table107.A2" office:value-type="string">
<text:p text:style-name="P220">Do not break paths at internal pins (non startpoints).</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table107.1">
<table:table-cell table:style-name="Table107.A2" office:value-type="string">
<text:p text:style-name="P29">-reset_path</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table107.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Remove any matching </text:span><text:span text:style-name="Command">set_false_path, set_multicycle_path, set_max_delay, set_min_delay</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> exceptions first.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table107.1">
<table:table-cell table:style-name="Table107.A2" office:value-type="string">
<text:p text:style-name="P35">delay</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table107.A2" office:value-type="string">
<text:p text:style-name="P224"><text:span text:style-name="Default_20_Paragraph_20_Font">The m</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T253">ini</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">mum delay.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_min_delay</text:span> command constrains the minimum delay through combinational logic. See <text:span text:style-name="Command">set_false_path</text:span> for a description of allowed <text:span text:style-name="Command_20_Argument">from_list</text:span>, <text:span text:style-name="Command_20_Argument">through_list</text:span> and <text:span text:style-name="Command_20_Argument">to_list</text:span> objects. If the <text:span text:style-name="Command_20_Argument">to_list</text:span> ends at a timing check the setup/hold time is included in the path delay.</text:p>
<text:p text:style-name="Body">When the <text:span text:style-name="T7">-ignore_clock_latency</text:span> option is used clock latency at the source and destination of the path delay is ignored. The constraint is reported in the default path group (<text:span text:style-name="T7">**default**</text:span>) rather than the clock path group when the path ends at a timing check.</text:p>
<table:table table:name="Table111" table:style-name="Table111">
<table:table-column table:style-name="Table111.A"/>
<table:table-column table:style-name="Table111.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table111.1">
<table:table-cell table:style-name="Table111.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_min_pulse_width</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table111.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">[-high]<text:line-break/>[-low]</text:span><text:span text:style-name="Command"><text:span text:style-name="T24"><text:line-break/>min_width<text:line-break/>objects</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table111.1">
<table:table-cell table:style-name="Table111.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-high</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table111.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the minimum high pulse width.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table111.1">
<table:table-cell table:style-name="Table111.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command">-low</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table111.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the minimum low pulse width.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table111.1">
<table:table-cell table:style-name="Table111.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">min_width</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table111.A2" office:value-type="string">
<text:p text:style-name="P35"/>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table111.1">
<table:table-cell table:style-name="Table111.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T24">objects</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table111.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">List of pins, instances or clocks.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">If <text:span text:style-name="Command">-low </text:span>and <text:span text:style-name="Command">-high</text:span> are not specified the minimum width applies to both high and low pulses.</text:p>
<table:table table:name="Table112" table:style-name="Table112">
<table:table-column table:style-name="Table112.A"/>
<table:table-column table:style-name="Table112.B"/>
<table:table-row table:style-name="Table112.1">
<table:table-cell table:style-name="Table112.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_multicycle_path</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table112.A1" office:value-type="string">
<text:p text:style-name="P29">[-setup]<text:line-break/>[-hold]<text:line-break/>[-rise]<text:line-break/>[-fall]<text:line-break/>[-start]<text:line-break/>[-end]<text:line-break/>[-from <text:span text:style-name="T24">from_list</text:span>]<text:line-break/>[-rise_from <text:span text:style-name="T24">from_list</text:span>]<text:line-break/>[-fall_from <text:span text:style-name="T24">from_list</text:span>]<text:line-break/>[-through <text:span text:style-name="T24">through_list</text:span>]<text:line-break/>[-rise_through <text:span text:style-name="T24">through_list</text:span>]<text:line-break/>[-fall_through <text:span text:style-name="T24">through_list</text:span>]<text:line-break/>[-to <text:span text:style-name="T24">to_list</text:span>]<text:line-break/>[-rise_to <text:span text:style-name="T24">to_list</text:span>]<text:line-break/>[-fall_to <text:span text:style-name="T24">to_list</text:span>]<text:line-break/>[-reset_path]<text:line-break/><text:span text:style-name="T24">path_multiplier</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table112.1">
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="P29">-setup</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T247">Set cycle count for</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> setup checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table112.1">
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="P29">-hold</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T247">Set cycle count for</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> hold checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table112.1">
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="P219"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T247">Set cycle count for</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> rising path edges.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table112.1">
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="P219"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T247">Set cycle count for</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> falling path edges.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table112.1">
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="P29">-start</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Multiply the source clock period by </text:span><text:span text:style-name="Command_20_Argument">period_multiplier</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table112.1">
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="P29">-end</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Multiply the target clock period by </text:span><text:span text:style-name="Command_20_Argument">period_multiplier</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table112.1">
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T249">from </text:span>from_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks, instances, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table112.1">
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T249">through </text:span>through_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of instances, pins or nets.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table112.1">
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T249">to </text:span>to_list</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks, instances, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table112.1">
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="P29">-reset_path</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">Remove any matching </text:span><text:span text:style-name="Command">set_false_path, set_multicycle_path, set_max_delay, set_min_delay</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> exceptions first.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table112.1">
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="P35">path_multiplier</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table112.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">The number of clock periods to add to the path required time.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Normally the path between two registers or latches is assumed to take one clock cycle. The <text:span text:style-name="Command">set_multicycle_path</text:span> command overrides this assumption and allows multiple clock cycles for a timing check. See <text:span text:style-name="Command">set_false_path</text:span> for a description of allowed <text:span text:style-name="Command_20_Argument">from_list</text:span>, <text:span text:style-name="Command_20_Argument">through_list</text:span> and <text:span text:style-name="Command_20_Argument">to_list</text:span> objects. </text:p>
<table:table table:name="Table113" table:style-name="Table113">
<table:table-column table:style-name="Table113.A"/>
<table:table-column table:style-name="Table113.B"/>
<table:table-row table:style-name="Table113.1">
<table:table-cell table:style-name="Table113.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_operating_conditions</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table113.A1" office:value-type="string">
<text:p text:style-name="P225">[-analysis_type single|bc_wc|on_chip_variation]<text:line-break/>[-library <text:span text:style-name="T1">lib</text:span>]<text:line-break/>[<text:span text:style-name="T1">condition</text:span>]<text:line-break/>[-min <text:span text:style-name="T1">min_condition</text:span>]<text:line-break/>[-max <text:span text:style-name="T1">max_condition</text:span>]<text:line-break/>[-min_library <text:span text:style-name="T1">min_lib</text:span>]<text:line-break/>[-max_library <text:span text:style-name="T1">max_lib</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table113.1">
<table:table-cell table:style-name="Table113.A2" office:value-type="string">
<text:p text:style-name="P226">-analysis_type single</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table113.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Use one operating condition for min and max paths.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table113.1">
<table:table-cell table:style-name="Table113.A2" office:value-type="string">
<text:p text:style-name="P227">-analysis_type bc_wc</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table113.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Best case, worst case analysis. Setup checks use </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T1">max_condition</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> for clock and data paths. Hold checks use the </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T1">min_condition</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> for clock and data paths.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table113.1">
<table:table-cell table:style-name="Table113.A2" office:value-type="string">
<text:p text:style-name="P227">analysis_type on_chip_variation</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table113.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The min and max operating conditions represent variations on the chip that can occur simultaneously. Setup checks use </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T1">max_condition</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> for data paths and <text:s text:c="3"/></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T1">min_condition</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> for clock paths. Hold checks use </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T1">min_condition</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> for data paths and </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T1">max_condition</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> for clock paths. This is the default analysis type.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table113.1">
<table:table-cell table:style-name="Table113.A2" office:value-type="string">
<text:p text:style-name="P33"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T254">library </text:span>lib</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table113.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of the library that contains </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T1">condition</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table113.1">
<table:table-cell table:style-name="Table113.A2" office:value-type="string">
<text:p text:style-name="P33">condition</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table113.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The operating condition for analysis type single.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table113.1">
<table:table-cell table:style-name="Table113.A2" office:value-type="string">
<text:p text:style-name="P33"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T255">min </text:span>min_condition</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table113.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The operating condition to use for min paths and hold checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table113.1">
<table:table-cell table:style-name="Table113.A2" office:value-type="string">
<text:p text:style-name="P33"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T255">max </text:span>max_condition</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table113.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The operating condition to use for max paths and setup checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table113.1">
<table:table-cell table:style-name="Table113.A2" office:value-type="string">
<text:p text:style-name="P228"><text:span text:style-name="T22">-min_library </text:span>min_lib</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table113.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of the library that contains </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">min_condition</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table113.1">
<table:table-cell table:style-name="Table113.A2" office:value-type="string">
<text:p text:style-name="P228"><text:span text:style-name="T22">-m</text:span><text:span text:style-name="T255">ax</text:span><text:span text:style-name="T22">_library </text:span>max_lib</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table113.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of the library that contains </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">max_condition</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first"><text:soft-page-break/>The <text:span text:style-name="Command">set_operating_conditions</text:span> command is used to specify the type of analysis performed and the operating conditions used to derate library data.</text:p>
<table:table table:name="Table114" table:style-name="Table114">
<table:table-column table:style-name="Table114.A"/>
<table:table-column table:style-name="Table114.B"/>
<table:table-row table:style-name="Table114.1">
<table:table-cell table:style-name="Table114.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_output_delay</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table114.A1" office:value-type="string">
<text:p text:style-name="P29">[-rise]<text:line-break/>[-fall]<text:line-break/>[-max]<text:line-break/>[-min]<text:line-break/>[-clock <text:span text:style-name="T24">clock</text:span>]<text:line-break/>[-clock_fall]<text:line-break/>[-reference_pin <text:span text:style-name="Command_20_Argument">ref_pin</text:span>]<text:line-break/>[-source_latency_included]<text:line-break/>[-network_latency_included]<text:line-break/>[-add_delay]<text:line-break/><text:span text:style-name="T24">delay<text:line-break/>port_pin_list</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table114.1">
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T232">Set</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T256">output delay</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> for the rising edge of the input.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table114.1">
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P229"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T232">Set</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T256">output delay</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> for the </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T256">falling</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> edge of the input.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table114.1">
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P29">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P229"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T232">Set</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T256">maximum output delay</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table114.1">
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P29">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P229"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T232">Set</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T256">minimum output delay</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table114.1">
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P230"><text:span text:style-name="T22">-clock </text:span>clock</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P229"><text:span text:style-name="Default_20_Paragraph_20_Font">The </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T256">external check</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T257">to </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T258">cloc</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T259">k</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T260">. </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T261">The default clock edge is rising.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table114.1">
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P29">-clock_fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P231"><text:span text:style-name="Default_20_Paragraph_20_Font">The </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T257">external check</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T257">to</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the falling edge of </text:span><text:span text:style-name="Command_20_Argument">clock</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table114.1">
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P232"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">-reference_pin </text:span></text:span><text:span text:style-name="Command_20_Argument">ref_pin</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T257">external check is clocked by </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">the clock that arrives at </text:span><text:span text:style-name="Command_20_Argument">ref_pin</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table114.1">
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P29">-add_delay</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Add this </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T257">output delay</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> to any existing </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T257">output delays</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table114.1">
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P35">delay</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T257">external delay to the check clocked by</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T24">clock</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table114.1">
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">pin_port_list</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table114.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of pins or ports.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_output_delay</text:span> command is used to specify <text:span text:style-name="T256">the external delay to </text:span><text:span text:style-name="T262">a setup/</text:span><text:span text:style-name="T263">hold</text:span><text:span text:style-name="T262"> check on an output port or internal pin </text:span><text:span text:style-name="T264">that is clocked by </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T264">clock</text:span></text:span><text:span text:style-name="T262">.</text:span> Unless the <text:span text:style-name="Command">-add_delay</text:span> option is specified any existing <text:span text:style-name="T264">output delays are</text:span> replaced.</text:p>
<text:p text:style-name="P233">The <text:span text:style-name="Command">reference_pin</text:span> option is used to specify a <text:span text:style-name="T263">timing check</text:span> with respect to the arrival on a pin in the clock network. For propagated clocks, the <text:span text:style-name="T263">timing check</text:span> is relative to the clock arrival time at the reference pin (the clock source latency and network latency from the clock source to the reference pin). For ideal clocks, <text:span text:style-name="T263">the timing check</text:span> is relative to the reference pin clock source latency. With the <text:span text:style-name="Command">-clock_fall</text:span> flag the <text:span text:style-name="T263">timing check</text:span> is relative to the falling <text:span text:style-name="T256">edge</text:span> <text:span text:style-name="T256">of</text:span> the reference pin. If no clocks arrive at the reference pin the <text:span text:style-name="Command">set_output_delay</text:span> command is ignored. If <text:span text:style-name="Default_20_Paragraph_20_Font">no </text:span><text:span text:style-name="Command">-clock</text:span> is specified the <text:span text:style-name="T263">timing check</text:span> is with respect to all <text:soft-page-break/>clocks that arrive at the reference pin. The <text:span text:style-name="Command">-source_latency_included</text:span> and <text:span text:style-name="Command">-network_latency_included</text:span> options cannot be used with <text:span text:style-name="Command">-reference_pin</text:span>.</text:p>
<table:table table:name="Table115" table:style-name="Table115">
<table:table-column table:style-name="Table115.A"/>
<table:table-column table:style-name="Table115.B"/>
<table:table-row table:style-name="Table115.1">
<table:table-cell table:style-name="Table115.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_port_fanout_number</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table115.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T265">[-min]<text:line-break/>[-max]<text:line-break/></text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T266">fanout<text:line-break/>port</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T267">s</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table115.1">
<table:table-cell table:style-name="Table115.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-min</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table115.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T268">Set t</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">he </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T268">min </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">fanout.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table115.1">
<table:table-cell table:style-name="Table115.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-max</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table115.A2" office:value-type="string">
<text:p text:style-name="P234"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T268">Set t</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">he </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T268">max </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">fanout.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table115.1">
<table:table-cell table:style-name="Table115.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">fanout</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table115.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">The external fanout of the ports.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table115.1">
<table:table-cell table:style-name="Table115.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">port_list</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table115.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of ports.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P235">Set the external fanout for <text:span text:style-name="Command_20_Argument">ports</text:span>.</text:p>
<table:table table:name="Table149" table:style-name="Table149">
<table:table-column table:style-name="Table149.A"/>
<table:table-column table:style-name="Table149.B"/>
<table:table-row table:style-name="Table149.1">
<table:table-cell table:style-name="Table149.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_power</text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T269">_activity</text:span></text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table149.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T265">[-global]<text:line-break/>[-input]<text:line-break/>[-input_ports </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T270">ports</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T265">]<text:line-break/>[-pins </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T270">pins</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T265">]<text:line-break/>[-activity </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T270">activity </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T271">|</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T272"> -density density</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T265">]<text:line-break/>[-duty </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T270">duty</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T265">]<text:line-break/></text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T273">[-clock </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T271">clock</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T273">]</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table149.1">
<table:table-cell table:style-name="Table149.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T270">-</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T274">global</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table149.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the activity/duty for all non-clock pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table149.1">
<table:table-cell table:style-name="Table149.A2" office:value-type="string">
<text:p text:style-name="P29">-input</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table149.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the default input port activity/duty.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table149.1">
<table:table-cell table:style-name="Table149.A2" office:value-type="string">
<text:p text:style-name="P33"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T275">input_ports </text:span>input_ports</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table149.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the input port activity/duty.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table149.1">
<table:table-cell table:style-name="Table149.A2" office:value-type="string">
<text:p text:style-name="P33"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T275">pins </text:span>pins</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table149.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the pin activity/duty.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table149.1">
<table:table-cell table:style-name="Table149.A2" office:value-type="string">
<text:p text:style-name="P234"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T274">-activity </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T270">activity</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table149.A2" office:value-type="string">
<text:p text:style-name="P236"><text:span text:style-name="Default_20_Paragraph_20_Font">The activity, or number of transitions per clock cycle. </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T276">If </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T271">clock</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T276"> is not specified the clock with the minimum period is used. If no clocks are defined an error is reported.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table149.1">
<table:table-cell table:style-name="Table149.A2" office:value-type="string">
<text:p text:style-name="P236"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T274">-</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T272">density</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T274"> </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T271">density</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table149.A2" office:value-type="string">
<text:p text:style-name="P237">Transitions per library time unit.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table149.1">
<table:table-cell table:style-name="Table149.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T274">-</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T277">d</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T278">ut</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T277">y </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T270">duty</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table149.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">The duty, or probability the signal is high </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T279">(0 &lt;= duty &lt;= 1.0)</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">. Defaults to 0.5.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table149.1">
<table:table-cell table:style-name="Table149.A2" office:value-type="string">
<text:p text:style-name="P238"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T274">-</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T280">clock</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T277"> </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T281">clock</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table149.A2" office:value-type="string">
<text:p text:style-name="P239">The clock to use for the period with <text:span text:style-name="T50">-activity</text:span>. This option is ignored if -<text:span text:style-name="T50">density</text:span> is used.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P240"><text:soft-page-break/>The <text:span text:style-name="Command_20_Heading"><text:span text:style-name="T133">set_power_activity</text:span></text:span> command is used to set the activity and duty used for power analysis globally or for input ports or pins in the design.</text:p>
<text:p text:style-name="P241">The default input activity <text:span text:style-name="T282">for</text:span><text:span text:style-name="T283"> inputs </text:span><text:span text:style-name="T282">is</text:span> 0.1 <text:span text:style-name="T282">transitions per minimum clock period if a clock is defined or 0.0 if there are no clocks defined. The default input duty is 0.5. This i</text:span>s equivalent to the following command:</text:p>
<text:p text:style-name="P242"><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T133">set_power_activity</text:span></text:span><text:span text:style-name="Command_20_Heading"> </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T265">-input -activity 0.1 -duty 0.5</text:span></text:span></text:p>
<table:table table:name="Table116" table:style-name="Table116">
<table:table-column table:style-name="Table116.A"/>
<table:table-column table:style-name="Table116.B"/>
<table:table-row table:style-name="Table116.1">
<table:table-cell table:style-name="Table116.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_propagated_clock</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table116.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table116.1">
<table:table-cell table:style-name="Table116.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table116.A2" office:value-type="string">
<text:p text:style-name="P40">A list of clocks, ports or pins.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_propagated_clock</text:span> command changes a clock tree from an ideal network that has no delay one that uses calculated or back-annotated gate and interconnect delays. When <text:span text:style-name="Command_20_Argument">objects</text:span> is a port or pin, clock delays downstream of the object are used.</text:p>
<table:table table:name="Table117" table:style-name="Table117">
<table:table-column table:style-name="Table117.A"/>
<table:table-column table:style-name="Table117.B"/>
<table:table-row table:style-name="Table117.1">
<table:table-cell table:style-name="Table117.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_pvt</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table117.A1" office:value-type="string">
<text:p text:style-name="P225">[-min]<text:line-break/>[-max]<text:line-break/>[-process <text:span text:style-name="T24">process</text:span>]<text:line-break/>[-voltage <text:span text:style-name="T24">voltage</text:span>]</text:p>
<text:p text:style-name="P243">[-temperature <text:span text:style-name="T24">temperature</text:span>]<text:line-break/><text:span text:style-name="T24">instances</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table117.2">
<table:table-cell table:style-name="Table117.A2" office:value-type="string">
<text:p text:style-name="P29">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table117.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T284">S</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">et the PVT values for max delay</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T284">s</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table117.3">
<table:table-cell table:style-name="Table117.A2" office:value-type="string">
<text:p text:style-name="P29">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table117.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T284">S</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">et the PVT values for min delay</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T284">s</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table117.4">
<table:table-cell table:style-name="Table117.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T275">process </text:span>process</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table117.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A process value (float).</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table117.5">
<table:table-cell table:style-name="Table117.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T275">voltage </text:span>voltage</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table117.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A voltage value (float).</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table117.6">
<table:table-cell table:style-name="Table117.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T275">temperature </text:span>temperature</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table117.A2" office:value-type="string">
<text:p text:style-name="P40">A temperature value (float).</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table117.7">
<table:table-cell table:style-name="Table117.A2" office:value-type="string">
<text:p text:style-name="P35">instances</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table117.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list instances.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_pvt</text:span> command sets the process, voltage and temperature values used during delay calculation for a specific instance in the design. </text:p>
<table:table table:name="Table68" table:style-name="Table68">
<table:table-column table:style-name="Table68.A"/>
<table:table-column table:style-name="Table68.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table68.1">
<table:table-cell table:style-name="Table68.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_sense</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table68.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">[-type clock|data]<text:line-break/>[-positive]<text:line-break/>[-negative]<text:line-break/>[-pulse </text:span></text:span><text:span text:style-name="Command_20_Argument">pulse_type</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">]<text:line-break/>[-stop_propagation]<text:line-break/>[-clock </text:span></text:span><text:span text:style-name="Command_20_Argument">clocks</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">]<text:line-break/></text:span></text:span><text:span text:style-name="Command_20_Argument">pins</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table68.1">
<table:table-cell table:style-name="Table68.A1" office:value-type="string">
<text:p text:style-name="P244"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-type clock</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table68.A1" office:value-type="string">
<text:p text:style-name="P245"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the sense for clock paths.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table68.1">
<table:table-cell table:style-name="Table68.A3" office:value-type="string">
<text:p text:style-name="P244"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-type data</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table68.A3" office:value-type="string">
<text:p text:style-name="P245"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the sense for data paths (not supported).</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table68.1">
<table:table-cell table:style-name="Table68.A3" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-positive</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table68.A3" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T25">The clock sense is positive un</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T285">a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T25">te.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table68.1">
<table:table-cell table:style-name="Table68.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-negative</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table68.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T25">The clock sense is negative un</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T285">a</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T25">te.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table68.1">
<table:table-cell table:style-name="Table68.A1" office:value-type="string">
<text:p text:style-name="P246"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-pulse </text:span></text:span><text:span text:style-name="Command_20_Argument">pulse_type</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table68.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">rise_triggered_high_pulse<text:line-break/>rise_triggered_low_pulse<text:line-break/>fall_triggered_high_pulse<text:line-break/>fall_triggered_low_pulse<text:line-break/></text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T286">Not supported.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table68.1">
<table:table-cell table:style-name="Table68.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-stop_propagation</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table68.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T25">Stop propagating </text:span></text:span><text:span text:style-name="Command_20_Argument">clocks</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T25"> at </text:span></text:span><text:span text:style-name="Command_20_Argument">pins</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T25">.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table68.1">
<table:table-cell table:style-name="Table68.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">clocks</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table68.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T25">A list of clocks to apply the sense.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table68.1">
<table:table-cell table:style-name="Table68.A3" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">pins</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table68.A3" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_sense</text:span> command is used to modify the propagation of a clock signal. The clock sense is set with the <text:span text:style-name="Command">positive</text:span> and <text:span text:style-name="Command">negative</text:span> flags. Use the <text:span text:style-name="Command">stop_propagation</text:span> flag to stop the clock from propagating beyond a pin. The <text:span text:style-name="Command">positive</text:span>, <text:span text:style-name="Command">-negative</text:span>, <text:span text:style-name="Command">-stop_propagation</text:span>, and <text:span text:style-name="Command">pulse</text:span> options are mutually exclusive. If the <text:span text:style-name="Command">clock</text:span> option is not used the command applies to all clocks that traverse <text:span text:style-name="Command"><text:span text:style-name="T24">pins</text:span></text:span>. The <text:span text:style-name="Command">pulse</text:span> option is currently not supported.</text:p>
<table:table table:name="Table118" table:style-name="Table118">
<table:table-column table:style-name="Table118.A"/>
<table:table-column table:style-name="Table118.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table118.1">
<table:table-cell table:style-name="Table118.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_timing_derate</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table118.A1" office:value-type="string">
<text:p text:style-name="P29">[-rise]<text:line-break/>[-fall]<text:line-break/>[-early]<text:line-break/>[-late]<text:line-break/>[-clock]<text:line-break/>[-data]<text:line-break/>[-net_delay]<text:line-break/>[-cell_delay]<text:line-break/>[-cell_check]<text:line-break/><text:span text:style-name="T24">derate<text:line-break/></text:span>[<text:span text:style-name="T24">objects</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table118.1">
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29">-<text:span text:style-name="T287">rise</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P247"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the derating for rising delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table118.1">
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29">-<text:span text:style-name="T287">fall</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P247"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the derating for falling delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table118.1">
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-early</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Derate early (min) paths.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table118.1">
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-late</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Derate late (max) paths.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table118.1">
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-clock</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Derate paths in the clock network.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table118.1">
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-data</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Derate data paths.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table118.1">
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-net_delay</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Derate net (interconnect) delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table118.1">
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-cell_delay</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Derate cell delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table118.1">
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-cell_check</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Derate cell timing check margins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table118.1">
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">derate</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">The derating factor </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T288">to apply to delays</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table118.1">
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table118.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of instances, library cells, or nets.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_timing_derate</text:span> command is used to derate delay calculation results used by the STA. If the <text:span text:style-name="Command">early</text:span> and <text:span text:style-name="Command">late</text:span> flags are omitted the both min and max paths are derated. If the <text:span text:style-name="Command">clock</text:span> and <text:span text:style-name="Command">data</text:span> flags are not used the derating both clock and data paths are derated. </text:p>
<text:p text:style-name="Body_20_first">Use the <text:span text:style-name="T7">unset_timing_derate</text:span> command to remove all derating factors.</text:p>
<table:table table:name="Table119" table:style-name="Table119">
<table:table-column table:style-name="Table119.A"/>
<table:table-column table:style-name="Table119.B"/>
<table:table-row table:style-name="Table119.1">
<table:table-cell table:style-name="Table119.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_resistance</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table119.A1" office:value-type="string">
<text:p text:style-name="P29">[-max]<text:line-break/>[-min]<text:line-break/><text:span text:style-name="T24">resistance<text:line-break/>net</text:span><text:span text:style-name="T289">s</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table119.1">
<table:table-cell table:style-name="Table119.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-min</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table119.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">The resistance for minimum path delay calculation.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table119.1">
<table:table-cell table:style-name="Table119.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-max</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table119.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">The resistance for maximum path delay calculation.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table119.1">
<table:table-cell table:style-name="Table119.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">resistance</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table119.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">The net resistance.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table119.1">
<table:table-cell table:style-name="Table119.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">net</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T290">s</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table119.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of nets.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first"/>
<table:table table:name="Table120" table:style-name="Table120">
<table:table-column table:style-name="Table120.A"/>
<table:table-column table:style-name="Table120.B"/>
<table:table-row table:style-name="Table120.1">
<table:table-cell table:style-name="Table120.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_units</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table120.A1" office:value-type="string">
<text:p text:style-name="P188"><text:span text:style-name="T141">[-capacitance </text:span><text:span text:style-name="T222">cap_unit</text:span><text:span text:style-name="T141">]<text:line-break/>[-resistance </text:span><text:span text:style-name="T222">res_unit</text:span><text:span text:style-name="T141">]<text:line-break/>[-time </text:span><text:span text:style-name="T222">time_unit</text:span><text:span text:style-name="T141">]<text:line-break/>[-voltage </text:span><text:span text:style-name="T222">voltage_unit</text:span><text:span text:style-name="T141">]<text:line-break/>[-current </text:span><text:span text:style-name="T222">current_unit</text:span><text:span text:style-name="T141">]<text:line-break/>[-power </text:span><text:span text:style-name="T222">power_unit</text:span><text:span text:style-name="T141">]<text:line-break/>[-distance </text:span><text:span text:style-name="T223">distance_unit</text:span><text:span text:style-name="T141">]</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table120.1">
<table:table-cell table:style-name="Table120.A2" office:value-type="string">
<text:p text:style-name="P248"><text:span text:style-name="Command">-capacitance </text:span>cap_unit</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table120.A2" office:value-type="string">
<text:p text:style-name="P188"><text:span text:style-name="Default_20_Paragraph_20_Font">The capacitance scale factor followed by </text:span><text:span text:style-name="Command">&apos;f&apos;</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table120.1">
<table:table-cell table:style-name="Table120.A2" office:value-type="string">
<text:p text:style-name="P248"><text:span text:style-name="Command">-resistance </text:span>res_unit</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table120.A2" office:value-type="string">
<text:p text:style-name="P188"><text:span text:style-name="Default_20_Paragraph_20_Font">The resistance scale factor followed by </text:span><text:span text:style-name="Command">&apos;ohm&apos;.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table120.1">
<table:table-cell table:style-name="Table120.A2" office:value-type="string">
<text:p text:style-name="P248"><text:span text:style-name="Command">-time </text:span>time_unit</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table120.A2" office:value-type="string">
<text:p text:style-name="P188"><text:span text:style-name="Default_20_Paragraph_20_Font">The time scale factor followed by </text:span><text:span text:style-name="Command">&apos;s&apos;.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table120.1">
<table:table-cell table:style-name="Table120.A2" office:value-type="string">
<text:p text:style-name="P248">-voltage voltage_unit</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table120.A2" office:value-type="string">
<text:p text:style-name="P190"><text:span text:style-name="Default_20_Paragraph_20_Font">The voltage scale factor followed by </text:span><text:span text:style-name="Command">&apos;v&apos;.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table120.1">
<table:table-cell table:style-name="Table120.A2" office:value-type="string">
<text:p text:style-name="P248"><text:span text:style-name="Command">-current </text:span>current_unit</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table120.A2" office:value-type="string">
<text:p text:style-name="P190"><text:span text:style-name="Default_20_Paragraph_20_Font">The current scale factor followed by </text:span><text:span text:style-name="Command">&apos;A&apos;.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table120.1">
<table:table-cell table:style-name="Table120.A2" office:value-type="string">
<text:p text:style-name="P248"><text:span text:style-name="Command">-power </text:span>power_unit</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table120.A2" office:value-type="string">
<text:p text:style-name="P190"><text:span text:style-name="Default_20_Paragraph_20_Font">The power scale factor followed by </text:span><text:span text:style-name="Command">&apos;w&apos;.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command"><text:span text:style-name="T7">set_units</text:span></text:span> command is used to <text:span text:style-name="T224">check</text:span> the units used by the STA command interpreter when parsing commands and reporting results. If the current units differ from the <text:span text:style-name="T7">set_unit</text:span> value a warning is printed. Use the <text:span text:style-name="T7">set_cmd_units</text:span> command to change the command units.</text:p>
<text:p text:style-name="Body">Units are specified as a scale factor followed by a unit name. The scale factors are as follows.</text:p>
<text:p text:style-name="P249"><text:span text:style-name="Command">M 1E+6<text:line-break/>k 1E+3<text:line-break/>m 1E-3<text:line-break/>u 1E-6<text:line-break/>n 1E-9<text:line-break/>p 1E-12<text:line-break/>f 1E-15</text:span></text:p>
<text:p text:style-name="Body">An example of the <text:span text:style-name="Command">set_units</text:span> command is shown below.</text:p>
<text:p text:style-name="P250"><text:soft-page-break/><text:span text:style-name="Command">set_units -time ns -capacitance pF -current mA -voltage V -resistance kOhm</text:span></text:p>
<table:table table:name="Table121" table:style-name="Table121">
<table:table-column table:style-name="Table121.A"/>
<table:table-column table:style-name="Table121.B"/>
<table:table-row table:style-name="Table121.1">
<table:table-cell table:style-name="Table121.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_wire_load_min_block_size</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table121.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">size</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_wire_load_min_block_size</text:span> command is not supported.</text:p>
<table:table table:name="Table122" table:style-name="Table122">
<table:table-column table:style-name="Table122.A"/>
<table:table-column table:style-name="Table122.B"/>
<table:table-row table:style-name="Table122.1">
<table:table-cell table:style-name="Table122.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_wire_load_mode</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table122.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">top|enclosed|segmented</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table122.1">
<table:table-cell table:style-name="Table122.A2" office:value-type="string">
<text:p text:style-name="P29">top</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table122.A2" office:value-type="string">
<text:p text:style-name="P29"/>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table122.1">
<table:table-cell table:style-name="Table122.A2" office:value-type="string">
<text:p text:style-name="P29">enclosed</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table122.A2" office:value-type="string">
<text:p text:style-name="P29"/>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table122.1">
<table:table-cell table:style-name="Table122.A2" office:value-type="string">
<text:p text:style-name="P29">segmented</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table122.A2" office:value-type="string">
<text:p text:style-name="P29"/>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_wire_load_mode</text:span> command is ignored during timing but is included in SDC files that are written.</text:p>
<table:table table:name="Table123" table:style-name="Table123">
<table:table-column table:style-name="Table123.A"/>
<table:table-column table:style-name="Table123.B"/>
<table:table-row table:style-name="Table123.1">
<table:table-cell table:style-name="Table123.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_wire_load_model</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table123.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-name</text:span></text:span><text:span text:style-name="Command_20_Argument"> model_name<text:line-break/></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">[-library </text:span></text:span><text:span text:style-name="Command_20_Argument">library</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">]</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:line-break/></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">[-max]<text:line-break/>[-min]</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:line-break/></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">[</text:span></text:span><text:span text:style-name="Command_20_Argument">objects</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">]</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table123.1">
<table:table-cell table:style-name="Table123.A2" office:value-type="string">
<text:p text:style-name="P251"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-name </text:span></text:span><text:span text:style-name="Command_20_Argument">model_name</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table123.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of a wire load model.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table123.1">
<table:table-cell table:style-name="Table123.A2" office:value-type="string">
<text:p text:style-name="P251"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">-library </text:span></text:span><text:span text:style-name="Command_20_Argument">library</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table123.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Library to look for </text:span><text:span text:style-name="Command_20_Argument">model_name</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table123.1">
<table:table-cell table:style-name="Table123.A2" office:value-type="string">
<text:p text:style-name="P29">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table123.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">The wire load model is for maximum path delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table123.1">
<table:table-cell table:style-name="Table123.A2" office:value-type="string">
<text:p text:style-name="P29">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table123.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">The wire load model is for minimum path delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table123.1">
<table:table-cell table:style-name="Table123.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table123.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Not supported.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first"/>
<table:table table:name="Table124" table:style-name="Table124">
<table:table-column table:style-name="Table124.A"/>
<table:table-column table:style-name="Table124.B"/>
<table:table-row table:style-name="Table124.1">
<table:table-cell table:style-name="Table124.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">set_wire_load_selection_group</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table124.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">[-library </text:span></text:span><text:span text:style-name="Command_20_Argument">library</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">]</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:line-break/></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">[-max]<text:line-break/>[-min]<text:line-break/></text:span></text:span><text:span text:style-name="Command_20_Argument">group_name<text:line-break/></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">[</text:span></text:span><text:span text:style-name="Command_20_Argument">objects</text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">]</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table124.1">
<table:table-cell table:style-name="Table124.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">library</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table124.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Library to look for </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">group_name</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table124.1">
<table:table-cell table:style-name="Table124.A2" office:value-type="string">
<text:p text:style-name="P29">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table124.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">The wire load selection is for maximum path delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table124.1">
<table:table-cell table:style-name="Table124.A2" office:value-type="string">
<text:p text:style-name="P29">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table124.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">The wire load selection is for minimum path delays.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table124.1">
<table:table-cell table:style-name="Table124.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">group_name</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table124.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T25">A wire load selection group name.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table124.1">
<table:table-cell table:style-name="Table124.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table124.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Not supported.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">set_wire_load_selection_group</text:span> command is parsed but not supported.</text:p>
<table:table table:name="Table156" table:style-name="Table156">
<table:table-column table:style-name="Table156.A"/>
<table:table-column table:style-name="Table156.B"/>
<table:table-row table:style-name="Table156.1">
<table:table-cell table:style-name="Table156.A1" office:value-type="string">
<text:p text:style-name="P252"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T291">suppress_msg</text:span></text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table156.A1" office:value-type="string">
<text:p text:style-name="P252"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T292">msg_ids</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table156.1">
<table:table-cell table:style-name="Table156.A2" office:value-type="string">
<text:p text:style-name="P252"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T292">msg_ids</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table156.A2" office:value-type="string">
<text:p text:style-name="P253"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T292">error/warning message IDs to suppress.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P254">The <text:span text:style-name="Command"><text:span text:style-name="T292">suppress_msg</text:span></text:span> command <text:span text:style-name="T292">suppresses specified error/warning messages by ID</text:span>. <text:span text:style-name="T293">The list of message IDs can be found in </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T293">doc/messages.txt</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T294">.</text:span></text:span></text:p>
<table:table table:name="Table12" table:style-name="Table12">
<table:table-column table:style-name="Table12.A"/>
<table:table-column table:style-name="Table12.B"/>
<table:table-row table:style-name="Table12.1">
<table:table-cell table:style-name="Table12.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">unset_case_analysis</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table12.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">port_or_pin_list</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table12.1">
<table:table-cell table:style-name="Table12.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">port_or_pin_list</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table12.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="T7">unset</text:span><text:span text:style-name="Command">_case_analysis</text:span> command removes the constant values defined by the <text:span text:style-name="Command">set_case_analysis</text:span> command.</text:p>
<table:table table:name="Table20" table:style-name="Table20">
<table:table-column table:style-name="Table20.A"/>
<table:table-column table:style-name="Table20.B"/>
<table:table-row table:style-name="Table20.1">
<table:table-cell table:style-name="Table20.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">unset_clock_latency</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table20.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T33">[-source]</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T1"><text:line-break/>objects</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table20.1">
<table:table-cell table:style-name="Table20.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T33">-source</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table20.A1" office:value-type="string">
<text:p text:style-name="Text_20_body"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T33">Specifies source clock latency (clock insertion delay).</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table20.1">
<table:table-cell table:style-name="Table20.A3" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T1">objects</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table20.A3" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks, pins or ports.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">unset_clock_latency</text:span> command removes the clock latency set with the <text:span text:style-name="Command">set_clock_latency</text:span> command.</text:p>
<table:table table:name="Table34" table:style-name="Table34">
<table:table-column table:style-name="Table34.A"/>
<table:table-column table:style-name="Table34.B"/>
<table:table-row table:style-name="Table34.1">
<table:table-cell table:style-name="Table34.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">unset_clock_transition</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table34.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T1">clocks</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table34.1">
<table:table-cell table:style-name="Table34.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"><text:span text:style-name="T1">clocks</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table34.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">unset_clock_transition</text:span> command removes the clock transition set with the <text:span text:style-name="Command">set_clock_transition</text:span> command.</text:p>
<table:table table:name="Table42" table:style-name="Table42">
<table:table-column table:style-name="Table42.A"/>
<table:table-column table:style-name="Table42.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table42.1">
<table:table-cell table:style-name="Table42.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">unset_clock_uncertainty</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table42.A1" office:value-type="string">
<text:p text:style-name="P29">[-from|-rise_from|-fall_from <text:span text:style-name="T24">from_clock</text:span>]<text:span text:style-name="T24"><text:line-break/></text:span>[<text:span text:style-name="T24">-</text:span>to|-rise_to|-fall_to<text:span text:style-name="T24"> to_clock</text:span>]<text:line-break/>[-rise]<text:line-break/>[-fall]<text:line-break/>[-setup]<text:line-break/>[-hold]<text:span text:style-name="T24"><text:line-break/></text:span>[<text:span text:style-name="T24">objects</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table42.1">
<table:table-cell table:style-name="Table42.A2" office:value-type="string">
<text:p text:style-name="P255"><text:span text:style-name="T22">-from </text:span>from_clock</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table42.A2" office:value-type="string">
<text:p text:style-name="P29"/>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table42.1">
<table:table-cell table:style-name="Table42.A2" office:value-type="string">
<text:p text:style-name="P255"><text:span text:style-name="T22">-to </text:span>to_clock</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table42.A2" office:value-type="string">
<text:p text:style-name="P29"/>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table42.1">
<table:table-cell table:style-name="Table42.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table42.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The uncertainty is for the rising edge of the clock.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table42.1">
<table:table-cell table:style-name="Table42.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table42.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The uncertainty is for the falling edge of the clock.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table42.1">
<table:table-cell table:style-name="Table42.A2" office:value-type="string">
<text:p text:style-name="P29">-setup</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table42.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T24">uncertainty</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is the setup check uncertainty.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table42.1">
<table:table-cell table:style-name="Table42.A2" office:value-type="string">
<text:p text:style-name="P29">-hold</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table42.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T24">uncertainty</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is the hold uncertainty.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table42.1">
<table:table-cell table:style-name="Table42.A2" office:value-type="string">
<text:p text:style-name="P183">uncertainty</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table42.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Clock uncertainty.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table42.1">
<table:table-cell table:style-name="Table42.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table42.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">unset_clock_uncertainty</text:span> command removes clock uncertainty defined with the <text:span text:style-name="Command">set_clock_uncertainty</text:span> <text:span text:style-name="Default_20_Paragraph_20_Font">command</text:span>.</text:p>
<table:table table:name="Table43" table:style-name="Table43">
<table:table-column table:style-name="Table43.A"/>
<table:table-column table:style-name="Table43.B"/>
<table:table-row table:style-name="Table43.1">
<table:table-cell table:style-name="Table43.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">unset_data_check</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table43.A1" office:value-type="string">
<text:p text:style-name="P256">[-from<text:span text:style-name="T295">|-rise_from|-fall_from</text:span> <text:span text:style-name="T24">from_object</text:span>]<text:line-break/>[-to<text:span text:style-name="T295">|-rise_to|-fall_to</text:span> <text:span text:style-name="T24">to_object</text:span>]<text:line-break/>[-setup]<text:line-break/>[-hold]<text:line-break/>[-clock <text:span text:style-name="T24">clock</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table43.1">
<table:table-cell table:style-name="Table43.A2" office:value-type="string">
<text:p text:style-name="P255"><text:span text:style-name="T22">-from </text:span>from_object</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table43.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">A pin used as the timing check reference.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table43.1">
<table:table-cell table:style-name="Table43.A2" office:value-type="string">
<text:p text:style-name="P255">-to to_object</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table43.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">A pin that the setup/hold check is applied to.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table43.1">
<table:table-cell table:style-name="Table43.A2" office:value-type="string">
<text:p text:style-name="P29">-setup</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table43.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Add a setup timing check.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table43.1">
<table:table-cell table:style-name="Table43.A2" office:value-type="string">
<text:p text:style-name="P29">-hold</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table43.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Add a hold timing check.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table43.1">
<table:table-cell table:style-name="Table43.A2" office:value-type="string">
<text:p text:style-name="P183">clock</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table43.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The setup/hold check clock.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first"><text:soft-page-break/>The <text:span text:style-name="Command">unset_clock_transition</text:span> command removes a setup or hold check defined by the <text:span text:style-name="Command">set_data_check</text:span> command. </text:p>
<table:table table:name="Table44" table:style-name="Table44">
<table:table-column table:style-name="Table44.A"/>
<table:table-column table:style-name="Table44.B"/>
<table:table-row table:style-name="Table44.1">
<table:table-cell table:style-name="Table44.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">unset_disable_inferred_clock_gating</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table44.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table44.1">
<table:table-cell table:style-name="Table44.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">objects</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table44.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clock gating instances, clock gating pins, or clock enable pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command_20_Heading"><text:span text:style-name="T138">unset_disable_inferred_clock_gating</text:span></text:span> command removes a previous <text:span text:style-name="Command_20_Heading"><text:span text:style-name="T138">set_disable_inferred_clock_gating</text:span></text:span> command.</text:p>
<table:table table:name="Table45" table:style-name="Table45">
<table:table-column table:style-name="Table45.A"/>
<table:table-column table:style-name="Table45.B"/>
<table:table-row table:style-name="Table45.1">
<table:table-cell table:style-name="Table45.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">unset_disable_timing</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table45.A1" office:value-type="string">
<text:p text:style-name="P29">[-from <text:span text:style-name="T24">from_port</text:span>]<text:line-break/>[-to <text:span text:style-name="T24">to_port</text:span>]<text:line-break/><text:span text:style-name="T24">objects</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table45.1">
<table:table-cell table:style-name="Table45.A2" office:value-type="string">
<text:p text:style-name="P35">from_port</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table45.A2" office:value-type="string">
<text:p text:style-name="P195"/>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table45.1">
<table:table-cell table:style-name="Table45.A2" office:value-type="string">
<text:p text:style-name="P35">to_port</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table45.A2" office:value-type="string">
<text:p text:style-name="P195"/>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table45.1">
<table:table-cell table:style-name="Table45.A2" office:value-type="string">
<text:p text:style-name="P35">objects</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table45.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of instances, ports, pins, cells or [library/]cell/port.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">unset_disable_timing</text:span> command is used to remove the effect of previous <text:s/><text:span text:style-name="Command">set_disable_timing</text:span> commands.</text:p>
<table:table table:name="Table46" table:style-name="Table46">
<table:table-column table:style-name="Table46.A"/>
<table:table-column table:style-name="Table46.B"/>
<table:table-row table:style-name="Table46.1">
<table:table-cell table:style-name="Table46.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">unset_input_delay</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table46.A1" office:value-type="string">
<text:p text:style-name="P29">[-rise]<text:line-break/>[-fall]<text:line-break/>[-max]<text:line-break/>[-min]<text:line-break/>[-clock <text:span text:style-name="T24">clock</text:span>]<text:line-break/>[-clock_fall]<text:line-break/><text:span text:style-name="T24">port_pin_list</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table46.1">
<table:table-cell table:style-name="Table46.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table46.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T296">Unset</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the arrival time for the rising edge of the input.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table46.1">
<table:table-cell table:style-name="Table46.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table46.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T296">Unset</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the arrival time for the falling edge of the input.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table46.1">
<table:table-cell table:style-name="Table46.A2" office:value-type="string">
<text:p text:style-name="P29">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table46.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T296">Unset the</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> minimum arrival time.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table46.1">
<table:table-cell table:style-name="Table46.A2" office:value-type="string">
<text:p text:style-name="P29">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table46.A2" office:value-type="string">
<text:p text:style-name="P257"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T296">Unset</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> the maximum arrival time.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table46.1">
<table:table-cell table:style-name="Table46.A2" office:value-type="string">
<text:p text:style-name="P35">clock</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table46.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T296">Unset t</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">he arrival time from </text:span><text:span text:style-name="Command_20_Argument">clock</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table46.1">
<table:table-cell table:style-name="Table46.A2" office:value-type="string">
<text:p text:style-name="P29">-clock_fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table46.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T296">Unset t</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">he arrival time from the falling edge of </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T24">clock</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table46.1">
<table:table-cell table:style-name="Table46.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">pin_port_list</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table46.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of pins or ports.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">unset_input_delay</text:span> command removes a previously defined <text:span text:style-name="Command">set_input_delay</text:span>.</text:p>
<table:table table:name="Table47" table:style-name="Table47">
<table:table-column table:style-name="Table47.A"/>
<table:table-column table:style-name="Table47.B"/>
<table:table-row table:style-name="Table47.1">
<table:table-cell table:style-name="Table47.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">unset_output_delay</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table47.A1" office:value-type="string">
<text:p text:style-name="P29">[-rise]<text:line-break/>[-fall]<text:line-break/>[-max]<text:line-break/>[-min]<text:line-break/>[-clock <text:span text:style-name="T24">clock</text:span>]<text:line-break/>[-clock_fall]<text:line-break/><text:span text:style-name="T24">port_pin_list</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table47.1">
<table:table-cell table:style-name="Table47.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table47.A2" office:value-type="string">
<text:p text:style-name="P40">This is the arrival time for the rising edge of the input.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table47.1">
<table:table-cell table:style-name="Table47.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table47.A2" office:value-type="string">
<text:p text:style-name="P40">This is the arrival time for the falling edge of the input.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table47.1">
<table:table-cell table:style-name="Table47.A2" office:value-type="string">
<text:p text:style-name="P29">-max</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table47.A2" office:value-type="string">
<text:p text:style-name="P40">This is the minimum arrival time.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table47.1">
<table:table-cell table:style-name="Table47.A2" office:value-type="string">
<text:p text:style-name="P29">-min</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table47.A2" office:value-type="string">
<text:p text:style-name="P40">This is the maximum arrival time.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table47.1">
<table:table-cell table:style-name="Table47.A2" office:value-type="string">
<text:p text:style-name="P35">clock</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table47.A2" office:value-type="string">
<text:p text:style-name="P40">The arrival time is from this clock.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table47.1">
<table:table-cell table:style-name="Table47.A2" office:value-type="string">
<text:p text:style-name="P29">-clock_fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table47.A2" office:value-type="string">
<text:p text:style-name="P40">The arrival time is from the falling edge of <text:span text:style-name="T24">clock</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table47.1">
<table:table-cell table:style-name="Table47.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">pin_port_list</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table47.A2" office:value-type="string">
<text:p text:style-name="P40">A list of pins or ports.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">unset_output_delay</text:span> command a previously defined <text:span text:style-name="Command">set_output_delay</text:span>.</text:p>
<table:table table:name="Table53" table:style-name="Table53">
<table:table-column table:style-name="Table53.A"/>
<table:table-column table:style-name="Table53.B"/>
<table:table-row table:style-name="Table53.1">
<table:table-cell table:style-name="Table53.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">unset_path_exceptions</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table53.A1" office:value-type="string">
<text:p text:style-name="P258">[-setup]<text:line-break/>[-hold]<text:line-break/>[-rise]<text:line-break/>[-fall]<text:line-break/>[-from<text:span text:style-name="T297">|-rise_from|-fall_from</text:span> <text:span text:style-name="T24">from</text:span>]<text:line-break/>[-through<text:span text:style-name="T297">|-rise_through|-fall_through</text:span> <text:span text:style-name="T24">through</text:span>]<text:line-break/>[-to<text:span text:style-name="T297">|-rise_to|-fall_to</text:span> <text:span text:style-name="T24">to</text:span>]</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table53.1">
<table:table-cell table:style-name="Table53.A2" office:value-type="string">
<text:p text:style-name="P29">-setup</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table53.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T297">Unset path exceptions for</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> setup checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table53.1">
<table:table-cell table:style-name="Table53.A2" office:value-type="string">
<text:p text:style-name="P29">-hold</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table53.A2" office:value-type="string">
<text:p text:style-name="P259"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T297">Unset path exceptions for</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> hold checks.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table53.1">
<table:table-cell table:style-name="Table53.A2" office:value-type="string">
<text:p text:style-name="P29">-rise</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table53.A2" office:value-type="string">
<text:p text:style-name="P259"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T297">Unset path exceptions for</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> rising path edges.</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table53.1">
<table:table-cell table:style-name="Table53.A2" office:value-type="string">
<text:p text:style-name="P29">-fall</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table53.A2" office:value-type="string">
<text:p text:style-name="P259"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T297">Unset path exceptions for</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T297">falling</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> path edges.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table53.1">
<table:table-cell table:style-name="Table53.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="Command"><text:span text:style-name="T22">-</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T298">from</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T297"> </text:span></text:span>from</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table53.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks, instances, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table53.1">
<table:table-cell table:style-name="Table53.A2" office:value-type="string">
<text:p text:style-name="P260"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T298">t</text:span><text:span text:style-name="T22">hrough </text:span>through</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table53.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of instances, pins or nets.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table53.1">
<table:table-cell table:style-name="Table53.A2" office:value-type="string">
<text:p text:style-name="P35"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T298">to </text:span>to</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table53.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks, instances, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The unset_path_exceptions command removes any matching set_false_path, set_multicycle_path, set_max_delay, and set_min_delay exceptions.</text:p>
<text:p text:style-name="P261"/>
<table:table table:name="Table164" table:style-name="Table164">
<table:table-column table:style-name="Table164.A"/>
<table:table-column table:style-name="Table164.B"/>
<table:table-row table:style-name="Table164.1">
<table:table-cell table:style-name="Table164.A1" office:value-type="string">
<text:p text:style-name="P218"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T98">un</text:span></text:span><text:span text:style-name="Command_20_Heading">set_power</text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T269">_activity</text:span></text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table164.A1" office:value-type="string">
<text:p text:style-name="P218"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T265">[-global]<text:line-break/>[-input]<text:line-break/>[-input_ports </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T270">ports</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T265">]<text:line-break/>[-pins </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T270">pins</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T265">]</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table164.1">
<table:table-cell table:style-name="Table164.A2" office:value-type="string">
<text:p text:style-name="P218"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T270">-</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T274">global</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table164.A2" office:value-type="string">
<text:p text:style-name="P218"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the activity/duty for all non-clock pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table164.1">
<table:table-cell table:style-name="Table164.A2" office:value-type="string">
<text:p text:style-name="P218">-input</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table164.A2" office:value-type="string">
<text:p text:style-name="P218"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the default input port activity/duty.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table164.1">
<table:table-cell table:style-name="Table164.A2" office:value-type="string">
<text:p text:style-name="P262"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T275">input_ports </text:span>input_ports</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table164.A2" office:value-type="string">
<text:p text:style-name="P218"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the input port activity/duty.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table164.1">
<table:table-cell table:style-name="Table164.A2" office:value-type="string">
<text:p text:style-name="P262"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T275">pins </text:span>pins</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table164.A2" office:value-type="string">
<text:p text:style-name="P218"><text:span text:style-name="Default_20_Paragraph_20_Font">Set the pin activity/duty.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table164.1">
<table:table-cell table:style-name="Table164.A2" office:value-type="string">
<text:p text:style-name="P218"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T274">-activity </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T270">activity</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table164.A2" office:value-type="string">
<text:p text:style-name="P218"><text:span text:style-name="Default_20_Paragraph_20_Font">The activity, or number of transitions per clock cycle. </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T276">If </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T271">clock</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T276"> is not specified the clock with the minimum period is used. If no clocks are defined an error is reported.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P263">The <text:span text:style-name="T50">unset_power_activity</text:span>_command is used to undo the effects of the <text:span text:style-name="T50">set_power_activity</text:span> command.</text:p>
<table:table table:name="Table48" table:style-name="Table48">
<table:table-column table:style-name="Table48.A"/>
<table:table-column table:style-name="Table48.B"/>
<table:table-row table:style-name="Table48.1">
<table:table-cell table:style-name="Table48.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">unset_propagated_clock</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table48.A1" office:value-type="string">
<text:p text:style-name="P35">objects</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table48.1">
<table:table-cell table:style-name="Table48.A2" office:value-type="string">
<text:p text:style-name="P35">objects</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table48.A2" office:value-type="string">
<text:p text:style-name="Example"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of clocks, ports or pins.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Remove a previous <text:span text:style-name="Command">set_propagated_clock</text:span> command.</text:p>
<table:table table:name="Table64" table:style-name="Table64">
<table:table-column table:style-name="Table64.A"/>
<table:table-column table:style-name="Table64.B"/>
<table:table-row table:style-name="Table64.1">
<table:table-cell table:style-name="Table64.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">unset_timing_derate</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table64.A1" office:value-type="string">
<text:p text:style-name="P29"/>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P254">Remove all derating factors set with the <text:span text:style-name="Command">set_timing_derate</text:span> command.</text:p>
<table:table table:name="Table159" table:style-name="Table159">
<table:table-column table:style-name="Table159.A"/>
<table:table-column table:style-name="Table159.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table159.1">
<table:table-cell table:style-name="Table159.A1" office:value-type="string">
<text:p text:style-name="P252"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">uns</text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T291">uppress_msg</text:span></text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table159.A1" office:value-type="string">
<text:p text:style-name="P252"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T292">msg_ids</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table159.1">
<table:table-cell table:style-name="Table159.A2" office:value-type="string">
<text:p text:style-name="P252"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T292">msg_ids</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table159.A2" office:value-type="string">
<text:p text:style-name="P253"><text:span text:style-name="Default_20_Paragraph_20_Font">A list of </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T292">error/warning message IDs to unsuppress.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P254">The <text:span text:style-name="Command"><text:span text:style-name="T292">unsuppress_msg</text:span></text:span> command <text:span text:style-name="T292">removes suppressions for the specified error/warning messages by ID</text:span>. <text:span text:style-name="T293">The list of message IDs can be found in </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T293">doc/messages.txt</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T294">.</text:span></text:span></text:p>
<table:table table:name="Table128" table:style-name="Table128">
<table:table-column table:style-name="Table128.A"/>
<table:table-column table:style-name="Table128.B"/>
<table:table-row table:style-name="Table128.1">
<table:table-cell table:style-name="Table128.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">user_run_time</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table128.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command"/></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Returns the total user cpu run time in seconds as a float.</text:p>
<table:table table:name="Table49" table:style-name="Table49">
<table:table-column table:style-name="Table49.A"/>
<table:table-column table:style-name="Table49.B"/>
<table:table-row table:style-name="Table49.1">
<table:table-cell table:style-name="Table49.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">with_output_to_variable</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table49.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">var </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">{</text:span></text:span><text:span text:style-name="Command_20_Argument"> commands </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">}</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table49.1">
<table:table-cell table:style-name="Table49.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">var</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table49.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of a variable to save the output of </text:span><text:span text:style-name="Command_20_Argument">commands</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> to.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table49.1">
<table:table-cell table:style-name="Table49.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">commands</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table49.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">TCL commands that the output will be redirected from.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command_20_Heading"><text:span text:style-name="T133">with_output_to_variable</text:span></text:span> command redirects the output of TCL commands to a variable.</text:p>
<table:table table:name="Table153" table:style-name="Table153">
<table:table-column table:style-name="Table153.A"/>
<table:table-column table:style-name="Table153.B"/>
<table:table-row table:style-name="Table153.1">
<table:table-cell table:style-name="Table153.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">write_path_spice</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table153.A1" office:value-type="string">
<text:p text:style-name="P264">-path_args <text:span text:style-name="T1">path_args</text:span><text:line-break/>-spice_directory <text:span text:style-name="T1">spice_directory</text:span><text:line-break/>-lib_subckt_file <text:span text:style-name="T1">lib_subckts_file</text:span><text:line-break/>-model_file <text:span text:style-name="T1">model_file</text:span><text:line-break/>-power <text:span text:style-name="T1">power</text:span><text:line-break/><text:span text:style-name="T22">-ground</text:span><text:span text:style-name="T1"> ground<text:line-break/></text:span><text:span text:style-name="T299">[</text:span><text:span text:style-name="T22">-</text:span><text:span text:style-name="T300">simulator hspice|ngspice|xyce</text:span><text:span text:style-name="T299">]</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table153.1">
<table:table-cell table:style-name="Table153.A2" office:value-type="string">
<text:p text:style-name="P265"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T3">path_args</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table153.A2" office:value-type="string">
<text:p text:style-name="P29">-from|-through|-to arguments as in report_checks.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table153.1">
<table:table-cell table:style-name="Table153.A2" office:value-type="string">
<text:p text:style-name="P266">spice_directory</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table153.A2" office:value-type="string">
<text:p text:style-name="P267"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T301">D</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">irectory </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T301">for s</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">pice </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T302">to write </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">output </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T301">files</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table153.1">
<table:table-cell table:style-name="Table153.A2" office:value-type="string">
<text:p text:style-name="P266">lib_subckts_file</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table153.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Cell transistor level subckts.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table153.1">
<table:table-cell table:style-name="Table153.A2" office:value-type="string">
<text:p text:style-name="P266">model_file</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table153.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Transistor model definitions .included by </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">spice_file</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table153.1">
<table:table-cell table:style-name="Table153.A2" office:value-type="string">
<text:p text:style-name="P266">power</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table153.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Voltage supply name in </text:span><text:span text:style-name="Command">voltage_map</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> of the default liberty library.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table153.1">
<table:table-cell table:style-name="Table153.A2" office:value-type="string">
<text:p text:style-name="P265"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T3">ground</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table153.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Ground supply name in </text:span><text:span text:style-name="Command_20_Argument">voltage_map</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> of the default liberty library.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table153.1">
<table:table-cell table:style-name="Table153.A2" office:value-type="string">
<text:p text:style-name="P268"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T300">simulator</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table153.A2" office:value-type="string">
<text:p text:style-name="P269">Simulator that will read the spice netlist.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="T7">write_path_spice</text:span> command writes a spice netlist for timing paths. Use <text:span text:style-name="T3">path_args</text:span> to specify <text:span text:style-name="T7">-from/-through/-to</text:span> as arguments to the <text:span text:style-name="T7">find_timing_paths</text:span> command. For each path, a spice netlist and the subckts referenced by the path are written in <text:span text:style-name="T3">spice_directory</text:span>. The spice netlist is written in path_&lt;id&gt;.sp and subckt file is <text:span text:style-name="T7">path_&lt;id&gt;</text:span>.subckt.</text:p>
<text:p text:style-name="Body_20_first"><text:soft-page-break/>The spice netlists used by the path are written to <text:span text:style-name="T3">subckt_file</text:span>, which spice_file <text:span text:style-name="T7">.includes</text:span>. The device models used by the spice subckt netlists in <text:span text:style-name="T3">model_file</text:span> are also <text:span text:style-name="T7">.included</text:span> in spice_file. Power and ground names are specified with the <text:span text:style-name="T7">-power</text:span> and <text:span text:style-name="T7">-ground</text:span> arguments. The spice netlist includes a piecewise linear voltage source at the input and <text:span text:style-name="T7">.measure</text:span> statement for each gate delay and pin slew.</text:p>
<text:p text:style-name="P270">Example command:</text:p>
<text:p text:style-name="P271">write_path_spice -path_args {-from &quot;in0&quot; -to &quot;out1&quot; -unconstrained} \<text:line-break/> <text:s/>-spice_directory $result_dir \<text:line-break/> <text:s/>-lib_subckt_file &quot;write_spice1.subckt&quot; \<text:line-break/> <text:s/>-model_file &quot;write_spice1.models&quot; \<text:line-break/> <text:s/>-power VDD -ground VSS</text:p>
<text:p text:style-name="P272">When the simulator is hspice, <text:span text:style-name="Command">.measure</text:span> statements will be added to the spice netlist.</text:p>
<text:p text:style-name="P272">When the simulator is Xyce, the <text:span text:style-name="Example">.print</text:span> statement selects the CSV format and writes the waveform data to a file name <text:span text:style-name="Example">path_&lt;id&gt;.csv</text:span> so the results can be used by gnuplot.</text:p>
<table:table table:name="Table129" table:style-name="Table129">
<table:table-column table:style-name="Table129.A"/>
<table:table-column table:style-name="Table129.B"/>
<table:table-row table:style-name="Table129.1">
<table:table-cell table:style-name="Table129.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">write_sdc</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table129.A1" office:value-type="string">
<text:p text:style-name="P29">[-digits <text:span text:style-name="T24">digits</text:span>]<text:line-break/>[-gzip]<text:line-break/>[-no_timestamp]<text:line-break/><text:span text:style-name="Command_20_Argument">filename</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table129.1">
<table:table-cell table:style-name="Table129.A2" office:value-type="string">
<text:p text:style-name="P35">digits</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table129.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The number of digits after the decimal point to report. The default is 4.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table129.1">
<table:table-cell table:style-name="Table129.A2" office:value-type="string">
<text:p text:style-name="P29">-gzip</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table129.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T303">Compress the SDC with</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> gzip.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table129.1">
<table:table-cell table:style-name="Table129.A2" office:value-type="string">
<text:p text:style-name="P35">-no_timestamp</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table129.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Do not include a time and date in the SDC file.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table129.1">
<table:table-cell table:style-name="Table129.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">filename</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table129.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The name of the file to write the constraints to.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Write the constraints for the design in SDC format to <text:span text:style-name="Command_20_Argument">filename</text:span>.</text:p>
<table:table table:name="Table150" table:style-name="Table150">
<table:table-column table:style-name="Table150.A"/>
<table:table-column table:style-name="Table150.B"/>
<table:table-row table:style-name="Table150.1">
<table:table-cell table:style-name="Table150.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">write_sdf</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table150.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">[-corner </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T1">corner</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">]<text:line-break/>[-divider /|.]<text:line-break/>[-include_typ]<text:line-break/>[-digits </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T1">digits</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T22">]<text:line-break/>[-gzip]<text:line-break/>[-no_timestamp]<text:line-break/>[-no_version]</text:span></text:span><text:line-break/><text:span text:style-name="T1">filename</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table150.1">
<table:table-cell table:style-name="Table150.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T1">corner</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table150.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T304">Write delays for</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> </text:span><text:span text:style-name="Command_20_Argument">corner</text:span>.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table150.1">
<table:table-cell table:style-name="Table150.A2" office:value-type="string">
<text:p text:style-name="P29">-divider</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table150.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Divider to use between hierarchy levels in pin and instance names. </text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table150.1">
<table:table-cell table:style-name="Table150.A2" office:value-type="string">
<text:p text:style-name="P29">-include_typ</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table150.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Include a </text:span><text:span text:style-name="Command">&apos;typ&apos;</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> value in the SDF triple that is the average of min and max delays to satisfy some Verilog simulators that require three values in the delay triples.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table150.1">
<table:table-cell table:style-name="Table150.A2" office:value-type="string">
<text:p text:style-name="P273"><text:span text:style-name="T22">-</text:span><text:span text:style-name="T305">digits </text:span>digits</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table150.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The number of digits after the decimal point to report. The default is 4.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table150.1">
<table:table-cell table:style-name="Table150.A2" office:value-type="string">
<text:p text:style-name="P29">-gzip</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table150.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T304">Compress the SDF using </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">gzip</text:span>.</text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table150.1">
<table:table-cell table:style-name="Table150.A2" office:value-type="string">
<text:p text:style-name="P29">-no_timestamp</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table150.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Do not write a </text:span><text:span text:style-name="Command">DATE</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> statement.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table150.1">
<table:table-cell table:style-name="Table150.A2" office:value-type="string">
<text:p text:style-name="P29">-no_version</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table150.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Do not write a </text:span><text:span text:style-name="Command">VERSION</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> statement.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table150.1">
<table:table-cell table:style-name="Table150.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument">filename</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table150.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">The </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T304">SDF file</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">name to write.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P274">Write the delay calculation delays for the design in SDF format to <text:span text:style-name="Command_20_Argument">filename</text:span>. <text:span text:style-name="T306">If -</text:span><text:span text:style-name="Example"><text:span text:style-name="T306">corner</text:span></text:span><text:span text:style-name="T306"> is not specified the min/max delays are across all corners. With </text:span><text:span text:style-name="Example"><text:span text:style-name="T306">-corner</text:span></text:span><text:span text:style-name="T306"> the min/max delays for </text:span><text:span text:style-name="Example"><text:span text:style-name="T307">corner</text:span></text:span><text:span text:style-name="T306"> are written. </text:span>The SDF <text:span text:style-name="T7">TIMESCALE</text:span> is same as the <text:span text:style-name="T7">time_unit</text:span> in the first liberty file read.</text:p>
<table:table table:name="Table58" table:style-name="Table58">
<table:table-column table:style-name="Table58.A"/>
<table:table-column table:style-name="Table58.B"/>
<table:table-row table:style-name="Table58.1">
<table:table-cell table:style-name="Table58.A1" office:value-type="string">
<text:p text:style-name="P275"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">write_</text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T308">timing_model</text:span></text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table58.A1" office:value-type="string">
<text:p text:style-name="P276"><text:span text:style-name="T309">[-</text:span><text:span text:style-name="T310">library</text:span><text:span text:style-name="T309">_name </text:span><text:span text:style-name="T311">lib</text:span><text:span text:style-name="T312">_name</text:span><text:span text:style-name="T309">]<text:line-break/>[-cell_name </text:span><text:span text:style-name="T312">cell_name</text:span><text:span text:style-name="T309">]</text:span></text:p>
<text:p text:style-name="P277">[-<text:span text:style-name="T308">corner </text:span><text:span text:style-name="T312">corner</text:span><text:span text:style-name="T22">]</text:span><text:line-break/><text:span text:style-name="T1">filename</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table58.1">
<table:table-cell table:style-name="Table58.A2" office:value-type="string">
<text:p text:style-name="P278"><text:span text:style-name="T313">-</text:span><text:span text:style-name="T314">library</text:span><text:span text:style-name="T313">_name </text:span><text:span text:style-name="T311">lib</text:span><text:span text:style-name="T312">_name</text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table58.A2" office:value-type="string">
<text:p text:style-name="P279">T<text:span text:style-name="Default_20_Paragraph_20_Font">he name to use for the liberty </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T310">library. Defaults to </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T33">cell_name</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T310">.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table58.1">
<table:table-cell table:style-name="Table58.A2" office:value-type="string">
<text:p text:style-name="P278"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T315">-cell_name </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T316">cell_name</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table58.A2" office:value-type="string">
<text:p text:style-name="P280"><text:span text:style-name="Default_20_Paragraph_20_Font">The name to use for the liberty cell. </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T310">Defaults to the top level module name.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table58.1">
<table:table-cell table:style-name="Table58.A2" office:value-type="string">
<text:p text:style-name="P281"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T317">-corner </text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T318">corner</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table58.A2" office:value-type="string">
<text:p text:style-name="P282"><text:span text:style-name="Default_20_Paragraph_20_Font">The process corner to use for extracting the model.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table58.1">
<table:table-cell table:style-name="Table58.A2" office:value-type="string">
<text:p text:style-name="P283">filename</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table58.A2" office:value-type="string">
<text:p text:style-name="P284"><text:span text:style-name="Default_20_Paragraph_20_Font">Filename </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T319">for the liberty timing model</text:span></text:span>.</text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P285">The <text:span text:style-name="Command_20_Heading"><text:span text:style-name="T133">write_</text:span></text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T320">timing_model</text:span></text:span> command constructs a liberty timing model for the current design and writes it to <text:span text:style-name="T1">file</text:span><text:span text:style-name="T321">name</text:span>. <text:span text:style-name="Command_20_Argument"><text:span text:style-name="T316">cell_name</text:span></text:span><text:span text:style-name="T322"> defaults to the cell name of the top level block in the design.</text:span></text:p>
<text:p text:style-name="P286"><text:span text:style-name="T323">The SDC used to extract the block should include the clock definitions. </text:span><text:span text:style-name="T324">If the block contains a clock network </text:span><text:span text:style-name="T325">set_propagated_cloc</text:span><text:span text:style-name="T326">k</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T327"> </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T324">should be used so the clock delays are included in the timing model. </text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T328">The following SDC commands are ignored</text:span></text:span><text:span text:style-name="T329"> when building the timing model.</text:span></text:p>
<text:p text:style-name="Example_20__20_indented"><text:span text:style-name="T325">set_</text:span><text:span text:style-name="T330">input_delay<text:line-break/></text:span><text:span text:style-name="T325">set_</text:span><text:span text:style-name="T330">output_delay<text:line-break/></text:span><text:span text:style-name="Example"><text:span text:style-name="T329">set_load<text:line-break/></text:span></text:span><text:span text:style-name="Example"><text:span text:style-name="T328">set_timing_derate</text:span></text:span></text:p>
<text:p text:style-name="P286"><text:span text:style-name="T331">Using </text:span><text:span text:style-name="T325">set_</text:span><text:span text:style-name="T330">input_</text:span><text:span text:style-name="T332">transition</text:span><text:span text:style-name="T331"> with the slew from the block context will be used will improve the match between the timing model and the block netlist. </text:span><text:span text:style-name="T333"><text:s/></text:span><text:span text:style-name="T327">Paths defined on clocks that are defined on internal pins are ignored because the model has no way to include the clock definition.</text:span></text:p>
<text:p text:style-name="Body"><text:soft-page-break/>The resulting timing model can be used in a hierarchical timing flow as a replacement for the block to speed up timing analysis. This hierarchical timing methodology does not handle timing exceptions that originate or terminate inside the block. The timing model includes:</text:p>
<text:p text:style-name="Example_20__20_indented">combinational paths between inputs and outputs<text:line-break/>setup and hold timing constraints on input<text:span text:style-name="T308">s<text:line-break/></text:span>clock to output timing paths</text:p>
<text:p text:style-name="P287">Resistance of long wires on inputs and outputs of the block cannot be modeled in Liberty. To reduce inaccuracies from wire resistance in technologies with resistive wires place buffers on inputs and ouputs.</text:p>
<text:p text:style-name="Body">The extracted timing model setup/hold checks are scalar (no input slew dependence). Delay timing arcs are load dependent but do not include input slew dependency.</text:p>
<table:table table:name="Table109" table:style-name="Table109">
<table:table-column table:style-name="Table109.A"/>
<table:table-column table:style-name="Table109.B"/>
<table:table-row table:style-name="Table109.1">
<table:table-cell table:style-name="Table109.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">write_verilog</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table109.A1" office:value-type="string">
<text:p text:style-name="P264">[-sort<text:span text:style-name="T22">]</text:span></text:p>
<text:p text:style-name="P264"><text:span text:style-name="T22">[-include_pwr_gnd]</text:span><text:line-break/>[-remove_cells <text:span text:style-name="T1">lib_cells</text:span><text:span text:style-name="T22">]</text:span><text:line-break/><text:span text:style-name="T1">filename</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table109.1">
<table:table-cell table:style-name="Table109.A2" office:value-type="string">
<text:p text:style-name="P265"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T317">-sort</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table109.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Sort the instances in the netlist.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table109.1">
<table:table-cell table:style-name="Table109.A2" office:value-type="string">
<text:p text:style-name="P288">-include_pwr_gnd</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table109.A2" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Default_20_Paragraph_20_Font">Include power and ground pins on instances.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table109.1">
<table:table-cell table:style-name="Table109.A2" office:value-type="string">
<text:p text:style-name="P289"><text:span text:style-name="T22">-remove_cells </text:span>lib_cells</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table109.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Liberty cells to remove </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T334">from the Verilog netlist</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">. Use </text:span><text:span text:style-name="Command">get_lib_cells</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">, a list of cells names, or a cell name with wildcards.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table109.1">
<table:table-cell table:style-name="Table109.A2" office:value-type="string">
<text:p text:style-name="P266">filename</text:p>
</table:table-cell>
<table:table-cell table:style-name="Table109.A2" office:value-type="string">
<text:p text:style-name="P40"><text:span text:style-name="Default_20_Paragraph_20_Font">Filename </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T334">for the liberty library</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="P290">The <text:span text:style-name="T7">write_verilog</text:span> command writes a Verilog netlist to <text:span text:style-name="T3">filename</text:span>. Use <text:span text:style-name="T317">-sort</text:span> to sort the instances so the results are reproducible across operating systems. Use <text:span text:style-name="T7">-remove_cells</text:span> to remove instances of <text:span text:style-name="T3">lib_cells</text:span> from the netlist.</text:p>
<text:h text:style-name="Heading_20_1" text:outline-level="1"><text:bookmark-start text:name="__RefHeading___Toc42589_2528141652"/><text:alphabetical-index-mark-start text:id="IMark53760024032"/>Filter Expressions<text:bookmark-end text:name="__RefHeading___Toc42589_2528141652"/><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:h>
<text:p text:style-name="P291">The <text:span text:style-name="Example">get_cells</text:span>, <text:span text:style-name="Example">get_pins</text:span>, <text:span text:style-name="Example">get_ports</text:span> and <text:span text:style-name="Example">get_timing_edges</text:span> functions support filtering the returned objects by property values. Supported filter expressions are shown below.</text:p>
<table:table table:name="Table66" table:style-name="Table66">
<table:table-column table:style-name="Table66.A"/>
<table:table-column table:style-name="Table66.B"/>
<table:table-row table:style-name="Table66.1">
<table:table-cell table:style-name="Table66.A1" office:value-type="string">
<text:p text:style-name="P52"><text:span text:style-name="Command"><text:span text:style-name="T1">property</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table66.A1" office:value-type="string">
<text:p text:style-name="P292"><text:span text:style-name="Default_20_Paragraph_20_Font">Return objects with </text:span><text:span text:style-name="Command_20_Argument">property</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> value equal to </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T335">1</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table66.1">
<table:table-cell table:style-name="Table66.A2" office:value-type="string">
<text:p text:style-name="P52"><text:span text:style-name="Command"><text:span text:style-name="T1">property</text:span></text:span><text:span text:style-name="Command">==</text:span><text:span text:style-name="Command"><text:span text:style-name="T52">value</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table66.A2" office:value-type="string">
<text:p text:style-name="P292"><text:span text:style-name="Default_20_Paragraph_20_Font">Return objects with </text:span><text:span text:style-name="Command_20_Argument">property</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> value equal to </text:span><text:span text:style-name="Command_20_Argument">value</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table66.1">
<table:table-cell table:style-name="Table66.A2" office:value-type="string">
<text:p text:style-name="P52"><text:span text:style-name="Command"><text:span text:style-name="T1">property</text:span></text:span><text:span text:style-name="Command">=</text:span><text:span text:style-name="Command"><text:span text:style-name="T55">~</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T336">pattern</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table66.A2" office:value-type="string">
<text:p text:style-name="P292"><text:span text:style-name="Default_20_Paragraph_20_Font">Return objects with </text:span><text:span text:style-name="Command_20_Argument">property</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> value that matches </text:span><text:span text:style-name="Command_20_Argument">pattern</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table66.1">
<table:table-cell table:style-name="Table66.A2" office:value-type="string">
<text:p text:style-name="P52"><text:span text:style-name="Command"><text:span text:style-name="T1">property</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T22">!=</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T337">value</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table66.A2" office:value-type="string">
<text:p text:style-name="P292"><text:span text:style-name="Default_20_Paragraph_20_Font">Return objects with </text:span><text:span text:style-name="Command_20_Argument">property</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> value not equal to </text:span><text:span text:style-name="Command_20_Argument">value</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table66.1">
<table:table-cell table:style-name="Table66.A2" office:value-type="string">
<text:p text:style-name="P52"><text:span text:style-name="Command"><text:span text:style-name="T1">property</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T22">!</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T338">~</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T337">value</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table66.A2" office:value-type="string">
<text:p text:style-name="P293"><text:span text:style-name="Default_20_Paragraph_20_Font">Return objects with </text:span><text:span text:style-name="Command_20_Argument">property</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> value </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T339">that does not match </text:span></text:span><text:span text:style-name="Command_20_Argument">pattern</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">.</text:span></text:p>
</table:table-cell>
</table:table-row>
<table:table-row table:style-name="Table66.1">
<table:table-cell table:style-name="Table66.A2" office:value-type="string">
<text:p text:style-name="P52"><text:span text:style-name="Command"><text:span text:style-name="T336">expr1</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T340">&amp;&amp;e</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T341">xpr2</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table66.A2" office:value-type="string">
<text:p text:style-name="P292"><text:span text:style-name="Default_20_Paragraph_20_Font">Return objects with </text:span><text:span text:style-name="Command_20_Argument">expr1</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> and </text:span><text:span text:style-name="Command_20_Argument">expr2</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">. </text:span><text:span text:style-name="Command_20_Argument">expr1</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> and </text:span><text:span text:style-name="Command_20_Argument">expr2</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> are one of the first three property value forms shown above.</text:span></text:p>
</table:table-cell>
</table:table-row>
<text:soft-page-break/>
<table:table-row table:style-name="Table66.1">
<table:table-cell table:style-name="Table66.A2" office:value-type="string">
<text:p text:style-name="P52"><text:span text:style-name="Command"><text:span text:style-name="T336">expr1</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T342">||</text:span></text:span><text:span text:style-name="Command"><text:span text:style-name="T336">expr2</text:span></text:span></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table66.A2" office:value-type="string">
<text:p text:style-name="P292"><text:span text:style-name="Default_20_Paragraph_20_Font">Return objects with </text:span><text:span text:style-name="Command_20_Argument">expr1</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> or </text:span><text:span text:style-name="Command_20_Argument">expr2</text:span><text:span text:style-name="Default_20_Paragraph_20_Font">. </text:span><text:span text:style-name="Command_20_Argument">expr1</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> and </text:span><text:span text:style-name="Command_20_Argument">expr2</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> are one of the first three property value forms shown above.</text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first"><text:span text:style-name="Default_20_Paragraph_20_Font">Where </text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T55">property</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> is a p</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T55">roperty</text:span></text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> supported by the </text:span><text:span text:style-name="Command">get_property</text:span><text:span text:style-name="Default_20_Paragraph_20_Font"> command. </text:span><text:span text:style-name="Default_20_Paragraph_20_Font"><text:span text:style-name="T343">Note that if there are spaces in the expression it must be enclosed in quotes so that it is a single argument.</text:span></text:span></text:p>
<text:h text:style-name="Heading_20_1" text:outline-level="1"><text:bookmark-start text:name="__RefHeading___Toc42591_2528141652"/><text:alphabetical-index-mark-start text:id="IMark53760024032"/>Variables<text:bookmark-end text:name="__RefHeading___Toc42591_2528141652"/><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:h>
<table:table table:name="Table130" table:style-name="Table130">
<table:table-column table:style-name="Table130.A"/>
<table:table-column table:style-name="Table130.B"/>
<table:table-row table:style-name="Table130.1">
<table:table-cell table:style-name="Table130.A1" office:value-type="string">
<text:p text:style-name="P4"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">hierarchy_separator</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table130.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">Any character</text:span></text:span><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T344">.</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command">hierarchy_separator</text:span> separates instance names in a hierarchical instance, net, or pin name. The default value is <text:span text:style-name="Command">&apos;/&apos;</text:span>.</text:p>
<table:table table:name="Table135" table:style-name="Table135">
<table:table-column table:style-name="Table135.A"/>
<table:table-column table:style-name="Table135.B"/>
<table:table-row table:style-name="Table135.1">
<table:table-cell table:style-name="Table135.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">sta_bidirect_net_paths_enabled</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table135.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">0|1</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">When set to <text:span text:style-name="Command">0</text:span>, paths from bidirectional (inout) ports back through nets are disabled. When set to <text:span text:style-name="Command">1</text:span>, paths from bidirectional paths from the net back into the instance are enabled. The default value is <text:span text:style-name="Command">0</text:span>.</text:p>
<table:table table:name="Table110" table:style-name="Table110">
<table:table-column table:style-name="Table110.A"/>
<table:table-column table:style-name="Table110.B"/>
<table:table-row table:style-name="Table110.1">
<table:table-cell table:style-name="Table110.A1" office:value-type="string">
<text:p text:style-name="P4"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">sta_continue_on_error</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table110.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">0|1</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The <text:span text:style-name="Command"><text:span text:style-name="T345">include</text:span></text:span> and <text:span text:style-name="Command">read_sdc</text:span> commands stop and report any errors encountered while reading a file unless <text:span text:style-name="Command">sta_continue_on_error</text:span> is <text:span text:style-name="Command">1</text:span>. The default value is <text:span text:style-name="Command">0</text:span>.</text:p>
<table:table table:name="Table137" table:style-name="Table137">
<table:table-column table:style-name="Table137.A"/>
<table:table-column table:style-name="Table137.B"/>
<table:table-row table:style-name="Table137.1">
<table:table-cell table:style-name="Table137.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">sta_crpr_mode</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table137.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">same_pin|same_transition</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">When the data and clock paths of a timing check overlap (see <text:span text:style-name="Command">sta_crpr_enabled</text:span>), pessimism is removed independent of whether of the path rise/fall transitions. When <text:span text:style-name="Command">sta_crpr_mode</text:span> is <text:span text:style-name="Command">same_transition</text:span><text:span text:style-name="Command"><text:span text:style-name="T127">,</text:span></text:span> the pessimism is only removed if the path rise/fall transitions are the same. The default value is <text:span text:style-name="Command">same_pin</text:span>.</text:p>
<table:table table:name="Table140" table:style-name="Table140">
<table:table-column table:style-name="Table140.A"/>
<table:table-column table:style-name="Table140.B"/>
<table:table-row table:style-name="Table140.1">
<table:table-cell table:style-name="Table140.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">sta_cond_default_arcs_enabled</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table140.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">0|1</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">When set to 0, default timing arcs with no condition (Liberty timing arcs with no “when” expression) are disabled if there are other conditional timing arcs between the same pins. The default value is <text:span text:style-name="Command">1</text:span>.</text:p>
<table:table table:name="Table134" table:style-name="Table134">
<table:table-column table:style-name="Table134.A"/>
<table:table-column table:style-name="Table134.B"/>
<table:table-row table:style-name="Table134.1">
<table:table-cell table:style-name="Table134.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">sta_crpr_enabled</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table134.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">0|1</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">During min/max timing analysis for on_chip_variation the data and clock paths may overlap. For a setup check the maximum path delays are used for the data and the minimum path delays are used for the clock. Because the gates cannot simultaneously have minimum and maximum delays the timing check slack is pessimistic. This pessimism is known as Common Reconvergent Pessimism Removal, or “CRPR”. Enabling CRPR slows down the analysis. The default value is <text:span text:style-name="T7">1</text:span><text:span text:style-name="Command">.</text:span></text:p>
<table:table table:name="Table138" table:style-name="Table138">
<table:table-column table:style-name="Table138.A"/>
<table:table-column table:style-name="Table138.B"/>
<table:table-row table:style-name="Table138.1">
<table:table-cell table:style-name="Table138.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">sta_dynamic_loop_breaking</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table138.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">0|1</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first"><text:soft-page-break/><text:span text:style-name="Default_20_Paragraph_20_Font">When sta_dynamic_loop_breaking <text:s/>is 0, combinational logic loops are disabled by disabling a timing arc that closes the loop. When sta_dynami</text:span><text:span text:style-name="Command">c_loop_breaking <text:s/>is 1, all paths around the loop are reported. </text:span><text:span text:style-name="Body_20_Char">The default value is </text:span><text:span text:style-name="Command">0</text:span><text:span text:style-name="Body_20_Char">.</text:span></text:p>
<table:table table:name="Table136" table:style-name="Table136">
<table:table-column table:style-name="Table136.A"/>
<table:table-column table:style-name="Table136.B"/>
<table:table-row table:style-name="Table136.1">
<table:table-cell table:style-name="Table136.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">sta_gated_clock_checks_enabled</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table136.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">0|1</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first"><text:span text:style-name="Command">When </text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T138">sta_gated_clock_checks_enabled</text:span></text:span><text:span text:style-name="Command_20_Heading"> </text:span><text:span text:style-name="Body_20_Char">is </text:span><text:span text:style-name="Command">1</text:span><text:span text:style-name="Body_20_Char">, clock gating setup and hold timing checks are checked. The default value is </text:span><text:span text:style-name="Command">1</text:span><text:span text:style-name="Body_20_Char">.</text:span></text:p>
<table:table table:name="Table139" table:style-name="Table139">
<table:table-column table:style-name="Table139.A"/>
<table:table-column table:style-name="Table139.B"/>
<table:table-row table:style-name="Table139.1">
<table:table-cell table:style-name="Table139.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">sta_input_port_default_clock</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table139.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">0|1</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first"><text:span text:style-name="Body_20_Char">When </text:span><text:span text:style-name="Command">sta_input_port_default_clock</text:span><text:span text:style-name="Body_20_Char"> is </text:span><text:span text:style-name="Command">1</text:span><text:span text:style-name="Body_20_Char"> a default input arrival is added for input ports that do not have an arrival time specified with the </text:span><text:span text:style-name="Command">set_input_delay</text:span><text:span text:style-name="Body_20_Char"> command. The default value is </text:span><text:span text:style-name="Command">0</text:span><text:span text:style-name="Body_20_Char">.</text:span></text:p>
<table:table table:name="Table141" table:style-name="Table141">
<table:table-column table:style-name="Table141.A"/>
<table:table-column table:style-name="Table141.B"/>
<table:table-row table:style-name="Table141.1">
<table:table-cell table:style-name="Table141.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">sta_internal_bidirect_instance_paths_enabled</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table141.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">0|1</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">When set to <text:span text:style-name="Command">0</text:span>, paths from bidirectional (inout) ports back into the instance are disabled. When set to <text:span text:style-name="Command">1</text:span>, paths from bidirectional ports back into the instance are enabled. The default value is <text:span text:style-name="Command">0</text:span>.</text:p>
<table:table table:name="Table38" table:style-name="Table38">
<table:table-column table:style-name="Table38.A"/>
<table:table-column table:style-name="Table38.B"/>
<table:table-row table:style-name="Table38.1">
<table:table-cell table:style-name="Table38.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">sta_pocv_enabled</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table38.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">0|1</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">Enable parametric on chip variation using statistical timing analysis. The default value is <text:span text:style-name="Command">0</text:span>.</text:p>
<table:table table:name="Table132" table:style-name="Table132">
<table:table-column table:style-name="Table132.A"/>
<table:table-column table:style-name="Table132.B"/>
<table:table-row table:style-name="Table132.1">
<table:table-cell table:style-name="Table132.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">sta_propagate_all_clocks</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table132.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">0|1</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">All clocks defined after <text:span text:style-name="Command_20_Heading"><text:span text:style-name="T133">sta_propagate_all_clocks</text:span></text:span> is set to 1 are propagated. If it is set before any clocks are defined it has the same effect as</text:p>
<text:p text:style-name="Example_20__20_indented">set_propagated_clock [all_clocks]</text:p>
<text:p text:style-name="Body">After all clocks have been defined. <text:span text:style-name="Body_20_Char">The default value is </text:span><text:span text:style-name="Command">0</text:span><text:span text:style-name="Body_20_Char">.</text:span></text:p>
<table:table table:name="Table133" table:style-name="Table133">
<table:table-column table:style-name="Table133.A"/>
<table:table-column table:style-name="Table133.B"/>
<table:table-row table:style-name="Table133.1">
<table:table-cell table:style-name="Table133.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">sta_propagate_gated_clock_enable</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table133.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">0|1</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">When set to 1, paths of gated clock enables are propagated through the clock gating instances. If the gated clock controls sequential elements setting <text:span text:style-name="Command_20_Heading"><text:span text:style-name="T133">sta_propagate_gated_clock_enable</text:span></text:span> to <text:span text:style-name="Command">0</text:span> prevents spurious paths from the clock enable. The default value is <text:span text:style-name="Command">1</text:span>.</text:p>
<table:table table:name="Table143" table:style-name="Table143">
<table:table-column table:style-name="Table143.A"/>
<table:table-column table:style-name="Table143.B"/>
<table:table-row table:style-name="Table143.1">
<table:table-cell table:style-name="Table143.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">sta_recovery_removal_checks_enabled</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table143.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">0|1</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">When <text:span text:style-name="Command">sta_recovery_removal_checks</text:span><text:span text:style-name="Command_20_Heading"><text:span text:style-name="T133">_enabled</text:span></text:span><text:span text:style-name="Command_20_Heading"> </text:span><text:span text:style-name="Body_20_Char">is </text:span><text:span text:style-name="Command">0</text:span><text:span text:style-name="Body_20_Char">, recovery and removal timing checks are disabled. The default value is </text:span><text:span text:style-name="Command">1</text:span><text:span text:style-name="Body_20_Char">.</text:span></text:p>
<table:table table:name="Table126" table:style-name="Table126">
<table:table-column table:style-name="Table126.A"/>
<table:table-column table:style-name="Table126.B"/>
<text:soft-page-break/>
<table:table-row table:style-name="Table126.1">
<table:table-cell table:style-name="Table126.A1" office:value-type="string">
<text:p text:style-name="P4"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:span text:style-name="Command_20_Heading">sta_report_default_digits</text:span><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table126.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">integer</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">The number of digits to print after a decimal point. The default value is <text:span text:style-name="Command">2</text:span>.</text:p>
<table:table table:name="Table145" table:style-name="Table145">
<table:table-column table:style-name="Table145.A"/>
<table:table-column table:style-name="Table145.B"/>
<table:table-row table:style-name="Table145.1">
<table:table-cell table:style-name="Table145.A1" office:value-type="string">
<text:p text:style-name="P29"><text:alphabetical-index-mark-start text:id="IMark53760024032"/><text:alphabetical-index-mark-start text:id="IMark53760025232"/><text:span text:style-name="Command_20_Heading">sta_preset_clear_arcs_enabled</text:span><text:alphabetical-index-mark-end text:id="IMark53760025232"/><text:alphabetical-index-mark-end text:id="IMark53760024032"/></text:p>
</table:table-cell>
<table:table-cell table:style-name="Table145.A1" office:value-type="string">
<text:p text:style-name="P29"><text:span text:style-name="Command_20_Argument"><text:span text:style-name="T25">0|1</text:span></text:span></text:p>
</table:table-cell>
</table:table-row>
</table:table>
<text:p text:style-name="Body_20_first">When set to <text:span text:style-name="Command">1</text:span>, paths through asynchronous preset and clear timing arcs are searched. The default value is <text:span text:style-name="Command">0</text:span>.</text:p>
<text:section text:style-name="Sect1" text:name="Section2">
<text:alphabetical-index text:style-name="Sect1" text:protected="true" text:name="Alphabetical Index1">
<text:alphabetical-index-source text:sort-algorithm="alphanumeric" fo:language="en" fo:country="US">
<text:index-title-template text:style-name="Index_20_Heading">Alphabetical Index</text:index-title-template>
<text:alphabetical-index-entry-template text:outline-level="separator" text:style-name="Index_20_Separator">
<text:index-entry-text/>
</text:alphabetical-index-entry-template>
<text:alphabetical-index-entry-template text:outline-level="1" text:style-name="Index_20_1">
<text:index-entry-text/>
<text:index-entry-tab-stop style:type="right" style:leader-char="."/>
<text:index-entry-page-number/>
</text:alphabetical-index-entry-template>
<text:alphabetical-index-entry-template text:outline-level="2" text:style-name="Index_20_2">
<text:index-entry-text/>
<text:index-entry-tab-stop style:type="right" style:leader-char="."/>
<text:index-entry-page-number/>
</text:alphabetical-index-entry-template>
<text:alphabetical-index-entry-template text:outline-level="3" text:style-name="Index_20_3">
<text:index-entry-text/>
<text:index-entry-tab-stop style:type="right" style:leader-char="."/>
<text:index-entry-page-number/>
</text:alphabetical-index-entry-template>
</text:alphabetical-index-source>
<text:index-body>
<text:index-title text:style-name="Sect1" text:name="Alphabetical Index1_Head" text:protected="true">
<text:p text:style-name="P294">Alphabetical Index</text:p>
</text:index-title>
<text:p text:style-name="P295">all_clocks<text:tab/>6</text:p>
<text:p text:style-name="P295">all_inputs<text:tab/>6</text:p>
<text:p text:style-name="P295">all_outputs<text:tab/>6</text:p>
<text:p text:style-name="P295">all_registers<text:tab/>6</text:p>
<text:p text:style-name="P295">check_setup<text:tab/>7</text:p>
<text:p text:style-name="P295">Command Line Arguments<text:tab/>1</text:p>
<text:p text:style-name="P295">Commands<text:tab/>6</text:p>
<text:p text:style-name="P295">connect_pin<text:tab/>7</text:p>
<text:p text:style-name="P295">create_generated_clock<text:tab/>9</text:p>
<text:p text:style-name="P295">create_voltage_area<text:tab/>10</text:p>
<text:p text:style-name="P295">current_design<text:tab/>10</text:p>
<text:p text:style-name="P295">current_instance<text:tab/>10</text:p>
<text:p text:style-name="P295">define_corners<text:tab/>11</text:p>
<text:p text:style-name="P295">delete_clock<text:tab/>11</text:p>
<text:p text:style-name="P295">delete_from_list<text:tab/>11</text:p>
<text:p text:style-name="P295">delete_generated_clock<text:tab/>11</text:p>
<text:p text:style-name="P295">delete_instance<text:tab/>11</text:p>
<text:p text:style-name="P295">delete_net<text:tab/>12</text:p>
<text:p text:style-name="P295">disconnect_pin<text:tab/>12</text:p>
<text:p text:style-name="P295">elapsed_run_time<text:tab/>12</text:p>
<text:p text:style-name="P295">Example Command Scripts<text:tab/>1</text:p>
<text:p text:style-name="P295">Filter Expressions<text:tab/>80</text:p>
<text:p text:style-name="P295">find_timing_paths<text:tab/>13</text:p>
<text:p text:style-name="P295">get_cells<text:tab/>14</text:p>
<text:p text:style-name="P295">get_clocks<text:tab/>15</text:p>
<text:p text:style-name="P295">get_fanin<text:tab/>16</text:p>
<text:p text:style-name="P295">get_fanout<text:tab/>16</text:p>
<text:p text:style-name="P295">get_full_name<text:tab/>17</text:p>
<text:p text:style-name="P295">get_lib_pins<text:tab/>18</text:p>
<text:p text:style-name="P295">get_libs<text:tab/>18</text:p>
<text:p text:style-name="P295">get_name<text:tab/>20</text:p>
<text:p text:style-name="P295">get_nets<text:tab/>19</text:p>
<text:p text:style-name="P295">get_pins<text:tab/>20</text:p>
<text:p text:style-name="P295">get_ports<text:tab/>21</text:p>
<text:p text:style-name="P295">get_property<text:tab/>21</text:p>
<text:p text:style-name="P295">get_timing_edges<text:tab/>24</text:p>
<text:p text:style-name="P295">group_path<text:tab/>25</text:p>
<text:p text:style-name="P295">hierarchy_separator<text:tab/>80</text:p>
<text:p text:style-name="P295">include<text:tab/>26</text:p>
<text:p text:style-name="P295">link_design<text:tab/>26</text:p>
<text:p text:style-name="P295">make_instance<text:tab/>26</text:p>
<text:p text:style-name="P295">make_net<text:tab/>27</text:p>
<text:p text:style-name="P295">Power Analysis<text:tab/>2</text:p>
<text:p text:style-name="P295">read_liberty<text:tab/>27</text:p>
<text:p text:style-name="P295">read_saif<text:tab/>28</text:p>
<text:p text:style-name="P295">read_sdc<text:tab/>28</text:p>
<text:p text:style-name="P295">read_sdf<text:tab/>28</text:p>
<text:p text:style-name="P295">read_spef<text:tab/>29</text:p>
<text:p text:style-name="P295">read_vcd<text:tab/>31</text:p>
<text:p text:style-name="P295">read_verilog<text:tab/>31</text:p>
<text:p text:style-name="P295">redirection<text:tab/>4</text:p>
<text:p text:style-name="P295">replace_activity_annotation<text:tab/>31</text:p>
<text:p text:style-name="P295">replace_cell<text:tab/>31</text:p>
<text:p text:style-name="P295">report_annotated_check<text:tab/>32</text:p>
<text:p text:style-name="P295">report_annotated_delay<text:tab/>33</text:p>
<text:p text:style-name="P295">report_check_types<text:tab/>36</text:p>
<text:p text:style-name="P295">report_checks<text:tab/>34</text:p>
<text:p text:style-name="P295">report_clock_latency<text:tab/>37</text:p>
<text:p text:style-name="P295">report_clock_min_period<text:tab/>38</text:p>
<text:p text:style-name="P295">report_clock_properties<text:tab/>38</text:p>
<text:p text:style-name="P295">report_clock_skew<text:tab/>38</text:p>
<text:p text:style-name="P295"><text:soft-page-break/>report_dcalc<text:tab/>39</text:p>
<text:p text:style-name="P295">report_disabled_edges<text:tab/>39</text:p>
<text:p text:style-name="P295">report_edges<text:tab/>39</text:p>
<text:p text:style-name="P295">report_instance<text:tab/>40</text:p>
<text:p text:style-name="P295">report_lib_cell<text:tab/>40</text:p>
<text:p text:style-name="P295">report_net<text:tab/>40</text:p>
<text:p text:style-name="P295">report_parasitic_annotation<text:tab/>40</text:p>
<text:p text:style-name="P295">report_power<text:tab/>41</text:p>
<text:p text:style-name="P295">report_pulse_width_checks<text:tab/>41</text:p>
<text:p text:style-name="P295">report_slews<text:tab/>42</text:p>
<text:p text:style-name="P295">report_tns<text:tab/>42</text:p>
<text:p text:style-name="P295">report_units<text:tab/>42</text:p>
<text:p text:style-name="P295">report_wns<text:tab/>43</text:p>
<text:p text:style-name="P295">report_worst_slack<text:tab/>43</text:p>
<text:p text:style-name="P295">set_assigned_check<text:tab/>43</text:p>
<text:p text:style-name="P295">set_assigned_delay<text:tab/>44</text:p>
<text:p text:style-name="P295">set_assigned_transition<text:tab/>45</text:p>
<text:p text:style-name="P295">set_case_analysis<text:tab/>46</text:p>
<text:p text:style-name="P295">set_clock_gating_check<text:tab/>46</text:p>
<text:p text:style-name="P295">set_clock_groups<text:tab/>47</text:p>
<text:p text:style-name="P295">set_clock_latency<text:tab/>47</text:p>
<text:p text:style-name="P295">set_clock_transition<text:tab/>48</text:p>
<text:p text:style-name="P295">set_clock_uncertainty<text:tab/>49</text:p>
<text:p text:style-name="P295">set_cmd_units<text:tab/>50</text:p>
<text:p text:style-name="P295">set_data_check<text:tab/>51</text:p>
<text:p text:style-name="P295">set_disable_inferred_clock_gating<text:tab/>51</text:p>
<text:p text:style-name="P295">set_disable_timing<text:tab/>51</text:p>
<text:p text:style-name="P295">set_drive<text:tab/>52</text:p>
<text:p text:style-name="P295">set_driving_cell<text:tab/>53</text:p>
<text:p text:style-name="P295">set_false_path<text:tab/>54</text:p>
<text:p text:style-name="P295">set_fanout_load<text:tab/>55</text:p>
<text:p text:style-name="P295">set_hierarchy_separator<text:tab/>55</text:p>
<text:p text:style-name="P295">set_ideal_latency<text:tab/>55</text:p>
<text:p text:style-name="P295">set_ideal_network<text:tab/>55</text:p>
<text:p text:style-name="P295">set_ideal_transition<text:tab/>55</text:p>
<text:p text:style-name="P295">set_input_delay<text:tab/>55</text:p>
<text:p text:style-name="P295">set_input_transition<text:tab/>57</text:p>
<text:p text:style-name="P295">set_level_shifter_strategy<text:tab/>57</text:p>
<text:p text:style-name="P295">set_level_shifter_threshold<text:tab/>57</text:p>
<text:p text:style-name="P295">set_load<text:tab/>57</text:p>
<text:p text:style-name="P295">set_logic_dc<text:tab/>58</text:p>
<text:p text:style-name="P295">set_logic_one<text:tab/>58</text:p>
<text:p text:style-name="P295">set_logic_zero<text:tab/>59</text:p>
<text:p text:style-name="P295">set_max_area<text:tab/>59</text:p>
<text:p text:style-name="P295">set_max_capacitance<text:tab/>59</text:p>
<text:p text:style-name="P295">set_max_delay<text:tab/>59</text:p>
<text:p text:style-name="P295">set_max_dynamic_power<text:tab/>60</text:p>
<text:p text:style-name="P295">set_max_fanout<text:tab/>60</text:p>
<text:p text:style-name="P295">set_max_leakage_power<text:tab/>60</text:p>
<text:p text:style-name="P295">set_max_time_borrow<text:tab/>60</text:p>
<text:p text:style-name="P295">set_max_transition<text:tab/>61</text:p>
<text:p text:style-name="P295">set_min_capacitance<text:tab/>61</text:p>
<text:p text:style-name="P295">set_min_delay<text:tab/>62</text:p>
<text:p text:style-name="P295">set_min_pulse_width<text:tab/>62</text:p>
<text:p text:style-name="P295">set_multicycle_path<text:tab/>63</text:p>
<text:p text:style-name="P295">set_operating_conditions<text:tab/>64</text:p>
<text:p text:style-name="P295">set_output_delay<text:tab/>65</text:p>
<text:p text:style-name="P295">set_port_fanout_number<text:tab/>66</text:p>
<text:p text:style-name="P295">set_power_activity<text:tab/>66</text:p>
<text:p text:style-name="P295">set_propagated_clock<text:tab/>67</text:p>
<text:p text:style-name="P295">set_pvt<text:tab/>67</text:p>
<text:p text:style-name="P295">set_resistance<text:tab/>69</text:p>
<text:p text:style-name="P295">set_sense<text:tab/>68</text:p>
<text:p text:style-name="P295">set_timing_derate<text:tab/>69</text:p>
<text:p text:style-name="P295"><text:soft-page-break/>set_units<text:tab/>70</text:p>
<text:p text:style-name="P295">set_wire_load_min_block_size<text:tab/>71</text:p>
<text:p text:style-name="P295">set_wire_load_mode<text:tab/>71</text:p>
<text:p text:style-name="P295">set_wire_load_model<text:tab/>71</text:p>
<text:p text:style-name="P295">set_wire_load_selection_group<text:tab/>71</text:p>
<text:p text:style-name="P295">SPEF<text:tab/>30</text:p>
<text:p text:style-name="P295">sta_bidirect_net_paths_enabled<text:tab/>80</text:p>
<text:p text:style-name="P295">sta_cond_default_arcs_enabled<text:tab/>81</text:p>
<text:p text:style-name="P295">sta_continue_on_error<text:tab/>80</text:p>
<text:p text:style-name="P295">sta_crpr_enabled<text:tab/>81</text:p>
<text:p text:style-name="P295">sta_crpr_mode<text:tab/>81</text:p>
<text:p text:style-name="P295">sta_dynamic_loop_breaking<text:tab/>81</text:p>
<text:p text:style-name="P295">sta_gated_clock_checks_enabled<text:tab/>81</text:p>
<text:p text:style-name="P295">sta_input_port_default_clock<text:tab/>81</text:p>
<text:p text:style-name="P295">sta_internal_bidirect_instance_paths_enabled<text:tab/>81</text:p>
<text:p text:style-name="P295">sta_pocv_enabled<text:tab/>82</text:p>
<text:p text:style-name="P295">sta_preset_clear_arcs_enabled<text:tab/>82</text:p>
<text:p text:style-name="P295">sta_propagate_all_clocks<text:tab/>82</text:p>
<text:p text:style-name="P295">sta_propagate_gated_clock_enable<text:tab/>82</text:p>
<text:p text:style-name="P295">sta_recovery_removal_checks_enabled<text:tab/>82</text:p>
<text:p text:style-name="P295">sta_report_default_digits<text:tab/>82</text:p>
<text:p text:style-name="P295">suppress_msg<text:tab/>72</text:p>
<text:p text:style-name="P295">TCL Interpreter<text:tab/>3</text:p>
<text:p text:style-name="P295">Timing Analysis using SDF<text:tab/>2</text:p>
<text:p text:style-name="P295">Timing Analysis with Multiple Process Corners<text:tab/>2</text:p>
<text:p text:style-name="P295">unset_case_analysis<text:tab/>72</text:p>
<text:p text:style-name="P295">unset_clock_latency<text:tab/>72</text:p>
<text:p text:style-name="P295">unset_clock_transition<text:tab/>72</text:p>
<text:p text:style-name="P295">unset_clock_uncertainty<text:tab/>73</text:p>
<text:p text:style-name="P295">unset_data_check<text:tab/>73</text:p>
<text:p text:style-name="P295">unset_disable_inferred_clock_gating<text:tab/>74</text:p>
<text:p text:style-name="P295">unset_disable_timing<text:tab/>74</text:p>
<text:p text:style-name="P295">unset_input_delay<text:tab/>74</text:p>
<text:p text:style-name="P295">unset_output_delay<text:tab/>75</text:p>
<text:p text:style-name="P295">unset_path_exceptions<text:tab/>75</text:p>
<text:p text:style-name="P295">unset_propagated_clock<text:tab/>76</text:p>
<text:p text:style-name="P295">unset_timing_derate<text:tab/>76</text:p>
<text:p text:style-name="P295">unsuppress_msg<text:tab/>76</text:p>
<text:p text:style-name="P295">user_run_time<text:tab/>76</text:p>
<text:p text:style-name="P295">Variables<text:tab/>80</text:p>
<text:p text:style-name="P295">verilog netlist<text:tab/>31</text:p>
<text:p text:style-name="P295">with_output_to_variable<text:tab/>76</text:p>
<text:p text:style-name="P295">write_path_spice<text:tab/>77</text:p>
<text:p text:style-name="P295">write_sdc<text:tab/>77</text:p>
<text:p text:style-name="P295">write_sdf<text:tab/>78</text:p>
<text:p text:style-name="P295">write_timing_model<text:tab/>78</text:p>
<text:p text:style-name="P295">write_verilog<text:tab/>79</text:p>
</text:index-body>
</text:alphabetical-index>
<text:p text:style-name="P296"/>
<text:p text:style-name="P297">Version 2.<text:span text:style-name="T346">6</text:span>.<text:span text:style-name="T347">0</text:span>, <text:span text:style-name="T346">Sep</text:span> <text:span text:style-name="T346">23</text:span>, 20<text:span text:style-name="T347">2</text:span><text:span text:style-name="T346">4</text:span><text:line-break/>Copyright (c) 20<text:span text:style-name="T347">2</text:span><text:span text:style-name="T346">4</text:span>, Parallax Software, Inc.</text:p>
<text:p text:style-name="P4">This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version 3 of the License, or (at your option) any later version.</text:p>
<text:p text:style-name="P4">This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details.</text:p>
<text:p text:style-name="P4">You should have received a copy of the GNU General Public License along with this program. If not, see &lt;https://www.gnu.org/licenses/&gt;.</text:p>
</text:section>
</office:text>
</office:body>
</office:document>