compress example liberty files

Signed-off-by: James Cherry <cherry@parallaxsw.com>
This commit is contained in:
James Cherry 2024-10-05 18:12:23 -07:00
parent f71405fb40
commit b04def2de0
16 changed files with 11 additions and 573035 deletions

View File

@ -1,5 +1,5 @@
# delay calc example # delay calc example
read_liberty nangate45_slow.lib read_liberty nangate45_slow.lib.gz
read_verilog example1.v read_verilog example1.v
link_design top link_design top
create_clock -name clk -period 10 {clk1 clk2 clk3} create_clock -name clk -period 10 {clk1 clk2 clk3}

View File

@ -1,6 +1,6 @@
# min/max delay calc example # min/max delay calc example
read_liberty -max nangate45_slow.lib read_liberty -max nangate45_slow.lib.gz
read_liberty -min nangate45_fast.lib read_liberty -min nangate45_fast.lib.gz
read_verilog example1.v read_verilog example1.v
link_design top link_design top
create_clock -name clk -period 10 {clk1 clk2 clk3} create_clock -name clk -period 10 {clk1 clk2 clk3}

View File

@ -1,8 +1,8 @@
# 3 corners with +/- 10% derating example # 3 corners with +/- 10% derating example
define_corners ss tt ff define_corners ss tt ff
read_liberty -corner ss nangate45_slow.lib read_liberty -corner ss nangate45_slow.lib.gz
read_liberty -corner tt nangate45_typ.lib read_liberty -corner tt nangate45_typ.lib.gz
read_liberty -corner ff nangate45_fast.lib read_liberty -corner ff nangate45_fast.lib.gz
read_verilog example1.v read_verilog example1.v
link_design top link_design top
set_timing_derate -early 0.9 set_timing_derate -early 0.9

File diff suppressed because it is too large Load Diff

Binary file not shown.

File diff suppressed because it is too large Load Diff

Binary file not shown.

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -1,5 +1,5 @@
# report_power gcd # report_power gcd
read_liberty sky130hd_tt.lib read_liberty sky130hd_tt.lib.gz
read_verilog gcd_sky130hd.v read_verilog gcd_sky130hd.v
link_design gcd link_design gcd

View File

@ -1,5 +1,5 @@
# read_vcd_activities gcd # read_vcd_activities gcd
read_liberty sky130hd_tt.lib read_liberty sky130hd_tt.lib.gz
read_verilog gcd_sky130hd.v read_verilog gcd_sky130hd.v
link_design gcd link_design gcd

View File

@ -1,5 +1,5 @@
# sdf example # sdf example
read_liberty nangate45_slow.lib read_liberty nangate45_slow.lib.gz
read_verilog example1.v read_verilog example1.v
link_design top link_design top
read_sdf example1.sdf read_sdf example1.sdf

File diff suppressed because it is too large Load Diff

BIN
examples/sky130hd_tt.lib.gz Normal file

Binary file not shown.

View File

@ -1,5 +1,5 @@
# delay calc with spef parasitics # delay calc with spef parasitics
read_liberty nangate45_slow.lib read_liberty nangate45_slow.lib.gz
read_verilog example1.v read_verilog example1.v
link_design top link_design top
read_spef example1.dspef read_spef example1.dspef

View File

@ -1,5 +1,5 @@
# Tests whether Verilog attributes can be parsed and retrieved correctly # Tests whether Verilog attributes can be parsed and retrieved correctly
read_liberty ../examples/sky130hd_tt.lib read_liberty ../examples/sky130hd_tt.lib.gz
read_verilog verilog_attribute.v read_verilog verilog_attribute.v
link_design counter link_design counter
create_clock -name clk [get_ports clk] -period 50 create_clock -name clk [get_ports clk] -period 50