report_json1/2.tcl rm redirection

Signed-off-by: James Cherry <cherry@parallaxsw.com>
This commit is contained in:
James Cherry 2025-01-15 09:24:53 -07:00
parent 70bb5e9440
commit 7c2da8ab3d
2 changed files with 2 additions and 2 deletions

View File

@ -4,4 +4,4 @@ read_verilog verilog_attribute.v
link_design counter
create_clock -name clk -period 10 clk
set_input_delay -clock clk 0 [all_inputs -no_clocks]
report_checks -path_group clk -format json >> results/report_json1.log
report_checks -path_group clk -format json

View File

@ -3,4 +3,4 @@ read_liberty ../examples/sky130hd_tt.lib.gz
read_verilog verilog_attribute.v
link_design counter
create_clock -name clk -period 10
report_checks -path_group clk -format json >> results/report_json2.log
report_checks -path_group clk -format json