Add ok file for get_noargs_objrefs

This commit is contained in:
Akash Levy 2024-09-21 18:51:53 -07:00
parent a31a67b7df
commit 3c98be53e4
1 changed files with 65 additions and 0 deletions

View File

@ -0,0 +1,65 @@
[get_cells [get_cells]]
r1
r2
r3
u1
u2
[get_clocks [get_clocks]]
clk
vclk
[get_lib_cells [get_lib_cells]]
asap7_small/AND2x2_ASAP7_75t_R
asap7_small/BUFx2_ASAP7_75t_R
asap7_small/DFFHQx4_ASAP7_75t_R
[get_lib_pins [get_lib_pins]]
A
A
B
CLK
D
IQ
IQN
Q
Y
Y
[get_libs [get_libs]]
asap7_small
[get_nets [get_nets]]
clk1
clk2
clk3
in1
in2
out
r1q
r2q
u1z
u2z
[get_pins [get_pins]]
r1/CLK
r1/D
r1/IQ
r1/IQN
r1/Q
r2/CLK
r2/D
r2/IQ
r2/IQN
r2/Q
r3/CLK
r3/D
r3/IQ
r3/IQN
r3/Q
u1/A
u1/Y
u2/A
u2/B
u2/Y
[get_ports [get_ports]]
clk1
clk2
clk3
in1
in2
out