2022-12-29 00:30:30 +01:00
|
|
|
# read_vcd_activities gcd
|
|
|
|
|
read_liberty sky130hd_tt.lib
|
|
|
|
|
read_verilog gcd_sky130hd.v
|
|
|
|
|
link_design gcd
|
|
|
|
|
|
|
|
|
|
read_sdc gcd_sky130hd.sdc
|
|
|
|
|
read_spef gcd_sky130hd.spef
|
|
|
|
|
# Generate vcd file
|
|
|
|
|
# iverilog -o gcd_tb gcd_tb.v
|
|
|
|
|
# vvp gcd_tb
|
2024-09-24 03:04:26 +02:00
|
|
|
read_vcd -scope gcd_tb/gcd1 gcd_sky130hd.vcd
|
2022-12-29 00:30:30 +01:00
|
|
|
report_power
|