Add {`instance`, `cell`, `verilog_src`, `pin`, `net`, `hier_pins`, `capacitance`} fields to `report_checks -format json` for paths (#135)
* Add {`inst`, `cell`, `src`, `nets`} to `report_checks -format json`
* Smallfix
* Improved nets
* Race condition fix
* Fixes
* Small whitespace fix
* Add no paths corner case stuff
* Adjustments to naming of fields
* Requested fixes
* Reintroduce escapeBackslashes, use stringCopy to prevent stack memory warning
* Fix escapeBackslashes to use preferred style
* No backslash escaping
* Make requested fixes
2025-01-14 04:28:04 +01:00
|
|
|
# report_checks -format json
|
|
|
|
|
read_liberty ../examples/sky130hd_tt.lib.gz
|
|
|
|
|
read_verilog verilog_attribute.v
|
|
|
|
|
link_design counter
|
|
|
|
|
create_clock -name clk -period 10 clk
|
|
|
|
|
set_input_delay -clock clk 0 [all_inputs -no_clocks]
|
2025-01-15 17:24:53 +01:00
|
|
|
report_checks -path_group clk -format json
|