OpenRAM/ICCAD16_openram_paper/references.bib

586 lines
17 KiB
BibTeX

@Comment @string{DAC = "ACM/IEEE Design Automation Conference~(DAC)"}
@Comment @string{TDEV = "IEEE Transactions on Electron Devices"}
@Comment @string{DATE = "IEEE Design, Automation and Test in Europe~(DATE)"}
@Comment @string{ISSCC = "IEEE International Solid-State Circuits Conference~(ISSCC)"}
@Comment @string{TVLSI = "IEEE Transactions on Very Large Scale Integration~(VLSI) Systems"}
@Comment @string{JSSC = "IEEE Journal of Solid-State Circuits~(JSSC)"}
@Comment @string{ICCD = "International Conference on Computer Design~(ICCD)"}
@Comment @string{ISLPED = "IEEE International Symposium on Low Power Electronics and Design~(ISLPED)"}
@Comment @STRING{ICCAD = "IEEE/ACM International Conference on Computer-Aided Design~(ICCAD)"}
@Comment @string{ASP-DAC = "IEEE Asia and South Pacific Design Automation Conference~(ASP-DAC)"}
@Comment @string{ISCAS = "IEEE International Symposium on Circuits and Systems~(ISCAS)"}
@Comment @string{TCAD = "IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems~(TCAD)"}
@Comment @string{GLSVLSI = "ACM Great Lakes Symposium on VLSI~(GLSVLSI)"}
@Comment @string{TCASI = "IEEE Transactions on Circuits and Systems I~(TCAS-I)"}
@Comment @string{TCASII = "IEEE Transactions on Circuits and Systems II~(TCAS-II)"}
@Comment @string{TC = "IEEE Transactions on Computers"}
@Comment @string{ISPD = "IEEE International Symposium on Physical Design~(ISPD)"}
@Comment @string{TODAES = "ACM Transactions on Design Automation of Electronic Systems~(TODAES)"}
@Comment @string{ISVLSI = "IEEE International Symposium on Very Large Scale Integration~(ISVLSI)"}
@Comment @string{ISQED = "International Symposium on Quality Electronic Design~(ISQED)"}
@Comment @string{TNUKE = "IEEE Transactions on Nuclear Science"}
@Comment @string{MWSCAS = "IEEE Midwest Symposium on Circuits and Systems~(MWSCAS)"}
@Comment @string{MSE = "IEEE International Conference on Microelectronic Systems Education~(MSE)"}
@string{DAC = "DAC"}
@string{TDEV = "TDEV"}
@string{DATE = "DATE"}
@string{ISSCC = "ISSCC"}
@string{TVLSI = "TVLSI"}
@string{JSSC = "JSSC"}
@string{ICCD = "ICCD"}
@string{ISLPED = "ISLPED"}
@STRING{ICCAD = "ICCAD"}
@string{ASP-DAC = "ASP-DAC"}
@string{ISCAS = "ISCAS"}
@string{TCAD = "TCAD"}
@string{GLSVLSI = "GLSVLSI"}
@string{TCASI = "TCAS-I"}
@string{TCASII = "TCAS-II"}
@string{TC = "TCOMP"}
@string{ISPD = "ISPD"}
@string{TODAES = "TODAES"}
@string{ISVLSI = "ISVLSI"}
@string{ISQED = "ISQED"}
@string{TNUKE = "Trans. on Nuclear Science"}
@string{MWSCAS = "MWSCAS"}
@string{MSE = "MSE"}
@book{Rabaey:2003,
title = {Digital Integrated Circuits: A Design Perspective},
author = {J. Rabaey and A. Chandrakasan and B. Nikolić},
year = {2003},
publisher = {Pearson Education, Inc.},
edition = {2nd}
}
@book{Chandrakasan:2001,
title = {Design of High Performance Microprocessor Circuits},
booktitle = {Design of High Performance Microprocessor Circuits},
author = {A. Chandrakasan and W.J. Bowhill and F. Fox},
year = {2001},
publisher = {IEEE Press}
}
@manual{gdsmill,
title = {GDS Mill User Manual},
author = {M. Wieckowski},
year = {2010}
}
%these are end of chapter references from Rabaey
%%%%%%%%%%%
@article{Amrutur:2001,
author = {B.S. Amrutur and M.A. Horowitz},
journal = JSSC,
title = {Fast Low-Power Decoders for RAMs},
number = {10},
pages = {1506-1515},
volume = {36},
year = {2001},
month = {Oct}
}
@inbook{Preston:2001,
title = {Register Files and Caches},
author = {R.P. Preston},
crossref = {Chandrakasan:2001}
}
@book{Itoh:2001,
title = {VLSI Memory Chip Design},
author = {K. Itoh},
publisher = {Springer-Verlag},
year = {2001}
}
@article{Itoh:1990,
author = {K. Itoh},
journal = JSSC,
title = {Trends in Megabit DRAM Circuit Design},
number = {3},
pages = {778-798},
volume = {25},
year = {1990},
month = {Jun}
}
@article{May:1979,
author = {T. May and M. Woods},
journal = TDEV,
title = {Aplha-Particle-Induced Soft Errors in Dynamic Memories},
number = {1},
pages = {2-9},
volume = {26},
year = {1979},
month = {Jan}
}
@ARTICLE{Tosaka:1997,
author={Y. Tosaka and S. Satoh and T. Itakura and K. Suzuki and T. Sugii and H. Ehara and G.A. Woffinden},
journal=TDEV,
title={Cosmic Ray Neutron-Induced Soft Errors in Sub-Half Micron CMOS Circuits},
year={1997},
volume={18},
number={3},
pages={99-101}
}
@ARTICLE{Regitz:1970,
author={W.M. Regitz and J. Karp},
journal=JSSC,
title={Three-transistor-cell 1024-bit 500-ns MOS RAM},
year={1970},
volume={5},
number={5},
pages={181-186}
}
@INPROCEEDINGS{Kim1:2011,
author={S. Kim and M. Guthaus},
booktitle=DAC,
title={Leakage-aware redundancy for reliable sub-threshold memories},
year={2011},
pages={435-440}
}
@INPROCEEDINGS{Kim2:2011,
author={S. Kim and M. Guthaus},
booktitle=VLSISOC,
title={SNM-aware power reduction and reliability improvement in 45nm {SRAM}s},
year={2011},
pages={204-207}
}
@INPROCEEDINGS{Kim3:2011,
author={S. Kim and M. Guthaus},
booktitle=ICCAD,
title={Low-power multiple-bit upset tolerant memory optimization},
year={2011},
pages={577-581}
}
@INPROCEEDINGS{Kim:2012,
author={S. Kim and M. Guthaus},
booktitle=VLSISOC,
title={Dynamic voltage scaling for SEU-tolerance in low-power memories},
year={2012},
pages={207-212}
}
@ARTICLE{Rusu:2003,
author={S. Rusu and J. Stinson and S. Tam and J. Leung and H. Muljono and B. Cherkauer},
journal=JSSC,
title={A 1.5-GHz 130-nm Itanium reg; 2 Processor with 6-MB on-die L3 cache},
year={2003},
volume={38},
number={11},
pages={1887-1895}
}
@article{itrs:2012,
author = {International Technology Roadmap for Semiconductors},
title = {2012 ITRS Report: System Drivers},
howpublished = {www.itrs.net},
year = {2012}
}
@article{Kurdahi:2006,
author = {F.J. Kurdahi and A.M. Eltawil and Y.H. Park and R.N Kanj and S.R. Nassif},
journal = ISQED,
title = {System-level {SRAM} Yield Enhancement},
year = {2006},
month = {Mar}
}
@misc{i7:2011,
author = {A. Shimpi},
title = {Intel Core i7 3960X (Sandy Bridge) Review: Keeping the High-End Alive},
howpublished = {\url{http://www.anandtech.com/show/5091/intel-core-i7-3960x-sandy-bridge-e-review-keeping-the-high-end-alive}},
year = {2011},
month = {Nov}
}
@misc{calibre:2013,
author = {Mentor Graphics},
title = {Calibre nmDRC and nmLVS},
howpublished = {\url{http://www.mentor.com/products/ic_nanometer_design/verification-signoff/physical-verification/}},
year = {2013}
}
@misc{hspice:2013,
author = {Synopsis},
title = {HSPICE},
howpublished = {\url{http://www.synopsys.com/tools/Verification/AMSVerification/CircuitSimulation/HSPICE/Pages/default.aspx}},
year = {2013}
}
@INPROCEEDINGS{Athe:2009,
author={P. Athe and S. Dasgupta},
booktitle={{ISIEA}},
title={A comparative study of 6T, 8T and 9T decanano {SRAM} cell},
year={2009},
volume={2},
pages={889-894}
}
@ARTICLE{Calin:1996,
author={T. Calin and M. Nicolaidis and R. Velazco},
journal=TNUKE,
title={Upset hardened memory design for submicron CMOS technology},
year={1996},
volume={43},
number={6},
pages={2874-2878}
}
@INPROCEEDINGS{Jung:2012,
author={I. Jung and Y. Kim and F. Lombardi},
booktitle=MWSCAS,
title={A novel sort error hardened 10T {SRAM} cells for low voltage operation},
year={2012},
pages={714-717}
}
@ARTICLE{Goudarzi:2010,
author={M. Goudarzi and T. Ishihara},
journal=TVLSI,
title={{SRAM} Leakage Reduction by Row/Column Redundancy Under Random Within-Die Delay Variation},
year={2010},
volume={18},
number={12},
pages={1660-1671}
}
@techreport{ibm:1997,
author = {IBM},
title = {Understanding Static RAM Operation},
howpublished = {IBM Applications Note},
year = {1997},
month = {Mar}
}
@misc{python:2013,
author = {Python},
title = {The Python Programming Language},
howpublished = {\url{http://www.python.org}},
year = {2013}
}
@misc{Wieckowski:2010,
author = {Michael Wieckowski},
title = {GDS Mill},
howpublished = {\url{http://michaelwieckowski.com/?page_id=190}},
year = {2010}
}
@misc{globalfoundries:2015,
author = {{Global Foundries}},
title = {{ASICs}},
howpublished = {\url{http://www.globalfoundries.com/technology-solutions/asics}},
year = {2015}
}
@misc{synopsys:2015,
author = {Synopsys},
title = {DesignWare Memory Compilers},
howpublished = {\url{http://www.synopsys.com/dw/ipdir.php?ds=dwc_sram_memory_compilers}},
year = {2015}
}
@misc{dolphin:2015,
author = {{Dolphin Technology}},
title = {Memory Products},
howpublished = {\url{http://www.dolphin-ic.com/memory-products.html}},
year = {2015}
}
@misc{faraday:2015,
author = {{Faraday Technologies}},
title = {Memory Compiler Architecture},
howpublished = {\url{http://www.faraday-tech.com/html/Product/IPProduct/LibraryMemoryCompiler/index.htm}},
year = {2015}
}
@misc{arm:2015,
author = {ARM},
title = {Embedded Memory {IP}},
howpublished = {\url{http://www.arm.com/products/physical-ip/embedded-memory-ip/index.php}},
year = {2015}
}
@misc{scmos,
author = {MOSIS},
title = {{MOSIS} Scalable {CMOS} ({SCMOS})},
howpublished = {\url{https://www.mosis.com/files/scmos/scmos.pdf}},
year = {2015}
}
%%%look at this paper
@article{Hanson:2008,
author = {S. Hanson and M. Seok and D. Sylvester and D. Blaauw},
journal = TDEV,
title = {Nanometer device scaling in subthreshold logic and {SRAM}},
number = {1},
pages = {175-185},
volume = {55},
year = {2008}
}
%%%look at this paper
@article{Baeg:2009,
author={S. Baeg and S. Wen and R. Wong},
journal=TNUKE,
title={{SRAM} Interleaving Distance Selection With a Soft Error Failure Model},
year={2009},
month={Aug.},
volume={56},
number={4},
pages={2111-2118}
}
%%%look at this paper
@article{Amrutur:2001,
author={B. Amrutur and M. Horowitz},
journal=JSSC,
title={Fast low-power decoders for {RAMs}},
year={2001},
month={Oct},
volume={36},
number={10},
pages={1506-1515}
}
@inproceedings{Chen:2012,
author={Chen Ming and Bai Na},
booktitle={{CyberC}},
title={An Efficient and Flexible Embedded Memory {IP} Compiler},
year={2012},
month={Oct},
pages={268-273},
keywords={SRAM chips;embedded systems;interpolation;polynomials;circuit structure;efficient embedded memory IP compiler;flexible embedded memory IP compiler;polynomial interpolation algorithm;single-port SRAM compiler;Integrated circuit modeling;Interpolation;Mathematical model;Memory management;Random access memory;Tiles;Timing;SRAM;interpolation;memory compiler;modeling;tiling},
doi={10.1109/CyberC.2012.52}
}
@inproceedings{Wu:2010,
author={Sheng Wu and Xiang Zheng and Zhiqiang Gao and Xiangqing He},
booktitle={{DDECS}},
title={A 65nm embedded low power {SRAM} compiler},
year={2010},
month={April},
pages={123-124},
keywords={CMOS technology;Design methodology;Helium;Kernel;Layout;Libraries;Microelectronics;Program processors;Random access memory;SRAM chips;SRAM compiler;SoC IP;low power},
doi={10.1109/DDECS.2010.5491802}
}
@inproceedings{Xu:2007,
author={Yi Xu and Zhiqiang Gao and Xiangqing He},
booktitle=ISCAS,
title={A Flexible Embedded {SRAM} {IP} Compiler},
year={2007},
month={May},
pages={3756-3759},
keywords={SRAM chips;circuit layout CAD;elemental semiconductors;embedded systems;logic design;program compilers;silicon;Si;atatic random access memory;block assembly techniques;embedded SRAM IP compiler;physical data syntax;silicon compiler;Assembly;Capacitance;Circuits;Energy consumption;Graphical user interfaces;Helium;Microelectronics;Random access memory;SRAM chips;Silicon compiler},
doi={10.1109/ISCAS.2007.378778}
}
%%%%Newest memory compiler on market in 2014
@inproceedings{Goldman:2014,
author={Goldman, R. and Bartleson, K. and Wood, T. and Melikyan, V. and Babayan, E.},
booktitle={{EWME}},
title={Synopsys' Educational Generic Memory Compiler},
year={2014},
month={May},
pages={89-92},
keywords={SRAM chips;courseware;electronic engineering computing;electronic engineering education;GMC software tool;Synopsys educational generic memory compiler software tool;automatic SRAM cell generation;automatic static RAM cell generation;educational designs;educational process;intellectual property restrictions;Educational institutions;Layout;Memory management;Multiplexing;Ports (Computers);Random access memory;Software},
doi={10.1109/EWME.2014.6877402}
}
@mastersthesis{butera:2013,
author = {J. Butera},
title = {OpenRAM: An Open-Source Memory Compiler},
school = {University of California - Santa Cruz},
year = {2013}
}
@inproceedings{johannsen:blocks,
author = {D. Jahannsen},
title = {Bristle Blocks: A Silicon Compiler},
booktitle = DAC,
pages = {195-198},
year = {1979}
}
@book{broderson:sicompiler,
author = {R. Broderson},
title = {Anatomy of a Silicon Compiler},
publisher = {Springer},
year = {1992}
}
@inproceedings{poechmueller:array,
author = {P. Poechmueller and G.~K. Sharma and M. Glesner},
title = {A {CAD} Tool for Designing Large, Fault-Tolerant {VLSI} Arrays},
booktitle = GLSVLSI,
year = {1991}
}
@inproceedings{huang:array,
author = {T.-H. Huang and C.-M. Liu and C.-W. Jen},
title = {A High-Level Synthesizer for {VLSI} Array Architectures Dedicated to Digital Signal Processing},
booktitle = {International Conference on Acoustics, Speech and Signal Processing},
pages = {1221-1224},
year = {1991}
}
@article{cabe:flexible,
author = {AC Cabe and Z Qi and W Huang and Y Zhang and MR Stan and GS Rose},
journal = {Cadence CDNLive},
title = {A flexible, technology adaptive memory generation tool},
year = {2006},
}
@mastersthesis{fabmem:2010,
author = {T. Shah},
title = {{FabMem}: A Multiported {RAM} and {CAM} Compiler for Superscalar Design Space Exploration},
school = {North Carolina State University},
year = {2010}
}
@misc{virage:2015,
author = {{Virage Logic}},
title = {{SiWare} Memory},
howpublished = {\url{http://www.viragelogic.com}},
year = {2015}
}
@article{RBL:1998,
author = {B. S. Amrutur and M. A. Horowitz},
journal = JSSC,
title = {A Replica Technique for Wordline and Sense Control in Low-Power {SRAM}s},
number = {8},
pages = {1208-1219},
volume = {33},
year = {1998},
month = {Aug}
}
% references for bit-density comparison
@article{Bit_Density_1,
author = {K. Kushida and others},
journal = JSSC,
title = {A 0.7 {V} Single-Supply {SRAM} With 0.495 $um^2$ Cell in 65 nm Technology Utilizing Self-Write-Back Sense Amplifier and Cascaded Bit Line Scheme},
number = {4},
pages = {1192-1198},
volume = {44},
year = {2009},
month = {Apr}
}
@article{Bit_Density_2,
author = {Sh. Miyano and others},
journal = JSSC,
title = {Highly Energy-Efficient {SRAM} With Hierarchical Bit Line Charge-Sharing Method Using Non-Selected Bit Line Charges},
number = {4},
pages = {924-931},
volume = {48},
year = {2013},
month = {Apr}
}
@article{Bit_Density_3,
author = {S. O. Toh and Zh. Guo and T. K. Liu and B. Nikolic},
journal = JSSC,
title = {Characterization of Dynamic {SRAM} Stability in 45 nm {CMOS}},
number = {11},
pages = {2702-2712},
volume = {46},
year = {2011},
month = {Nov}
}
@article{Bit_Density_4,
author = {K. Yamaguchi and others},
journal = JSSC,
title = {A 1.5-ns Access Time, 78- $um^2$ Memory-Cell Size, 64-kb {ECL-CMOS SRAM}},
number = {2},
pages = {167-174},
volume = {27},
year = {1992},
month = {Feb}
}
@article{Bit_Density_5,
author = {N. Shibata and H. Morimura and M. Watanabe},
journal = JSSC,
title = {A {1-V}, {10-MHz}, 3.5-mW, {1-Mb} {MTCMOS SRAM} with Charge-Recycling Input/Output Buffers},
number = {6},
pages = {866-877},
volume = {34},
year = {1999},
month = {Jun}
}
@article{Bit_Density_6,
author = {N. Tamba and others},
journal = JSSC,
title = {A 1.5-ns 256-kb {BiCMOS SRAM} with 60-ps 11-K Logic Gates},
number = {11},
pages = {1344-1352},
volume = {48},
year = {1994},
month = {Nov}
}
%author={Yamaguchi, K. and Nambu, H. and Kanetani, K. and Idei, Y. and Homma, N. and Hiramoto, T. and Tamba, N. and Watanabe, K. and Odaka, Masanori and Ikeda, T. and Ohhata, K. and Sakurai, Y.},
@ARTICLE{127339,
author={Yamaguchi, K. and others},
journal=JSSC,
title={A $1.5$-ns access time, $78~um^2$ memory-cell size, $64$-kb {ECL-CMOS SRAM}},
year={1992},
volume={27},
number={2},
pages={167-174},
doi={10.1109/4.127339},
ISSN={0018-9200},
month={Feb},
}
%author={Kushida, K. and Suzuki, A. and Fukano, G. and Kawasumi, A. and Hirabayashi, O. and Takeyama, Y. and Sasaki, T. and Katayama, A. and Fujimura, Y. and Yabe, T.},
@INPROCEEDINGS{4585946,
author={Kushida, K. and others},
booktitle=ISVLSI,
title={A $0.7$V single-supply {SRAM} with $0.495~um^2$ cell in $65$nm technology
utilizing self-write-back sense amplifier and cascaded bit
line scheme},
year={2008},
pages={46-47},
doi={10.1109/VLSIC.2008.4585946},
month={June}
}
%author={Stine, J.E. and Castellanos, I. and Wood, M. and Henson, J. and Love, F. and Davis, W.R. and Franzon, P.D. and Bucher, M. and Basavarajaiah, S. and Julie Oh and Jenkal, R.},
@INPROCEEDINGS{4231502,
author={J. E. Stine and others},
booktitle=MSE,
title={{FreePDK}: An Open-Source Variation-Aware Design Kit},
year={2007},
pages={173-174},
doi={10.1109/MSE.2007.44},
month={June}
}