From bd982c00cea2bd561e265b4782a86da21ddfcaa2 Mon Sep 17 00:00:00 2001 From: Stefan Schippers Date: Mon, 24 Aug 2020 10:01:41 +0200 Subject: [PATCH] removed unused files --- doc/xschem_man/busses.obj.gz | Bin 82777 -> 0 bytes doc/xschem_man/notes.txt | 34 - doc/xschem_man/tutorial_xschem_slides.obj.gz | Bin 259883 -> 0 bytes scconfig/src/tmpasm/regression/Makefile | 163 ---- .../src/tmpasm/regression/Tutor01_hello.out | 16 - .../src/tmpasm/regression/Tutor02_vars.out | 36 - .../src/tmpasm/regression/Tutor03_blocks.out | 35 - scconfig/src/tmpasm/regression/Tutor04_if.out | 42 - .../src/tmpasm/regression/Tutor05_switch.out | 71 -- .../src/tmpasm/regression/Tutor06_foreach.out | 32 - .../src/tmpasm/regression/Tutor07_sub.out | 40 - .../src/tmpasm/regression/Tutor08_uniq.out | 89 -- scconfig/src/tmpasm/regression/Tutor09_ui.out | 11 - .../regression/Tutor10_include_redir.out | 20 - .../src/tmpasm/regression/Tutor12_halt.out | 17 - scconfig/src/tmpasm/regression/append.out | 15 - scconfig/src/tmpasm/regression/comment.out | 4 - .../src/tmpasm/regression/err_excess_end.out | 6 - .../src/tmpasm/regression/err_if_else.out | 6 - scconfig/src/tmpasm/regression/err_if_end.out | 6 - scconfig/src/tmpasm/regression/err_no_end.out | 6 - .../src/tmpasm/regression/err_switch_end.out | 2 - .../tmpasm/regression/err_switch_nocond.out | 2 - scconfig/src/tmpasm/regression/foreach.out | 41 - scconfig/src/tmpasm/regression/if.out | 15 - scconfig/src/tmpasm/regression/order.out | 159 ---- scconfig/src/tmpasm/regression/switch.out | 47 -- scconfig/src/tmpasm/regression/test.out | 62 -- scconfig/src/tmpasm/regression/then.out | 12 - scconfig/src/tmpasm/tester | Bin 132104 -> 0 bytes .../examples/LCC_instances_embed.sch | 533 ------------ xschem_library/examples/bus_keeper_embed.sch | 134 ---- xschem_library/examples/loading_LCC.sch | 360 --------- xschem_library/examples/loading_embedded.sch | 619 -------------- xschem_library/examples/model_lm324.txt | 44 - xschem_library/examples/model_test_ne555.txt | 61 -- .../examples/models_cmos_example.txt | 73 -- xschem_library/examples/models_lightning.txt | 50 -- xschem_library/examples/models_osc.txt | 7 - xschem_library/examples/models_poweramp.txt | 759 ------------------ xschem_library/examples/models_tesla.txt | 27 - .../ngspice/models_autozero_comp.txt | 121 --- .../pcb/models_pcb_current_protection.txt | 101 --- .../pcb/models_pcb_voltage_protection.txt | 122 --- xschem_library/rom8k/models_rom8k.txt | 95 --- xschem_library/symgen/djboxsym | 473 ----------- xschem_library/symgen/nand2.sym | 16 - xschem_library/symgen/nand2.symdef | 13 - xschem_library/symgen/sample4.sym | 28 - 49 files changed, 4625 deletions(-) delete mode 100644 doc/xschem_man/busses.obj.gz delete mode 100644 doc/xschem_man/notes.txt delete mode 100644 doc/xschem_man/tutorial_xschem_slides.obj.gz delete mode 100644 scconfig/src/tmpasm/regression/Makefile delete mode 100644 scconfig/src/tmpasm/regression/Tutor01_hello.out delete mode 100644 scconfig/src/tmpasm/regression/Tutor02_vars.out delete mode 100644 scconfig/src/tmpasm/regression/Tutor03_blocks.out delete mode 100644 scconfig/src/tmpasm/regression/Tutor04_if.out delete mode 100644 scconfig/src/tmpasm/regression/Tutor05_switch.out delete mode 100644 scconfig/src/tmpasm/regression/Tutor06_foreach.out delete mode 100644 scconfig/src/tmpasm/regression/Tutor07_sub.out delete mode 100644 scconfig/src/tmpasm/regression/Tutor08_uniq.out delete mode 100644 scconfig/src/tmpasm/regression/Tutor09_ui.out delete mode 100644 scconfig/src/tmpasm/regression/Tutor10_include_redir.out delete mode 100644 scconfig/src/tmpasm/regression/Tutor12_halt.out delete mode 100644 scconfig/src/tmpasm/regression/append.out delete mode 100644 scconfig/src/tmpasm/regression/comment.out delete mode 100644 scconfig/src/tmpasm/regression/err_excess_end.out delete mode 100644 scconfig/src/tmpasm/regression/err_if_else.out delete mode 100644 scconfig/src/tmpasm/regression/err_if_end.out delete mode 100644 scconfig/src/tmpasm/regression/err_no_end.out delete mode 100644 scconfig/src/tmpasm/regression/err_switch_end.out delete mode 100644 scconfig/src/tmpasm/regression/err_switch_nocond.out delete mode 100644 scconfig/src/tmpasm/regression/foreach.out delete mode 100644 scconfig/src/tmpasm/regression/if.out delete mode 100644 scconfig/src/tmpasm/regression/order.out delete mode 100644 scconfig/src/tmpasm/regression/switch.out delete mode 100644 scconfig/src/tmpasm/regression/test.out delete mode 100644 scconfig/src/tmpasm/regression/then.out delete mode 100755 scconfig/src/tmpasm/tester delete mode 100644 xschem_library/examples/LCC_instances_embed.sch delete mode 100644 xschem_library/examples/bus_keeper_embed.sch delete mode 100644 xschem_library/examples/loading_LCC.sch delete mode 100644 xschem_library/examples/loading_embedded.sch delete mode 100644 xschem_library/examples/model_lm324.txt delete mode 100644 xschem_library/examples/model_test_ne555.txt delete mode 100644 xschem_library/examples/models_cmos_example.txt delete mode 100644 xschem_library/examples/models_lightning.txt delete mode 100644 xschem_library/examples/models_osc.txt delete mode 100644 xschem_library/examples/models_poweramp.txt delete mode 100644 xschem_library/examples/models_tesla.txt delete mode 100644 xschem_library/ngspice/models_autozero_comp.txt delete mode 100644 xschem_library/pcb/models_pcb_current_protection.txt delete mode 100644 xschem_library/pcb/models_pcb_voltage_protection.txt delete mode 100644 xschem_library/rom8k/models_rom8k.txt delete mode 100755 xschem_library/symgen/djboxsym delete mode 100644 xschem_library/symgen/nand2.sym delete mode 100644 xschem_library/symgen/nand2.symdef delete mode 100644 xschem_library/symgen/sample4.sym diff --git a/doc/xschem_man/busses.obj.gz b/doc/xschem_man/busses.obj.gz deleted file mode 100644 index 9cabe9aa5f591c292b638d6c7cc638c5017143fe..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 82777 zcmV(zK<2+6iwFp5c+y<}15{^eW;IiHHB&VJoc&j`?8=fRh&{7^MO)2`RgK}Yp%tH) z37rY80UE6hG65vC27W!U_TJdHs>QI$ljq)bR<6uIAi~A>xqCSN4_lD<|BU??+Z)5AS;OKP_!J|J%Rd(0}gBJ*&Z_`Iqa{NmqB{e=Xa*`!^W* z2Z7`0&lUe)|LOnsFL50u-+%eXe}?|Mf8l>zf8L66&aOZI{>uN#k6&N=j9J$H9`(=L z(0}vGuaCv`lKsnn|2ODA4t8X9-EIHfB%uGn8^1o^m+kkTpT=ku!~XG~aRese|H+%b zzLgx&f1L6E>@vST&0LEjw-Y(EsGkUtb-w^k4p`%W{9Hi9T67 zNB{DFdAI+5LTogCt@;v5VT_LJYuEKi`kDrWLg?Qg|Km6RY}kQA*Y{9_Kt8RuLaslL z-H#gn*S=i4`QLu)(vNOTeOu1q`NbK^L?nMhFiUL?y^_Rd(|LK1vsbKdr-wBAhDalHAs{^$Dt z@{eD`KvG$z4}(wPr{r+_!+CxSv&`fGk6+cRebt~wj{yBeSDj{E+K24bMFY3&HT~33 zPx~_rn!4_ckmhHf(B`uaiU8H_Kd189{ecI8UhzxmfBgD0%>V4q|EsV6ABP!O z9|5ghGr8X{c}Vc%WSu|5aL=E21@jT&)X@}kZP57j0I({*TL{H-O1Tz(=(W!Ajq!&zCzXUxuS$r1~II^d|}!CGtACbr#VRY zIqdItrc>%gnBo%{puiSh6u8Yr$y5FZ4d{uJ4I4b96Z?i^i++Bu)4jwcMM<;>t@H;t zy|<^qZ@_>RQ-6r6_cFoCeV|Dke+r^VeEn;tHc-*Ul%Nv+kRj#uXPC;NP0)shK57XM zI9?wN*yW>!_AMu7A2VR02R_n`VPg8=b3zB0Y)~8k zqASt#LR9UAX`?>JEMis$4HB}K&PHas($+8Y0}7MJo5TZx=>5+ynt`g%GwYW|1S?pA z^GRSqfYIC+I53M1o_^e%sorT00N@`Ya@8|L$wzx{cUInuwYLI0%l!T#{Ny&B zCeeWRP1TUW^D5;?$4wV>K0e{=e0?J9B@w&Kz1HQ=Fp?uDqJls!s))8V0RBdsX`wy$ zuQXxM`LgShH%*_h0rnxa@MzeOqhWMm6z70vZ{@Z(5<|%+Q`dC4VPNv1eI$4dKGfNPzn5J7&9rU3Vp5{exS+tAmKCC00tIw8xoeO z@dH(9Tl8xJ(aM1>yQWbIRu$&G5J!H%?Dl6E`8bz141{j8^G3Mue&0ogFLZ-qZPhBn z-xPs3sbc(V##)Z|oKNXaAV@Ojzn|~ro()p5+V+0E_B@pf>~kIxcDx>#m?R-BGq@wA z&$4bK&kav=m5_H#+31W=)H(PMYT5BW!vwHnxENX|Fq>4%TN%tl5PsWQzrEze7n3*U zWTtAS<%>@2$bh7ZddHgpjZ05OtT6Ofr3S`;($9d2D73$vhgy5uZSP0(L# zqPbMk(#b{uyqf-QI4%d_VGeP_zA6v!!SNcbP+AUhMY@y~yf+u|6Mu+PcVBN^IYwpe zEP>dngIo36P~hU>#LrxY43l-S#IUzY!?3g8pF#a4>Kes%y?ttC!?GGffo-22jI%?| zH?F|*MTCEb!74|TpOpQB0H6#MAr?kV@I{}x%`W`$wL1whnh@$MI|btM6(80>HgAb? z2Moe``j4&QeknD2<1M?35e^pd$qEdS zz9aC40@ZuV9sMi8gw<1@uO{1OJCi}w#(eM8TH@s2lG-@$IhU$J@S!H7raGaafFq&T z+036|=pf?fR5g+vp=Z8Giotkc1wl7#Sy4SfX&FDuDAHKr=q(mpZqlTyAyy2q;y=OR z#(ot|9jHrBvNFqq>|yP*`-PNNzih}=>~g!rxOrUz=@9ML+0UOHZK|h2_E@Uc$?beg zf@Go06RK8N1@&0W3X~HhCk!y*D0F36`6i~)&o=T_3i{<`c&3@m0Fia=UoBTXFfpJ6gyDE4M{ zP93i-TO|Y)i}&LDOHFHPb#y-@86e5Ac?T$8lr>4wJQa9A)yAc}{}-jNedSicJH?8& zq$}vKXLSSJm9wHWe~Uw*m;$fCPamk0jG!MopBK5@2UB(O9?WC++Sp3n8((_TCzCA+ zQ$J2MUcU_9J4Hgoy!ZgV`uWQ7=%LD+`#~bhON@B&cug-M==d{C;>2}9?_kgjvbCQ< zd8$i?vb~H~iA5gRmhB}k07&$K`0mu-Lhu$KHXTgh9G&mn{TZeh$aIR$)dPYM!{SI+ ztxoFz8AT^74#k4{42lB%h?223aZQdI(CA;*(twxJ*q`dg#fe%w2L4{Z`i#ON{@|OQ zU})0ZKX6^2?BZQ2Y7&f&2g$y;B38ihFp}I{`CFP6>z`rVxN{3IONV@lyHA94qp4vi zi;LJN3*zkMb%EB|v<3!Nuh+r(!Z%2yfm%^W37+@opJBf6$O{o$h5SiY1eeO1rewuu z(Ul-5QGLqItT4t-Jp-*>^e_FM2#Kb@yPwvI3>562VR#WxBaGf(MZ%5`y)7@#x#Ah} z!w1MSujc8TAd83MY-HAcyp}EI!y?MgfY6y`y`?xp$z)C7Q~0HjqAB8_l6z_Pd}gvj zL@HJE-5*vn*C(l=BBFo~IUeu^mU8!o0^}QgR4$#$6CT7p^4rf`w80`N7vjBQ6Z^N1 z*eLmO{lyJIO@j*CW}V*zN;LlrqaYtp3*PtFC2#QPmr-W!SN%=E9s_({5Xs^G{LT?D zMOA-)zwwP8VJ2UE28R1JPS=OYn+Z1f`S|s%ujTp7_EA*l_aLycxgExhaNqm~lBf>J z8wyyL*v{XV6JX8Fl;?mf3H*2qBlut#urGs0jRzIPBe|Cc9`l}5NGX)`mYYYV?n?;#4 zJBGy9Sf8gzpbQ}tN%WP!m;MbqJ0_XYrfSQP`Q8aC#YZn|BUE$7Zd%6kQ8_)LPymE> z9@Q$HIw~B7;<>C~ETnB4kxR9d~!)vRY15vd|u&&lI5*_qp5B_mX z%HiNmen4+pg1O8PzQMJ2CV=B3dx(U<$i2HD?dsgL49Uiq+L4pD*T_?^8{WlxcpnwhU; z_mQ|-mw%{NBGHt`DD6hUSA8tfIy|KM=Hve8au?wWt1T^-1~JN{Fj}5 zgO7Z$4Re_@)0NzCpN0DS*uFNE-8cFiwtc}CaPp|M)5Q>=-Oxu&Ob zQ4vUfsesk*1t`KTCuXPjFQPI1BKl`M( z(L1VWu5D|G^$W6qxk`Gy{|xg%U37X|>+VPyq9^HAciNZ!tP_aE?YnXNdg*}4*Uq`m z*Q_6Xad=$!v_aB^+ziCMb!rgfM8@z{EI!WR3t2a?RsrT4KWB8ktUpTxxTK^?L^{*b za1Nhs*(=i^w&*>M^`Bt~X^TWUEOB%$n-I+ms(um7GKzs$WjX6g3tsPgP1jA6{j#!3 zS-3}~5#q80VEEVhA}>xekCX}#_0woUVpO3Bm()<&+R4QiU#kF4Rbfxvbj%)OD6Ho% zyiB3d@!(v5e}-WJKHUveU9eFNval{5TsLq(#*Pc0i1%(KR6E{y);x+iMeJlB<$_*w zzhV7jEFbr5gdF*P!sC)Ewq}r~sX5_5tEAnvBy4RCt$GB7Dp_N6#GvsS=3kzwY?4gZPX$WjDjH3a>3|e+RlRgaTNH)HFzP1){I#Y5tB`1o%f7TUR859sm`dQu9f|PN@41;dL)iokqwsp+l#Aj0RgC zS@vfbLr(ZNvx=A&o*`*s>PEHjG;(tJ=^&uNl@0gk{qsUySRnn;#5(6K_Hbq{q z8&Npo^A$gG9B$Tnv9)IJdHQ{=j;$qKtIkax4QBk>o}XIXgB}5j>|uYLS;SOMogDx6 zntGQ{)KQVIZ9Ml!^}6lNI>OO~3-x^GhnDISQd@25W8ne9_1`iH_rf45PQC4oV7#Mq zdzyTcLl?3H3P+Ro=j&3Y9e|f-tubLb0z0IpvOmk8x923^91~oFFvf;}ZzvE~F4RJ* zUz+HMSyLSLm(R-bceuz})E_HaK~_yr1;VRK4o@7%aK=)1iu!O~s!iNnb6cfnS`WW; z$FtG!UYqmvoB_eY0h_@Mo5L~#a=|UF;iQV?jQC*b7UrgR(|PQzpKtx6^whKiwkIzw z5BQ)R*Iuk-5WndOZbJY(i>mRQ3;F)it|Up^8y8bAc_dki)j(}OkXNMZgTADK zzcvle>RBqJy0GUYK;v+KmcSp3Y`y19!6dL0@AyrC#*-kjeZR>-vJ#$o`mjWfwr)+f zCh*Cx0CpiMQEnRS)Kid*)Pt=&j$G$0<3<#I-1j(1P!#3$n2V-la-yv~qT4zYSn+k* zT1Wk$2mMHSdE)k!k*Hg!?hIvgp`vh_oBD0V#nbPL$i|s30N)N#1x;E^31c!pfYSCnNe#xnh4XaLAX; z@>1}{=bXGZur(B*#k<{I3Yxu9K@-@>Ud`bMzAjM3YLD<+OjfG#_{8}f?)uov3TW-1 zKussr&PJhxr7H`)A!HM3#43{ogq^z>*>gXDaZ_0^yq6<2!}#=U>B$zgU#Q$_nrl9Z zRh*&7Pm6c?z?UqXO9@Fi8L$>6X}RKgT&URR6CjxF z_6{HJq@;`Net;L0a0I~B7anTSoTA6teQbt^AYRhh7X+j)Wc+`j}k0hmkYB2oAp}$}hU+NvmAF?-&Ie zmgLKJ0W=;|!E9xN+#|=Nt}73LE;w!WGysOUYPV;KCoXC@ z`+1p+LWvH$9JrQZo@UHUQJ6~po4%L$`^-O|%yAE~&&zMIAbL?Rmu{t1F7n(pDebqM zAejQ4UFCTE_C(EYx5@&Hob68KShEa|=kiuy{7jG1b2&%lO-beNQgTjO9anJ$3Dt7`Uf?2-Fur z5+*@!Wgr4%6HVF-0B0^~A)G-Gmval%&heB*zA^mp8P@v*zQVZy0wK$uIPx}v3#pfG z!`wVvvaW?}CucXmC>1kH2TKnpC#>J8En$9~d)sB$@*D(^z_Io|iLiWQxyLPJ9>LQ5&NWgO=L8m!xraeu*Y0W#a2?l1CrW_p&yH}w$G zW*3wo?CS8-{)%tC$oBeuGx8OWn1-Dc+5-hkZxn8iwB_M&(Y4_lX|9uxVRuOW(-*3- zyv*!c>YjI*bYL2h5!0UcAmO#Vkc$}BmruuoJjs#QV3PJ%Hz(IM%jrBHH%yx3F4B+) zB0^uS-pZL6Z7-z!kK!b@_{ypg4YsOa;m4my?KLsIQy|b>VH;7W2V-*X=riK7qD-8w$5IhRA0n2+_+6UcdDS zK3*rfx3ymniQ^NIexYi|`XPLeAMiFpNfs^`VEQ!sx8$ISwLwa&cykv}@5mi*J%2cq z3s!f$`PZS0vHis7^~Vu)`>)|Hf7Dmc@#a$sIA0>!mi+MiCn8T<$_ByQeuN=bM1P?X z%ZV;x7tV;`dspol8%HR0~@2OXr&2N0_N{I(+|~w=B{i0u+mF|3=!Z-?cPF3?0&P zbEPWc^Y~r9%<9i92bcbg68zSFuV2~up0HNj=P&mAlW$2ok5)>#zAWaiI51N9|4TP^ z!=yi+AS!&_VlSN5ryq^f_jfdaCgB1=F^DuGU?Yy!PwT)xj($ppU6Be9;Ei^N$Vl6X z>6rcuV_rnTg+bg*?2Of*1<=tUAx)xzE^vNHm#G05?wUjjm@0a4KuCC!e`BJMvJlw} z6u>9%l8QAT33zRDq(Ad*by`zSNofgLjz94?|jr{qHS@Z~y$B?>@<6O)Kj2Wi4>UWYK6$feRCrRakwz112D~otczs9NnWqWU50UVXo|e7>VyV?%=&-U zPOzT%&e21)d#>epq-MmwbrhEuPy@Mic;OY8kUHR1S-nNabHhEH9-goEjkrSlk4eiK z;GLBsEAsNeAc@2j}1U`}wrS zn};tSE8Q*rtIqD2OXJ%F(5Sx`Lokq4H#K<;IYZh~F&1gPj7i}Y`}^L^dKZ50 zFi>+0fO1LZe3IHpl1!C@Wl_`TYu-d5CG5#&maLpcvJ>$d<_LrAw`*!bB)5C@HwF0P zDaPo6O&^o0^p45cE@@M){Q?e*u$-Eo!{f27oXv_eD~Hgc=M*^CzK|7&mQ$f(-z|bCyv8{a6U-G1Meq#WeqCAFkJ@Geo-pP}ld^j$j zl!u~W>qb@=IGR({zN{b+{kS~#lBs*Q%1T|zH_1YtCq%*nJwMO;rXZBZpLFaGVzj5f8pIiKx*shY;=ajeXh(Zo! zU$3&IO!^+s^2`|F>88e1fSJ>TJJ%!-^z3sAKU9wjtnlI!w-j5g_=hOZSY>Mri(~SZ zz^Pd+?>M8<@HFl3lh=bTQh0kHqsw?s(#(s1tYr92J!Zu9pTxcz!Z-Vj^ZS+0zq9qE zfn9UsJF#+9>nW9JT_3N#cLGU-3c5&KVALM!y~N|Y6?ZENnbVQ=m?b#_TR0=L#0xv| zQQ%^Uv}Dr6+LtwaCSKf2dimqa+G70`Wx8)F_TCvoD0>WlqJq9QBQEz613SIjt0t-X z*NkUIx|==yOjpWQ4DMPA}1yK^5=-V&wZt6>rlA zVt~S_is*939keFl3}De68+i9xAKdjf?bFf|VEzbR_NaPwCv8B`=5?}%bYJvMHzjJ@ z_ck{(83%h;r?f{Ow}f6+dg*)3?O@QkFh0vQe9lQPFsouvF}US2au+cD0|SV>iYf)` zen1vr%)5^z zAZJwuklr?eJ`vpqj%qW88bf@Z9FCtZRsq~ULqQ{)@wZ7&zi-t9OC$~z3S(megLb>+ zn{Iidubui4$^(D!7VQ_kpzjT1J*~aM|%8K(7!X=OK zf5T=K8Y8yobxBA&%@7Gfo>{CdHm?7o$muTOMk~$2F3!C#X1UviO`-i14OVWgOkR^0 zP0`h4fn=zl9>1hko}iQaqF@Q2wk$+9E|vg?RG-e(|fFv$wXZv=SIV7TPs0Uo80ewxL zfX_#)fRx|HrLCn83aD;BHNA*}LZp+S=;o3eK1~zB6pw@(=^_G#gL~8MxQ(W`#lKW` zu}zu=D{nqNI9uy?o#@#@rQ9B@)?avc<6@y@0q*gld)XxdknedWd?LrITOQzJol>c_ zK7hN{A8Jpq)tv4?FS^LK-D&$`V0DJDsPF`N?*c*!9ISKHL3|Q6T_#b$`~~0iG32Z( zkdT=!etmxMzhM9M$%t2XZ1R_%mM)PJUx6T)R7KnB0TH83wN{_cPM(78eA(-T@ptB- zzk%&#WGq)-GJg1-NPgaoyPz?&Dm^z8BnFHiFg%N$Cp-#-eO8iX7s&jbb_4gXExDG z5XbQ@VyqF?e&ICE<;}Ri@s&iqZpAQwFVmXYRD)uui7e;5al^@Ugd}l^Nci&m@UFN? zBJT=2Iuz6S$w*sGZT)pyk;#KBEIdnQ=Z}vy>dmCZ@C!AGNSdQm9f>7R#(#p^4A) z2K#-&Hm+E$5BY2&^h2o+z0N43Rz0A?ij^f^D*y(>xUUlaW@DkTsnl4xW`hIaweU9& zS0{rA;`-p`O|E zJ=q7+0|%Hy7a-xay3HS){6?S9J38*Qk$JVS%Ua7z)325B<@PY0aO?a?pf#<_C1?2-B<)}e{WT?x{+I|r zS5rsha^UX2inROD0Ytq2n8FPOwAVD~BcuK;&AyS(7DGwI1Lixr< zEyv3|-dKe3gB5M@xYq{zoC)U&64B5bV9r!tV*{thWu<)|3gg-e?xVN@y<&9j6$rIv zh1Bz)*-?;^REfS3g%dTsuq&rJfy*0T!qVNiUuY`+LI#)zV$z~Ys^}Q{HnmS1F|XEa ze#jG`G;1&1CVlCqg6tx{FTCQ-T^K-!K>&QaPW*Adv1|%PR)hI>tn^%*o~Gj-vSeIN zx$hkH(p2(}7wgnJ3zB`+FG4qw67Uh+3Vo_gIpmo7WD+zS2bv3;BY=0aapm(`DM5Ig zTBX2@bVv5bDuEU>h@t_HV@K}ZQsjIKXcK{Vy=Btz;vnOVP+HAcit4I{q$($9JPta0-2~9*_KM?tGe3G`S9WZ+iz*1gf^M(6PF{D}_u5*w`28h;p2$&)*gaJ#pwga4;jZ{TMDcg2 zcYeB=2CGleIo;N|tsl`47cLVn>`G5-pjoe`C768#1;B>|ZF%Ch9|ZCFZPVF-Rr4Jq zPAqy`LrZAH<;&lE`12ig-i*o`8qaG6<^N4(IKzyqV0~i)^k&lj!m3p(bZ6<}-8qms@v=beUFD`uJ zn+Pa~&gRU*o(&i5eQ{Y0#q=?MBSMC|JACLD z-y?)ag>qOz0#%ot$IbaLp6zvE2r!zOdl(`ZaY*k1mcDXDZv5=+F-YQc=;v?Ibm6CZ z88vfx4Q1}?;pEK=l;@9TcPCjC@9A^+ow0^xGByVd&>*wKv)9LQIFIfNy(?b!jG|jP z=$wZ-dxCu6mumvbGn}g-=uZ8+6+hs4)|crr|-OC@%?|lLQOM^WqN#yoWo#`xypS(86n~4t*SBeB-wfg~vVTTV6oXF6S@E z!DTs6Y420elvU37calWV9tSVg8dnw2kW)KN$v09?UklM8NB%!l8@9#*vdBI0P?`lcpm z!$VZmui5?rvW~aH-o~fAF-$#Z^65lpS?J!$6FSIkyOlU&L(E5ZmlMdd>~I^bGUFY| zw`37%ObGKhZN2bh_?x5pH}*>q^D|r=g8Ms!v~Xq+M9athNpIZ+5W8`0PW$f*Aj0Dj z2>03)EBX1$xka6iH0GUJp;g|qU@w~Th!#&s%Ko1jqI35ugQ&V&iu;J&8SLD?Jtlel zTeC!Z4`w{6etJ&|bq9NW?p?}-=+YGmxK*QBL$OINn6H6)h$*AhIFduo9Kb_K| zTnuX-b_gs6*Wj2ib|Mer6Ypij$;CasSGV|C)-Z8!zxWn&f-xCkDHD!;OK_ zzTJuDLdFgWVz9BHF`z9?1cdUnIFR!#et7v!Jb{v58q4sg<&ygaki@g|V|-MWxZj~wUmM^#DI)nzl=PGtF!8j4OMvPRa9ig z?y=?7>8#RrK8ARb<^}nC)`OU^x2b3tcCfIgY=Bi9@KT;_j?%MLT-zOm5%8G>wJ&IJ z?bN5%ru9c5&b@6}?!GCz$?=(%j1ac2duNghT%!EfbsNFi`BKG#bO&%|^DSTjgd(@u zoZDUx{RNSiuP^>qbreHf9&8WM3e%B!@$KW!_B*b}n*bOM`>ReTKm?v&JT(~#P4I0O zljYm)uXa|l%nbk019E^W7Fd&lq8eqY&=$`IaqO(9u!sw}D?4*ZAU5rgyo^Zm%kDPknjM6NefH6w8$^dqH1+6sXi*KiQRLzlil^Z zJ4E(3$2+F}TOqrJtSh>?Xu;RO#TUA_7di^?VrSHE?#ajSQb@&IGxyLhHjBD^1Z80Ys#9%td)NLCA7~3%v2ThgEha&ZLm}6~kais)Urrnz)By1Q-p~ z4H4f#y^^3XzIgO$wl9K7R9v)@!kTl7)oS)7!)x^|^a<=Lx!y_naEt2iNw+}YLiYo> zLJ2*9kPe^eOLI`RpT*+%N}MOcxL`hky)^dS?7{UtUg%#Ocl#{j7en68_urLlzY{0U z8Gv|`7s;K~aA}_+fpL!+T?PIq<~VM6pwl@%^nZ#)QW}`>yW&O3H=Z~@RT~vnS}0W> zd9`joP8MscG3$&*V&n{Xyi$I7Os%BE6^@$Sj8f>c9G&w9CZA3^+7Kf##cWN09yZNO-$m{aU zhD{Nzmz1HA$;*0i*Fa1S2~u_!hbSKdYB!;jFVW-inPR%cD=k0RpDjHqqMUFC;m>U! z4b~d@oI7>61b(EkfQk!pFLyKvy}X9rWeZoW-UcVg$$WZFVkFGM4s78o~TGTl}3nObUyVAscfCd)mODI#BFaFSBNVBi13C2!tZ$* z(c_b-@D`sAv^tT-W@nIjqlY9&b#Yy-EWem4FXKXz6h}{YF!MV^fI2lpE}*0cb7fW# zf6>)D_?%6c*d|c3@NrMn@^hCyqSGm zwS-3fq&jd#b~ZCN9aYJ|2_bTz)A?Of$W;q zCAIrsz+YOLsPu_vp0QkiMKAy2SboPS)?S8+egd}79{KKe8HaZMyd7LimNsu~DB-P7 zL)4!x%ko#3Nhxfa#KnT5tPfP;bA$bHmeM0F?e=_LL=PYqp4D+03} zh{$!H|G*ctvz+Wr`=UUB?hQ;{sNAeXB=jxlK=}cWXx?3tzXtPe%t_y#>X3kf9zHkh za{c(0MkQ+X^tMbCnXl{3e20&~d;sV;=SMDT|KwBeqVJvrM&&J|trskr{Ll>v3HiHL zd`Xr@__rNOGM~(suFcgv6SD6X;^NoF`uJa|N&hKEzv=w{pVjeqS^RB_|F5d!m6?B_ z2=7OvX1>vX&hT-)zXf4dI`yWh&XH~ zV|BSeoOko7Uk*hugOXa5@uN2KRI5IjE*%sK$;_^NZ;C%x9{%QrdwO~^A7UKaCwi|9 ziH7cj?iR2{pt2bmp3}K|^5|n$I1Q)wgVt?jl`IKKkr_-a6S}DHNBO}VHo=8;X=PIOaki4<;4(7{+)fUAf3@oN2;^Wf-3uvbzni9z~T9v6z^% z>`S^j_3#Z$$hz?a-KfOuH{~X=<%R{!C8=jH4lNdqZ13|G3Z)x~H-yL<06t$Xs>qv8uhbncmh(Us*G)_byN+>O2#FQ!%pe9` zdTNniKX#}`FEGvMgKj8rP>X=-u<1T8j5zT7t(s7nQwlPGTO!VkZXC710=^Dqa7vf< zzEhPtS(npeLAL`-VRkPwB8YO|i?XMD2>3>#dD_XID6z%9A=NBf>Z`z?b*61!x^v9A z;_w=wv`~LD?GsRw>0ND)9tX>$7$S5t?+sV+Ru~EV0nYRn?S;SDRWUzILBAi2PqIP4D%Disdzkc@b0ltAZQifeyA*>Z*=?y{*TnZtFbUk}aZzxHCW`lq zz@2NW!6Iv(_%oKX8hzi)S2#leu`X1hqNfU^@Z6%Xm~Uc_Mle45rTSN0-Ms#`I`X8TycrtPwQ8Y)qdd#e=tN>Azc8 z<(ksHo!>32Zm=)W06l@1H}3zZovgkBOf`6mL<{k`-~javOCXzehhc#`Li@m0PT#V}@c%=W_t+i>ZEF@=6AH$*Ng`@*=qVxAlYEBWghVY!w(S z+sK2{T>LNyHa}27gcVg40C~mQ9@x&6UtSXb8hqMqnCAQLD}ejkfFuZ?#rI=osL+_* z@ARj!s^T|SunR(RLO|L%W~kfs>!eXw?S5m=FH=1Qx^^+k2AX9eoRB3o5em+88{U;Lb<}py zRWLu_^2S4ZU$u0!t2FB}kJ7@qWAldjr#aLl6xN?%p4Y<5nWg}6kqq4Xvs*_@5{my5 zR=?<)_71w!cu^R7Sw|h;+;uj3hF!o-3U;hfZ$$CLXV?@Co?J0EBNan2U0`nr4m&?* zP-O#Ai$N)ktK)CX7KV9;^c;+cGJ=I|Q$25X=XU8MO;=t>c+l|U=Q&mZn=~W@#K?rI zpWRm1&-e0nOidMw)2IKj(+#BqhCEald24Sm4PI4VBlY}|wpH^LNPI$V? zc^{qWC_^`=f98il6BS(PeS`h@BU30O0yg$}aUreBRNS*MGt6c;#*6sT^`Cx9(3@AB zuqQnJ{HCEq$rR4aRZQ!520C~e;O5x<1Exr}bbRR2m2*{h)y%vzrJE#)h+hhjR)XMg zis!Yf*Siyi!e8qB_bh96F_?pG_#_EP=7U<#%VyO3a6vA~94nZiW7=@LN6{t@|5Ln2 zc&)C2PvB9#*OE(aAO3UF3-3!xP$>0F6URPnE$)_*^>exF4-6gzZv;TeW| z4?aN$?2HJzz~sF)3(+wccWF~MYS*%CFWb^|rC$}*ytr%DW*q)BFHa#Z+D~0%Fb6du zNFRYnCL6SFd-LxS)RmpE3{&hWGc3Tg^{3T}heS4|%Ml2)8Zo&Nj7CL0Wv6HR;(JlZ z?gMjZK9Tx5y;Tr+3qF+01~9F#?x1~vurIHLI6oTD^q8!ip|sVb*CikYHM1_(`t{+v zh>^6Temg0vA*k%Mie#1GC)^~%kz^sU5O%IlWaFYPDMJ|`;O*C^Uu{oQ7-QKyo zVHRiOw48>cN7$!V)7=HKdPBNy$CsD zrOND;VAH{nQY9@aO1@@@-ahQP>COIANUJ+ccL~*9j?1wZ+CJ`@w(_z5oc7ZsVoSo+ zds9_erA~h2$q8*_k@OtFsNYwUz5@u&8?5xG@37!ysS6i*)$XIz&dc~H=Wv23iXUZ_ zSgV@7_a;qTHfl+b$wW%AARd01J1EqB_SRy;r9Nb(iB<(8ciq-GRm7G*szxM+Tzat# z1?Q{>!CIqVibevKZ~)o&eJ0{i#o=(O=V&(rmHiytu(0utm{hL`t3=#kO7`vVZ@s$A z7j%w~WRHIuseOoRM?R>h9laO<~p18dXj;!0|ofLPVDS8KW3JuBcqA>yqYMRSImdPfgXw z2Yf+bj$!?B-a7h46;%=OUiN_Uc}o}%K`Aa^@$a2yfDk0i-s4A+o7kf3<4s*`K+OAWa?B#rdS*iw|?%968l`EwzB&(ImRXv+U$^-7z`*b=n%EW0(vjuxb{I zkc|HP0{c(ScLeq{%yB=eGhQbVz_ZV%;4=veC2qOd7Qs0uC0wC#&nlO%V7eqp!l!XbS~@ZW?v+QRXyWtod!S` zarHYv8Yvl1#VQ&wv>dIHn)>GU;ZSE}hz?0lJeNc~Y-V(OQq*8XepBbhf7@Km(7^9! zTG8Igr;2_N(H)~$6zjR#)ftR9@sqID!Zl`71K6MCya(JfFQ)~-Vqi~=y7d_Dwl7FU!(LFE(v{G!GC0zwT z>hsNX#lI5-B+T#Tc&wlF5erbifi^dY%LTSp%gw7VNQpDURUOEW03|@$ziMQH?y>fRl1(>p zVyYFJM=$1g0YqcJuGtLYK}bn09wIUV74;DgXXbOd!?vhA@S@dUMXS(Hk(#vNlqv>J_?4jh zNUW&<73lx?Bf%U_IcdiBLHE*eUYsbNP>SW7YBrPcryBa#u|BUq$qX0^tdxc~a#Ihr z|4<&d71H1W;91%6=0dJjZtX1jhz%FG>h(6eto*OAMXL!DeFFRMqmY>P`CG>GE9~>q zPT&QC>-_o2-_6E9TUoFJv4Hyi+*htCxsUKSrZK+I)jMwue<%`W(8l|3h^MZb$_{j; zgiymVwZEa(G)hz#H@>o%JfB>Dr`+#+xcZS(sJhvn^+}+X6(PE9@a3nNC)R#3m$!ch0bERo;4%FZy`8NwCV`{$Q#YE4!WyhG{cb}$I(5^z33 zq6zTYT)(7CXoL6fvzS8k_6^M;C5sznKUU%Km;f6QN2tjNB6(GF^S9){?_sLrQzltT z7yu*M5sYPW->sDkqp^-J{lh(1-?-R&X;2YEE^xbZx|_Ktg-+^?i_1-dp<1n4RiMOv ziijw&KrO4s4K!EHAh<%n8T~#2$`g!zGBbk2_(MP;lfL6O&4mPr?x^$B0VXnO*Qj+X z4wu@6Omp-FRf6q~Z$5huA5s3&5zY5!Uh{C)!aX&2ciay}gA;v=M}9ezgW59Dk^R0T zrvdm!=fVPj)096Yw~2N7 zeBY#>kqD#>J3az=*(3mR9Av*XanJabS;BM_s!0OJ4{!9PR^Wv zMQ}F}xpT={v5LXIZi*X%9~!`{F44gYRBU5jSkhkN-r9K(@Q(QQrq+0 zz!~f2hYbd+|BU<5Z!kCDi_EeKPm#wq2gyeh@vkE7mv|`&Dk|!Hp_?AuTyx!MMI#Aq zVZVysY3<*BTOz<{i|S+>RiWAG+4=M0+n1@5N}`2Dk~Q$3KA?V@dw}tC*j95t-8<*K zkGcWn_l{oo6c0I0OH*G23|uY9!sewFM}i_R^xuWc9>zmn^lu0}j0l&Gk5vFfHJJNN z64g1KoJ^QS)=oF$0sk#?`ub3yF7&_CFNE4ggbeAurd(iK=@K}t8_;?gE&&FvnkkFQ zr&&2U1{;IFl&hANe-2{&oWMwnh5H|!z_|U?F3$n89J$T1@?7;n`5n$klEXLfve_A< zj?AeAmWuYkofn)K;=TYe+ERV;`2oSZ7_F+UocN4ikb)6>LT!Sr^^19F&1^nbiZt?L z=6s1SOrIw{LvW<3w+>{S51}3@$99*-y;7jWiuD_R6?AjOwVFFOLq$R5b^Dp>NJj+E zE=0d|mD4UNvwN3Ht!D*d-1+d1-NhkcAQwbfOumY|>{gDa;UdA6{Q(({vkLJwoyo-% z!-5-aFEyVO$1ghgECZ`RoQhTcW)fMqD0Ck1*RhUIm_rKL}pa!5?Bdn4ge$x}X#P;UKl+&D3fli_2gfn#4 zQA~5n;j8QlGGwQj-<+{OWtC$$09F_mSvh0VPqtJghG!lE1K31gBmYPu-+fcl$nKre zMrirQMOIoYEn=Kl*U5)K5vioB9=)9I&#B2$H3R=4rozgtTf&2VoB)Q?5WI5U5n4}# z>f*8EbDATR4b&QOJ3pHP?-7y#fc9- z(=U(M!kz^6Wws-I?9YX)pC_xDSpZUjunPG4QALDSeG|RV&FgN;OlAAt4}sL=i5XYN zfWPlhqW;c~kWdb)%yB;;fRbic--nXC)Cc=-2=UJ_Kas@0Aw)VVnZuU*b}Kl^0|Tx< z!IwT}l{;?dhb@l~hTimeF_~lsUJP$Ye;>#(*AP*eYe^|Pu;wW0NJ;6)*LXN2 z(W+u*gBP-g-U^s+S-@zJz#H|F5}xm!A{h8VNOeVKlXjX;?FR(co9Dap=kyyUl6vaY+dnQ-jMVsc(=qD881J4Lwe$uopUZN zTMw`d;BaJ`4?S~@yK3zNc}Ww-g@G-HtQGM z$RmedN~sr@((tztjj^YTLYd0P>6W~3Dh$o#8H^Xr)Ge=#p5e~}DiW^CyZ1|6UKJAI z{&YsNLWjTf?B1z;Zl5gw?PGX!V_Au5!$5Jn)0!Pe;OeD_yH`LD7{m6_c^2*Z)(uHU z;WJ4_bp5O0DYn}f0tg^9{4}dX6eNVrAi4|; z#p2%?=p2lRik!^GF5`DaY!$1cVD}TllhqY@2_mR8KM@t%enP2H?S=BV$br@P?FfFK z%HM|v;B}PHZkgc6lkQQ6%xE|hTJQ*gJe_6ad^duF1aNoJsaB&`vYaRk^pXagUg*O8 zO}d$~ey&XN`OG55qM|n8U7M#$^SxF}zbLm*bM(`aL_c^k$GoLXGXWDeoJ`9f0eH(z z*~%VBivAPV*P!~2b{xnrWw~^_LiW+D2KM0P`IQ}hL^oxlDC9f6n5IgMmr!f*?h0QwD_u_A)t-S+v z?$GGuZWcNOWkhg|2fpG?2)rlc*1JRjg)(9;8FOjdR;U)K$PH-oCv}4MV{y;T_nuRs zoI}+Mc`0Dca2f3~Zj0V4gg4iiLI_5nOwypWPN2hf1V%h==8IT_AuG$M@F>W%m!!ET z@k;9qCg#w?Pz__fmh zYEq!&xwH>K=okH9hod)L1EjoGpRFn@ubms8be+QM9lyE2zo0=-fS2U?owjEy|GO>* zVFhT&C}PO)3LL8k5;_~<1Ugzv($uzeKF{T^*L?7=d@bZ0##fO^JUxPUVCK&zX+T300{|%wr+CfPX$6{t>={Hj^bH z3auzS68H|hkQBIv8kSSpJY<984}qzUmLlF^K!|!&0L^!i)uSaT)N~y4(pM6_! zPpXmr9`4C5Ofrua5QV#0eO>nfb+MYlCovf0Q_I&*+r&y|prr)HTow>6%ug=mUk)ls z{gU1AT2u82ETC}fRTIUE?UjX&BrK6*)R`qxCED~YC{wg93&X?ABKdJTvS{;UnP3Z7 z-MPB}sp+@GHXV|$?2BiWWcp$7q&T-qL^FpUFBZOSmmc7A+$;%|f5x*y1tdqy2vly8 zD3NacSPOj%pqzUvp~taJg+aO#cj9XMD6%e`6n_PrmWw!Y4roov#52x!HrTc_4_{nES-8;3p5oRsD}_f_wi)Ci7Z=zZ-rw zO5G{0NRo;ZbXD9rIb_eye(Hv6wj08J3^M@#w}`PmE4q>^ zz+I;1DX33*8geWTe(0ctcx@M*5s^`_zFf)>nPR!3jsuo*HZXSNmRc8$@Y-p=9*g`x{NI2HaS}~ zBA<(`6R$qzpw(0_`DqV!hY+D4B71gw#R<#PLlbA9$2cFW3-|mP1{9Da-^QxkxmjIC zNWz!?eZt43u(*OHXarD7uq>MY%J?Li<2?t9G98p8h^53VZXbSV2xaT5s*dq;2yWjV z7t#?Wh!s{0}{0JB)$GUg72Vilt%CEGD`CYCe~EiyYQ^P zzxs20f4V1I8g`g-M$=}4QMH*b61z2F7MVXC@ozwj&bjgJl|(6i_1@@znr`gKHE|fj z^<}2cOH0Rx(w=)%%RE6vSq6ZjT1Tcrysh*`6qiR*HJHIdG`zldOc>gT>|!@K;nD~{ z6=5F*bl&n={_`}&1Cv0I*#}<09KYlIzxBl$i!0C@Ia4Gj_F=d2a4D-a-YdR@)RS6q zfGxNqMNX46LKFuq=ZzsRswxr4(1d?QQ>AVL~ZVCW->6?iY1&wJfKM@Q9&hMSF7Y^7DTxDd(dS5 zDZIkekDtd>9z6po7b4ZPJ0xGM)k}Kgc3nLmtt)>oI8&R;(!sz$&+h^|qsK!t?XA2*^D$3X@3Fyx_+92b+)OpKl|kKW`Iq0%Ym0Hl-W;kxsO8wloC;yMKV<>nhq(fYkjDP@ z%=`&y(@gMF5{Oq{y*xQzJuPwoMAlRlJh6+W`KTY#A%kXHKK;e~ay;?*7PH>#rHpsr zg$FHKzJS0Kwu3AIPGYzl|kX_4Gi#AN|mmdl=`5 zz7Ss9f}pap7W&=SM)~wV5q&Ko#_H4_C-vYLP(RrwY{?VB-Y6b?9y?Qm*^RdN&~HL7 zT`a2W-a0)^oRs=sGGEZzgRLC|tpaS!2PH4AgCq@`krEQ_nM@Ero{+xbwPe+n{F#!; z9#Dw^+fCuBeFK(?kNh6SARMgH=~xNIj)%L2zuobBF%>)dt?qP%|0%VmDyIcLD9Q)+ zp)K%1L)+S_E|=4H$tZ+{>l5-x1BnnxvzBGQQy8MzP{yrO24G+@Vb1clNPf@986%-I zSoR(D-CMVRNfF8k0nqhv%NK65=k_s;DaZUtqTICtRL{`O*+dTK*=Bj-EHdk0U zXJll(tX=0?ALJZ>pWAM{Au)a zcCX)Sp)>7iKzb8Y*9amiew8}~2!*Stak_T+6Vj|$o_@EzS-hA0(~0fTuV?xeWtb&J z)gK6ZO3*HS8bRO|9?OY|b_W+)n7w?=wI7&5a#~c$v9=2{!q?SwoV@w*KFuO>-Zpc> z`;4oi!n;_kBy+Lb^OB*zeIW-4Eqy^~UkVYXC;rHM5sS1KJHHxE(&B278o_E(cWo%i zrKv>7w3@AWP(#&Oz;}AodoxY@#DnZP@^6i<{mQ6#YkVI=ene3H?at=i0KaaL$RGDg-DxksNw;7);tzdx}ZF8 zgz)glD&&rd5`+y4A+Xxe9IHB8U#LVKbokRUV%u}rMGU+kdD`K0Jb@jZEALR6T@#kw z{;)K+-HB`-{5}GREU`L-*O5>9(ZRSA@_B>04ACigBXHz+XB$gg^TLH~tf0xmXiq4A z+ZggTTS?cWuZXrSxI_AJ{Xib*;iLUkf6OUjOjRzK?ioy^`8|{p>oX@W_E3+%h2F9c z{Py9vncMzP<+o-oFOgi4e8ayFPent9mVMEM*K@j-M;M(U<)cHg)H0v_S50($D&X;* zNgQbeCIEe_NBUbX)%OS7%P5ZnQa>j##4%4SlhL|Xd6E1{LZ07?(i4fHJOtm`{{|O6 zVDfEQfcd;?4}LOxc7(iRzR5d@GF881IMU1l6VzCa1YaLQ^Q7c8&RBj z4U%Ur_}X_*i(NTc#ZM}w7i7%UUWJ>!3BeX?X$#1wMwNbgjE07eZ<4LNeE5zE>=~8) z*p9_q$aT#oka=NtS^{~z`MMO+_2B8sF`fLHc~6QAzWIA`DtHTwBI~1!`u`eUtbd-7I^A}MRO1T}3u*ga|Teu%sk0EMb zkkO|}B@_)&W3Q8+)A6Y=uf|@h@cppf=;dCoO}|Jw_AGw-R@@ry$H}&RRst1;2Pndt z+;b~)%+xL?5hcfMAImfAIG;z}_>PF@qg^D!?xWRI(fn{1&d}*)cK4W;$+re3Z}QC= zYq;z$0YG`Qs1KP8M5DG8Q*+T$4Y+JyS+VE@3%w9^0o=2BLG8pNSd%KENDMH*U*seY zEafFy2ohM1HkRdwbI`;q7qVc14;JQ51jKO#KE7&v+Z$Pg9dl9GRd?iLn)bd=%_3h5 z`Y|WS5Yxr+3`5`qEmu=fQ0!K+YzK#)d7}s7s=YNFZfdAOG#sx&ZSc!2M_zXIzT6pX zU<3QrJ9FN^zN!?eZ$jDz>|E(PD9|276y(^=VBVcWm`S0 z8A+G)nD_px1)ZNaq(_>`{yxhI{Jxa@Z)lYlguNw@m*1xFXw;5P%w%tMpr<8LmVJMo zPmxm|zMm!Oqx-BRnDog%8;|<1pxQSN#{f^B0|aZOi>@sa85-pU^dFc*9&fG6zD zRQ~{$=-IcY45a-lXad(1zMy>PU<5g^>Ch8U1C~tZySN+_#QeZy+E34~k%hnesK)7T zO}&1+R$vhoY1?+q7rN14xE(I4AJ=nJYA=C?~{3g^%c&*2} z<`q!VWuxH-GfW77V?W9K$Vn{%tek_NtQQXsXMGw1!(s&AfIRPeS;Tr16DJkbAn^i} zi>M;gr5E+FLCRf6(Ie_lArsxo{D>%O&%?}SG$%pVC0i707o}FhuR}qobbzSy5oTvl zVg?4GeGh%Zdx>LS05~Zw(Nnmn0@i=??U8swkehXU>FIQ>c@9y2KNlRX<8 zcy%8RdLxSXywH@g7Vc4+kCW9nw$TLe64UIW?zFb+CR6GYE{S<)7|N&P^@AyxYDbN< z)@1Z=<6$NBs>VeVkH$VTLU)a4+4T*K8JtGk*v>_)(C1 zryysixgt$AOu(C!X2oaS>M9<(Zh5FoKD**0qb;*ii-qrUqb*k2Csh}{O}HZ{@ZNSDQkMu_tEP+W=BmpU;^&w?WfWU zsp%WMmi3tc|BIOY3*ekXff4t*w7k7qeyP}$P0X>-mJ3sD*M;b{A zJ9k0)Lar*wi;%Od%^qDpq`JMnFcp}1%RhMow)D4vy{;wVls)jsrJqM{ecrYhYauF= z1WONx@m$TXAY&|_<%UJ@>FK_cuT6eST14A5BM)HH0bDZ77wZ_h2lO!ni$TP*DPy6A~F%?wcZ?v zEEu?8W$?-`65tHNgw=jTP!DKt4dOB?RqDL+42Dz@8l%Dpr}5!nbA8$g37Bx`8~%BK zEE$45LtAT;%4s6lli=cp5gwNNYIw(N*4y4Z5189svHRlBgMAa81Q&iaDT`VubRUGV zM0=MKV(w*YGFAGXVkZXK2dwRI>~lc84F!nh?`O9=Q8&M{uKadhD84yuO?P2gu-ej0 zAD-!_tw1<)a)Q%BrjG5HXu|OH`q+1*EiCYt1>14f*e&^dUI^&v0~$Ig=Y>yT@(sVq z=%m8V6v_}h7ukVx@u~Bsx|qYiJKBhRe1U0S`TR*4^+|@dc6mb(w-Zefci|)OJ&Ol` z%GMhGj46bsE4;AY`cJ+y3ovMWO9E+u%<;J?+gVgReayc$Sb>#y=l8B-jn|(gchAe)po0f5Cz; zTC&;$<iUCrB`b7A-D;>VP~sd^lIJ0K5i`HL-;uh zA;VCXe-C!+b|oJ|Y^6Z9=}E_+Uo_I+$QXn6FzXG?n}|U4bPXZ;wk&UkbedNgtyR#L zyAXf>HUcf0iwg03(Ot{jHc4i=$xj=Hlp4nj`%b?~aElxhpp=upR2l*pXgY#f2cj%; z+#Nn-#AQr$MX#~x+}pL~Pr_ubo9x=?xgt0>!d@P_tlKFCWYzR1qKtVaV0Qr&1-cTkMP004<;Td!rVEp^)&f^S^pu^iIu|X&4?I{^rej0dSaI|Lvuyk||HImF zpa+@Uh$V8KQV0Bc#y_F=pEdbFE=P+4hU&>|YzX|Td{u*35VKw-kKL70Kb;@Ox@e{0 z8-!RDJwfcn?ZQQsuz+=s{rsALZVsflBPg>HP84$t{4C#Ozq%uByb+U;`qL!KdLfor zo_fDJu2r=T-fV6r5X_PI511!;k$aQSzM-%8>P!6DS@?_7V~#;W)TvD zkceh#C>HU}5L;MjmyLK)+Tsr$`{d;$-m)H<_qE`|Xn)*M0S=fq{Nx>&@_U+x5>KKY zO}lL8z- zxwhPI#Gdmoc@T?Hiy&gHCfW#jzswl_9WPJT&LR4*XL#9b7Qjh@iGj&7Q}pFjYBEFF zcB7x}(zF3k91xV+wM7zzeYer&P3s$p@5}g{ck!#TQH@_0_+zVC>?vs2=>+6^iDgQk z4n(4+SdbOa50tM+s%@vAE2zE{9BL&|_7C|EO8KxB=y>D?6ud!ak6q^5Z&ZqvjGsnX zBLmvTspmhB`SQnr6^)rE8YjH4B3#4`I^S7VujDjYRT^zu#RxV(kgz-am$zBT0yHtu z4vM+}?W>D(?F`RR3|41qi$fL>U*Wv{?+HmV3&k@`cBK~V>ncbMD{OVGd;dKZGnJp+ zchyjUd84b-Z$m|wVy`EVnFsrEn%nI)`JV<^3T8mb`f1OH33+QFw_FpQak)~qh(#zb zBy1&{2U$e>=m`Q1c<|2C-~M2!8(u4civ`qNj|_&Cm2%kZBFmnx&a>zw30twp(w@J4a1>v;qA_3J{iTj(pw zv6G{Gd=FK$68#2uek$|CT%oKW#nnJ2^&-2##Km?|N7u+Y(BQRg)s(C-MqQeX3r4@E z;E?ONn96W`{rljk!a-d@`_5_E(`liCbj$#9`k`#>{Fm+{g0zrFejv~8RN(xF;X#x7gd2?x+s^b;|O=a z?&-0om~1L^AO_#0-z_cMcshK^F}BLqA^~=WxZ$O*5Y94m$~zV8uhx+e?D5n2>V}Z% zixA82K*9dGR@ZLffX+pqWoLHz9qWE3n9C)I$djl@A&vQCeZ_}!8S_!>#q@%$-=LC4YZB?#O7bnHALS{1VtZ(M8uz3;KOp^$Qz6vtR( z{RMmnb1}mw+;*NjH;u%DXe>6n1y@`Bn-3S|hIQz4pFf0Lbe-$hgV>-M z%#&vwQhffa-wgxkIrZYqH^6(85k@l|?L{kQIebg;1?T07fjRu&nXjNSu?=;!CK%FZ zTGT27C2)nKz!aiCRoXa(VaGCq_RDcwjGaq_I3Rd7_`3vz9Km>wU<`)bUabatpJazG?Dn*R8?<-%%t)$;?AO6}1{PpwH z#x;80vQe<5oqBEMh)zeDWtNn6RkOW%sI<2B5Bf;KH^{N4_E2sJ`79$(#o?7~PxA{l zobB||NnI#H##c13GN%1#D13NoOja5&YhiHiaJHd&f_k;`mzJ4$>5x<-pHV^oW-H(y zG3=$%R(y5C`>KR|`!b+-{-RMmrZ`|utHo{TVzL4JvA`GwL1Ek2V*6GHL( z{#_r?C7*iu+K}c!Uz0Fs7$~&FRIVVeghswEPtgK;A!D$exqF}9!&~txcMOM?n1QerXBGp zcJT=8;y~;ieiD^}6BYgN7i)fi`Wz!S6zD5pKeEeCE~=xkg-?9M)eUTTn^xS zzBY0~MvTCnGSnsh!HS!Kh`K{CeQ;^DTMOO{r1NqngmU2B!4|6~jnQA5N&*P@w z#Dj%NY&61z+!rME>8E;&ANjg8eEvBoRUaHMY!F|n*L8hKnf92;hd1S+`>MF2&DT2c z^Yk=$%}rGwML?N#@usZ7prhE@+3~X(b9=f_LW*uPjTsbkieNyS>44RZ7m)y|1t7m~ zU=Nl*sSN9Nxq0lyer#yd^-f)L);Z*>RB3n&=hx7FtX@3Hu_{PXU{!z^s+2e3{*Fhy z>oPM}07HZzx1m^3;z=#R&r`*B>Vtc6B7|c&pvB;YGm_W$vH$wG2$favWpeuIBG4OKv2ydO=k_aKGcQ{{S8?)FhDvFw8I>QG zQQ!PK2Lskmx-u(u_l{o&OwTECJM3cdeJ#DG;PPF%pgB_ZVQPF}aL&XVK7VpXL51(9 zvfLXUm-6@-&s>oqI~VdYDha2ZD?)fFEN4IblpxB9I=|1pB|vN@*`2#gAKt(=o459O zqpi0jK0Uj%Un8+yz$6j_CM~5R6WmOB4tNkA?;d#urHTlWpwJrzuV0ahKI}Z=a{juZ z03oE^TY96k1ATT{B0`RQ`WyM#*i-s2-x^^%kK9Rt$enpSK629`5wKTX6qT1Hgl@{Z z?{&z@QWp3`m&ed)a`xqJia+h#)YnfRw|J5$6D~6n6S1t=Q>hD_2wyrg`dbTmX_|*R zVIqS3Jkw5xV8hoOwlu1v#;Em=v!JsghbX-7Ei7LO550NhrTy8C;FjY5xGSoKb(>K> z=+kjC-AD;Ut$F({(dD8VNBWv#6f!r`@3n>eeF!yhbnqQl`vZ}f3wWgZL_RoTijueR z`ss*vs10^EptF7&&>$H`s=|A?c<6rn!Hb0yoppUL-)t?9XaZcNutWapz%_ST1 z5+(Y-dD!fMZ=1}r@^>x%G&5&RG7ZINM+4L8wXcYdrjsfHVR0)HMS@@|e^RA4y#6`+ zZRPQM>a+=>PKDMJ@-X;%#~JOYV=rtIhEXQl_)gxb~I9e0RqR_s@9!?PPa zpT$%@?x*NZb?$4lw)^Pk2cqy7$?3b}VhbnBbNu~eYV%Izprd}FSadO_C1hdXbJ_I) zPE}NsH~oXjlb0LHY|~5~tN^;m6sEb|DjAO^!XY6F?+rIp>^N9!Ee^YcIW+-|i!%XF zMzbQJj214QSh_zx-S&Cvg>uoD2sB-({`f`>P@s6nC_v;hbg$Ox@o)C+m>_-G;O9NA ziMNs3XE9hx&ffD$JwSfFTmqhT?vBq0CuZLmKAbzU-y^tOK3c0iTx8$baA$v)zfDiP zrP=@JSoT9IIO(gtxxxsyIZm9vO*Z&BUnQ%5C@`QA%=yze$v1+_ciT(hD1f{x7*%mF z^MQqg2;YyP)3-@{aiW)BM$^9wu5#n?{~_(anq60#Y*Fl8KSO$GDbOK!_n-%oAiVd0 z;)Vj200{30;MWVBYhiw0yL0=Vo;&v1++35zLRDqv7@1j_t1Q8fli5Y6X5HzO!oqOh z)(7=dg5W9$ASy=qHb3$}+`m1VZ6cUCT)O?X+K$o0?T@VqT+%kHDcmd7*h#?M=(Z`F zwxO&r@8>PC*LVNQ%IpbFRo5aPePvJax%d>`It)v23#W;nARr_Kl7&^=%hqW`0v6F2 zUtNi)q&>5YM7jcWEIac$R8*zs zr`#a+v_zEhi4OQF!w&HJ{MMu3|MnGlh;n5=ZwXg<_s<%Y#ZDXF@K;MP2uhsCZ@OvN zYxri&H*uU70QX|1fw+PvDkZ%)VNB&Qu53Bon)AHznO)+Zd6M}1-p?Exe!92*W_Cq` z$jr7SeiyC4LN$V!e@iz!KT$Ii;V9WpTtQ=%f+QLeV$RI9Q~cidzg-&71C2ewF66rL zCHsi^5-NDmvCHqbW_}0W-qF6X9m}Pq4Di9TsFv(Jmg!z;U?+&+fIc}-ml*htawrP9 zI4Mr~t~nWaDT)lNc4qB}wN1I4C6!S+bd%gD8r$&nb5xA@O#jpke+kb;QwsFO!Z54e zL=LcSzkOLL69}-^x)R^2a3D!+5`SyDTxcE^z?{DZ5(Eb(!-G06cmzZow@%uegraBKnww`hpgho?d?#pOjTyycY`iPsKjNX6x>#&5!S#n5o5tdSU zJ+7{xd$J$JOJge8O`js`{xhOD|9Ka6%OqLD2@5Gm|IoqKpQ0@0o8hzjIs8?WMO5D- zV~ZE{h(*V=uA(fO=^&4;dS4ygm9n2$mlN;kWy9?tS`>@9z>9QwlRkA2zJ2RzmZ}xe zx8wW!YM7cl>bW*m;i`y>vvDky(r*X&>x!Dx?CyzMuG%hdSA7ivel>=#gdx7WW@)5X z!5RnQ#_IVZuC=<<7A1OI$U@f|*_}5lrQBal1=?F5hmQRdqxzYy>3ti?zpq}Ue}`Gn zN;ew?US8829ys+%$97;t9R>IkQhcOolz=~pdatFpl?h2hApI&NayhJ+PO2aQK$vfv^x!^ck^J?_ zJ^gKmnRd*COkm(Aj5cIUs-(TcBKWy3y_3l__{27Da?Lzc^(ksp=JBs+vudN6!~5fD zmbXu5bq^Xg9=((d?C@zGHRdy?5{NG%BnNii^%|=^bY|x^r*)M4aO2^82XJtB6$J2k zRJ&q3661us_$NWl>MT&_MA|iewO>5>KE$mJ_YJ_>f7SJF5%Xr2HvcKVxonM^4)bl?#7MsdMQcHwM?upXwpoK!Hob+b6`*S{fj>QwV zg<+Jn`3Md$!USwxHe7aCtz}|(7CuMP8EqGJQ4G$#O7lGDAw2}4?jj-zm#xx#-?L7sC5YY18UlT-Xy#JlGo{MAZH3B}i*2Wj&BId{?I&`2coF zLDY+~VvFc~KJIDP$Ck&4)JORAp+P62_}1aPx12pHTk2Mn%FRAXN$83cK+$c@NMvmL z+qWz$v4Jmz29a+vg0kF!P`9}PZ}<`?UvLX^(H|wk8Gh+M8{tN%%^Fe^3UxFJUf54h zQHXld^VfH7r1;vXn7CHoLZGQ+BO6^Q&HW*kvIggD`QS4O6u?D?WTG`~!i=v#_RDZ~ zTc(6Meb(Uv8EEjv4m}{ir0#;n~i#4&Hckp z#JjYB6G-n&4xB#f zH|`t|ueLsfvIH@GZBt^xXv|$d?xt;xcUV?2x>EH~c9AZewct&bmv0Mg$F-8jq}06* zEF>Ba@35gqI?|U_JM`cd@pw@A(8&+HLfZJ|P7lu}2j~(#*&=hDVmT^jb1Z$bEo(Z# zguHvrX4^C#Q=LbQkN+sozh}z;pD>_z&jx~~d*8m4;=0Y{E8}yR7lGI{fQ*AEbQcpA zO4uOKWyeR&LLVm_p~<<%TfsQk9C=7Gc1qtObgA&Zkmz1o^KLd6p>%c7dIDTs`4Crazf9;M${_|Df|LhL%Gpfbg zDij(|@uB_OHm{I!H+S2*Bt3NzcfEWP(fh4@bR%aQFGfk~b;|2On4K{yQS$IoO|jPd z11L^yz(E%-4uOa08VCk)X3=p`A)x{INmw>`XBKH!M?O4*oEfFfeQH~QGqjnO>*oJ1 z|36E3Vv<3yXLn!!bGz0gryqm{h!`^n1V=~ciNGArv(fd0*oSya=M_*E%)b~6V#bK* zV4y${ARKq%$1RN#6x&m7beEwYX)zLWTP+8#JD_08b@=jb(Q|#Ylo`{`U6>fvX|iIgNz<+vef>Ad+&m#%mGK3N=$Rrt2$2Zq=062R0Sr zLg|;IMOhT*1K$~13$|Z0+T(fiR@CH!nho{*gAX58IH%RadFoJDF_&fd>umC(=3(;9 z_`Yqbg`@VYc=7&Z=ukoyZbQh#C&kw5?t~ukW3M}5OVGLnPuGS!k7nNA_RK9;-wMO( zuu(mVK7`blilmd}BB*-cWE`iD0cP8K*_BVweUB~?TnV0t>=ssjM1DL0OrN1AwldrB zL%1f~;@WeZCm(*6cPzUVvwpY!ri%UXhb{YW2bO6QhxHLo=s{+Wjb!v`wKlwQxnL&u zkJ^&Y0(Xh;k>KGM_5hxMVykSZRG?)N+3$_)WHu2vB3y}%nh?dJZ^2Baf0EyXtM9hF zm!l>-Y-4OM!)OgDeYOFfA=9#rsg2oXr=H9WR_!|KoM{nH1Hoq0yPqJfe!Yu?mVL8q zqx4Zc$-hM&7u#MDuOf2#wQXK`QC5)*C<9jdRdv;m$eo;=H2FoG1hd#Sl&MA928(&k zG2o^Zdfi!Qh22J9cOoQ=TJQ?iwx#hT=D{+Glp0lXFi~zq-Y1@VifseI0*B81_zY1n zbMLnzPB)3em$!~FTMw8qe4XNDg>J?PCr%X(-J{6Gl-|6v3re|&< zK+~Z|O-2Qf361CF6A0B;xS~qIjgSGXCu%)59Vu@xoT9@%73XTn0>mC_Y~tzC!S>?z zjT%WWoFSfZqEr2&>nS)pNWXh457tf#wWD?Iq^+vieBADaaE{! zmvz0|lXvV!{5bP22J_dahvc0Rd!u~hByse^i!xU@<{RZgP7rhX7}Qycdh^3I^^(rnZ{FY6hMkh%jHirh318m%ELZC!lH``#u~-Xf{zr6bIqS)QCzZr3LY9@<<){3FFk9^?)7w-Y=dY z64#}$4XgHv@ojH{=a!W>3LTWPo&;!fOPDF|EN3O{pb;K2?=>lajHoG?jkS{@SgIPnjt%K0#UGMPaqO66F zbwHJP^cW`GDRn~0YcoqkyvB-yG;#<^cm$$uFeC(J3-Y}dV?AW=H_Bpz{2y0F?)5nJ z&KDLc_RwNk^fo-~Byo(fsu*?LBEDlIJ5?Bgsr}_eZg<XaL)X}}mnRyDYnseABmbZFa zxG4&$DA^Qm4of)pjfR`Y?Dl4#9dL6*CzD8q>OWZlE8a5ivbz2+gtLaZQwTh0<@RFYx;are31vmEPl(90@g?4RO07G(q>Tr zq{FHwK&wMGi4*Jb=YiYsOcgOOXVjR|jTwmNFcv{RL+M%D|iM>ytNwgf`f4tV2GLJf2s0^dlOSd(X-ah&r=CnYOOfTizEN zodq0M1y&;^lY2inB6ZG3?q3NTYNFDt<1Gn~{nCEfoznf`{;q&%P&SCfx{splL`fO( zBTo!N8=p7ao}j{AGzIMBk7O!`XWX9$m{TJ0dx2%v-nQ1BijC*|m2#tJtWW)uUE^dR zbNz{HP{@Llu0@UEobH;UG8q zEABirG=FZCK+JN0yMCfk4r6f+LW}oxDXh=Y*~32KC!J%5UDV_O%5=(|j}G}?Sm^;q zz701GYq#)@*kGx3?IIE3(1L82H&^a8sYM;yzr7wd@(?laJ3l;uh(od_dFqtmb;rjB zh6N|JA#L1U!bU%;APT?x4yv;5r6^ntP@eZa&Mf0(G3fXXk;B*ygb@p$^9HJeqeeD9 zGS_PqV5n;0dsT0|Qvh`Ix|}X0YSRP}`oimuQVmNfKfp|Wqq%-35ayHl0hQ2|{@9;f zLdXVy6zrJy)2b#(FQ9eZ`X?MK$seN z4b1n$gQ;jqgFn>liq1c4K{G;s$Kb_6d1pIOU=Q117S(VCW$a^ow%;@rMn*H!FIqoB zPCbix+0r9{n_ZN(O!qtW+Gc*Wl5*_F2hS$$oh3HJY1To(pV%nCVIyb9iZo%gO0$hI zPc&%pWS^tovR%``DUSyVaq_%da4a42!(UBKAf9mXgWOM%i#MDE?|#cK`joF6oQ3;c zrvF^>yij^Ih~8c^3Ip+5*p{dz%*bK`&Ut3;l-ZG^W&oaE8MwU|9;mK)00d6CeB%0i z?E3GaT9U9E;UyKl#9y2)v!?PzBIE zQuA`WSoe2*jD`^&ww|!fe;|ln`zfpYE{}^k4QrU4Z0cEl$O zc;%|Yvq^3%)?i=_&RKfTV=2(0TirUkqo_Dp<@XloigpyZ*L~=T-}?-dL1!HsA290* z72cW$us4ZUM+=vC+K2RcKzgp|5y7ASSSpkd3q$zKn2NN=ED_hafuhyM*VIez<&fi{ zI&Xxn(~CJR#8KUmoYA-=Rx0<8i=I0*=mSiF$W3Gj3&`#F+;l7l-~R^o?KhK#I}UCJ zYns((FC{gern}Rb@p4O@}DlJ&>7~Y1pd98^40>WI9aLu z%l?{Fe%?Oc3U$9BjD#XDwDnQ2D5-&~Ri35!>N}8LC-gUdNuh-qY*F;P@VAk?DN4BW z++M?j`-PBy1J|P1%J**@_GWBzY(gR#_5;^I_}Pox+B!}bVcV+{8{5mJq`*Jt?(O1*Z`#|JDI2= zyWgm>hs~JUhGa|x9yB($X)%s5{jMLHJ0$xTghbMXWYSeRn(WzUeW){UPp5{U+h6NO z2n+e*`wUYS`0E0M|`M%m1xZbdu@TNL|q((~lx_4~-98L5gvsv^={7 z$Im}9I>>@;oq;1>o#5CGww0B7Jrq9i&&NKm0{0YjMcmd~#N@tzgyFd{!KYQ*aO(>^ z7Mm(J7w`_mK)8vGrl1UNLH1?tqR)72q_~gwdhuTA8tWg4LZ?@F;}-wPVJ#9h;(Qq zh^?i;D3a_O(YFr!;I9t7vdGHD)egn8j7%O{8@$&xI&@gBoBWR4gc@cSNdY1;8*q+x z)VHr~**AT&p&-ODO9PC)=bm0s7t(F_HqJ?;4CFI%I-URw%00c|@irP6#GdAAn^E ze_wCy=gLj`xd#GtQvJ{~Nmd~*c*{iGW0AZG#atabgFJFCJ@Jy>H`6yY`j2Z22ZAN> z;w!(H;a{2wJlRxFKHqN3vvUklraIAmnU!`k%2)0~DS~AdR2<75m5E;i^3BeryeJX# z+HkjMSf37;Gju0(}=gsy{hBvptDEg!s2m zmM_K%4d0K9_Lw;)@XF7zOsHaUdT<1c2h_}o#ZM0Pc-o7k;q}4d2gjv>2}oRjTg2}jhq*56acpDmN(|0&mXqY^g%Kq3 zDN%VNR+(FC|1ENo-VYitgZ-jQZa4(Z3o*g)1YmL2q)PZnq>aARR!L)_n$zOK7twlm zg|9t-h(-PiJ+tYvLXuw%Ubk1eT#LW)&72{L?2I%;nah1R&kiPl_Vbla0c)7yjfwM5 z`uU)S*ncA(6^{<*X$_5+ZENQ06Y%> z(iIPsA&bDjuW!N?=8sLCR5Oit#HQHG_^Qute5yTl$4W>$#j|n=!T7AtXFWc-+?!@R zc-e=VAz2;u618)fuP{WN2YG!v{*fHAquj&}-P%Pxhu7WHGd_ni4UjTQVJ1H$xXM?h zSp2cn9|$dP&C4+aV;>NpTzOKx+=u7k9wyn!>sW2sCRa{_y5Vd^NxDZX5ML#lq1iRc zBX&1&<*0Gtf_@{jEjzr|G%3Z~~MmD$Mka)Ea&e+Q!VQ0g!$Rwe08d9p6W_`b2xI zw(#4{ocqn8?b>rQZ`O1aJsS6LgUUL)AANwD>3h@tCVHvOs>oO7!l@22#xnuPXk)g^ zF3QTV+BA;(vrLMbQ2y!HB?JLNznUnrji02beXmrpyo60&e42e(hcizdxXUNn<35h| zI@;D$ETx!1RxplI{yW_)2@+hMDwI<9{LJxYw*Yb&o~e;6nl0jJz@NxVQGE7PBgKyH z6p#W%>6!3c@s!8ORrqqcwF6Zv`=jiKxZhOO>T|JbHBT;}VpG~4M=#No73`<{o$#Kc z>d>onh6w&Xync`#2QN1Ze zP!Sv4GTL6v6aL7T*PCYZTe6PtDMAlErK5B&s_FswmlDnGWE^Tce$)A?`D^RKcS@@QJCgp@weS5?q zLgO(?py-<;qd9hxyPoR0UTK5d(qky}l8@_>#$)!(ih)=T3%W4_{3M7YExCe9?}TqM zO6$=0d?KYZG;$tjO>?EDs`QK`K9`+(+Y4H6yR^0}|9~S9qvtwb3ATThxXd$8V%{IC z_+joCmFVPa*WQnBZ>|(Cb}&Q3D_E;jJeL>eI*9*RheK-0S1g0nqVORW2xD|KF7Gr+ z#r!5zw~rvop2ii(J}hWCgmK1bei+`k9TKAd;{S7+@eh7cy}pU0-<;9w`29U2|Mv3x z#h2U;6M9(eCFz$})&I!l|92g`|8v44`Q;}b9^;&}K|B3}Fui5dP&m#P| z)*ShuRFZ4zZR`{f$D#M}A1U1X2iuuZJ)Rr5;>zW}ari(XqSA5iTEji$YbSdD{69+j z(BaZ@vH1?8ps@jTK%7_d{hj&Wg-3T6Pf6(D^My@WvGWx$dRHfO(-Y-o(1!I_8}?a3 zbHU*ej~#CHcE2M_*12!+H)lV;0nBaKL;88ZIwrUlNo3f1yzs1|OyLpAqjk~{;O4io zplTG~OM>&EH`<&Xu)AGefp0fHalowQ#iK1Dy&gA(dfHfAkT~b;-Xpbq>_{>OB((m; zg;I-zgmn{3sOEti@mt$@Bl($lY&ZQ?kORsb3}u0IDz}8`bB&+a>0qwDm^rQ7&4LvQ z;kYX*^)kHgr3C1=i<&9lvXku}tO;$Q4Gvs!0$M651@j>?Rv|cCB75lf*73<<_UB+Q zYF;g`31(@Qg}4hBD}Y0GE~A%}t?9z18l7skT4TMWfuZF4ZrdrOJyEc4^JF+icrMLY}SH8<-^(?i*b&c`cB``Nx0TqpQz_YkqH9$EYs}(48 zr8#){yudz4EV@D=&QB_n462L#N|RY(ocPnGQ~JyRh7O8dG(e4b1+(FPwryb^erYR; z^0ux#ihtyt2Vuu07THJA;xMJK(jW39j06-3BLb(f7V*GiOu%DB!cs+)PNHXNy=d8q z$eEPTnp@)NPVOY3l^C5vGtZl9eyf$NL`wHqb)Ws=xtow5VkZ%_YgWS*3nu(4u8CIB zSRr>(AZPSr-5K%j|B)Yu-P>Cu7=+R|YLU_UH`xe$0fp}TCL6iOWqjTKFjoyi_&Yrt zYT!~%6x3|FA(V@>+8be3we12mS;cKSUT$(!7C}At`*uqOeh9CKkciP7;HXb&_$KS2 zs^kg{W3lgeiHS(?l?hD~xC;xo#IXs>L;2frc=|_L_vPyNPqMrJ)3olsO6ZiJP~wRJ zpQ!=l#0s&`<*?HsCXI93^wD>-fdXlc&_M#ctO3P1`+0NXNadTu|8En{#dv0fu3@Gcc)BTLrZc-3H^z^Ts|#RUVQTURNoz=|Y@P2+FPlnISy0gUis^xw;b+!~Az zO7L^!4pekpRyR>XZF%M5qViViBq2pP*}-`C5e2CgZS_+QIi^Zq@!g%{%PA$m#GX-M z>HmiubV+#%FFk=-zk>KIEjMe!P7b0Ps8E0Ip7lU-4E(Ja3_Qp3`F=}9>-vb+g}v6< zs_6>DaD;_Yn@wDdO<;eeyePk20I5?a$lVPtu)F775Hes7`gK29%f!aS>!?cHgLm;5 zAdy#789FR;wG=?&?{GCJ+%WUppZ=`-jrg$`m%h1~>b+@+zd`$ES)o9{P0q#{{29A? zVsCtXyk2q2o=SFq?zk7Q0~@RNM=US;1+VQBdI}VgeDV@lW|+isDAjZ&jVtngcu6Nw ze&FyNqEFaUHkp+sK!6SC3{%6y6`kLb9|B|CfK^H|r5}3oh4Umt7X}Ii^wdunB9Q6O z9`lhg3Za&nrXIlVMKij6Q+?FtlBvIGI*Hq@8E2$ea4sd#puqQN{hp~It4}<0sr=;W zweJ`CKp)j_!J|xpw{0fx`}f|IcHDlAZ;ak?%`cga6|b}%QEZ>1%6S`=e69rZe}V#* zEP5m$t^lfhzEvN{Z(E(eZq+K~Tx7%Fu?9TK$M*WiLH`ze;+nuLD=sE4rlAd7cznf@&5uyJg-~7LuXntY8|03V~znf@&A&MX1 z!H1haA>6Qll&d>fxFkOxY?!%~ahn3|)G*G25HAac?moelQV5aUy z0EOI`PV|nI8-zi%;`0qF_eI5MKX;@npX6^&!$uDYN=*52zi8R*r|{L&ytl71X96t` zkP`>kGW~GNZ<=m9=#7Zm@6uoVf4;6Z+aKw>yBF8IHhG+b^3aJU7_>$f@-#pMdd#4; zB(={?ANgIKS3X2&7Ef|am;=&XO~Ae+oOqL%M}NDw-~o&kN3lJc(y1~%W5q+d7rQNH<;Qvx~dR9L2h48ILC!8H5aFR?#BMa9Is9#Abe@aO{(I>62XO+|#^i6STjik} z40;;%pF6mA>^>`SZvEb880DRD-T4y^Ycm?+$Tv)US5|T=LrgNe2vTVag%CNJ&CrVH zT(vxl-){?ZT0xGIuGT5p3K6U)%I~|@+BWnh#|h?qReT)hKMCP1=K($RKB@}&%=Cg3 z`9P~SZ18H+{i6o~hjM?D7Lm7Uwl5JE7j}|(eBQQ(^2phG6`x1JfkN~=Np-ldrkf)O z3)>+3pf1PDw69vlG-3%)DvNF5fa9RXhd|*w)hsMd2eZH}glmGi`7Q?DO)>>QtHx+7 zA0o=U=seK&(I&Rt5*1h}7O&5aPuuopG&B6E>iHCtf0OKqIBxj|K531f(${QwYNSz= z)zt%~oNaDH7BT2;X;MsHZT3)$RIt5`u9EWhz!zC_ZMH1+i~?`;0%F4DOGjOc*nIKj zoPeG%vqBk%=lJwte#CFIsc+dBM>(ODr$r#6d!}mN;++&R~w!@UQAyEyHMG-v~XSG&V;lV*tgtGi%A$YYk1lC-pR2x zOQshg=rbQDn`zS?h|PCuGDkvtD6%mJ)p4WX(pEuW3ol)4tPH}=rYF#woRa&OwXxfh zNuCua=8^N(H(T}6!OClSpSb4^$YbJLqFVI7@Y+^yA=53PC%bWC!_SmPJPg@Krx-SM zDBLxz?JTJmHG9qn9FL@86pH{VisKu`e5K+hrbU=Hx#rl<41+-R%mfc1@6a_I9Cipci0hrnaXJ%wqrddFT( zLq}Qh!ZO@@0}*P;zH26v=)Zkg_m;dpp1=r@vcw|O`CRY(8A6a4q_6BnND756DE=(; zdka@a;RkPworWN+vjNWId$gd= zBS^yF{?E4u-Y$B9whZBv_T?VHiS;D`%1B+Pq8==>8*y^8)tfiAh41y7CTSz3c7u;& zu#y*+nBN{Ls257WF1dJg@c1N>YfG-`-`SuobR>w+o z=&M-UM9S_ix3do@*bOYyP4~fQSre>fC=<{veS3n){WLp8^diR7?xn+TkEMqgR=~@F zzzDy*Ns>S}L)kyx!nPID>wblekpX8(?DPJDw>Sy@_7yh~qAHF*70d58Z?LX&bD6Mj z`sDDudY+Up&AvI;KqOnrp?etd(IYD94*mm7z6scO`-i?=_~!hQ#j5@Sl5Y@?yTHg3 zmxK$52>a8yT+sg}bNu2A{}&U;ugq~;2hbGAFIR_?TH*-~z%?=%?=A=;_t7luaVsCk z{qZ5Cakx)=Day|Mvp?79Ca}{><~ZNALs8`TYna>1P@lI~@idFurev()Tgk_sfVQ3V z6yL~p1PdbTA#H}m6qfD+S7WD5N(G`_#0=Z_H#$<4Uk6b?-fDtLBs z_Xto@D+w)4)(@}vt-@eVVOdGRtt2PC{ogY2<#VaWr zi5oi(kP%b)4ktw}zwd5YjPZoMWwQ!@?=v*>4W|e1F=L^I92(Ff;~hiH`V8>Zd_ z73+;WdawxZ<_xtO1`^W~rFiT^r){}n2S*+R0168GDiRgQzMBb$E zvQtXamiZ+HmQ5bF{r+2=rIf{>gR-vcMUP+ZL4nq1?ybCx1;)){RfG< z)p|bbhWTllckvcDm-d?o*eqvYc^|-lae18+le(Yg2_lgv0cLIrXZTpQt41K%*j42X z+D3y!XHYftZOAXQ6*q04GEPU6<=)C}a=4Ct9N#n;i0m<>DyzKk_krp$xs-As(&_}l zd#Ofj7i%H>^4~bHbwUM+QbFcnQ={Yz6qwx*tj^;M26DYPca8Z9-+TqJY=N_2cvkWxU)}|uh~H02W!s{4grU) zsae*iE`2jQ`G}nR#{y;Iydoc2z~LQ96N%f$7ze9kXk&awAoZQMdF?(BPy$9&peY3f z{4xJ+;QWxuqi)Dd9iYOVy$b0pfy+;OVz1{9QzvKlK`D-n^b~|b3f?xzSK$5k%iku+SFex!>>IG1nc9kMm ziqoOjdwpwEhz+9@%Edg`KyR0!kC{gc)Xkp`0twP~?;l_C2IR(qMBu-dzSUWLaK+!8 zwr|C~#Yx;%zs=Z4$|}%|Ug?N%EQ7-?i+haFYwfUEq^x|@Q$A8h^b3fC{_@a5*<2??Bn00G z>u5ziqROr|G+92&+ll#bFej}cLbhS;R+Fz%hPUfD({&`F_J7pbKiA;)PiyedvjMfe zg`{qV+gL!Cdx5J}JS^okn>vr|XEjAR2_ptSxBHTIXcVF{ z(lV9~oP>Mwnq&wced4Lm7{atu?JNDd4QPbazm*utq1Ks9&#Ru4w4vkJ*-3j>k8vNq zJGft08nvh~vD%yMdCMDx6BUN1`hB!!bZ*uapW4TLY2}HaWIoEwEU}F@+pMWYS$C39 zmB*HrgW9Bzwg;$?29!leF~1T|;P#omD*x{=lxFl=_0dQou>lMr6-vzDkeeSZ$f!t? z{Itmm+C4)xk;b6xo&)nvXD)RrqOOcCnKI9{K+p>J*}8$ush#yz2aB*CyxXjzq+Po- zwD=_f*0Xrj2gn0H*b6IrN*X)W-ftcSH-j*d<^(0?>PeH!HZ#SOnqlUE8Dvem3IMwn zy~03Wj4xywJqLwtpu}0FNvQa;47;+1ed6c!p`1(X4}mOi46fi1S?cDC_Wf|)w6qnv zW7tq_eJJG8_^xWXV4BZW@!K%oP08%Up;USVK@;yMCGg)sL@C~(ks_vNA5ad!w`eb5 zKDFGgE+wjREBum~JTlX08O`xJ! z8;Xe*?=ha7TLAsNOsE`$3PSp}m=UAJ+R8@EPagR zd_JiYWW?5Pm)i0p6gT1qO_Kn|Q}V>s^TLJFOSG^*Yr8&2P0&h1`8;kS2DX%3jaq{Q1Lyg0gm5js^^|}u zD1E=23#a|}F&%Lx!Fa^s`m+01Q|29Y0LusQ-yOorJ$+bvnS=L+MPys^lp*q1LPG4l z9jQro&QN5%NMe`f474P4*Mt_Jdani~6pSpBv+Sft!mKI>BoyVk}P6*Ou z#KAKyc0FHbC7{~}opZ*th30N9sr<=mu$fYLK#KrPtvWm8-+Vt^CYxLH;!5>L zM9-yR0wCLn9aVF>2?#4~eHkoHvwTb=?t?SnX3#voXWt@s@Vd*2F!oa2usKnICHO$X{Qao;vIX_UGwO@2 zuHhe7PMcvrG|qm=e`a!ji&igHTpzh+v$nGPW~{Oytz&LW5nGJt1vCkvLtKLJs!6c)jXFKfKu8Q0sObQ zwdFqT)G}FhNv4wSRY<`eWP;l7XqMWj6Uu|*EST!S;IolAobVKGEsBeU;PfQp;krF~ zuv_id_8>p~@YZa>i}M#sIE=BV!AJbqSRbQYNztMN!V1F<`iwAJIxBb?^@hg0Jp0Cn z7!-Cuv=UqznjMuD3Xol!jQ^u=XSE--m_TcwUwWF$t-huXsyUsQ`D1O zikzhT)Tp-Ik9UETcGJ@+U~~wy1k6a)IR0(f&3H2DSCOMhPDL}^bC-kznyIYOlc2&j zg3;$Z3S@SqvcsYW|C9pUM+(S+JRM3JyByHDDVhPMH8><<>i$N5u^(tlO)SJ7P4dQJ zV%33DFbpI482b^%nF4AM20^mB+FQtn9#abVK9EZ=NU#G89?tpnrn>F&vL> z<(6heVX!FF1uiXx3S~XtR->m=Yr$7gQtzuCtZ9Y~o;&|u!-CnL!!8IfO12wYdkbO< zv@;^~yZP$-0f78kAA0mPQ-B7ethY81oobxvSbgVQ_(pyBwuB@T*KWcCU3?%kTjn0S zWb~SSqR)Gkl;HJ5xHMkHkwd$*t@%ylvKDURS@_#f)ueS-r)Q)(Ld1{mGo6mFG(?a# zP+*M2wYq`YLIr0%;Y5gs94#F zC~4YAnF}s0MD6>YNmRibi+fxbIOBGEam)owX3bXi;VI<~OIAZKTF+L?B)m@E_;D}X zy!4E%>bSK%WsjuP@p&`{^+MExmPgukFL*sMxCC`~XvFu8NFg~JQ@C-c+0_XjY2Aph zQwWbGE)98h92#GZh!Do-AyQG4sQK%Pn)+;Cp&0@LisIwaSjS3P0jDrIcu?xmBNjH( zG6f9)8I|yO!&o_e7T8+$LEPX&f3drUXDuR!51`T255KIoa#Bi*q)72|^G|!Mx!PKV zJ;&)lr^8YUEe4M45zn?rQN!Cc44jHL57gcL9cy2w-CaaliOUj`($A+`Q%~{y3=K|7 z_1e>>GRy`h5MXwd<9)cd-`=CqtmQO25L*@cD`;CGl6mw4JS2y5Om3ys+#6$J>+nZS zW4ue(vgazV&gB4RvYGZ5iLxe?PVu@Odb_KvVI82aZP4Q+saph`$|!PVSlwV@$dRXr zQlHAVVe3Ak<)`0-XLe6>e|oQ%F0Kpe6B36`C@Qs@pWY&?#!scL(FFfE!K>(uV|&Yw`)1B`0);RJ z4TzaLS}Mb2(Otu=&-uvKM)|gLs|MUV_0~)FPJ}xzWp=W=tT}O0PnIG%zk~kmqSb64 zQ#z(>Ot86oCC+f%odk2=oSs?)^2gS;o5v-Y0%muRg#8^oAMjKwq-(?q7feJohsQW7 z2b5{focPyHQDbweYiIx5%7wVK(Lz<&S16A6k7>NUp0(~$7-j~v3APZ(fGZKobZH!? zF}%kYNlZi99#q!`NZsw0m-gfp97DGlJWiOTgn=_sJXst$f_eJ8q*u?UwUFVbP5^mdX{hO%L5VwyU_tG9l#!7*Ex(_eW z#DTRsNCUNY3Qii>fnw+w+fyGQXrhKHV6f=0R8f&FhQb;r#^IRA!JkJa%Xllo*YSI( zP7xxn@Pt6O{Z(-)Unv2GW&Pdlz2yy88A^l_2~80g^`e}&{#$@E7QH@mJ=8FAny0B` z#R9;zhYFA`dM_h(tE~h+3{+U&?~pHl#nxpBHp-Z;LHjpE9{6B_moroEjqTJe*kY|7 zX`iG`u5&QG-6%@oMh4nD65h^Xf*0@e@18&rcu~-}8z&#>#OSy}fR%2{Cy+i+_G2Oq znIJVpstp=YE6+<%21Pbbz$E!RC(K6JjOx%k=4ow>^d@Ft@VBSip^~pA$Jsdx4(9{d zSWyPU*gU_U{Kv3j{n1aL{HPnwWM{u?{cek$Pc=XiAt%30{E#?BUV2;fzRn?5rrrlM zQmYks;m~-8BERLVP3;%sZ8xw%7Ajpv=|bhsSk12^`Mo>8bg{(tOECFt2fFtP@W3Z6 z66w@DY#J2OzSX$**K2hG!#4##kHN@VT4y=SDP-z%A&PZ1>kvYN_B|2H61&=qZ%o`) zxugY1*_t>glk5@4JZ|JxaR{cS_K^vP6>y>hIKOQ815~tLk7gP?6dR9`VR56F8XYrN zcvWkg3ET|13cgZ$!#JS{F;XSm-I0@&N~rjn&3V{Id%{5>u|kD|8s4soy{P#CM!tb^`eTJBfh*?7 z)w0vlerSoPAbO4EJD>K=rXeJMX}`}0KDV3**n7GpW~hDeC#fGG|ClB?JcaiudGo*CmbcyO+0zZjr9|!XMi62wU>~PT^{Qf5y~nj_1$vX5#)( z4CIj{f5si^&!>O?=HFDsH*9sk)yB%Hw~AAYAHvg}z$v&-Pwdav++De-Y~fzF#|90%guo!TST-uhteg1r<{_@qg=qI#)1+u+%Z0LMF!QGtT zpmj3m%`T`)T6K%2THFAd2xcn1F(K4}Gew#=V?`sOFiF4i-TF#X1_6w+Lpp(p_nPJ% z$tSP{e!II^a6?dC+IwDSM@4BORdwPwY>cW>OpR>xRuDIbYl$PM3rTqv-PIMGG(`8c z_7FVlUap5Q^$>Tc21)}z-vFRB@z){m!D8X>BSA;&HF=k3U$+E0!&+PUZv6Ak-WE>@ ziHL4r(E?N6SPrvYhS~HCdvB1hV#w6`Wkkf@VjT3+#ZcIxehN8VUFYt!oGOG`j4!D^ zPqHUCctGC!SRgHNo9AF9>bO5=lZn(C@5x~QE^&*-Vf z1`C>Cxj*Rl(9D$8pKQv&{A9mUoT#|`3{w|IpcyxZ=-DELBosGni57d*3ObVO8;SFC zpla_ngePO|m-PUhz;F2dQWW;EdAY=eXt04bnr)s3)wotkKItM=5U37os5HDv2VQFf zY5|Ve`n9k6_XGDdh`J&|yHS?KO;$6irE3!5^%6EJ2^tb4cBs1E()Zj=VC5h##IzPm zbedx;U%7iSb))Q886Ao*($sKSz@pQY02AamHxg|@myfu1fX7whsFvqxB!981UB|;| z%Q%E@m_yYT+`6Lk3Wk!AZk{^O3D2idb*Zy=5?XXw9g)hZQ{M-}V7B4bgXhor_z5LG zZOtx$b+Wbb0&S7g9`*D|`Fds;swT1QM{nI6=G`Pj%mVwUIAVp@#5SnVg11By+6D^r z(j1m6a}};>Jf5F(D6P{2ChK}-H zHatmRFdYvkpB*XX*tpSL+)+)eA)o;`D;qZR6h9zKn36c6msdbvXUNGbG=dx;j<3+M zKD0!Jq*f0Bv0lw}ln64GW71ApB`5~6_On!X$L>zSz)o(D&+z2j(oZ>V?@GDgb+>1MX5a zRQ~3hJ8;TM z0#y=+DCxg)WIz)+AcHpCWuDV>5~oS~9EV8Q1P37&(lg`(Hh_ljnS!lV5`-+Ih#=>T@Xyl!HV=3>L)u2~N42M|w0e}%e*J!@&Atm* z0>ly@x%KdbT~R>h7acc*y00s|YP$Mb_w$JU!)l)UiLq}2-4q63tpvOM8OB!7lYYJ# z_;I}c{?bi?w@FK?io+j&-}|LyZrpu(Mx1ZM&*wF!$l{cP-AF;x`Exyv%-5Rw`nNjuD;1~iBOB1OvU7?|ndV>3@U4{~(P zMZnSfW;VzJsEv_~krl({nxcOniX-Vh?oLe%nj(yaW@yL!IPql4OK~@B!+({E;RPKS z@6+Xyhv@Jgp(;eyNj*Ohn*FOpDdF|pPY!PL6V15$TEdCzQh5$w^I?`;M2DUQko~17 zHUf22u=rT$Emf@KH*qMfh(2p14uK98D)LU0Z-NZg-Fhocxc9L*ka2QzMjw--gl6ITWSPd@$AR=9k-eN)RzAaEX zv^6fA%YL6)mjd0i1)uLjUwVVwWHSR5>W{|5^t3*)&O0RHkphuRZFwH4!FOV@fZT;2 z{TKjH=jzj8wkGn9z1S~F3rxd+R^A~vQ=Wi6`UK@Y9Owa{V1tJ z!1AeM53p~0G75vAG7%#QM4D`@v~$K%6Bp(_5({5H>tVmQ|DRVusuZZ54YS+}EV`y6y~Twm%J zMY>?8!^Im4@0GP?L?ImxC6T!c;4_8}$jVcL2I-g8JdpxO>mo9mSkoQ%A);%Q4(QKH z1EKOEdgnwmVzGjhLWB_t`aKMepPGURv9a9n-zZT-cUz@Nqg4VQjNrK$8grf%*m5)C zhK};Z`AIoa`ujrK-Ha1Sup-AQqMbYZa?J(x%FvPy3d3r8Ug}l=okNRd-h=U1isDt- zqWl_ZY8Mn`+R7p;t&wla{ zjX?zSV&2v4fF^ZXvsMBze2T7NswnS14^dr-F7yp)k?d!=9*)|9+eLx;D?p%Sx+6aM6Q>TyLhaS;{8 z-dQ4#m4zJ&rjbDuICb2$;bgi$MjssJfwU&Z^PJ?gtap?sf+%63JDf_&a15ITpu>U( z$!KwLEjQGmLnJ#nSD0(a9z#$-Q4^ug$HnDA;v8ff z`y6&@{YkNWGQR|x{>Z0Rh!wrghj3*GEKT#8$@mOSdI3|d8PDwr!#Kotb6_m#UPL@y zK=YX7wRHW5er-W8T(Bq^|Nejc>;L!rf$x9*kN?;I`~Mbq+yC=l z;uCSc|K(r*Er;eG5-7%=SWuwwn_q9OZQY%FS#%v&GJ(tT z&)27`?#C;J<z)aP)f_;}dFnn(XLl8bC42ZGy;vKF2VlxC<)-laN$*`fPP5BlEfN%-%f2Uc!(2fl z+GkC}$x%8W`==~mHN{r2`%rT7y#RiGW$9WnwX74JXA8^W7ul`+xuQpzR*7BP=v1~a zVrn+ZKD7>5C~cN_zO4$*0E9E|=ad4~p1(p>?U`nJf8DRO5o-6uWw2j>dazttfdpkkFD?$&A>kOciWAT}uUF(-XVC z#HZAMa`YOo;3PFO@J?4NMJEs)7N`QdEAt4K%%I z0&+|QVGFlFkS&?Pqi{z_RgT;D4zPpbg-x=S=TU%N%LPHFpx$Ec00{45(IPj^=StI0 zsR#fVWiQeq#WS>C5TR1KE)SsE`WVHi3M2 zX_B7c$z?cn=G6 zhVC-pR#Eo=*k=>3i-jQUDI&^b^$F)wRVB%iMb)-YHv36zDJQ$MAI%m_O(<*N$Pcty zGFewC)aUb%Up%TZI!`!qV#`kF0k z&SK5ngL38e8?}2_x8G;1WQkZ?y>ygl>XTx{(iGxr?k(iPB2@{FehHtN?4g(xwrIe( zvuLYv-t4ilL)iM-()L1*stINha7;k>Nt*MUjW=E!;ouQkvwgfnsoy2B!#Jd>U;0fHy$;1%Ip5pc@aTelHS}+|DP3M06WW8A;o)HGD|BcALwkGTEvZcqvf(Z~9CMnWpn`CHDdH^h5Xu7UE+ zPMLzlW0)J!@f3nw56BEi1Y_M=Hj=NkA>@(|mY&z?HL{KnQsF%%r>&lsl#76DCnG$O zS|c9eA|*2%cOY{>3wTWE8gzE?^8B2b+3m*_%t7jCbd=~%n@VoZ{dT`AzMA>5o1iAz zEHaQf$UH6MZC$}bWJ4|#Jt)6~fnLh2Cfb$ba=PRlNQU^$A?Iv#x!hvS%dxg zsB}|WJXWk``hZbink*UwuVILo@N~bPr^KbYHOu7vIZ(84M6`de`P(^5Zz{u=2YYGb zEciU-$4oKSLcvKcf8{;SF0&HsXkAWRKBo%Pf;Af z<8BN9i-E_4+qBWIzB$#}q>yFy^ulRTPwtm7$-FpR?u#7RJKU7T9W=FCcpBvjJq>*` zfXHzJwQ}SpoxEZKwY|XXocCdZ>`x?=sdN;{1~twv-!zr_%GZtkd^!g7Ri~&AF@r zBqQxXFc-fUjfcYv3T-|>k^-Vd@t!oR#tPa#hBYSjcr;)%pG#Wf&|G)t+U{hIQe7qj zng&Ma>sFcq0=L1}KS0*zGldzq1=+{VH$&roOL!J-0^xpRZXU!rx0@1Z%j*wv|2$WM z%IOQxW}h!gxXUSS(E9h97;oY!{w97vTlJay2|NFOCqAavd_9NNJP7N!`SLo%Q8^&!XY1DwOZG^}>}uY*!4es`+J ze?AgE*vg9D-{7IO1_S3b$vKO-g;USgPS{AvVwTzoz8KKW(C(br=gxOVmz@%}w<8x5;*0+`iJ-cKOE+6&$O$4|1RL z8fTi<^VR_~{+Vy!D}Ut56Q!1RuZE~)0*^Xe7^O&3>|f(=S1;9@!I^1+sNJj#gb2vxCHC5x|_E4yb3War_`$r!|86RoI2AGdBS zK?!1NTY}8mKN$eEqEVD1r&6CsFLkrESudITNNPM%Ihn>SiWqF#j#9C)gZn<1j$_-+ z>tmB%4<~6|Vb^T9CU$*&;~s5cB{1ukWgz2>hXLo7gU7iok6V2RFGgQ1@@LxSFoWFow5FDyqc^|4vf;?=`3{Mc@05uNU$0XEEG8maz`?zJ-1YrBCqEvF zvg^yUSC%SQF($Uy<3v0GE#r0ZDJq{afkm{vDyS*11bntTDeT2_F=F`u;KslvM^9st zy{BVwt}|VH>DjCBw)BJrzX39&gwnbOk9JH6;vTR$40KV`19id8(wGBSNa!MSAUY&Y z2QW^o3o3D*Lv#EY_-oWm%u)sO^X zVcR1Dnwu|KkMsh&hUt`bAXFPBwos){wX~jwD9-09h4&M2&8L762xy>pjk07v$(?px zwi`&J_dqylEpufi3va-$eKxLcsC&niRUbs3G`!J=%~qd$welmcmA)=!H~rIU5$W(iv4SiXUD`QavNH zV%viti4*njZ1PoayX+MMb~l~sU2U*t6)h5FPz$8-%vD*kJN@b@fU)`8wyyfR7qrE?-cBX=-ZTWm(S@u9|oXg-&@JOduodSUO=J0_N3JNVu^s??$6upsl;)g*GgjIq?pImJx5>_ zty$K+^6ORntuydRij`OWkXOp7csS+T4+nT+@+wZC%LpNTKBEpElc9!bbc-?F$B{=f zu;g!D4M2PCr%7KrdaY}?shC@ajGwUtHlHaaVoUflU4`PYj7I?_tI?uu|V6}k12kRFSy@k{EzFx-!4H4ykq3WL$`DnOHrTg){2Mmc8d^BEyv^1&H{$$|0D4lef*9e_i@w)|v(? z`8v|T#?i9@U*^t9tG9ATg7Nbaoquv5WT26(jYpdG3~gVz-3Q?FKtzng!5)Vb|GXSpo~0D;G#9<@GR^-&Tp zU~(=iOyW?N?wnh^6P*|oqRJAkmP!JQH>=dmYw_rtyYF4*BM8ck&*E~C zm3+?z!5Z+VX~U$!Z_#c+XDr1*JnfQc_hxwJ$-8O==|~1Nt+ELnLIB>Ms`;sdzs)mZ zYyn`v^#4k_J}#6bgPLE{H0jBU)S^%&R}RSnk=CE+=0zWRm0>$D_P}giN|eax6(CPo zt{;3C`Pv7`RSijIY=Tn7heTI$AsLhlA<*}G+&W>ut%^y@9Bk4-9{uKYBH~Fmy57J) zl1s&_Bx?ax%a4L93Fd^fenpVz9W~{7fk#^Mp`=?wlE4f7D zoVOxG9KQD&K=d`0MTSJxSQ3TRqN|U#FUrm!us#JRIN45;#1}61DEmRHtuJ$EodMBs zC+NIXYYSQB4v@uNh3)^PHN zG{Lr(1d!Ms=^aXG_^yCi(R%1A7O#>eAor?(lErarHmf=eqPOhduR=Kqj#k)umCmX! zp18_jKUr9I0z$@4Pex6)6hFZSpt9|Lt&R1>f6x<))G0hzdECkl??MT(E;ps*TBvy* z;qrFILbrkj2BL{R9yQvC&Pu`-`g>!K<_6Jl8vsRhKFx+rhrzV!lO^1#c`izQX3ynM zjM{JeQ-Kb_bHG}XCg8WJlt6byM3uco=ehusHw?L&@%|)$gg-6+X54qqA^bHXdAR&g z4hPxMPENc3BAu9e<+&~nV|>k~M^5_YyD8Bl8|I7lhN%aF2QjU@!^KUaO&ls~Pe_)C zjXbw(*yTwyh;hh$ZpG?ed}^HcevS)GMi#HN?{PV&S~}2ngOU=--252ow(Qv`C2X;P zj>lt8wv&%6(bGJQ5OWE`j>|!qoe(kd!w@|WIA_5r)`NNs+wU=5(z;rW!n7H2*6Z1g zVo8RP@Z?^&V-iGjiDtM)oCEl9>__CB@ft%;eh(mINUVyL-h#F5J2^$6Z^>_uX~CCN zAC!I)UCJK~dA)RT1i9Z0|ETlRA;MSjRbA@O%h&CFo7H!Kg|`>;HfrsB8JrNHHCXK= z`6u0%EAux#d%pAU?ec%mAe#?|FfR!&PNu)4xBsn~d|zH}p#Q;4zEilI3;%v0{#;m7ItaBZ|DK0 z0n!;?u$mDnlB@_y-Uz;?R@rX$xW$!OC+X)Nk{j-xG{qWlj>^&;IxIH*Rq3VVhVW06 z@S}HIQ^WdBkR4Io0dQ;?pr7xvRCwf}%ocGWC6n@IjX!u|iSNP((pagi*aF z>Rbb#NIt6PoFH(i_Ro1<3=^&~FKF$2zESj*?SFF2`q;)0L!$G6{?|wCKRaXBZ2p^v z{^ztmM8^3hydOadl1Q_8(Qhjc8=$=Y5k9pL51Y}VCwZI`DD6hS2L~_@%doq_`#XEr z_dHo~hC_sKj-nf$36_u=7Kx`qAyFqDJk86!C9&yScSl6)IP*zt zQ1?eM#PV|GgY2N%!}RE)WFC+w-mh&85p52g)|$xQ9R5erC1)g}4uU96M7cMe13=_mBu)s{53BmQwc531Tg;QxW zaP$w4iA8tgoh5JhN|LLyLln&-;99jhIL`N)i;(69Dm?CHZYozEI2U|Ey9eEyvD6B` zPN|U2NMPB)%@>OwqHLEFHGcz3M1$iFh)X0jpk3op3qT#%DC_vA8dB;oAsA`zdc!+P3`^1d9oSk3z8MbUS|2W~oIX?m0`ovyr?*vyA}h{v5qE6%{8ZW!4Gh9V;uCJN9NW0> z+I9dL9*aeaboms3wKia&0|%nWNj$GSRv?s)a zR<%>twlx;j5`>Q4WUu>mLEd{%`hCWGzo^mC>)}MoD-ZO z8(JNT0x2oGupbc5?wkI!z2ZlpY8!2x4@yag$UrYiNQiC;^@_T#89-oe=`SFu+qngk z)VE3mSYN3sL8xsHj6}a{3cMY(@4bfT+biG_6WlWJJ{xyM`kgt48e;CrQD{rKWn2?bx__Z~&m*j)z$4 zrtcmq{u#y{I6UH9*#i&qyqtLyjYS84m?PtUfVuxxl!aRrylKrb@fY^%Q83ruiZCk+ z;J$xYUG+Yd&<-OXDtQ0+LXAGFzxgCz8y{xvH+KFX!9F8T;&_@`fG*#V=>2#gAAXI{ z(ob{|eUv|d#HmK5b&(&}wER|PYARkI;aZy{W$UkJQshni^HA)W`pblmh9GqmGjAX0 z=bSt}KyD{cBl*D=|2Q`nYP}pUBmVBvDE{%du41=jBz;=IEc_;&;kqy^$MKRg4LSbK z@|iU|y{&u@F+Tx?zr)C-ev(80t%!+$5a*o6#;wYlJ+^my4gYoMep`Ukyx06ZV6YAK zCz%xZH>dN@1ci`;QN(ZM4;=nkR;7;!QbwuZ*8O=X&Y#k>Cgp@R!@rJTPeZQzJTy6S zS&#lqP;NAGr}2@OXSn~flurXs_>mo@`)dtSvB!=9j#rme)1HsRHHRngFLz@0Y@M{7mY0iN=5nLDl+97>}Na8i~$W9A% z=mFisE8ZpG;R2G4VDA`xK^kR_@6SlYM|RU+Bv7tzcCotgXYveEuu;1dNS5R zM8g{eMzKKfOV$o7@j*h_`dLF&plqPR6FaL(I=KPQ`Fbcq5?8+N)e|ipsXB@=Vb3eg z4ohC#dr2lA9vfvdb}DFq6=M&%{l-3ffXbi0$!KZ6ORNcmvY6!u08(PNiv(zAt4|*GnNaOBXSz7ci+D0Pv4x22plOcVtAS89hvi;#b}eO<--}-#F)o zW9ld64jz=p6wHgTrBoMaqr+^fNL+JQKmIIi`nqApUzM#p;E_-1XHBrtcl-Y|#(53% z&!Ns>82t~W_fvJR2mnCDBL$Ya{Xv`!bZ{dL$Pi{j0(B(r9A;GBT$Z7oT!be|6#kIp zHr{Xf^2gyzwHDr>q3O47N*DkLyZPiDjF^4UM|4sopPRAdL_Zg2Q13vr;a*KBJ5y7W zJ_@+%4^mi*4zf3z#-5)1+~@i49@9MX>;*Mquw8Z9+A_5JlOspm_N22s7{&&L9=HCrfCeUc{U_ z9BB*FU|nC;o0Hq0Vu`B<3~z3m3I1vHeC5J7>g)DuZU>q`KGfm~>SnfX=}EpKa?l%-?Hl$Em^8GN1{v<@I3%!1W3c=06X^+A}#8X4{%Mm!hS-S!I0LM5(#-<8+LF`pE0tM zWItg*F}naQl({cC{uBAxIoDaXjp~V=H~-qN-Xiq(ehu?u3aerI8%-3G>jD?43z#hb z4)a{95AYjZY#Y}%3G`0Am~U>Tn+%n_wxkWyhYK98Hvl~SsFjBg0_?=Vd%{JgV2-QC$N8wGoGUD@SD`^)nI>r#oOCm5?K z`-7@pO=7tp;V;reS{sBB>hh&ON#icp7Trq^0QYxJeH%waA$JS+;V}{q%m*pp=@X3T z=PJ_M)J9>;GVTtzgEos_-lQK$gw^WP3!B=u;}#_MEj>B-*l;-t@5|f|BiJ^i%lHY& z#nz6_{iw#!601!JTo95%q}1cVZ`DrcW^HccSGSOHfl*wDQ<0`0R3ZcQWV?RP0AqMC zl&6&=OrX?TwT}L`yejF@9sb>k$D@|5=D{eZlz|kjuc(0bBS#M9q|}90-E+>AN^2#F zcm8_GM2ixq*1a~|JAr&T6AHh{R!o0|CugIKm%zj~?~-Y>xSgPXUG0 zSxcSaiptyYJFll0!-&rpp*g-O|a&Z(|A^Au49>853ZHK1KA~vpJ`z-ef>8@H?#z=Y z-@9f6Bkqp5O9%TSON@?VU7!u0kxlJ$THXD}w$VWpk0b~t;EQh7eCuX_CCpa45=4f{ zvcVLWUgT1h5dHbxaN|wXA0$?wvQ9+8W|8%9PDJZ0(WI+<^`!D351Nj$Q#10#~jwW>P}6oJvn;;Lg3 z!WmqC$RG}!5l1I~NDwfzFPRD~GOIcH^DbWgV2m3WrxBRY6#yS*`L#U4!xv*-K9+^F zNL>eY;O0bd?1nS8E2htBrZ8>xl1f){;9GF+h8*;*zH75CR z{NPZYmb8X^(~bLIT--4%MW^>@S!$T3xh+|-y94B}Q>SYso!!&9^~*2h=I&EhwwB^3 zU)6QK{N|$GuDmT4?@InBJGEr{_qS@$2?2&@4^=GlrTLN2f35I;X^^kr$$w&(e+{zW zHI|YnU(bGL{l}Snr*Kh^|G`YYQ@Bp?|6nHHDO^hQe=w8p6#fqLKbgr(XD>VT%t^24 z#P~SY`6nCU9o7#_0;S|D$pQi^e+M_4a5y2UcAL%eMWgd3222fSV`H@vg)e6@xl~0u(UA`?I@;73M`*D(<5A{jL-Kh4o?%phT_*4=5 zvz(eZl}#13bQRaKpV~GH@LJvPFXTFYOZaGjznlArKD~cm;bQyAsXX~vJ~FRrXIbGR zL`yYl0Fnk8ym>MH{h}U3>9DkgwhCz!OTtxqKwoyGen0{{#%cN2@?X1H%@e zyU7Cbrfw*(MlpZs;y8iy@q~C{XX0(cao=kIkLN_Bat5!FcEl*PY#g@Cu@xT;E;dK{ zP1Ms$)GfjA*DK(|%5!>bHTL3cI4`$&86^mHv>iYmb~5?I4eb#MqgiGe=%uG|MEolrYf3uyv7oPj6`CiEPK~G*z?$z@@qzB@8`#fpnK+@}!f+}oH4BADe5IdJ>Hj~Q} z#8$UpsF__6wPJ(IPh$KYxfe?gw5sb{EKg##==JQu~3gv(HA5@XhjgZt?U>ip*27N zPd{K};?LZws!sQGjoHi}nHiA*5^%UL@qKG8U(PQgxTa*#ekMtG++uO>5=!CppGyY6 zwSt$|{FV&*XzI$vKb8zukbu8wIp4=VmQi~0>67-_ngSQ5CwyQjzFiAGG9pRq#UJvA-?su!njjoh{1MQdzBH{D_@L!9UmPLdOrQ!ik93|?& zNvuKDkyA22bzpDDKv;d4{6uJB0v4aBjYH$qdo*!qLe7v=LKc~lOwr+I$KsE=ZHkdR} zCybK_eNx~MWw~AF$lCpcR-ce(^yQM(E(k_7ft!uu>Ns8C%J2pErxpod0I*ytAt*3l zc!YW!5q@DkH4w|)DP#Q4FrB;G72SQ#Y2T8c0 zBsBvXC=JWFZdZno9}xMs;zcx|oj(;XE+jB$da{81bU^3@1&+pxyl5tJmn^w(8TA8R zi>lNXM4Od$UiaZ<5jSpn19#H&lszR6s>>Tb7heEE{`B!dR|l>q8VCR?e!9%Jp%n^~ zj)~BowtBI|d@8)oLWBWU1|VViaXjW>0@YqSB7a4%JcE{SW)-Lpn5yl`@{(*~_!~ph zoZ(d2oNQ}jLK(+veztgW3ojy}g?*4r(v_W;9gIXG=oV#NKT%q|GA)NO_DQBhhhihl zllW-@6%Y6C4KMxUX3L8Rg$43!vn5ja;*-67=*phlF(ck5`3jL{dxeMwN8qHasKFOE zFD297rnZR11E=|^F)5Ou%|McJ>~O+2Bt*{Vf>XJt$*bQFAwdqf#ec^h!$9b-@0RZjw{IBqzGN*bQ5n3|$vRoC0& zyN%?dq6w$8ml0hT-2!*)_(1G4c(R|8@E_%=mnI(HKP(WQ|3fHu_}AW#3tm4(F+0Tw znvwfeaK$@;)$kAS8#c^`iIgQQ(-oMq@iKo3-))bPvh0n$*>zasP5yu({;dmpBEEL| zo?j5MhIGGsCds{HbH3i6g@d__1EtjMeFA)jQaEsKltTE{b|cxmeT%Ia=>UUME2gQu zqL4vKx6SKu;t}S)@lsLS-lDV%n15@xjE`d6RjYsP>*=1-5qX_DchB;i7h{KT9lE`E z_|2vB{QX67VUo8TNw9I@WdR_5cdQAysHh^QsFQfIJd!ju>RS$`!F8z0q^vH|RbfZh zq?k)kepBz-_8!#gylVF;%^mhV_`GkiFgd3Ly_IR{lD0kJUZ#I-S9GGCV*@TJ4$LY# zpPo|H>zDYEj<-^nv2Ob26QhDsQ-=QfTA?4q2WX~lr_YyOgXqvtNBy%$s252fy>&ZW z&gbhB+f02C=pvFdDFAcLCv$R+xJ;$)JK~uWvI&}|U3`Fh!gLp)UCQkZr`r4b&NKV~ zv3rlO?T0hd0hHx}AQkBTwBVDfvC+V0+&6WqU~=X_7>d4u{&FdJejyfnG)wUyG5l+j;ZRh5LY;w|LAd zjd})-)ajU@*P_E}KxC*oqdb8WW9T(AVT>3#XPsqTQ@my*_6iJ#vdUAy17)AOkua3! z4c%OLC<`ffkn!0#j^JbdcqWIas0(#d_@z|6X&TaMp;`~NZV~iR!D|u z<%s$mP+ogKdrd25N76N^JJ2n$Cy0@&!6LIi^?qK0?)k#X#q2=7LcY+`RfKV-;k7!7 zv^u=hzC~!A7%3gA`cQgILmPAejio&nkDA2*HD*2$_s0N!B9+V~YxSN)GLh6u2 zVaFRFCs$LDCZu$S&}8z-7B|Z`!?Qt|Uvl$ipcN=7M0}~h^Ks#mBqU z%V*vbBP)MUrom}1k*u}UQQWZhqt~?y7eB*(cyb}1HGmkbr(5a=Dz`f@-0a`>t^z?) z7tujkriOk(aJt7U9GEBS(eWKpFO>d_jNKBKn$VC>TZ1eNBOg?oP-}0Gn?YHUR~irt z-wqJict<_%BDI>2nnR}9DCTJ+IS70c~q+!Hn z!CAi*eEZi~DAw2Ik#6}Bj1K6Ndqy;jOjpr_bM?#B9C6JDN_^41Q~4--lwI3a_0 zS)b6*EY2r=a;|U{qro#hTL?)dioQ6}3)S#O^+?L=KW%T~1wMv5jjbvQK*Z^Uh;{!6 z0Km8Mi#k5RGyK_=!yV`oH|n$@PbfFmxL!al2ddgC@MTlx@Dur*(}ScO;u@8DI{{J^ zibIstT$(uOB{wVyIu5W!s#=q*ukVjhC`=g*7DnBk@7&BELEEx7dL?@pp4f4RbkM;{ z`SdXxpq7^phxK>gRJFM$(`t}zz!uw+hS$XAntr$ESD9AJN_}pv>!hu4GPGJJ#~6;? z?7$_kzDf?rh+bQh^XuJKRo$$W{IG)O%@@{YDPKbq9cH)?A21-1g)q|L?Y7T#O-h$C zwpqij=ryCLAVIdVpyoYd5HU$QPImApN*iHfrt{b?d`{b?d4YvyE&i;@ z`7#s$MeCrtTDbo@$p>05A7z}%jz}_uFcXzFQTo@`UvPdW@J@}#96~h$qv-OWY3(N} zfau$fowm;oi`INN9Ib9r1#WPec|$#vH>)ft^2U%35UtXqx`70K`=)d#iD`FgxcHP3 zhdj|4QnkG-ZlgBC(w25{)i?1^(&#dbR8`nBcfQGB>qRZc&$)eB{|TVJ8QC5{;Su&g z^n0Ewy$YF*Z{EzlY|H^#>ZyVWcrW0`b6SE$TR zVladh422Wf2;Aq+MNs-sfUP3pUEakpymWX!tT@>okao z2~jDMaro&ePSBJpa=lD!N0|1SjG3PGWMhC{e>q=i!#^&6UTIi0JrV+v(UW*1q}LEP zyNR&st=X#-hA~htHkPn6`&o1xgPrrO>-=+(MCV2kdwQdv2Cg&jJAVv0m@m&~k8oMq z7$<4apX1F0hSnFmV`jsrsxl|jL3?Uc+u)jF=EjybeMj`{uC$-8eNEa%l##Dpp=gMu zh0nQSMIb&I)%xxq1|bkF+Z+3QclU>O+2U0()aSDbNV5UJ+nv4jbPj$V-^PF4k>76H zkeDQ>C?ne08glOr?nZpe4WdbSa$5CsxOOOG%(oU*;C6?-saEo5wAWHtiPSV_eD|Yo8qV?TtWSz~&qSv=h zAil3o|F$wB@pIKS`frkd5#j5MF`Eh`E7|?dGa>%T#^(6xF`{dt>4ZYx0yQ2h5y{-# zyNdpddgJU&H0U2`03yWYpb_-rr>fK^?hAp%1X6sR!wfqbGKhUMG z_Nf`)+Y|T}GcuQI?n!DF&fC%uzY`qjl;jw1O6hI`%AJ+na{sVzX?XMxFndSu`_G(V zR>(E0c}a0TE8j*epNjTvo#j~KyLDPAHUod@ygdr+O}LdAk|(Ey|FunmCnuJ0%6$Gt z_V3pw4IiaC!8%vr1o!XzNZs(X+Q{B!d;`7it*D|m=saGN!J+M>eHKJ9sdqv=*9DEAB!5){eY>U2cI^3O z>bY~T1!P(s!E_iX+HTgcfzwWod&RhNw^KeVh3n>Pw1_w508=Qr?`I`$Ct)g4+fyS^y)?Ss}*8&f)o2t7SBQ($F>#A1@RHG@Pr`c4o+5PLh9bB|L(5lw`y^c?~S+ZJ2U zBu>eUi@K@%;#!AN1WdYuQb&*PrwT7(Mp^_4Yg9xPOZ+CElxx#iH~V9CtkXC0@5e0! z2Q^2xBLM(Oc}|XnU$-&qZJ-NUYhzmPu^4YbBjI=fY3I3kfkG&sy!f!@R!L8CVR7_= z(9Ua(3t_`{nFB6m80e&Fd1@1&DVl}Xnm>W!Z>keq{fp4hC+I*~I=*NlMKfn^qLnNm zPPx1$W_=;^P1=yeTHeMph!!MqBZI8dqZ`@4>s<@uPbVrz#@YnEOjCzLqjUj=>`q9R zp$I~KQ9gG$OYtNHj7?KK(2Jmk49dXnKO1F)P4=F$r+EJVJnn>1?D4}BU4A?V311Of zHA7B^8VE2=CsCFv6Rfw(6Ni4u23rD6Bd@!?4n_j@%Pip_!QMC2lO7KbdoRajM7NFz zr*EmFT(oi^q*m7M?TF^44V(O%Oy_e2+{1c&)_FtA%%K&tE7KVn6v3{g^rG{=^>;NA zSyp}XcfUqb$RS;1h*rIvOex&})*pXUo{%~}T@FTcw^Z7(C#paX{1u?_{F#8o>&hq# z*Ro!dG$RTwC1~;+O8tqUPKh5*{S{h0qPs!k!Z8vG2GD+RE7iyQRtssZ+K;e4_2JNh zjMj?m1{k?f7{v7S>;zduAOcP@^80P(HGHw>-`Yb=CZHFz{qoSlMRpft@gkU+L0*R4 z2{!U*F%u&hM-b%W?bj~JPnKRe7@RTTyi&TFsq+(OR46|P-`Wbv`fXHktru!R(G%<^ z)~z~yGL5#?$N9B~g8pg0B)6}i-jCTKW9%U0q0V1EnZp)iSHNmbp?6`^&VV4VEc4NwpO zIpAUy}c^qQVyy>0!wTV4a7qO7p>|>Ym8@81MPs9PE7|jyYfe75|7(dDwSBA z=jZ|b?*EOb-&V?cu(~qOBbECD8l4x{+2myUWP!v51rqW7TvNS4gb(4F=<{z!^uU*W z|7DMpww@C_)dVOZmUd$!=H$ZL`wDgZE=o;&n-JYyBChYQp9Z{VSQ2k&clBE8Tuwz^q@sdxk%D+ST{W zp7BG6#Gntt4}N9KhGAPd6Djbx$_$h+jmoHL3}NT};ZGKD>P`JsjyrY_-9*oq5S@hp zrmQ?EwLbVcVG*LMIn0daI7vYoar(%D2iYw0O$uv^-QH8)fp7&UX*BA7R3{VP;Z&Xy!)zAqjU$n9(YEr20^An&8e~768sgx;LF^B zWhgI{p0rv^iYxejG$QJFsW)PyR>gTN>jrQ33KQ;Z~*Bg8<)<2BMmDgn2|8^q3 z5(n0g)1)4a5#*?(JKgc0@ZwdDfnyExu5c%masN?gqMV%Ey-k8?mmTrdIok^&{zO2A;e0r_?5%2RZ;Rtw!3DcW z=wG0{F8i1k0ZClIgk+6!qR55;X6TrW=Zzeuzk!eruEEN7z=e{cr^Brw)~lhIHhA;7 z7R;4{LAv8m(x41|6`1VBU(@v4CYVa5?%7kafWAY*5FXpt(25q*3{sqiK)%zAqkebu zTnkPMz)J1n+j-dj7}|6BA=YAxABnsc$#-M#TGT#5taCilQH_#5DnPUa#6&IWNO*}K z$H(gWuqR8R=_8TcwaJ#p9RzWAiU>nFhw(M<(XG*yX_^d@!6#_I`cMcXngWN*hkIsl zqFoy@UT}z!LlP42#YB4)h-Z@Sd@ph8UKh#}zgf%4kwvlHeyfY6(11q#E4W}gR)#=~ zC++xec1HE>0MBOF0ae;G)z%9sC=;*-#k>Uj+iF%PL#{yYz~T5-$Zkr5P4A85OG0U| z5840C3D-V=cImV{`ZrP-=(Ccrz@3nh{!k8Lu zT7&SC&jB=p+4^w+6%;`l7&3EMOGB@5NeS-OK-=F!_Zy*jNWU~gRr@bPy zF7;{C1;ihNxGM*8I615`S#~%S2+Wg!7LyPC;rbt+zAQ*otBbKH(>5QkzzZ@zgFTs+ zW-Mr}ZWNn0~w?oWI;mx&FMI!4#q_O8k0&`%6` z#fx1t7-PF}`%@+|&Eq3JO_02aj@&shWHZB4M6UZMMTKx}7i8~0k22-QoQ_^r2wq|5 zk&l3zW3-rISStb~;`0Ttwtkut!bpFI0;i!}ln%t-9c=uKDU*eZ2z6LVe*v4#o(my< z`b4PgKm5}P^hETJ>$m&z$8CP|v={Zb9B#!44L*V&O>j;yTlPgTE990U^e6x2I>CMp zCgm34;Kz*q=*NG-q<__xA1py>@$SfR(s4l>ZK=ZVWw%*hwwKc)ntdq-q z=I@y=Kqo$yPXVazPv~VeP8np!PIV+WJz3b>VGMlS(fab5s|mu7h#&^FMj&vBWb|YD zZR*T;wiX>K%6va9q@Yl!;3Bh^&2+iq%OC(FA$L)7#r-n=4*qGHABLM4OK2DH#;$bA zh5x#NK8SfmdeyWk)7)uZ1#Hn^$V>ehGh{0PU!R}o9e6vh8+il2kNCo*cgW;__%I6w z==%?W{v)W8dcf{iHQj!T&%o_u!n5`hO#vi66#u?&g+*SQd$jpp(>%j}DTw%Zi$4t` zws0W~I)}AC`Ks9Deu+Vd-&SlPgv!M|8J+7{m?&iK!*{0aN}{#H2+jI5WU8y3^Re?62}lWV5iVFgO{G4QzO=frSIiaom*E zDXu^ev_)SEXGXZp; zY&n1ydgTcG9Fo2iC;zZ zehhFo6($XAEZ`Wm&w$2zXoKIT@`!-zv zs{ht8dGE-4n^hBcCZ9T*;<&;zU({n1s8MzeFoIA7DfE6U#p9bi@_8#1knZ(cekb;7 zZK42F`x6(3viZJW(WEaW|BZ`JAPV#Ul#5G{H2f_Whsr0=shYq1oM~9Mim@O`d1qjq z)ebk<+|RjmCG!BmnHE84d#-CJ5UXV!l)ICRHIbbQ*?QT;;5BGC+LNiJ_OIV zboL1kIF1HAo8&05@%va9B)T90r7%|QbYuN~C7_~_T^0)c!YIE z^E>~xb%j}i$|H&_qaU701msg%EA$H><(ZJ$b!;aU&@Z_;7GEJyOSg$PyA1o^^x5*( z`S{zU64)mD;a^FmZ#L-MT64W&>f+U$!O3~7Q5r`Us2o~>-SFgZ8t>Z0 zWpBW!Mc&j2Jb14JX)s+f3Qodrf6|)i3i)l{Om4kD9m(%Hx7>@akw^HwI`E*T1MFU< zxOO+67|K zs(DRrcTv2ZTyg-Zj-APo^pJ{z3G)0MX%=oyq3E6*_L zQ97lI9%_@!b!63Ou%uvns;!DLInR}JYy>tzoRZU7eC2OKu1}1bEiy17rS{Vf<&ed1 zeP|Cd1y@srdCW&K-~;e6m5hihDNO-ujq*@iQacrHvv6>2aQei76Fm;QVidC%$@f!M zn>CEZURq8&GE9PR@&rUB6DlD?D8C8Hz?IuQ+iL2guJeX7Tpyk0WS%bvGwmCvrd6%a zo(a4j%yyb=j5Dy}29qgggpH#^fjJ9q(`Rv_EbffV20c;D{ly>0e4#BD)hh7KmR=db zi&ql2&~0{M5bcS52#yzq_>$1nL(WMY?b3!xLTDA(`FK z49z>=bn8*8u{CsOp^&|Gn7J6;{OrUL^yyHHIQwrMMGJhXp@}0`d7W>(%?~W(acqz!As^s#0wsB{% z-uX^V;W8b{Cjd+d6{noBMGt3V=-dBKU7)6%maEIbFs^85ciHp(x!)8*I)Gu7j#u1T zO}#jH+A7l?dn}msLg{R~MIikVbk3(#Q^eQS%TT;xMa<*awvyNwgZEIHNOn@X!mN#x zCeJitrOc!{+0ee6b|=&V>wHB*P>izb*Wg~*FNB|a;L~wqE$w*l_mBRf9f<_3J8$U zMVbi$v$l*TdKR|SR%gpzkz_2|4#Lz67u!&ez(_V(wKCFRqX(U}_e}BTUulPX$xB8W z6|;Q|$?lmA_J%AVP-;_~6b9CbDlS6-Ry!eq*85KOpC-ZS)*JAoQ_L7?S2i4h`*D8x znSgzwwxJr1{Qx{B=X{ioR73?_!3FeLYLwwtsjZAY4mYBFms;FF?vV$)hH9yY>Hw6I z%#;lyQ&yFO@nuCudg4OZjNl7VKyV%<>zj@bpeta~^>+3Swdv06ixYOpKrZ7}!g8tD ziI^8)?7~*kY3>jfSe7;PWhkH_*b}=Z%7;ZjPt@5%cWo3|+VLl>gW;TwDrGM@!AI|d zD6w_fO7^XmRjF*R#U3s{<;17YLiQM0eIH<4L-fjkkQmS1KudeM4$l&`JdSW7_1Sb= zdfIAE`QdtlnAmjIulNm{Sj4*+fKI_<<{9WcHnfT=sISnU&4Tv4&`=|Fj7`7IBUybn znmi5<=fo-rM9YXqywK%2M9Bz>`{_)g+A=tl zq^r;K38wcN<4v}0uL93F%5I@FL}nyq$SJvYEfpXcu0ZiJNj4ckA3-ceBGZ`{Nhe+m@A{p-?%fYlhst+W6RXqzxYk0}lBJ z6OrZ-&;O}0ard&%<7tlDdt^@ds_hZI(jtq4<_UFXYBNlr9b7MYxBy5V+S`J*x9fKN z2SnWGMdv#k(MF|7fGr4K%~%F6ejfP5V74tmqmi{=^x_&hWPxw$=Q zO`dzzw9#~d*WgJE*lGpH5(Z`YsZF<@>{New0~9N6*ruLTw1D1#Ww`o`Jz+91ArV9} zby0tS2_!_eZ>`nPW<=x$gSP2R8ZDkTR*%ldm$xlMxv?d&EpE# z4Oth+cGsyrqbHK??KUvsTgpW@1Mafvoi7B--^8+A#r^Kwd68VYeUv7?_h^)OUS9K{ z-z939r9?7Mu77?&ILs!#4jvE%YQ^^eMUZ6aP)AG8npMyImNm&OEh`?-hEL*W$CCWo zqxIfsK7_Q`lGG5~vx7jHgo#_iX~l#GlG%@kkPWHZ67SSEe9%_Ww6CeP%&rQ%f<2!f zsz7oFACLe&p9uT#VXS$T+r)D;y?H)XclXqw76itTR=o+ZN4-M$g@P|ny`&hqgGVa(I1nV5sAD(nPGh|D-b5{BYq~|`^a_IeIg0SnDND^Z3iEQZDbnS3h3%%)alh|}3K1trz>lRFyjI;gVn@rM2<``a9NjF}N57DTS zY1@k8)PW|XYT8y7sWI;IV5=&Ma=>^xVm(+xZ}8I;yu^>3Vg##V=j8O>N5}BZ%Z%Ze z@2mz1-aFt8y{!FY_A5AuOq$n&+%tuJ36j;;j+2gFCf(c=rKB^ib4(&9hAj4pZdNVe zwou)QB2=LqE{wznp5W7v*6R6o&v9;C8gf4Bbd3g!RwqKzVx4&2^0XiGz;$<&lz-lvQ z4{uVUQhUC7!?jx-jPa5^7&KhKPe1rAZko!Z%g-pH2~mfsU5`j)O$dbab%O(&-hcs; zA(@PrCUpNf#IMKUVvRw?&!f}&q3^(DAJ&Uj#HL)7al{AYLHGRx6*aB4X)ACuPsU^P z+C-hyj34G3gDLhFL(ob+a6>TG8jiXJ07d3+F=x?@JJGs&nk`xuQLAU0yJh#X8V#n- z*3pz+S&wiYme?QRcEsVehVH++%8y@H9npt;AQG8bcl6Qt=#KU;DN7`}K>BuDmOf1` z=bQCCAHT3T2!rFmGfo7`&kP;fXw%X-M2F^Ca7t-}T>#zG-}r_`Wx}<;jhbFq-d>&o za52S&z+vmCQ}d#ejo0G&(Q}T#_mg%e=NLIMzh}DZ8t<(jSn^y#?Y)ltfu?qJ-Nsvt z(`|cwHn?FhjYbbv)_zjoh8FtR0hnyHc&ivXiL%$|2G_7p+i{1c9;Doz>Blnw%08Xc zts$dYr+P4W&w@+>C3fnKI%}X1olwtKG=VrNvwH_SdC!)od1p^+>b*no+OOGVg5OR~ zqM(zN5kQ#93WcU`o1iqZ=Bf*IuD2JB7CHWuy%yw@F_Du{qVLQV+Y-eSZR`~5eYBL! zpLEBLdp3B36ehj|c(kazrQcRh`Dp%@j$_X^-=q#fr3^ch=!_Ujx4qoF*?dN!qf+jhaJ{=^PbNXU~DczdC zYkl{~-WhHi2FUDQHUiQ;`%TiHcj7MK7ETtI4^JRcpileJ`ZL(v>@E4aBL9}YP0-eI z^sa;M$w5a>FX&AB%)hPp`$pe-UseiGR-T7X9%w77st!xC#rJaaEeq74nzu!0N!4bWbN(6aeVI>-^f@gZ{5;qAE-;#QM#o-J7 zG+y8BA0Ifd@HM7-)6R(GvVP1uLZXV;diAly@Xk5lyA9X?5N17khEdW+6d-+)$@B_g$q5~(k_0l|nd?@zi0qqNg|aFUup0C&ggfj1ul;ktBYL|3RNe3HQ<$UApnUUsFs#+~-s#va+A*vZuU|$vbWLZ2uYv+Al(nyiM-uaR9S<(W8n7 zdSH%&tFRInL~l}&1Pn;ZY=eAWp0jo zlr?fiAsx51Y17HFLs}aFGb+zw5obZU*O-hcB#|LLeOhfGlK>`5(;=tuQ<4urGl&RG zva5)3S|26B&IA$QtGslu-XJV!$eWd@Leq5Z9x*ubnX94*k=3$R@YUvdQ66SeoWM4| z5QQ!5VAX04E9V%y=qNo!ORrV)$KWWep#w@>vJWs#EBs0UhAj>B z$v||u+LA$}&=6)YmSy%B`0yji_A_f&sUd22D1~k6#k1ag?4C?bXjux>rQucHWN`b{ zfS2hGnB^_l-UCHlwbFAecQD9?PsI}V%CDlMcxRk)a8YLxR#qNt;9Q|q5K~Ow=ji+H z(5X%UYC{8qcmqE_Itwc6IN~6Z`YikkbLH}&o0lJJ)d=D zn<~;!6gX?#QiKEosL34l}NT94#uOC z!M&>64%!T@o}MJ4HSi7isT~9>Um*JclnxjCeRk6G_(KC8 z7t1~y#L)pBCVVT}4_D#L+Afl6WaT=i^l6y5anyH8xe6erBUs!`YKO9WfXR2y=ooW3 zefxA}MW3?q`g9v?!gmIgBeF3yW?_t2P5{A94?>`>EGq$01mHQ;rml=b$DX#iG><^U z%hlhHw@#3#QqO|sp^QXq)D<1j2Job~8(Ux2F91GE*!Fk+4QtFO1jmBbPhkYrt)D{W zNl=lpA*?YgzIU6veie0(+LU0bwQ&^`{estX%sT;9#?TWxJuFz*w@MUgP(6*;dGmgW zI@@GGX^2n?k;nt3MMDPqj~ySWq4V_>c8(kac#hCJVmiY9j0oqTpHI6vCtp%OFkp59 z9lo=1L5G%LNN`8<5P4OFh?M z^Kj&E4M}oe9W@t`F?W2*nR4_d$Vhb{Oo9&b0CxeE~Vmw zc*%zjT>!8J?u{R*%3fYmMM7qonhMPeaW+~qHb_1YvZ>sfIv9z)p-*1rKQEY}Zvsc)f>VDb86_UpZ z;#uC`FP|Sw&!$Mz(+BvoCoY(Pwtl4K>Z)#`#705*tru)PkFa>u;6pQorEz%%Ovnsv z3uitRGcd`K7Q$FbMdt=+HP(FaO6p8IrZQgO7=UXIs6Q-=c6fG&^Y*6_T-JiTr5_~A zoVXmR2U$x^vVG%kHnOWlS{-5FAB7TX9eLt993^OvO3iU=tQwl*pBh^2s2A?}G83cQ zC{TIbLVx~pC!yb+H+wJ0rjBLvO;K}ajZWuNy>A7@k`!k$e!(ln(#gpW*IYZ`O%l&!8V4r9q7FP10^|il zaJ@WV`P{GS2ekMFp29TrfzNkEH&c^O^KySz#_RH$(-0gXOwRmP`sOaaDuI0fi^4bS z<}fBlZvs?2=7e%Wb#PaGKplXOHTJuceLxlS;!|Rv+{DNLJ+j$#4Td;?BuS{jao1hK z+aiEN^LcuYAv@8j2i5P*C>-!Kw#oy`#Fu#ilU>~m-0#cKRv9IImzMD{$@#T@_J@hR zScO~C-;G6kyZP%u0E<3iCnc|V(bDRxb%W)2L^d2W@zIi0srO7^-K48D}ZjMiVNX6DtCmZ1yHSrf>9@OjC8<|t8kH;Noz zpXBZHq8=$Jj)@N)*mT^J)F`e7G-zBKL!yLW zp0?1qvVpQUsyoKppp#uDy)`mhA7;SccslWI$$>ffbkD@hER-VkB8&rjvUYI}2(HI`-jf?#6T{a&vuHe?xJ!TgbScegzedBd5S}_#S&}CknPfe2 zLsaYh$VpKUz1Oans$pbFN)C$0icrwd+vvOH<;3d~VDA~k)nfl;`NF-3`f$b3SKi*T z;K?QAXCfcoWzrw!Ze^Jh?9#|832ll!EuR(vFhT1hyEd^Zu`}aoNJp{dk)Eb~#PtS% zrcI(MjCt3lFdC?vOtqBOTTe`_<@EirB9Qw=V zZ1j~ab4}?UqnUX)losx2(sNw1K3gVC0dVqCuNZynptXcQ#?0%3XOQcWLs*MmI6lnm zmukMO6F)O7v0&niPh2CM_?w7qpzOMHI>8`JgPk5k)EXIhz&o4cHF)|4-tJ`jsEv!x zW40G99p=4>}GTc;`8CpJv96Vhl#{q1U`J62fC-5-0BI3dI#-Ngp$jCiXWhd##iU zE6z9=^wSt;YKB*NmI(`JL1Yho%(8aK@F3e$sMA<}YFG<(f34D@~9UP*omX#vw%g@p?7 zmF|NKtkGd5nS^258qrWbwRDPnq~-=aUoP0%GVgw?2PuTM!7aYfRvIWxIcicFgGyRR zE!2~vWB`Hk8fH)=bb$~aFJt}%UX5(W@gS}~4AxSCE?$X=S_lGC zFj{_`-`3sGRM_h!BRhNrsWxVgs}c|CpV zf>2|Bbw43Q*|4V0QS%6uCZ2fZqpi?QA4Gwo?oZ(I3_$43QDy(?fct2HwJ>%Fykn=J z&C7#&K5yEsq|$ZR1z@y~RAGbZ`HiCzVv8j{dNqNE4`=A1NpIl%_N!Oz69mT~qgF?m zO`Xpl<@9`h?(q|1EThO~<~V(RII?`7*rbVkjR76qqbgXY~jcd-$J7&nIEwKJmHXm4?1o_g)B#pL>LV|Yc%iROot2T)V#%)-tF~UHaqA7WAX(gI0m>RTg`y>S; z-j%xwR7|IJx&p7wka9_JG&pUeWj=A2F0?5gO!tGw+suK&!S9(4i}Gm#ei~S$oV($x=1)Ms0OdWl-|sXV5e_bG)xcD%C~?xfOR@ zqp?;%(~Ox7>xXe~lh0*bG z(xe)V0ik9bQ+O{-&SenZCX^oqQP#W(%j#i@dIZH!^`uN$n}tSD3~hfom<>+RC8kTS z4A&z>0Ia;lFX*kDNXDb8ZUA$703BK`ffz&Y_F7^Uvg`W9YckTZrdpTJq^^h2N0#{t zD4{i1+z7&r`_)^5SQrO!XB~$!A=E&mV&jkarx%&^!B6u%B#L+6k7U*tL}M7Gr+K5n zLz}g@g_t)m@{sPx_UdGcEXFcYC=a?Tk>-_2oO?GJ@A<(8 zL;B#gY!^5c^cxsoSp9~hRMzaWxV>nYz08(M`(_)EHxIZuWR!Xw-e$xq^EC>^uX}Yq zd{AR+bAT{KZum)r0M{Pz+CS-dX>8gxH{++aL4{U3V&)-gv!XlA$xj%9PSS*(RN68K zhD^54;=?RA@uG4M+&T*8k8@f;J+l4Kp ztyiFu#KarKkJvjFl0VQ{i+^HU;9z-%;Da5qysI>7F@{uSKr}OrM%S8V&dK>W&zC** z*{+;IQM}RhVF4vWxpsnc7fiC6Ps`i%x#({`{qO_rCLzaj)-FFOXoTLu)7DfI^g#$k zhS5tKb)H;&(NF#$625Uo_GNa7I`lDX<1wBj2yG^%3@pFhUm5{O&t1p{0t18BM3Nd< zdr`(13D$U-gOh8$-L7stH{LsQ6i@_iqMt@3Dn4YQck`AihvCM_u8_r4Ft^}D8+!3RBYIKXNGlGt0 z0g?&>z%}ErK@(pYAth{pk>>hZiPtQ8YX5%cy=mxNdM(E&WI5XBxdK;O&Tu+#!*F}iJw%mo#Z zVqNcE@Ka$oj5X;!SoWOH9Yl1Yg-gYtDbJ2?nB0=;ED_21>4O*7zfs0? zKYmIoS1AeiPJR;EGMp$>aqXooJH(L&3QHb_^k zG!Y#_++7NdAU@^#ctK!y8^@#M#?wWG0Zz45reKp$@xqEYL)5}@T)N3f@FTjdmrhk^ zf3JNTLX6GZfVwtbDl+B58|cw>9$C2ONotp*0qhC4RIFh17n)R0ZnM8vrW&uH)Zjwc zj1D3YbxLbE!I}lKIc#EvOenbMQM1euMnUsR+ynhN!hjbi-jRQv_kv!A;tH*Xf&(!E zSa^?fekCi`$%!7Iaz3%KX%-~Tflcxa=N(LGhjb6*HsSJq?B6D~tEJ?U8xzCm4nbBb zJ&E1{e(_3W!qo1K*Fz{mb&`xw)2F2)|fisAb~^SL1b(sP~z*dHvo?~NWDz2JmY&Q z8E~$#keysGHZ`=cQ=m%ZB#A&)_yjswgon9fpx*4A?w#)9=t@X3)Cn4DlUKk;eRLeQ zXA zy}_N8ak&P~+T`#7 z^R_^R=Z2@LKMH za59waFM|6Rt)NMr^&*b6Iw5ILA11uu`lDPfxgiQiS^!H%Ig7+j)hd!xqm*L`3{*oM zow@6#VlDNPz1b{U5;-)w&|I4F4kH%N;}^am7@5Br@6CuwC8~%7HQlWK%K!y@+Nlw%E2_j=M^r9%~Aubr4VkutR#ED&ZL^nkuSo= z!*7i6?F5w?9t&9DR6ITJStFF+qGMbrok2Rt$!3d|IQE3pA0PY2^0&XOd#g!Uol^(A zD*?h?VdsWZq3I0@%^iBF0v<^rZRIz;iC#1gag1A=QVuvL2=>`t4y$_iD{>fLyd-Tl z9sit6NWyTpH1~u+)jI0GRP$5LmLuSA>a#lN`w5Y~eLEsZNn;DCAavgi?)UjL3*ahZ zy!XylrtGfEk?Ajix}XH0(9FE(nEkZN0-ly3#k^Ibi^+a<@jT$ZkCZ`^W#bGMR|;?` zIk5AvHMZoDm=pj0t`)mgmhb~R>+3%4&&F{qpDLUwhoWVqPw0k>8d&7Y9?;LrYcBVm z^+WKDX}D{;at%)vATTiVjpf{={DZtIlfg)Lusc-xSOE++lL|Z5VxcC@6IUwdzq_{% zb@^c=*OuGsOr-JkE`eAbh|ftZk^Ft_No-Nhv~h^m_pX>l@Pubk@^+`KW~|i)ioxjX zDt#soPSVn@R=r-drN=|Poj*;>wpSuMEVj)zq;#=~bUDm>IJtgz+ENC7`b)<6-O>`B z=~#Uvl=h+NF#jHmw$&(!0M0kLJVU+r2_D7@Mu^3|T3gb}x5bfq;yyT*R+SDA>1u?k zL+%QZd3+eSwYCL3I&3Vz`M8noFMJLa{19a~!yIAVH=O;x zg;{S$QM?zMjwZxFrM5$^nCSas{qwzK&+y4|05%f^@eF4Nc0K^85mo;{Yob>mUTmRm zTdrtQ1I2(hoQDxaj`qIK-HyXP$XhsWej;>DtTl{M`?IEC|Ek3v2#5AgKpM4RWM2GBnjB& zy;v3ep<+M5Oh*Gfg0*A>PXR=F$9&2{AC-NONdhZQWN}aN`{?yF6}Q-(G_z2e1fV94g&-UGWSP3l>%`0Vvz1*nP+Rv!ma#4iNv?Yj$bbg%#;G_p~E-Dq(9w^5n3SK7@nN5oWV6b*%xBYzoTfLb%tc!`hg(}I+((X0yDdYB!Q5X?2 zTo5wCAk?C6na0dQXW{D_U{r*U=73FM0S7`(SPjgEv5UY#zy=?<$zY_Ig2~zxuv@7Yz}aiIEJurrcl!MiDsdAK0tr!n~?Sryk?ZUWuP3@F}(mODdWn zw%D85zWgLo$g(t;@A?=w?^XoUNK0;wqtXr)Qt5YbGnS1EIR_fymri}49#z`zN;@xSBF3)X% zR&#+Xe{#s8E7Lxm#vN1YjS{ z!e(u!lH-$Rwarg!1~bd(w#L3IJ>D$Cty!|^BV73A`=pUa2Hhx6pih*{J8kgSy5Q;u zVVQ=lKc{Io%ts@|@GrN>Lh;uv27XE@5`ML{c*<2j@GR zVcq|BA%oU5xj*aPetiNLSA`RxBts~I>NUl@2;8nGqDHvKT0!_7k|*xQKs>m`o>PL8 z_`Laz)x1d0y#*oh0f6!FIy+~sr~ocnvYgNNm#(-w(UW;fwYkAiKI54H3Buh==W8;; z)X!JbS&E5LI?{_LT$_spY*$Dgl&N>5a%PYk-v*(r-rV=P-pIpJ3cX>~98RO;d1A~S zu?E~)RUgD3l|Sj+(8@D%ZD4umRyDeb^b+z1|1nB+$A@9mS`%^~bp9ar=hs-W9|_-Z z#OtWJ%qQet^zF)zYpqSs{rCn zct_Ijn0Lx)!q7^;?B@3*4x05EJ}4-MAS`^xwTkPupvk+Nz#EL4`E+9(!Th}V)Z_V; z{2P^HbIQGOfF=)jea}Hc33j}H#Cjww&nbF`pY6m+jSPQ=QN(wFQ8KITT^m?fXPAd2 z+OaHdBo`VSJgqjUs012*w$4EmBL^$usMM@Y(iII($Mz!R!8>(@`3lN^$idt(!hAeR zXYiAhTP8Ya#9Tnk2)tlYovns5=gNtoQRFX+#aJI&IuDmal%I4bWZSNm#nC@%0}@a zdATvn%cPgqHpcz*e$x~M5AWr5o19tEmukOFqkXF@0t!Pi5~rE(Ukp4Jl&c-NSt&=BR6=k9l-%S_nJfptpN=i`dBUij6WX@R2~- z_PUM0p?TNv<7w0P)27o?bSuA-^3jF*heuILC^3V4L`5;Wj$&A&)4$BVhWCq>HAn}V z@`jR9ckEqQ%rAq(#rua+WQ+b)^jf`qm8-wEej5cX@Z*d>L3y^kISraCLVG8wVKRK2 z2XFM>G#p`>A?EMlx=8xb9Bjo(82_CBo&8MhPiv417dzCqLx>Z8w%)edXD=9Inuopu zFJB{EAZu#gPgCc<-j?@f=={&rM0h_gl6d=^PvDMn?ZWx=NWMG8&E0@p0gh3;pwvfj z!J22vJtN5u9Sg19unq_j7flGOehA3QL>Qt zFBJGS?;?|y=laqR2U&DOOr(Non@E*)67%H;%v;(; zoj(G8XfkRTXnUEatS9eRjH2ACbeo<-$!(aWaS)g=@{lM#6;^?C@=z`SD7cRk>6S;J z?8pw-_Mfrn3RQTe)_g$$PsVM296ZS}kX>@|80$i*l{=NF^;-BvRfBvUw9{)Y6ySVz#&|m*_@qdH= z<`Yx;{?C8;y*;FaPP+Ki?VYyL$iQ?tl3!az6BbAxQkMI0^mreEGi;B=ld;Svlw6ujHTZ z{r&Y9>@UAP=YRdK4fJo{x%oBS|10zz&-dehfvNMh&;q!L_|5+Uv`|JOs%k$qH3xeW*B_aH0EX4mrQGrPa`YVN9 zvVzjzHAbj^Dk{HS{5wgZh<}ijKR)-5f^uH_mvZvQwg0G?{F86~E+u#S^*Y*y7(UzkAL>nzq2CIGW~npwQU;D|K4_|P5B?RC%>;1{@Yp+ ze`qoK|FFUT=cB=(?@J@+e~@$aX!2(`r#=6lC^{H)P6z}emj^lx-MQ#E{m(tnZ&&|L zcFv`P{=o(P@yUPUf=K+o;e!6S_#bvb|KzLx#07ndG5trG=}vvZQaP z$YUaPcNbGbc)tm)3??KK%6n6W+D~)}&Yar=dB1Ne+o-G;&F!ni|HrdVkz+OxerNNAKhg^KRbL4Nhfq>=ekJyH+RBmq{xm3OyEt zP5?TMJ$^&g4&<8xm9gN82Z5aWh*`5>ou~E0rw=BVNbMwP_dL9@Mvs&|i1Cz{@{kHB z((%We=z_P+-Cz6ig6N-q$Oy&Vgu<@kC5d8#xh1Y@PMmrp60ISkjCr#kS=RHo4Xn>w za3Vd^TX)xWMl7BqbuIW!znejIrzeQ^2lmlc{T{D88fm%zfZj3vYg#oqe+P2R6+@1V z%Mr~0pm;b9FXT_2ba&Q=|KxA)8$@y5Q{hZzbL_=);Dk)kxrcxZ9dSBE@MY{f=ht%F z3bf{`lsk3z3e^e^Rn80habw@1dc2hGTj9z`4KoM!L%h8e)n^rcKTt9JN_9}*V5aKC zn{vam`gW~UF50)7wiXu5GmrD`q!@wtGta**bB(&_p7otMiD&J~7|7hFyDD*{yhQ-5 zJ_joBulzcXXmD@7u%#{1UczgiN_;yv$o)px5KCd39b=P7M{=f+uGLIVO`)*(5!w5v zatZF|v|^Z!wj*-af^MvUiv^=acB-*h3C>x{h;KI1qphmERyvx&Ew^`+HXL=sL7^&A z;>S=3Jo5Ui?X-;ar1&~tlnwN(6gV5J8A9OplIH8saqi==Dj>j=)1;TTkJNu)w?KPc9t-2~$->1WFBXDx?1~*icw{H2(~>bY+-8 zG$z#42kZAub9hUXiAPEj(Tr$&QSp!T@$2Ch2m24)5_aZ5)j8QGJV`K#6tZijfL_{x z>JHwi+8sT};B?0X%2d-LFJ;_5dNr>HR&wxTF*gQBb8M3F5SCwr(V;!#|7;_*T3T0o zklW!^k*4vu-*o0!jucb)D@dd1sUJ(!U9n8m2c)iXut=fXcO%1kM3jqY!>pG3^TNNr(yY7 zOAp95_mn{ng1S4}QnsId?f>j6%W7Dz&} z_Fr?87y)Jkh<<;cuIGFkg#e~z*c)Ma$6Do`LV&CpGOf*(HY+EN7E6ezPD>X#wZ&OF z9Zg(2fk%a> z7Mx$CeYq=AB|q9hr}=W&4Cer_W)3+`fd&yt+@@ml^ImYt0RDj3F|QQ$61Tw29+6Es1hvIxBfr;g&% zCf$;KiNGiHGRhH-+^O7OJW28-cX!xD2M)35~G&<1Cvyy1Ta~v3;lLZa~59G^4gP8-Gl@wjj zXco#1Pu6zBBNx3!lRu}^$?mvVb4-s+Z`w!Kln(JZ@SWxaD+KdP%E>=TInzNJi!d#9 zLfI?bsd&nd@7>g~Yk{>aw9 z&{o24B;8uWeUg#D*fBb-`i+{KiEeKO1+D!FGrHjvT=Wk4ItGjzy&R-_ssv8B@9?L8 z*R$~gEj#6c;3278ut;+v4R}l(doCM{zq0m4rCRasw9aMW%{0m;G+QtvKASN9iIe{IfPJwbdKCA5$sk`v}ynF9Mx2^`En;SGbAuMs4Gt0|R;WHrf7^4?TKTZ&b z#HlWX+MCoBu7D^2aLt=_+&{SbHkqk?Y#1OGN)Cb`p(rHTRZaC4GA2oA@~TQ31np_x z8D~LSOKQ2|1+5BoBH%m(+oMO1_a=s65Z~dW2A6i^kg86%v;svkl#NOG$stEAxe5ek zBr(9RrAI6z*?5+QM@!lS3NH^WGBR>Dx(aDmn=T?{xNEnvI8E%-F3w zXI(Sf%{=A=vX6XUQ=5C#(kl=$-8%pv%~mv8Te4oNr#Xt-BwvVv&;2koWBNe*Cn#vZ z)2$bjd0SCdLAFNRmu#W3@lC_Ke=h$!+lc;72ie+3TKS}*OBtBW1C%2~$2d5i_f9+j zeX{zts|ew?1^D&}G8lGGDBK}hZraJ=JvD*->M|5*M{p(a&}zG%16|i@4kf6N-J6l6 z07X|->roS3E?u9Lna;X*W9A=T9uEbKe2{MRf#UD20K< z)zEu5GbaE-_t7>Qtt|U=^$kDgua*Vh*5=F}@zb!aF2UE%qe_o)To#C&^ zIy$G(L?;TU;v&&op2?o4<=cGCEuO*a(0-bOBT;=$d$>=f^fIH$*3u?H_S7Ej*ZH~Ru&%iB%8WA5F<$6`Hw~-DoBc`rP zBKmp}4X-L*Pe&~6I*}*LjZ*KeZ=ot*Kxbol6a7a+t6u9doF&p zS5Y1w#dc59ROAY4Z7xe ze2UmB(CSzPP9k*3sliL)K%RLZQ#r#(wCZCiefg#|-_(48A}p(Cg8)I)0il*f91!vMW1w2h!^(0{O6$eP#|-A_cz#N~973G?VRvC) z(J2_jtJlGrVxp&o?4jaGxGj7FQTBd-24l_Y6w%#ih!Wi`JV|%Tw9CWmO@B$f#tD`X2O5NLz5^|Av zl(Cza9G5N#48w1vJtsgd<=5#sPD7#3V~7@!B%b&@)7#hUMGILlHSUFPPJZqwFgcO> zp&t52lZ(Q6 z?L_AWYu1KI0x9?+ns6Am3`yl)c=B9vUFe`funt`yklF#a>@Us$=m&+iVf#74CM~A) z1s7R6Np7O)$Vjl6!9=W)4_GuqC+@4{K{OMI0V+Gv+T;MPP4r}-@{e^z$&+vUUX?!y z`L4;Y`dI;qUfC=HpZLBPq?)O%TtS>Va2O(!SMzaN*lNCPG4nyth$bi`Ibz{HMe;$E zAIAstq6%f9GUNu*2D^^bJ;_}5?xlBk2$ZcqZISl1MZe!h6;o+MNDhmtWjNf=Hm@W^ z-pYU+QcyDpVfX;*klhLfRv<4I$a1PzGqKhcIg+}*b`_R}*>fBf71%p8`VakNtZZN^ zheT>$7@x*m4I=N|^^Li`2+xoyN(p%k>O8859}RQu@)-dx0@3~BkP_`!pyv}nkXb>U zCKz@}4sC1Eg#xI45Y~~qO1AXr=2%KpfFH#6g(L${9|K?R4vQ6R5zpl!hk>KDbsrOL zHqCcSU|p9`_7+zj`-UMp`|L)KQB<-scG+Qcd0+Qc(WLD3WyX`tQ>_Iu`sxAs0-*T&D%L`s2K=!#*O{l z-vYSz&;HkE6G~KLF}txht+@68JWacP-KpD0_tm`Ya_Xku^qX^N&+$Y<_Yc>E5|=!U zn|^<=kIwCH)D7bcY<{l8{d2oY?QUx^_|o|l_5OU0fc?y%+wGwnx2LT!@b}NE&pHkJ z9bH~-duE?Q{@k(T&WwWmyo~70U^>wUiB7<7fYiLIT()Mtk2>$MTiomT-L=<>B>0JW_ zG>u4#q7^B+Mj=4&y%nFnx%S=M88;%MDzn!*`)G-hCZ`$Z`^Fe^>c86JQ}`$Bzajq( z|9_c=@?Yj9T+)Am|3b;XU*9Y|yK?!Lzv0k74dszGVBG%gdUxD6{q(P8m-YVwBYzP%j{e;7|NCG5AAgIQ zFs}dh*FQo3;XnAV>*c*Dm-Kr1?;rV}dHD6Qd`i>q@2&p64E?(&zup!#Yx=kU_!{(& zjeXOm>G%K83Fv=t;n(|zvaA35yD=KYu)qEZM_>~EPcHuYRQwJ9vB&?}ZGOF*yC!{M z|K5EB!{DDbAp#<<2N*`6|K#GYk4|awxBqln?l-kzo_0(4xBtu0{@V`m;Z$4AC6MA2 zey@*R_ao`68xRVie;)qF7k@9<7l*FTp$LJ}|5Fd}fB8Qz$(rM-9F~90rZE1Ozd`?v z_>s0O|JNx0*IzO>|I0uB5}|+o>-XdJa?*Fpzy1hF+$ZV3{7n$}vdg1%UgrOI5DwX| zXW=scwA4q{T!cx#|6O+f`G1R{pWlDJ^a{CNp86jZ{MVt}`sH7KYu`_whNkS&e_hJF zSh{qc{{_A-4%duc%KEpzU3&D-KiwFH_FtE2P5*lj|F`Vd&yRLuDD@Xg(0`Gaaud+? zH%?wx5t{xBxyBCt`9=Q1U=sVKOaJsw|Ma(1K9?>*R_PHtMGU~*{z~2_^Qu=GXJnF7 zsD~1>ZNZA>YO;?~9+<38D)u;YDPr~DZ-4!2MpgsYmY+&I#{&9ddYKthBIt&oC!^4w z62nH}nOH`W_NEWDd^^1z-N{3V0T{`(&we+P>7a#Mi??+KzQXb9d1O(1X6@;lrkUqO zAcr=IQu-{4lZz?LSHgB{lK`6?J#0kE-_7j&N&9Tfd_LK!q8fQTA0EM!wac(?%BW?9 zX5NxS3DbH|0<++2PQBH-qaj$6DcNPNzSw`KJidj3F5T>iLymEujl2 zZLOIQVv(eu_;ZFHp2g@y538S~!;s(25R4KzeQlI${}Hi<`-GWi_xu?Xz$%_BgsN_A zvXd#fNJ*~`UTe9DUrGkd_;=0`{qJTTiz`m_9Mk8^>-PEm5(rG29wos(9EqPN;N8Y; z#&DAJXqSs3jB-(e>W2lcvr4gH<1GkfZMpqSTtM34l?>6&#u>F!=vv` zUOV|bkak|yi#JTs21;et$+YqBX27TL839H4d_0R&CTi{=F-5;ztN?<7yIECHDF>W@ z9AO0id<<^u3c^dK-e425Lwc`NJIDRr4@p}y&E&lFG0&0B;<=9F2~#FZ05fGV-~fm-_4A#ayQ|o_RwyThBgBduj!uoCh}MaJstdW2{#1$s{62# zXzy62MjjJd9)Vrg)6an&ug1PQr$bz82qHV6z}%i@kLJrvA7LV|TSrY`gzsW| zAi&#}`VX=@t^nH^{{7v|dqBKhWMS5H5QgN#eTa+Ev&)cTsLb)qT&ll)$gqhYY_l20 ztnQ~2%j`79Nf?jZQyjVlL%oN}s+jH)RyB}Lj}cD>EFE+$uIqjN*4e_b;IzVYA}DEB zErTv@m2tKy+xXp#|COo3-<;}MKcSwJR8|DA+2Q=zWqc<;e4c@N$>~k?@gpZS<1ak3 zkFNi~a^A^rxe*&~XC;*jW4?r*94804Z854_?EXhl#Y+*!UFWUbXS$ZvUd$0bSoSmT zGR}w!gWan65=u_@ACNBz|LGn7N4G+BQcpe)<&REj-hj&4_W?f!eqsx+wx91OAWlra zd#K~#d6PIO`+oJ8TK-)l%~&DE#pE6l{46yl>iPx4RLMNX9uNG|9a}3(s z%i?*vDdi~wcC58+?qS$6@_wI6n)dcbNiipnHUc?B^}6T5yX%01y?INLbm-OEalkm(vlBI#zzCApx)Z*v$P_77hviy z185fud*BZ!Mx}tDo-Xn7^xw@$o^hFUdj`d?^oo8NPnU^FgWaGf1Tt#Kg4b)O$tEub zo*!wCR3_^^&7+z~N@>vF%`CBt`OGrI-Xn!LJoQ{?RkkTBpFo|SRQZm?ZxG1xZ0M)? zPW@4pT3~*xh|vW-v(~?xVZxtY|2EtFbq& z6kVg?CgtvnXWze@5mH5{>ng|g=LWG7l0)SG(%-+E`Ct9mzyJGpGylVvzcjw)GoaKt z=O3j1UPp-+?P;oZC-vZuJNOjrI%MZRZnJeVy2W@9_$pzgSSOL#lus-5p27K*9C?EF zXxw4!!>8$4IrFHKH>Hg)i!k_~=i)uZ#Qq3B4;oJ0VIDy?)NxZBF6D)vc}E#`$eECD zialS9NaJxmcsL2rjnrzxP!P8J?WdrJ&jX8`eFPNQ&!oW8>M6L^$UCoL;}1>2N9_*3o_=yya6{PPLtt*>*1LS}GQM#{dzzc5C12 zM$tdruej*2HWfH!d1?e|#qVaSXfLJrvd3(<#fwOqjG9)CwFA39NFGB;{M&L`oq+QY zRV5i!c1`~l+56Gy&I&R7?`G`krNggBma?DAI1z79vhg5zL^~IH7lax^m3^`k)LoBO zezFBynGnZn`TjCF07|xd!E=pJ141l+d=!;7Ln%^8Pj8XQH7pZ(gdhvM>@9na`onNm zqz`ONvRczS--mxY#ZTXRY1oL#sF}V@0I~^L4|D@b0J=*rE6zSE|ALK5&WbcA&6(3N zavO5uQc_wx2yWexo6cwck z!WFT8H^X|pTwZbtvz?2La5C4-_H?WrWa{PCHissUu7tF!T5 zsWa^DcJSE>12OZnR&nb%OahdbBnM*6)3nG?k&|=69#-`n97j>l)isICf#v?(+}IC& zy*DOS8G-z{TA5FRd*{zB*2UT@$uBK0a9!1g$b{4`$hFHU4*MY=EO0Fs-QUfGF(%d8 z$hs&A+``rxSbtB-xXS_#H z6(FPeTust+A8+NoIK{^svvamE74jea>@ZGP7VoWalMAN`yjB79?`8(J{`y)`NOZ|e z*)orb)^6`80A*`*2Nt`~jbwjvepw6ZvHE5XN)cHP;=WfFl#|&>ztIIgaJqCNB;tmuLapMzjL5?nRD<;$Xiz{cJBW%aP0b zD$LrBXQL|)(vD0G5svy1erfhw&hEc&d-HED{o~(H4}OuAlmNajHZIQ><^Vyt?oaJS zWh(JdtJ61cTYLZ`#C^W6adqq`*ZMN&tD$0#z7`n77X%PuNA1WUL88YlWhXF0wY{rv zbV@854%~wR+Ui8;#3L`?+nbN`w~c8=F9lO{fhh!tW+-!}be=Ag!~f#uICmSC+lWHc z-_>m}ctSnB9Wd)neFo!9f?G%o$xK5?i^Nq2Y{?M-k)6R!VehZ8jMx2B-_3wX$;P=M z+B7_B2uG)&iV{1J84`;3;FR!mux$}ekRS?IG?-;ugMsNwgR@Vk!{+TZ$4ekwQF}-w zYDOyO16lSQH|h~6PWIE{@a zAG~JhkZjS+ooG1lGJ0Q$22Bo9uY4KF2q4q5cgeNdZT&7rI&B0V;&hN@4h&L`oq(y4 zb7YrnNW~bRF7!~pqg-6#84W3yo=N;(^c(Ht1qJcDnFKHpU~a($0l{oT^N!re?8Go` znZOg&P^CDDib|&jBnTs-Gcfg7qEAr;Kglm>IJZunz%Z0VxQdRlz`irih?{v_KM;JpMO9UKBZ z1Dq#msv^TH&&=gB`g0l8=vU9~7zM|{)X-84eyDc1D^XF0Ba?%lopq2D7$`}1Q4X?fs zm@W|xdZDp{-n?lcRZG4dj9;*AZbq|=Sd~#xJSznx@8A-2;kt4LvPmMpP!_FQI#Hj@ zn^xMx{aqD#33JXtxXo5Q#=Su>2N-0;dgu?T;&4^Uvsus|eM~4xd3->u=t1~vKC6jt zG`8KfhUXra2@fU1&NYzpjeHBmO?Tm_^wnhz+pOCHIv% zQeJ(~NCpDX?`G1~Yk>vptR@r51&9W?%7f?y?Oy*4Ot?>;l~F_w!th(~K|zy`QQAvh zUG-~#n#kT$96nfHF_^Sw89GoF*`ouK;Ut}Y(cA+@rbL`!2t^*eP=#-PFSxoUg#Lj- z4{`1R_(r1UMH2Cl-QUlrD60#L*&3&DY`|>jKmrl79F}4Wa;I`Uvm(($dm5ch4&cSR z47PmV5tB~2x`U&@^TNBM#B!Pbpr71B__!|JjBkTXUQD4LC!u3AIw-6M`E9`0wc7Ha z{rM9y8HyKofF+`77>um=$t9xEFv4JbUb;Gwc_+~l+CkCzLU+l9IkrAi%CDnXlId$| zZq85}gbD)Y*EU6iW%uPxZ-nzSd((^5w=VH)Z}snF-!zUX$nHJua~TdE6}^N{`}FeL z7PGBbm#gzIxW`I~!aSEHguXSwu0||+eVWJijEj$I|I8PkGgV=jpV_ZM%@wHL6z?dc zZd)6dstW(9X0=mAMS~y*DHy*0K)`D|6}$cYitp2Q_R>p zz->wpQDq^s`kAD7%Zsxywou z(`{PGI@QUHeQ_qk)Iy1&@%qJ11?ntcL?Vd9QFCLV4uH}o6==&MO)xlKa@8Q0TSj#F zcQXhYl#H>UPshOptBY~|8Wp?Zf%hU|L`tN~G&A(BFc&92QYqa|KfH`S#sK&Fb_+(=!vZ2OQosYa2D(WL0)%PL(-l-=&wWSw6v zbLNW91pL6e=X!dd?@A^EMPS0w!d=|3*){^Wo2S{f>GTX5zK`=+QoMG~(zF!>U)UWyZ^_(#Z(bW+Up>BLyY5RHPXUy#k z^N>JhyH<=b9umsT48yYEu0YoX{$PJiGh=2HNB>AQWoExVbBD(N|M=*y&v+Z7R@tpn zW#bpqlFYUpTAx%5zL`2wT@R@7vVTwnpxK5nnBvbgi2zI{{-b8`&zwGr+h6Po zOwJ8wfTQT(L69S5l&;7(`bbMVE9prUdmU4FS6;%BO;>Ljj?JYFEi|Y^=lW)#=j){3 z=0;5YAQES&47Fgjti`;|Ue!I<1rfiL`o1x<(mcQZU3`hESK zh3&D*{R_y{_ZOWjVZWA}#3<18=`==8e{cv9@o5Z;VkYNJmgwfXSI}Gh^snb8p!Ph} z6gp3@C*Thx#$P(?#BC>$RmqMlPbiMjp3o?M8SXBt~j;TnK}N`bvgic!cs6jL&WwwL7SYMt-15#MyM58BziA zPN~ud0g^rGZ}dzP>5?hGCRDQ1`J&_1S0~LW`u%W~I$jX}jt1^i?`DzvxJX&%r6}ih z@T0bmR+$6_*zJIQd)&}TD`6+QvU4?;38zA>AMfFv_|$qg%nk4d#StgXPb8 zg#x^NJ}JB;4quUktagUjch=gBP^RXHi>=5~yU@NO+xLQ}RYaR-lkxFRZVu@@B$7d8 zVBb{4d}CyRZx*%-Nz75qzyK>SW#8(dgRf%_)&ZWwL8Hf)48p@MnOJ z#N;L1iu&YO*I{hCU#g=IQVwf+8?lU(DUN7`cng7 z<9V%+I7_3JX|aNTdOT3pG}HI_Mc&~Ul{juG1_d(xP3Ks#?NMrwM! zS}gYX=O~KqfQLsP_5GlUh$2Kp--K5B+ zCR>ky;$=*Q7b6j zwMH&`e8_b~6LEJlQ2PyP6>DIIAo=0Be32AQdwqog8?U7d`xJHqgAd|^1OY`$0!h_L7^qJfr%t!6RlH$4il8R3Hq3KT5pG894_HW5LC=jU_e z3i^E!%J@$?4SL2RS-8Q-k{27-p$VdbV!o_@xxWFG@d=!86;M+I*B#sQb5Z~Ap5Yee zF^~B>-tNv0!Vr!!m@r-ZxoAxA>IB}y+wPgqWcNvsNYmu*%je%Y$tG1}9^8zCOVM;) zDud@8j)C^glD|uZ71X2eHm>VYmJ`3YLn>IQzu!)bCM<<0W$L8Oh+qrrv`612i$(M2 zS+ai+#iZjL17->R)l|^!mxG+*onOF2>{j?G&(nT-fj7?d#T*&=2(ZMD57t?Bt+CF# zNJENRw;EX<{pcg#D!WoweF`u9kVSesh22k<`50LOt$FxZU+uXpS}w{{+Mz3to90Cvv{u5En^yA@ zh8?15Km4G`SHR4|39%Du&(I6z!QxDiE4h(58a=Qd$(ZxHwbDiQy@qN8{&V}Ox8c%9 zN#Jkp^`^hbx|%*HiQ~64O#u5I9O*+=m1-H^g_He#&PrL1ujZr17vI!h%3aT}jta?! zPcwGGI*NAk{e7wF*N}hUc7Yk1Zs|c%Mwr+N4+1h+Sg;2ZcLWc{0H5veT!{FtgD#;< z&sQ_Pl!D`FBH|8R2J;h$oxdi}R$H7MTlRtnoqTwo|FO5v@Vav6FB`AN_W zHI^mk&jg$((B0oMXX~<(+8>H}JlW|zDLH{Z?a5cZZQ6YE_W)bk>kibbJOpc*r$$19 z@F?kXLI(Tzd-iJ?TEfnWLIOwL=X+@E5AqtUhHJBjc9;*xvmg5BdBdb-b;K3Km@uYR zaP0Umn@;GQcsp2lHC35k6EEKhS62J(iG@K=UGeFR# zUCy{g=$@|8j=*OVLFx5!cfm*a&Mmf(sdyGSv+pV+g?Ew0(*vRJ4g>PLtpZlnlIByr zUgZ=WMI7YHN^7+?g#&1X>X$SgPcCYYb*=a3WFUSC--9wCxYlDqMdl0jO%0Hnm(VmOhbZK&CH07#jZs<`e!TYJh{A0X<$zw`LFeM=*gn{|Pekh$S0PP{1 z&(-a_?>nBYIZPhbCt5rxn*EklNxkIN2UR-XHsJp_n7N^#wSV*?o7<*@O51Z57DJk8 zvnBLbOFdUL|3u$d_c&%(2VPw*D^TXK(TK{u(*|!qc2u4-y9?$%uRc3%(nr&9%Hk8bm{qZMo#sIh`3CcD+K9zkk`%lU;J#-1?mjQBT zo4=ZSt$ccL5^NVkw&y0#5Vdr{?88!!+rbl>0>MYZU;JiDdhuewyhzXkA5|Q%(J{}Boczy`Z7A=|0eT&^q zEgQwUnI-grot_T_gUhd3`cV{pzWr2!Sf$WyI0U0>VIl~@Ut}9IjI5}mU1gc)%*_uj zGoCBuVD*#V;?CEw7f!>_JDXm2#A_%j;%HIIDnd*+!*rqfRnE3Yb&Syw!c~)ti!>S4 zmJDgf3utomo!**b6UrpMayPzldY#Q6z61?SR{h6Dr5O9@#0 zu84=iON%JC*Te(@Km=41-zzd-a0YP>RBnhFJPmSX=6?HbIvy8>Voc0TC-ezPdq}eU5QqWXGV1e;IlvJ8irHn`v6=^FH$Cc<5L6-ng{UUIoNV+` zLg3HbJUSE}S4QTD<05X%mVLV(*$rG<%&pD)m)P}DkQy{|VQ&R0%@F`%0q0;1!3p&S z`-g)z{T5;q_*9t(Yvws#2T{kAo{3P%Q;OCvoTE!+LODOfD<8Mw@P4`d*l_)leeT$n z^Fg>b+`wluU+k!noP7-~VuB(5qVUh|G4+!=jd`3WM*hLprE#}bc6tL|iiriYy9}$I zm_vQQr{_^B20%=}$0YpJk(Hxic4ry&S<1=JtsS~1m1R_;?fr3(0%8u0*Mpng;cK4K zz$cv)$>Uc-GZMyTcV1@C%RTXIDfvTAC$XbHKFT#DAb*NgF(pT1a=Qk6@1WE);<2;f z`5;BomSRdo&4J)_WN|CEeD3oVsVh$* zuuxHH$=xR55#`^NZdA`C=bk!Xi^LdJVe7fx#*lJ07xnPE<2M&FmG<+~5$c2diR(ck zn=Y)~l(<<1HKH_i{tgL8eECm~%|oV8V`6%{UXz#OVNAF4Ri&gLwa`9J z2}XX_H}2ZuBe$P~i{>_>P-)%Dk&_hq_5|Hy=uh^x#s0HEBpYK8Mx%y$Uh7XWM){IXs7m4cJlRlZ=a zV!=Q)qY-uw5jE*)(&SP}FqWUa9nH#cnB#5bB2x zjiOZkl@XlnhSKYLHPL!vNH>VTxn@>Aa&mJNReL*|lf@Qvpk+P3xN)w5t|nA2MSuir zhv-$TO@1&bLQ|IP@bA5rnf#XGndSrar8?jya3g83cX z$|WB-CL3z9JS=NhHwC^8MRmEteR3+FPG)jdKi}#|d?ie3lVfMOI<-9ET|*63#Auh= zd)TF-PKFKxA4dCV#NEvM!m+^6aO09F!YjZ>cb-|rsI&aRK(P?Aqr;WSN@rb0kU|K$ z95bc{Zzib8uJ-rNmXT?-W@OY%?38+OA_ghYAgdK$hVqYQhLVH0)gqBDT;=7`8+hl>9os{l5qZnu!=ma^RfAy z(wGvJF!=3Y4Cdktzr)MUWh#FX0;ts0jm*W)O9g@VPX931^*K^q4XSbTSfQ`{K&j(l z!^fZSAvr86$`q`z){hJg7Rnq$DxtQGfQzNYFOcyi^)ajFkVZ#vYhBbfCPNc0BJeTT zTNyCJ0YJ$tl(p=frQH2oa{lXa+2bW~55HQ6784fQc_VA)_7I5-Ue{uSU3hTX2$?o#S= z>AWQ^CpoewtU;H(!Rfayoo`Xx$hc@0bfLF{5RHpXSvK0d=!IfZOtbN~wwuRYXgNRy z5-|+u*0w$>Jh(o=4t=D$mKa|a4x1U2SiR-xVWt3A^q2SX1r1>#qyxyan@EK>DbtnyuNo$4`7IPN0A>A81^46$p{Oc5*1 zmh;kB1lMGQNKi_cEs&#((n@R89twaonGHq zIoO|t0(X~qqljC`pniPd)KrnFL&KK_Z1w|gTl$5b`-XT?_=xWPZ(-+TOE8d@>O&CB ziBbgUps^=kd`4%6s)fhibljn8A-ln+zIKzuFq({081XB6bW8D^6IHu_rivS>hP7YO z=wenUza#{Lxk;xpa`-_)tRElu5K&w!g{BJab57*2zVJKLA^jHYFVhh(aHG)|5xh-$ zqR6Fug2$1gSaYi0oU+NgN2q=cw~t+ENp*B;G-Y>eYt{hI)d1q%es|L)18*zwgo^NT zRDg`dvJzTBc4ibFf>uD+-_F-grUf~txq;kIh?Bi`O>W!sEy>0N znWLk(BoP#q9*UgjVUw|ifSMS`?t>ko%kSNT5}GxV4)bHl{@yG^svT3elvTaD&DUQP zND@CmA~sYc5to()ZnK8oCyS-+few@8+)>ELbqdrlITUK+Im(Sxkk3r@DeWZ4GQ#%D zmMzLfG1f`$+XaPqMu(;kVb~#BB6@#E1&*apTBk`|Fr%}F-L&awj*j&ri|$qB#D~k~ zSy7O>hDL{KCODK+L_jhXeM7u`E_Z$SHK4JeAQCj$0+m+mLO^>8qAww`{A|S=r!VaQ zmr$+~i##FgylWA)(D5a<>+W(Lced5GK+>&_gxBkKP1xvCOq}Bv2R|`* z0nNqtjHJmTa8i;Z(d_6DZjxwI;XD=ggdlXHl#{ZCpXwVEF?qfKAew3KtD!xlB>slDOI}D>W4kh-z-7NHlqP=Qd%y`Kwn^&GatarJ(Ke?Yzf%%Q7zGd zD5iLvhL;+=6F5we@rID}d8xoFaWVt!$m5f+@9YbZpFjd!D6w&9)1TJtHd`jdFvQ^l z>?GOi*t?*&@FtUQ>$eRwC_N<4Pz?V`5cW$Ny|3oB+#vS-CgIcT?Y`+@sLASE0DW7@ zgl+A9Za5!cgE~fT^-Vyq} zaE5`5?@5_}{thnKF|)WNZJ@6eC=pxN8-PtQk=Esctg+YST%hoU8f!WCTQ?< z^gDniVM%uGsK8`J6Iv9SeSR^g+ML>>wfXbi91nzjpV&Qt^*=ZZuejj@A3j;f4f$jv zwQ+<6Ex?WaM$Zdc0fw_@7zLOCXH|8M0KF!3r#4a7lxLJo!8X$E7Kr^TRg}EI2NL!1 z$MKG(yEnv{2^cXi8UTKT4K!DEY}U-c?MjYa=ghHdQP&ZHCdsY0=>YI&Tbk`7YzkL# z59@Y!Z~_xyBtm0!e3sb6P;cEWLorLsLa|^D%?_UYfbG?4$yCo4_3+yZTPwwM85sI; z$F`L1Wq5R*(qNU%t-vGV+MpT~!}LKvLU`BQ7oTE}#C?YCH+7p{)Fv<_4a288_AT8y zCAyoT2Z{HJCV)sf^$VnHBRCmwekWYT&^ADnVI1d9d3{B=6^b-V!Sq<$Pcexjcn77{ z1{+_6)#FN>RHNIWEM=x?_!=@BUuksWct;SEPT)ypU5XIOZ20g8&yDyd(RsZhI*8 zpzdpox1Ca`WMB*Q1H|1|H`5P;=(}wL1fn<_f2sWF8w?F7l7S>8XPy6XFcCqQZvx1r z)3eDnQFaAk1mHCc4H-=dr?b)0*e;0bBP&Bo3k68>+&h;G<>A;q(jC3-Y__EjX=P|z zM3w6O&8m3V3=RFn@t7D0_NwuI#nJ+zj(Qmek$B3e{D}PdldqhAJD4i|LcmuargSbH zm9RJGU|zGlp7NTWBlQ3qHSS%&Cc9Dhun3J?&{s$!;`Wub_v$9lq#d528yeSgXN@4( zfDs-6M%ub>PM~xH>G#qY}L@%+;F}vf9&1 zn{bOXaQT&;$J&(w?3WTzH3k5%0>6O#!4h>bdWkrGZaO~!Ov5mF`f0Uxn8U#@<3%yo zAaX20x%|}Q0>eokv`@&h9^_MVbuy->6MmK8=!mzwGd`UqlwvwRp#E}wy=_`J!gY)H zlsnPqYX*SqfK5J}yom{pWBR(!@6R$e+*2i7_)c@l!0GnF;lGv#g2we2ZDAthIaBAp z>jH<@uz^Rg(I6;-8xCgq64``#6f#ydZznzO#FAwJY+^ogClmCBL08+Vn00>S^71RZ z2GpU{(dH-+n@D+$mlFKM{XJf4?O|@+VIWP)yKf$$a$e+Uz?+{PdOhi|_=wPA?Tg~J z)`;|>G=x|s^r`O>u11JL_b{1~O}_U^=}#7>F+PD8*ZES@-2$m#=r zkbm*kZt0VF@O+)8o{rI^a6Bwn`BQy3?vWZEUHA?~-`URxslJsM(-`F}!j%u>DP+RL z84nbUi2mJFxIlYYm7}%#n3(x4|N5HQ`&XXw3+JTQWaabl3!s|OWN5+#lyhk|*oa@j zQX-2dB`$O<0$<;yotOM6$ve%}t({F&0L-;O|R+< zQz{DrdXIL{JtrYyO1P(Zi#a+r^;tY1WN}`!Zap!N$g`%f-IwmdNZ+;k{6P#0v9AHL zTPLBU?LVAmbJt0@vEMUPGdQo-%G7c%ArB?@UkoqtQev#*)Xn8MB3=bzu=rAv=6WNa zwz>3SpRev9@!_sq(9Usu9aebYIuDun#2T5%Da^`nCYh}Y42vH6U4u8Xl8qEy*I%Z} zq<9l)l?aT%ZPH^JElKf%0fsSS0-4VEBX7+I^L&ilp`dfn>$xK+Sg(-OClEq3jE0V0 zK2mrV0)5_F;bEAPh+U!f_TQ7Ap+idZU z^@#T*1M-Wu_bR@O6wE&lsv5{7bCF0f$7OaTe0b@^ta^pl!5K~W01x*_gQl%+-a+2R7@!`r?zYp`GzppOZGGHx;bkRdwd>~K@pLYp9?|m$6 zq=1>j%n;8VY<&m#MHW4=%(bH<-xZH;<;K}~^cEgQwm#wPYfgjL#Bi}AcZ}lolO5ZQ z$WNcGd9Bccr~d({RmO-pDCB*Ev(cor?k-|erfWxCoQt>|%I)s1jsmO!5xgfA4LSzz z+*FX0ib5%|KwmCC)Dvoo)ET|uBd5g0lrz|E$T*H-)UNNW2V@EM__h;uPbe-QXO6P$ z%h;6P^q`J}32>4Zne+>Ph7pP(10Z4e@%kP*jfVS-Dt9z#v-UZ`#brHwirhR`?1=MP z2?ON9t3%bccr~7TSf@CR?#rc($zm}@9u;4>z6XSxvRV!SuMe`!j4x;6#2XQGotb1KG=pmiY*kiM1;O6XyJCzYmKf>|=z| z>k|9=Nf#IN)eG;CFV}rty2$Ko7WC&&WhVXVAGrA%xSzZD)w`87US@|b?_3sUqPYEX z^{GtzR-9@l{zyE;&|m+F2^i^KPj7ceadfed_#6!~a*=B!UjT{Lo)7MIF~!`o_@o4y zBYL%UEmzFu_S5$r_wShq1nXQT4y&w#|@RRQ$(vq@+w)4?!BCG-}U1}*`y z{cslQP2co+$${X-f)u`0Prj!(;9Hqb1%=go0V;xB*{PjpHL3s(dvU=vAaUI*p^x(+ zu?wKf{*OsBN<__MpF>_ZqKKX^_C#%2e#`PtkqjRT!Yo0Se{qhF;|~5(9$(0fl8=XS zkWH3$gvakkrl${OJ6?A*De=V%7RHI{5R-|0E&Fr)4-*0ZSq9*CFps69I%`eUT=vjH zX_&}kcJ{$8(&*NyibMUoM@;)cye2z@R|0r()|U*MXCJz-drz^te(YnH+BF=8QhGkR z6uxXngd6*bPU**DA-TjEraBr8VieLBNN0j&%I*j)Lb$KAcb=n^yN_op*k14rdRFlR zOATV7&Jd=$o;p0v*n^_7|^zZ-=2PxyL-7 z?@98_i~e!q=u#I~x3Vgo)<+Y)mOp2$i0Jc-i2uBt^zU_~4Jy-3fM9u5xNmR5kzXY| zKeao*oB78Q93a}wRtafjwGG4wQs#ojj*V5Y`G z+TsHx+=eQN7)(Eh7TT?5xl8BDSFxnbZHUt&oM}m8goBY-T*7E z_BT7~9x_gDI7n%s8bi+D81EX~>z;CR(%okP+Fp)47g*_0*s}39>HC_>`^9qWcv)$% zU&CJ`8|_tEak{f&d{LP3RaM`-KS%*+b|L^u&sued*7 z-Ps|4v?056Bw$CT#WpW&WheU?ljMrJYvSh&^UU=K?t;5F41 zLs@BrZfz3#Q%+mQ@wkQI?Z9sKlFpH%9=>n#!-g*69X_n!N*Ccyic`q=8nt}V^CM07 zIBI2d%`&MYSZO+IgcP3J@NGdBgt?`hu!mslxu@SGkqWA%XKVs|mf|+(nr1O1nZu4g z0A=l=~b%YEP<*tR?8UQ?9Y_NbaeF7*K_67w_SqWH` zRIt*Lk1wEo$No$x`P2RYeHttqweCiyLGO#}-3dt#SWO4m`U1t#B`AKfZXZ1*!*iN7 zQ_>KNhER6<*du}V-DBG>A+TC5e4m34a@~#n?6Pf?eu_7*ICm2kTq^V1$MF>N;dNC0uKR+FZ%Z8F-r0a>RDk_D$;xbr9H$n zBp-AmOHVvRM(J&b2>hbc@QF$1&8K`_p}?FmH82=k(M{>S1;NeYXtjH_MlR)Pna*NT zsf-+v!)G>18FvKdq#^0_+t+`O7c*EaEnBBPxJ)H*7!~D7D*y_Q8hx{1CT3YA(h!xE zVC0edimaO^b(GvX_bS=mg?fVYo+k&GNSu^v46o0VVvZ-~!zR%uBJmvXfrz4n(~qF! zq<3Dyb8UE%Y&LQy<$n^A-wGcKB-{7zqir#37eT!pKy}JpVJj4AeWs-nIGX|);M084 z&C{j48d}#$TYDR#Z?NAb!MS`PNmLn?c{17V_7WXh$EM(~mpGWEK9E(61^epIC9Du1P-DcI#*Gus~OOeuz#_OT2k~cWgr|ao$r-!-hM`JvrWL2Q$B%% z;U}Q}*=F*14*$ma_L)`sZ8^}RqV!*Cmh6{WJ->QI!Rs7?Jl|i-pD0}P?pkem7$_`) zDYfx*y$ZLV!Y(|W?9#VM#7z{BYvSaojBBvkM+DTMXn*G?!>}4e1;j7X(nUZB$Lw3wbY|W|PC;1nO*rM5URb;>h-uzQon#y`4|BM!qO~TfuPvz9f^e813gwqBBb{x2Q3=MS5{5+4&A;K6d&- zhxAN>qLE^{^%Nc@)MxZbuAh(!Q|{d%FqO>{bK(VR_^o};Hb;Id7!2Oa=hi7SUA;zG z9y+Z{^SEe&CBNUPs@Q_tS(+PNJ$Z7`mZU)%@rkS!$alcbE+AfhA`1SW#1guprvJiV ziuktq1XbnKC3 zav);&9gNz$#bu%SPMLQK+3R8(?XaG+Tp5*^miH8EK7x7F!J*7r%K)ElNuBVfuUibE zrn@FRq01TtzParVn|XK%kZR$;Ul8~{6nQD{Q~ze>y^!EXr=Oqq1~Ei^@UW3eM`ijv z`?WVDjdJpnDgnPfu=%4B;>_m)S9={|a5i~2gFW%kFm-Eo_-RGkr>E=#-K+I!| zfph>vZjvUy7WJ$O?xLarxT(i_F;b{{D|*lZW=$NYOUip*4yX8YI-0g-T>)M02t#9B ztMKOJ1%3N-cBSSq+s{}FdZiV9UQL=F{DI3?95Yt>RLnpI6J_$)$f*HHMdq}O2?G3T zO-M%8pR;mjiSj;!I>-QNdvv(R?dX_-@qw*R`(oZr`(cIjV+p?6 zvm*HCbh2k5QYra<3esm_i}wRl+cKvRW~{BriUIx{EYod&ZGf-8&W4% zrn<{1=SklIlH{pvrT{EfFJ7krC?dA5)5)bcM?kJTaY~Wwkcxn6$2?g0b#TX%UG0^* z*~?cGDSAZr;Mt{ZH3$GDG?(eY@Tpd{s&R-V9 zu4bVTjBJ>q{Cc2Irlpxr*Ylr(iy+2IbNxTO=g!;x!&`ZVN)vLGHd8A4$MfGe=N%lj zJ=&|e%oJ(nab3E#dpc@qrM3c?M{CHb*jWec(l*E;L4V@0`%T6PT|Gb!Mn`N0Okv6pkG( zXBtb>h78yU5(K@a+i-LXZbrQ{c`3USv21T%6C$Qdvu|^w7Z5sV>}ApTy?X#c-y<9pLkfUn zRU*$XPpTKrJc}_}w|FKW`GA1_2uDP+W}rNN6pOZN={$m?s4(jGQ7&k=q5JV@HZV86 z(0q013fFZzwXo&Fc4PI$GpAZL1#x-b_Zs0Wx)df9FEv`B>7S!P^+{7df-KQ!T{&P= z$zN!T@xbY~)OewDzF=HgWzqo2yyZ>Uz`dPB!*GDaT$)C1Y%{3kr_n?mV24QDEK&ec z7iRlM?JZE;IZ!PQr{<>cg_~iiMP4=lfT>djU_tv86W%&yddpy;$NrtMu*=XasPSEc z&R(1j!tiad_o{DiU{ySBYBkfla0U;)D0AgiAgnImBQV**th%QALfz-lOm~y(RRZ`k;Y|~E-_E=$9DN&ChEJ2glIN` z*??fs=dUxwY(CH96=wH__jPJ26Z6+fd~d@@pYLx1rYe$(UPjKKy{`_i zr~FbUfDWQ<546QdPU@I8Ivid+?VjTApzAANDns_5r6IJ}w=~EmXu4=t9RLzdUWA5+ zkr@s`%O_l%4gjBt{)Icl?Y-%yWSpc-*>(~DZcV_~UWW8x!vp9VU`zGNVs)&W(U*oR z?lcwK8Mc5FAGIwYN;Q|;bhDS?cs!9xiw5i zC>Ni4etSrguru2t);;~Ze7kxhgmlemQu*LBYImf3y)p^K4c(hBpA?eoH&C|pzlS@Ay!H~0v#(<3fFg0&LY-!6gnY9j%9&pSu z{8()pA)-<30h=Y>5lHi?q&a6_Z@(<~my?|qT$P3Yq$&od`SKwc_*r-KwiUhqeM&}( z8&A}9R7hBI6QS=Cp1L~79O4ADXKI@9fBB@+2{x*znfmdj*ReL3M_C#R`eApb{si<& zFO{p;?gI)1(v5^6gO-yJ=R)}F8VqM3&gmK%7bG^=aD zo*5p%op8&_9ZGMc8y+ocJMmS}knM%91)H!Og04bT_MO)}?} z;v@sck8#n#tZ~2J5)+BAP}4pe-h=LPHf{5ECgy&tQgqvX@vy~x89zaXZp1z#qg(u- zg&TFlY#*7^5zCgcFQ|Eaq(p@yGV2g!06T-Bhpt~NP>E#uOTY`r!gl)n5)L-qF+YVs zSMMUt!^;2y3H4hN!OHI2HjqMNwU}n)OGVFjhB}6fDF>y9p(tnTHT*nR9uV% zN$@vONN!lRz5+|gbeA=OC^uC_@#4_;H)&jSo0F>c~A1NB)3K&7J-afNS zn2Yb@EA1^!TQqhQFp6zx1&qKE3;lUoMB(B|Q_d3=-R>@>wKb*rvORFn1Jw!#@#w;m zdc3+U(7kO5V>dJ-&S|8pjzSU2d$llHBx-7C0&3i89I8HGe*c_=2Sl&JT_sHdl|j|Y z#y~%M`;xY%IK=n6L&%W`Fdr=tfEB3e; zn6pY#=*+jiq=n8m6j1BnKUjY#nAV}9wWYhSz6;CN*!iQn}9{yzRfu>9(zksx0KI1t(HAi_vaOlko4YPl$qzJ-!XE@+E#7k}A z11=YqcpxjTl@S?#i8&s%<}6sN1=i-{z0>L0lR&XR;5FZ|bQ2*vBq>~0D_$^Ay6}aPtZn1?@PtF|glzJx!SlhM~&ID-k*d#93J04{odhA7=HrtO4hYM8zN?Qpm_(R!V1~Q3!DTh3~oU?HoZA%FxtK^8(h4_)53tY z9F8|s@;e>S8i&Jgpyth{{RoAH-1|Jz=_sJ?n~Lw3Lz4Mcba16#j%MN&2_Kgr!f`LD zFSEZ!5@=`i9A#YHWr-$VRMCy@STQ=Sgul<_oCBw=x^W*iBniIs;_)msvRb#-b36Aw zXwgg$7RtXcL5Jvib-qJ7b*39pun{V^#bt}}>g&2vW8eK@~7O_+bzdHn;rc zS)P;qB#l{15SGFHCwJ>qul0c?&vI+wi}Uay$%QX|i#Fd;feHG%gC&{@)xHN=yhM6b zzkOocASpLnn#q;zjwZdliZVA-lzje1q&PvK=WwjKhUD623i1ycB{oj0v`3UMEb%K? zgPOmt-+;FLdjO@bCQqd3SZR1TX92C$Lp{~1m z_`jzd8a%U`FF@Yrw7L=>ANzqQ(^_szGq9KG8VtSEC?lW`*-l{MW-uVAEP&E9Hif<4 zE|qtgot~@T$!y}fkoBIvKsMkf4LC@;k^<-rho##C1j+1PIM2H`QL+d;oP~Ld850asN%AinC~5&1D%gnS{}AB>zno0AiQhq_ek?eA>TP>MfIMmT*a8ol`A_H>apB zviWZa!5zH1125_YFh2hnMH`HOe85G7xzSSVZJ1wx@}yAHE|EiXFh6gpy@DUd9Q-+@ z2&0wb*XY&igH^=9?y9Z7*gz*24+$cKG2shv_q;ySu$5Wb;Iil z*(YHVf?>B=#98X13m(lzn8(z#GmWQ~?NGRLWU8Sx2weacwZp4kud8C)E#Tg`7`qmx z`e7Gy`P{;_*C0gVfmXb9bvTr3a5#ENr1jMk4-iirQd1P7Yh9p+Y;$AyHd|TXRtwm+ zBND8qcP?!+p_|{7>6@s=;=OBOw0^^%x~4A;#<4h39bP0<0_X4x0*~8IahqW$)CuR~ zjCzZeYM2H11aWnI(R9U1OB;EiQ$I}TczRU?ohO$@Q+Ui9%IlnU2Q%L;P(ImH^ z8x%^Lm2O@1gy^P$zcuy28J>i236JVaP@k$oD(N-1z`TNB2DbMp)AW)|iVuV|5MtYK z1Wi~cZ9Ilc z8Xlp(Mg#{uv9p6IT~qMz6^Q$Dlo^mXuTPPfh-i(Nu4sny@hp8w112J^Bffl8wY&msPPD9; zg4Pw-mQOn9F*1<G0@XJ`>`8fQ)U$d|N;NVVV|*I#k%J5Jw$TKh1@giM-HAMW2Ko=Hlqrup zC(Yvao%p7Ed?$WC}x!fK^ONi zC}px-kjikmU1t)z5Y!4UlA;u0IyE+3M2^P<8B88r%3PB#qr zgg_a{trUv^(gZU8Zs2GYIf$YTz9JZ2L~~(!(zU$OwKWJsq%v^M##{1UlXSxWe5n=c zcm|H;=a|<(;5DQ2V`|vyFfJ#(QBIMGx=$;wB!Q3q$ zK{aOB^`j-jdgrOqPRB1j=;{(8RE+c|0Xg={d$EdkA=Oz7Ogzz4hr#OJ$Dro!5)ny? zsWA_x$|*9jw7@O-I$3K%8>?QQq`xX6LHkwLJE6nF)yLphq0Rgec--*`;{73_$?cI2 zdQ0>2;&kF`=tBb~b}eJaDe6&QF$ZWLB|un;nB@Z-e%Q^Crb{2dyF6Lb;LU7;zejgR6&(%2w?@=188t^7DLkI3oDy7Xt&s-&(s3-1b zT)!A(pC1>`X%QUCY*ECbrKS7}=)4A@!zd{3NQ~IDZ*1^jAl$ewHGICT=f&;(8S-3F z^}zNuubsClbta}8%&cwmEUERIJ!)a(p`K4i#b#o{9sEylAN3JsA8%1C%5C*QSZ7Nu z-EIs4wiy6lIli7VV(_=LwN2DNW%Q*#-+muo9+6DV&$pZ2?4|bVILNw>g&$9|I;^wu zr!(@?9gGjFGd>*n?N!~jcF6C9WN$(q#i`~Sc3(CRarchhu*F`rVI#4&z>%0MAwGez zMB=eg`3QvYjDxuM%IBpk@M%M^onF{!Z0vr2jg9gF?+mL@WywN0NmHk^qv~({^D+>- zbGSPAo~dp*JXMEc41XPGJ8}B4%R%0JBI*MMYsW!1dm+CLg~B?aDzUWy=Yg@LZ1V`% z%C%2CAF6=u*5U5`=&shh2`*SZ2)MI=+d%CKrrxo45?hPT!(>6WmuyCBn2_LB2Kt@fr8%6Z zNxbl+fqd^=o+5=S&gSK5eAns?KUd&8=;FA|E|P4f=({kR0(p5V^vMVLM!qZMc`*-8 zP1a>XA>(IxbKTRqrpH0cSaX>y$}>_*#ZTOvSYjx`UzD?o?4Aj z)1&8~xc=O@ah&?cjBt<4c>FZq_>w*p=?nufUS!o) zx^-w<$Es9Zl=XCIMTFFdyC67*C*&20ixsZq`0m}O0rtWOKKkP$4zY3Z6_I{7>4W5q zpyZtacRfs@z9lU)4zVgXFj*I+#e=@ZLeOm@9QBM&v5Z|o(k;qjj+?rrrx7{2*n({X z^oXsm9R#t=4xpg{usd;*8_+mu-uHu2lT`u4`?;&l49z`qVLgGU#T|hhQiy1@+`IQ> zKMW&-)2vq91(#X!&tc5pZ0}>~ONhWwt%1X!qZ@UZ0nR2udsUo5kz<5vx`oVMrCwMK zR{Pqq3C(ePdX+c60ko5(WfrCfOLJux0V8*5Jp5B`RN*LM3rrpqFFdq3GVDF468%)O zL3w=(NxlTAcLeeS#&A|Pk`mYM9dVJOFpMh_vktRh(*Th z`$KXtmkIoK=-Q<{_<{@f@Muu#-Q@*A!;-Leo75}{)8!I5)rj+OsS)UB z`m8Shw(f8|gUO8#XO$H#PDw_^14*irH)!*)m8p8uZ@6&Q4J%daX-dKOlF#UqyxcS_ z1H&i(q$=8ECLCu3^wy221Q&rY0mhOsb&t;r0Hl4)3v@ofW>BQ>Y2;?;v8Hd7R^BY*7T}bl?0{RoTq`$jVA7k* zxy=clMNuT+p5k4~w*gau>>wl{>yef63~a4#lP?_VN)4&vIJ4DIL8|=YH#acR&yOz! zWk0o~{asOkqMOB9FZv;j0G(Lg>#ddcW2ypc=KUOWh|PjPL2=t&9;ZmYaq-uTkK!Ol z#HcSZC*g_%AAm$>%Nuy<*qM5EL#^aH8Q?jsGGB36!c53xnQ0k|T;tF8=^7?DtPh-y z_7TWp8TSBLRYBt$`O8}m9i|}}q76g)ZH;3F-=1NrX*FvTK-kMtZ5@aE)o6qSzLWTny){q zvn)Kmrn0rzPSH<_au`Q>|I`TB*btgjyK;{A8g9_$zl)WY$D~vDb4_ew&@NcK%=i#3 zUqA{23)qI~Omgt!_>kC+`yMK9pOUI{1}?$AiBRb}_BmO&hKX&}Q6-4g&j&z$j5bHX zWv!rL;|3(lqOHn?OPqQL2nRdx+KxONN4X1%=27k%85cLGL%K{Ez+{R;SGT!h^&!Xv zbh7jHuMz<@^@3W%nV{K}; z1TBAXVAsz$`7*_D3?K}6ev?d&l)U=me6`m_q1`a4KEP|iv8e;I$M?;HN@vCIG9pC2 z#*?SSvlR@cb=MuAMG6U7;79UE@7@3c1Z3e z7$=~E)JL=oviK0=y0gZB-#*;`!ikL+WWv*n#DxMP?_~<>4|*`3o?sk@hKz%~?1X&= zHe~lX&kNMNXsPLW;`7gU4V$aGz4aHohGWGWf<1;n9|*>6JUjt!wbJpUQfma z?0YraP=Bdw14-mNIxAB`*Gn3-o{Z`FQaZfXg~4mqCHRS~rIe%dk7qy3fC=VW#c_`F zd2L=NF0a4L>HjI=`9j69^Kk_>0msnmY|#DcUh(QNB`OpkKejVG0o92Co5oaokaq`*?8GWHQ7 z##jKyyh{eB!|8&DdA2#}e1>!pSslT@xD2O(9v>};tA#eP;`V|e^lN=`3?8FuH{gZa zX{-3&5fe$LDpg3rPo${knV%~($;L}x6=&a(u50@kSGoPk2yOWiNN`&(>oG#_Cz`mI zq5ZQr=v8-Ld-OkT5Oi(zWEGekwQ7R5muDlL77!l?CN6Ag`gm&%D!gK9@&qGK+mP+` zJnpcnBx`O|Z)dPS5$*{k7r6Ost2brl${kamGo!FYVu)iNj*1l&zfd;$#DT7G>efBg z8w#`^pbnmIqT^?Wb06iLJ-}oQ8!K8>7ndC5`}aTttqxUuwKNgbo_;Fl^80FWv6VX) zKE;xoXki#3NZ6)(2$n4cejejT*l?9R#DNZnk&nhBW)Lm!Vs@u>V&>$`3yVU#<2T1% zw)hgFU?+FN`=yrHsL&Fb`F2&3MS6nko30{^9>Oln-jDPP^-sg`jEH?vEA{zv3QrTB2#@1!y}~(b7kc9bgoE*6I=8beM233{39;T)%h(}5!ATxO)HqERLXWdOvKBr z@G|ST*zFql^6SydfJ)1LJOO9EK-x^40wobD^d=!@S7koTls{j~TkwyNB02}BOm|ge zJpF=c)d+#2z8*%H`58qEp&)85{oR0HFT- zEZ=(lUI3(1e|G33UFi2Ro}G_fr5~KgL80td;RoiuJH^Ge8v-oj`AW%+ubeK`-W`9m z#uy|XT_PGK`8LZYGi+rNvY|KER2=zsh{00U&+yk?%C|FCf*>W}}P z!T9IDU>t`2LSO{{3n7W?FZy~4|9lPW^~%59sPWIpKmW>E{9`6$N|S%ggTOyu@Cw5O z_7{SaAz?Q{fA5lMMK0tZ2p)#GofnQBMuPF2;}pc>$hOC@5i(+ zk0LKQ=15l4bYe~&M3cKfKndi7x0#!l+(w9|F)|EZ>mW251f1`@S59c7WoQU%F@{o# z|7IwWX7r_QouS7uO;9M9;0M)q-|wG&jvgs|(RmUS=;ui5Gbo-PMF7ZX0WLSfUy5-3 zo0TnLj3M_$_WH-8rP}c5f&F^&gBn<5)MEGSMsmQ70eWYP9brn^@61o{S{1$sRM4(Hv!ZKZoIvWrx(XJrJyuq4CGzwuUmM8MY4D(YLnIPW_R&@*xqx^jt%05yi*S07J_U z9E)|gfyNv5wZo$EvzuR6Ksv@Wet@Nj>eZychlBghZJfU;WymCgK#V2};?ZnA26Odz_mqLQq;B~0q+ zmK$0J6tPUV8W_fP;ar&Puv6yuz4F@$0K`&w#zfi6M5l!*UBpU5^}Z6+tX)MF@VyuO z3o_5wg9?60um-n4CsD@cw2GdSI^RDF>A<UnLZC_+5hcr`n7E{E043lvd==CuBTs(moa}s5m;==Y{bGdi>)S*SB z(nvfX)Jv4mhr-hGm|ei_!&aZf|szETB!`Z(F4tmJ}bU*1e)hzz=eC#jBXrWqL+8u5^znf4#;CjC1$#+i- z+fBwfMa^me)(~bh{PD(8#{Fc!jE;^G>TW;Qq*7-`@n~Z*$)Bs zOb2-Iza(3LyR_Ca+>OR(2jkzK!dRFk(*%4=y>~N!=k#Ex2&smz*pjsZCY}V+HW6^j z+CKH?xh2U!4lv1XBGD`?Y9j;*Bo}W1VQWRDd!?phUZ{}JpO(6k=iEF*!kS?8ds?5@ z;l7Sii_L35dGpyS*tcIjOIybq5y67d0_)FVIF`e+2k{``9rw6tXylz9qoF5PV-aON z`8>3OuGA;ve2{)>k$zE5Bf+NUGo@VBL+{4(ooxyyM zFE7V-WF5N*pzY>mvKp?-R)wVX1M%&jcQYzh>F1h%S=!+;%rt)aJSk0DGb0?o_gKKT z;g1BKMtyQdLW9;bAWo3b&3#Bf?HHLpoF3k@kjD2t<~ z&ZnZwT<~(hMKu=vNm`O1=0oS-K8aeImW=H!H?$cjguo~`=-Q2B33Ray8jK{{C z)bbP3(rkN4dhc0#Xpq%I_`8S!FH2p(ZBYoO=>-(R(>bi?IMiTIz|782UtW0@>47t9 z08f+8vc3Acnuoq7;VZjC(eGmZ zZX+fz!3`9mqT{{TtznmMR9B3?$Ko1;Ya5>y6Q*8dQXIC7?M&>O&Hef2vL5poBGm~* zqW$OH?;exLIDLgDmW?k^BF%_vbU8_q4h-U@`SA41RVrY}N#GIHN@^U3fzCo?5?M2C zc77MF)@+ej@ZDQ^b@@U0MP1+Jfm+z9Z-%Y2!1=^`N>^CHED|Ne@=dRZc5F3RE@(Rb z9d(N1G#JbZBOEw65p{e)0;~7*9MQ~+dnsJlq-lYV2^=Mj5t#w1b0FU(0gvE zHZ`JMs6!A22!Kvi`$%JA9*3@}&U^vU@-n@3??6vsJ_Zu*7uNyY-Aq!8gRJjue$|=$ zhs-Kv>_>g)v{-;bY)618r1hz6#81zQy_N=3q$tWX1>rh$A-JJFE{#?9O#=EPz&xF5 zZ3&N!^ZFk`t)6=P34x{m_<^}@vB6xB7^ZAq@?PA7& zrhlGt{(c+ph1s`=W0Ys-)Q+q&U+(c{9&caviYU(S3@2aNK2Q{$14l1E;7{f|lWg{T z^g}nfD9@UOPum)A(i3?I(C@FGnY-J~z-z^ux{Fo^`boP7^~~%?R=r{AxUi+srblZ0 zzEomD&MfsBsCX3|84p?n2he)*>1h4zWj*<~^RoCB5V8TTbdkg%B5gv6mkL%{f02eG z)>Z$ThB3K%C|A^JIe zI51?FCq@NhQ4_=J=p%=cz&N4`@|TO|ot}Y$crwp;>*U-axu%Fl`Ai!JGAkLN%u zF_^>-(TM8ju`A|X-F~LSV6^MYDoH{sK#++ABm7>|$_{b~3fIhpUxN;()fa7>;}ao4 zHX?1sYX8Ou^LJ$|aH#S8$vwxxyLd;*6a)#F&{56f*@^Nc1ROU&a~UljQGF3~wH`E9 zgqky^Rz;WZbia!wA0eqma@*fBw=bdKpCw?P(0HDv>g*1_t)B1@O@6LQfsn=)DL<_s zaxw1-=HDTajO*pY=kwyzK!D+Pq5$ap;$T2BFNZT4%Tb8B+b)=xXtE;W`6*MDl`0Zj zLNq&&b{+&e^q!M8LI<1Lg4=y4cwL&{T`bN;&lJ z_Awu!H-ds8GG}Ri%N;7lRUAj@s$RasGskOnv=Q-~yp-aUNBC*9Dzy}Bex(yG{oRsj zm>QC}9*9SN3-Qo2S^M=(tw%i%rl=7cg&Vix3=z91i$1hTjSd?O$q^X=NtCj;YnI3Q z47R<8?NWcGB?D`(RWRq0HPgfNx%AA3lX^WE6Tye2s-&}+K>|uA7Tp@L&~7URqc>*| z;CHHs1S@un3qs9g5QCJ+Qb5PCgB=TB3EdKtrhJwwvgFZV6 z?Ip`OrKioP{0>}9y#S^$KlKXfCreOGl3Nm|rjzu^6T+M%R2uXScet|(5Xtoq(<>;X zu~6_+vI#ki>K>OEO#<##TEvn>qjHhr1^D;_qbv`ky%<~zQh%rAQv_)r86Im3rK0Ul zIKvW7SjTQIimIgl@f5WG#}<>jXv3ZGL9W7l@m*xQ>)lrTpr}Zig%#_5m-Jlp83sg}VhG&=He(zq1S2>k_B#FL8pQ(LleYfa98BjEFFFTOP zXI*V621G10xbU~@C!*>WL45$AgXayeRJNhE5T+|onV5S8LJ@B>~vh$IQ37<{LtGmqMgkFTQI8fL_f zeb+`0g-IaWpat8qJ=&3EoI|@aZF;&Ig*(yDSkn6=-4`fo$5nU)@of3i?tK9Ntz{Xp z#@9rU9C1X4@=EgY>`pwIzJJ#+gC8te^Yik#&KEyJYJCC9r~4L*;V3TIm&z5M<7-}v zGtq*0zXUSowku#T6{${l+8Jz09*pJv6A#q$Wm0*z%3`q*?ia$$2YD&ZzI17&LXjD^ ziO;1sm>8Q~=R7IGAGSY6p*XP9iaK8^Naeu(rI3fk90c2|<#-|zkqW@%nS<(UvnZiC z&L~(_ZW{CN`$3ZAT|&#!3gl4c)k+d;K{P<1(ik%V2AgrxzKa1)t85f_NO8c#0eukv zaQGJ9K0tGdlEjH;ye+V%aFG5k$Osw73QW9DF6As^%vi5=R9BGv{4@)?$JVePxC zj3)HsZ@cE-=GLMT($FObtxpaCcFEvn$O|xnDA7l75rWZ>eRZQ39W8?JG`2UwkXW_tfCv6Il5dVm}2Ke~?$oLLuM zK>hvOrZZ-HJno_Km}5<0NyxWT3{S5x+Zq55k~sZHQx<>1!wc3J(*olo0)04DzmP#u zDf@SI#89>Mc>>=(2-^@o$x00|(4?(0M+h}?L4X3;1IPA*=pPs_7Eyym>zI`Tnx^S` z>#2H7(zIa-7^`ywk{j#AF=O>l_D#88OE9Dl@ z#>~B-Of`<7HdMsr$^Fe}-DNYx_j65sT-!cUK)54;MgUt+sg}d4PSNAka?kVrZUc&u zsA?ein+)6@v5HFeI9{Fps~hmW0T9oEd%|i(_^HCOb&}ZG+X(1l%dpS096awn1Vm8{ z21xgl5aXDAdUaUO@L+2CUIw}Y#+3FZz&O?#vhN_EdF;~LU3y|=TIP&P=#C8d+LrYd zTnm{mlylqY*3+zxBXHU)?eQ&?H8@*&5vXWL&~;^@8ITR)1a#Jm_+N#W(BVN73kg&fukOHGf}MtrefB5@Zzhy zF_pw?pq-hvgxSyc$aKyQ?KKJyqhV0%a7MROL3Yy<+J2?3zHNeHLs#vKCDu{kd<0zuK4cwoqpRMUakneJV$K!QIwQ0)6O*Y+H3fRou2U z0|Wl_4r?rn#>>po8Z01cV9ORI>1sYjkZ#GRdYd2lJ|BdK?>ff7Derye54u|)XWz?W z;aR0L&pph*4>gB{OH(ONv?%QIolg)Yu+aw{rGn=dq zWMWITqrl8a5H&!pzK3?10@tM0?Nj5sTEq3M3GPKU$$^Y${Yr}Yg~n#rTJN%aD+3{| z^T@mXZN=OO91sxHC>Ba+??v!oSnUAjarS|VL)xZ!5Hm}pQJ z`uAhzf#MC&vv`wzXvY&AW~9nMtAt+UD(geq+bb~Qw3L0lQgz9m3~bk3?xk)h?pmAf ztuLL;AxhR?ZtDYucsmjo>2j^(O9Pxun)ntHm6l=UXr(qY{Z6hThos)`d;-{cn({-#K8%2FukRjPtj)A|60YRKSuxqJ} zmGtS|R|PO#L2$j~CtVSmR*ivfRx3zW*I$B5qOvnkKqJx48mkHG`B#J4sh8=4DL3la zdX5cBUg+m(P-JVDjMB`s#*R2S*lE9j#9fzSM!=Ny$)PvgCr94qXL{kq?l&Z!j!L~m zK{D5C!;)=(97vS-9K^I6xahrrMV&l{jAWq@t>kS98yjpNH{L8ob#7(RC1{^oZtQd% zt9+!Ms9;oYTMMJD$`VTw+8OoiA(|jw2%3y!V~d;@qv74T(8#Z`wOC>`?fqy94f#|K z2tYBI%D^;00*ZpeWF|>dDlquo90nI_k{GsuMw0|N@5r!R`rDt7XraRedMC#L&XRW& z&PJ`u3{O-VA1}gFlcXJ!@>6Lm`EJJ=)nG{b0&nYXWhD>ds|n$Ae#fnLky!L|)E<0^ zs||>OAcwt~6YEI^HXi!`TEGQSfr>j3D}talM{?JE&FA1_dW#F&BelTR zOA(3l=-HqI+C%k~;B&XrSnFKMRF>Li5axKfW4JB_t`Z#dc?77UST2T@@fGS^^~jPj zbOEkfhdC{u@iSZpGu(eiSuSNaIVplRaD2Z_G{0VXlxmhr%*)X1yJ++<-5NQ}sjEV& z{Wu1F8xY*^N+onfD_Bcu0D$rlwl8{cD;T)*16HcNx6iTr>^XDK2--1QpKzzdyiILx zwIBtb0cHSYW&qZKSem#fLG4-9ihdVs+c^Hd1GgRrqF?PK2TlwNmdr@d%J-i1+Fj7zp)vU#PPJ!x$V^}r3G%fo~ zJTt`)973JCdrESIeGrnSh;h3$!vvjYJIV?hl7=r4b-5vS-T+b@5#GxsBeTJ+v-+J+2>f)6H_z$`^Up zvg^+s_fU$#`ByZev@(jiJ8HhbC)RzKhuWbM?vw}&_sp+w#609)%n88?6tkAN)Pn(G zc>_-%7Y-VXH46gUsD9nW6RGUO$NPV3PS2%)jyz(k$OrN-$Z!0=0@IV%rQv%*b)--t zOQ$GkL9-+kdj7a|_`foj9Msq%74zu3b(%s?-K40cVjf>gW;1M%j-`go%+=tC$?PXz zP+;i~LEE12&9;s)KO#?j!GSEvG0t3b0$pb2Wb<<+XpJ1+C8y~LqrZH^sRE)W=MYi6 zjQAAWq%e1Y>5gpp6ekr-S$%=j*cg>d9@iMfx2H4IYw6RPR5Sf$1VPgudh5HF)btCE zLuIp|?yAT6oL1SEoa_IxZ-#k$8AHF+Jb~xd>R~1;OD48qCvhFT;1thuN*jh1-*$|R zj^^@aqJnNRy7qn&Z{44eKa&kW|UvUw^d4^8icC`}D~%UCp_)$3O+T;GtCo`7Zs zj(&Fom1an*@Z0|M_3Pb_-DZ%sDuNpBDZa)AECQ|h=bU|*`MQj`W-&KY`RkPh__yyA z`tPb?LZ+ZuzUE66*m|I{<5xi>O1-9W(t}}0OeXk~)@W^%SGXbq=%V@1xnLtBfZ*TG zR6Fw8X{;u7OoW|RAP>_Mm{!E2kNYJ^1eSnBX4h%>CKqM=0zeGp~X0m6u-2f{v z%<)BQABe2;naFBB8hfd!6Imt=q#%gZ6Ubl~PKJyHC-KP}e(()|qXvC@i|@y9pH0pq z5d>?0mShB6aZtuk*D$3$>5CUIp?${+Co_Vk>Cy<7o}vuqTm~NL3;fb|3{SA>yHTkC zLf;h#CK5nF2v;a_>^lE+Mwd5ipNjo3B;a4oDZFSpjd{z;kpwxu+8eeK62-}whXXp8 z+|j;lf2ZKj2&?pSSB(QDv?GJrH+?Kaj)+G<5rUWWInnkqv&H+J*?)TvIsd+y)!sTe zxaQ(l9}XDOta~1qvUf zZQND>=Ax2RslUUJB}*k9M-sULy(bM<@a*7V*)d+-knrYyz2i}d>`laI2NbZ0&#wn- zSl}i_;L>S0Y$y@~V?N^qX;P)Q3N%oPmqgdvA%f@mHoqk;1ysKj-lK@;C%qRA=xarI z&C$#xuf&t*wJ1|8=tCbTV8o`QqZJlBH?CF89^#!o%z0pUrQDPcJT3=);dbY>r|$QS zJ%FcRXr@1tz$)+8tX(p!pfr>O!88tM*y?DSz6+A?oVSi}-?1$W$`|{%IPIu;`Y?qF zjX#33va8yBVGX3#ua+!;vHSvRcbYXTJVvm&v)3vJujafds|aih)XHk5u=z>x>a}%RYryDl8sny0*W_LY z8#B8o2roc^PocLY&t8e4DJosytF8A+$uJ$vsa4m(;+l({a=bao0~nJ7`0*oy?qE|L zrjdiPj@Q-i4)f*_=lRGY8Tc%Pueu0Vl1`;g`+6Kz{}Uh7BG(+`O-) zNa91kWazaNjxg+*U|xoKn;(9?>#>sZU||UMe2y0BYg<|+PuGT<2LZ50l9sCKHs$k$ zBIdDSoF9Co71T7~_^Ut%-25fdIQR8LS~}dNlU)u{UzO(+CSOvkDNN_!%PwGQ!XYHoE4v5PMuNEj)hc@w_x4Cpy1apAFFw-0Kfow!NBQn)IRyYkMFeY=%&vO? z#Zw=nJ^3*t` zzW)7~i_MbzYuR)Aj7Lg6RsVR@ilhmKoJOBIz~L21+mi0F2D9Si?P7Dc9D}dX$yUzh z?Niq5o^)ZOz!10;nGq{LF6ukoQv1cw;P<3UooHj$ba{R{4TPC zWLbU1k5u=XQ@5yNM*KBaT-;p(!<~afok&Doa1>6C)kGKI7!<0RMMo{T(mAM8v+8~Z*oQDNdr@OK`^Rg?3xE9$^5Psx>0}E0Cb|It@I_nmdN8I zR_i+-@Nl4;2fP6&JXRdr`H_r6@atVziKo`l>?=s!=jIl6aML9By&*>BL6lgl9U;2N z_0L1a@hP{|x2hFqw41%}2+KV8-Zf3|?0RceM8jEkSZ7a9qDQTK*!~ zC)Cae1fK-6&%&YEgv$U>G>hWpy(Pr7)dQ^7M@X{%q z{YV*EG((W!D8)c#$gkS_x#M&VrtKG+Xi3B)Vtng^`*VaAt@s{(ajb&$q4oP@CR5&#Stu>;LWa(dYO)f$VbHY}`O7L8q@G^%Y@(BJDxJ7^-DQ^83f!rc?36 zw$5TO1eckc;?9*ny^r1HQSn5w_III`&eD~Yq71=nN~v*piaz=IAUX2mxn9=r-uNULw#9ETG?e(JkQ!A{a)msH{nksRppke zVy_s)2fhb2`6dSy6%@5S7gas(aiSmc9PQ<4;9a5_f|uQLpz2kb)*#QB#bj03lpF=skK|I+!$FVIlt(y6}4g#YiA9 zm+-(EKuSqzj>AO)vqhweZ>`gXwDE1|a!qS^Y|Q5b8j)28aJfZ90)oJoy{DLSs7pyw z>v$}l+}3iev?R6ITG(DFsP)YMF#=ZxMdG6#Gn4vinz z56&8^(?ViZ#q}-r(rQDe65oOMl3y{PMPP?ihqfFZ&&pSj-QH=Ny-)YnkJQA^3&zn@ zNz&x#-z~a&Qp!djN~pLm>3pItb>~z@NmV+fa<WZ zg6vZlYw+rriY54x5B|z1RI9a*cuPD-1IrxUZmY;&nCr3RQUd2fE)N#cO*X9Bzo-dDgvxdyG^CwDEOkS( z(%09adZ*uL`|NQ5DwC$w#07m|trqG&sra1m_QuQ!J;%9;Nt3&r^j!soP09${-ABeT zD={3O@{v*6S!7nKNDvTzKu> z#~ywyx6J)0fIrqczC@HhBV;z-ll&pqsvpF9Fyte+^Ect@)u5Wv!$GxmAfLkrTinVi z2UPiB@%uEbkGj85pTDH459VinNXOB-*rNtrT_|rk|9px7AnGv|YlvY|MB_b>_Xt43 zOf%hjp#=0fq#i^Er_3*qwcWrI29W24&sIy|`-ZJB20}oU_yJb{tGz-qVrcQ1FdJcr zJ1-BEsVI+Bs0R{64G&yJ?TvqHVx8(IA!PWS1~U9;0#?~PUO6Z!q_?2hv(sJ1B>|X* zIR+w-OM|5kT{md1Uwxz^GH9HTP#G&im2Q4>c8*o`pMUx>jG9Y7nxy&C?KD<9=Q(hn zVheJ69NyE{&EJ=>9+$Q)YyT2B{!;$8+c|)URy*QHO@imh?8M)eZYTo&G z0F)S&a^3nyXZc$^Im8y)Db0qv=!V3c8eDw4)vF6|Ob8R6)t8FZCbx*;v*d2H@cLw~9~o}};?wMtSPMB2rex%uNAI~9 zmLCgLC;nTP7V3?GVMvvpt|R=7}?xmH{#3Sb0(?) z=Rr)ywJbq~%NH2jiI;R!SRL|-q`BM^OU@?p6(`1Mm#n%~QwBRC16+lKM_dzZ1SxWw zscjJv@a_tDd!N`cO7m@HX2BxLU;*si zk{=X(&J0d?xAf3Ub;K9I;{>|!(EPnj?}Ax*T#3kBHFo-vP%y7M3FS8>X#vyxZOH}WLK6g3cY$~hC%E2g;_)P zN!2{HzxzT`bk+bgn%p=wsxXkLbmB_^Ubygc$$D`6D%4wi%tu$EG$TOnMQ^@M+CF(M z_(PgEj+}&(T^(%3)Dm)1r z+*FHqE$TW3$P*^WxxppAfhPFl5Jq3{CK*5-rt%0kjwN#%LOl}4e=m93kDST z5cbu{PNJrIYS;vvN-iff)5KS()wlqC3?w19gB9Cb_6B{63z6>GOtUG?wFE(@2-k8dsBPqaP4nj6MK=UL2Lw0<1Uwp)T2-nd zHRD>0##H09ao2GOkDW%ySE}#8C%7C3);VBf>DMb8aQklKA%Vs!MEIA9eqCY=XNK%vbTz)JWKtAr|gUnDrHl^{f1yu`!bGTdcuF_)CVs+eJl%Sr*Eku)7RQgB374LSB2@p3}4uB z93wFX85vUs^O)3bE&RZU)*i&;?;I0lbtvomj>ScRe30YZ>hocIC9WAL<&)EK7Up@f zv^->FIiU`AJYXgTJgL^pf;;~9B=%C=_JiN_l36j|kWaGoiZoVR*G|@)mwprW)<9=3 zK_)M=A5^@YFNpxCsclbu32w>S*RN5b17;kCo(xnA^N5}^_BhRY!L_zTIS&$_KG)yZ z4luH8FF>#3yR!ajZ%fhK;f`4kBaczl#JTO)2|QVj{mh%XgHINRa*y~#?arVW?l8}9 z`MYX8dLn@YEkU%(5PBc#Lvixkux(B>9qtO(gTwjetN*xw?_K2jw|&F!G4Xo4d_JO9 zfjn3a-d=aV;N-J&)U?~0jl!lG zOOp@_lM?UXTMR%`AMOSF=|H33Z%}0!_HOL7VN^+doCKpci_<>HP{1~^e=aN0v}-jo zOzjbm_3jg`Gmpfdc;RDdW#+1ANU@RN6)k->^jJ-wPrK~(DUMGeUsCL;pL+8PJh8pW zT?YLr-zjII?vNJ0NotsmrL=saQ;6~Jcz$%jf2u#RKK%Qy2Pz`*>uIDdmkWgiu!?_c zP5alf;gQRH@oNh%{-*%~d!z>2dA08vYgbzsAWe%D4A}^An0FCYZn1`7oM7c{C=cH! zr*7HvcL4Wm9f7pPG3|xp-|d}nyZ&`K-!%uvlvNCWKJ>#Aeh%`X(Wqs>rMS^=gJMAS zEDU<_<@4iV7b)475x1WYiLsttP&;DeN%{v&M?#%06{DD1B?DlJ_JmsYcMayRg-1|^ z5Sg8PB)JpvAOGnHl6-v{b#Z66qj>>`qD#;0cY7(ggZk@VlhGX+NZ#%YD&ig%^a-io zNVZ61IaGf#`jgc?^N8CS6BA9OgDIe? zwZ)tv%E1l%w0V)Uto-ZSTSFr*%iY9eHs+)gurR46bv?Nc!emRW9S#v(;mx^Fn)|$0 zi*QfGletZ}EcfxV>I%wIg8Y2%4!d-xQ{gbz2eLF}-C}+6afpxxUV&Y$RsJqOvgpj( z>ak1V$KYp$RjJ%oJeo<2x0gE$x$IBVu9?8@^@Lo>GY5efa4QTU_ZS}&Y!r{}lyUJv z0Pw&Y5W#5@n358Z)CgQAw@-aY0BFOuj;F9e_9hI67)-2nF6g=EFHU2uUr`umVa@V~ zu>EJ{Y9rKfoRzLuy@im6KICJ1@9H#J)zMYH7}ry%04aY)0fNs_`|zsu^ehxUVv?o* z?Gc7UMzA+8e)xBRK;_YAl`HkC4|4?fyC@T;vx!pZ^pR=JE5*@(57$q7arExoP5&#c z1&PoTnqspX+@+jRzTvqAAfu4+T_nY2qdA>8)K;4a1i7(?f(UA_$yEDX%8 zGX+rRw?F;A5T`CG$MjQY8OQ z&4(nEzo9NQc+T-KMCR!4ETTVUHv~ct>)UDT1ASBV+nbN_p&xGC`Att zR}smo)~hYyI)?!tZ@tv$;mha>;yDCQvRJ`M6cE92H1`x=&?kQYAf;kS@DMbZdDjbf zSQ~5D&Eo4zLd6Z0WB+YG^-y5@E^T6cYUjzh$}r%!hj&I~5>mYKLq@3}+m4%x8jlZL zW}k~i`>*~jgqIrXo(BE~x+`kxMD`!tVa_18?skk1@bZz$GMXjCOs_U6AN&+pRbns)YaBg2w$S3_5qO369miY27_;NZb)wr#c*a2IJ@7hY#$IL+GOb${RNK#^ z3G%b!SwYt%vQ)S7K0%~${>r0WM2(=(GP#h_lC6a?7E|>5(Gc2aAVngalp}Y&zHW2! zih+l)c1O`gVAOKxxtIGrH>>z29TmNnJWWNC1GR78$%9cy)G8nSYaq(r4+i1FskRc58AfMccxdeFkhZjaey75eVc>^x7UXeCq{Xxnz`t`mGMQX5 zl~I5m>A!AQHMq-M{*s>`G6I#QJqMM`ypNdvR>Tc!vRzB9W+D(+NOFv+GITl^0IwMX zVe!$u9t4@+K6PsP{0z~<(pOPy0Ih>P=t?c9nBfF08#%W7!%iZ#0;=Ebz z8_wniPu^Huxlt`{{PPuoL6pjfcGiYHBn<<$*sMv}mj(bZmZKx3e1OVE^-wnLEl5Tc z0S6o3=C{NfQnT{9(jS1Y@<4^zEjvu2rt9D7gG-(XX}UqLl25AivHl8_kGJ^1d!r?? z<$JUA<61YhM&&itR$g-rt<-t|t}Kr}v4iHzxRm|S0ng)O!fla#aM(>*4wE0-<7F_E>1e5leMu4NfhMpP{Dv>(NlqDu8ja=*w$RM{uEEYw4A{qovmCE2Ovd z*qhqAtZA^Lxz;9phQDTw?<>}H0cV9h^3`}uwU%XaY-Gq$Xiag|JrkXxn#b@$ZbF+t zB>P|;IqFeRq5TI?MQq!AzRwuk)W;u`YaM-BIP#%A{9+r*q%uB2hn#eGN&!%!m2jk` z-uTM%N*gn(IxJL>2O$S|+k5c>K5J%t%~UugeP%phj&9#Xf#-Cjy>6}P#19WD-arF} zdXiHSgF}y)4=49L^E7Lr%KCUHo*fYmm%NRdx%dYB zJlXfJ2;ChSC&v1-f}@DP67X5XyU)XWK+qEaikYYm&+ciXOSs=1FZ8149H0{MEKyom zPw*EW9w1!MB+cR(`I7TVueS7C>S``;d(@VK&X@BxAXG-C%iVwTL_R(gQ_o)pysqO?-&s(P3fFu zJ&^iNsoDswFIjH|52w%06xkEqclGS69VZ|HN|@wt1IykqtA}UEHyxt?y`#hwMU+vD zODKYG4P29LX-ja0K@c4;usV?bF_#>U+?|=&)?k1a$UR20v~|L?y!q9BQ6rm<7SO1S z$}N%V0iGbYo=3&fYostwDx`#Z!8GF@)Z<839%U&1$#&zHE5BQymag)8XvV*t1U?t` zGn;865LR7QOBU(%VoAt3k931e-aZwFFODD6suDPOHsK0SrpN!@aRLe=BENdsb6_jk zXiY>Cd*>6A(h*qE(L2?F8r#gzb`=ezB_MoON$0*RVay5CX`~h0w(D@ z1F5J<0}VAbXn?2NZh-$$B|Q{yX$9~Y#CworeFC7(*?vh|@Z3b`6(7^uXQK5YrLs|; z;mI$CEyMra=c{?5X2STR#J&H`ec_vzk6ZAbAL*D?lN~aC z`Vigq{qOg9J_5gNr1$7iSqCDyl(7fyuN=2Mo#T$0dA* zJVp(IVw7i}B2AISi>~%DqMG-=K?%CI5c*x$QJZb!1Tidyq#;BaH>cbZO%psvsp=(vw=Vp5Hl36-@+TLZ! zf7SWlw~AuV15jynP8FFR_zWLD^~IR!(kTmTUA*-{Y*tkDU1|DAsW@f6H?78q(Q;>K z8HM$fYhJ^h@E%vpthy@1eP2jEN#i?#MF_TUN0GKz;W>M;=!%ogGjQs1pFns5_k!!i zqjCm=XC%jiNMko+e~BuwuzPldts(DXWZ$~h`rnJZM4yfZB_jNkH!lXZZ7cOqHagzl zk{nuUvOc&}BZUu!_kzzSukhD1H9W)3%zCj${odgR)awv7GwM4F8S$k9fBjy_qmWFL z(~pf5xoCFxgmUQ8!rUR!(WjBTuoc7X15%|QHa{$tUT zP9#g9ZYNF4hOJ3B#}lv1-v$EDWax6uQ)!2EM^QGV(7)>n`#@>tPyGRmpoiw?*96cJ z1_|fLY#L0_!ZzJ_s$ZopLvaLggt!Xg_W>-t8AGr1Zc`4PpHeA@!2~;J=FBAyt129d z{JB>b#I+S^W7$jL()7g*0bJ+qMk-x~v9yF5OcD$uC zO3uz0E!1`^QP=eg(gRT2-eq7SPl9}T(?qb!^Y40%KDyq}-=D!llk>_az(mYXqcTSU zKi(`|R+R)y;9TQ&FvmhZ&F_LKUCIC8#-~zmXQ0EPqH;+w-^y?%~ETQR4AA@Vq4&ZwVAk~CD4usgatb)GCH!a%`1MPuyiNm?yqn*597&!ocP{;{ zrG2aIOG`Y0(D*)V@uPkMb!5h4b?*W}2o@`lkFO%Dp-}eG;EC-T^;`>1Pq039RIf8M zV|41r+;GZ=vb@CK0?u(T<&B=(JvVw_tgMMCE4hD&Ay5;c_3eVZSipos^W~oTB>)Pl zm&L%vZ|+N4*C0Y{hEdra$6+DxV7qv!TM`1-cEubYiOj>A;>U?L#<^`;kX z2=>3Tfm*L|2TsmQ);4%Yfsg#*(Q%Z?IPN`uR(#aS<`M1^1>nVUHyAp+&}|OVdH$lx zos{ZLc04i2CCK@BT z&P>qv^p%<|a2@Ws7dET?@KT#_KioI{M3+}(-E;Fs_E#?f)b~wD9Jk0c?~I>M2L$r< zD|jL(OXigJMHC68Uur!%^j8uojQToGSzKeOh&_Y(l> zEuZt(NDN9zbh8}5I&Yo5m*DZ;Q10!b%&yccW6elZ2D;tVP=mYaw`DFL2!$1^f%q(b z6A&&hIf3WLxg$3;$UzSm%`QP z;ST{l7v7Q~HE9yIZDw5h+cNT{h_-!^82UhlF3<^7bE)qsqqBx2akR8Fyko6lcJ$+0 z#-sy@DOXJ&@L@h=5%}D&6^-;R6o{*E!r~cd&*H;EBF*(nJy|g*Ko@`gaOTSdU-A_} zJ24q0k8GYDIaFP6-eezA5<-6cmtjr~+U-Pm0C~QjMM`h=`>+qv_v~F=+;innY=%Bm z4KLoO&DHxcZ^Mq$$nWSU!LL*Qod#Nym|^Z7b-ax0O4#d+c06z_RoGO9VH15C2suWm z?)TXfw}7@CF?B{FGyC`hB}L~aw(?(7BmGM#2@y~~zXlk5A^r0IsctbeCOGH=Ca+u0 zYavr~^@bDgRm39!6~bekt*loEo01QSYP&^z9yFKJATbr)GLu1}TY0oAy7~Z(yXJeU z=xAS{?i5`o<_?#C&FiNhZPye8wV8KsOeJWf3mcmO#_?KtOTlnaxneyqmC_wGC;IdG z;qaL>ratJai$B{E-x6Lx#neqQ1H6dDkw?neGKYyx;O7Zs2iC6AiYZxrX9ZAWcjwcL zE>@i8sMPy$a!kdCdYmY0q7A`J#Iu{2)7eD!Ma`n7Kt<~Ibo)-ij+*YH#bKH$f^WB! z-)Hl^3pwlc0Z!E;l^<iN8=~T|rJ>NfC{qlsK<%1BpillI&Bi+&gO4y4IFU7nn5wPI3ddW--ncKu%f5 zDONHp!M2n^cX{kL`=@9K=6aKO;@6J9FzN67oAlyq*g8H0NJFqEu=F+?+Yq|47e`Lq zL&+R^4yys}SZk>}tG1lDyXd#)T|D_>_jlSk2=b6%aBiTCh`UTTw98^Tt_cy zsllmkk!WsGXwl*I!yrQ&jHOR&T9NR#1%K0s7(^}L=sxgFdi}6DR=z*by+1Au#V>?D z(keym&viMnN-iI{6v86mzw#Kd{!T`lpCfMA9x>`Av7v-Sv4Sd(Sj+CX66~7XAJa8o zu;QOg_r{45&YkuseDYaIZPtBn1*10I#kRoh7mt+2og?-A0Omk{(hn4UI`kWb3~_2@ z*i^eW`<+XIW?j!-hHnInL~wIIZ+b3Ax2dHBR%P797{sMhA+CNZbJ=s}TSy`%nJ@Br zTEES6^R?GTtF4LSHJf3do0zo32a!Qt@U{SbC)qfjZ|JCrA#&^j0XyLTp-M8D%SD|+ zuP;P{!A-C0nTw!veHwxN;s^5mA(`!B23LsoiA&$%$=C}{m1~dFq;Pn0ar2dOU_53e zHj+(u531hZR-Jck;-oPkeJZg}g&am`qV-xqnzs8(p3drpBl_OON}N1`&f#3Y;UI%d!k%-o{-u*gE6`~^Di|&QNWTq! zf$l*6*cL%*CmyF}u&3!2I85n7;Ks1JJ-=Cyy5OTodrwXn*-?Ip$)_HcNVMn+hart% z)xRT#)Ik~vkg5$z8KVM$LD|EDePbVx*h(;f?{xoC+p)=3{r4sh0iKV%(}1E|EoZt< z#RZ!8B$xUpI^FJk&TM8)1^W@?<$MvN^(Cy6r>lgW-Nfr$L-C^|LJi2kT3L}ds<~OZ z=+<*IpWbddOgbv0-p;&HMYH>j1#X=$YOiA{zfGNQcEC1@>S701Fxr)Jh0b~U+i00T z+L}s9U@hNMqlX7c5l}$AK!eBU)u9xMe^@(mS@_dq2opx?v%am)=P?6q0 zP2A_#kro-rMPg9HJPVcv|5RPmwwCibye=hg(b4D~g;$Vp94q&cX7;?A6uI{A9FmH9 z*m?@IbC~3~@fsCqaDDXh+7}U1^3G##5;%II`^5g7s0!f3>peGQ$a2vp5OwQ`&ngl- zo(BGt2{4?rlWDno0~%oi#wr0{H!k`~T3b*%pT3N~KJ}fWz|7I|<4JRZMIS_3e%IW} z;qU8Bb+hym$ap+8kr)73@?e{ZyjV*<|E+jN>w3|DPTO<5Tm=hatSW6u9(6+&KGc?OWVyBJU!8jbxEIZJDT)L9X!Hp-S*r;q?d(Q ze3zP9u$oQ~%5x5=v}*rVpgl=!FcL>1=)%YuYUP} z$HW>_aqB69V6@SOr1E{S+GnNI>%n*1BNX6Ogvj7pw0!j!NnXiQvkxI$)YXs($5upK3sQ*t26&_lcKqsQ#n)~E^t0ZKS7 zb06LCLCj~YplB((>yfuO(bqIPMbxL9%JlsaxscEMgW}`8AWLuc$;Dv@6yvK9$Gx|B z0|g2)eC!Q~ceXOr^8l}WOhI`^l^wKC!?G4C+a(qWGEVj7c?Je<;0zg#>@g$5UArYK z)I;K{Vc3lPY`fhWQf$-5rO9KlhGN%quIb@Z1{90vWsFwC=yuz(Jt>{9MN`|q7(V;W zdT9y*SH{=ObmOmBa_fO#&ODJm2-~7zb7o`Y)?Joa-%`kIany)IZEo&Y7|1{u&6vx$ zQ%b@=(14eZ`Cr?pZ8TBDhlY?_;pDRU+M52E78&r_kM!?GOa3(;LKest0Dq2#n8UtJ z-ZH`e>QZ`&w(tHxg=!i_e|cUkv@WT(f2GPaAAr_#eQRA6?+YnB5VTOa4sO%XWxqVaP=rQztK2-4{^ z*>xDB!3Sc!+AIUzXQcDh$kQb*$B(;F@4qW@+LWBM+!qAlcL+g}WyU=g(b|d`7B}y% zZNEAssOiS!Uh#W$O_`VVJ3~w8X^cqRSP#xIMxWYi#M9uH_B>J zJ!v%f$$ex8CR`{LY3;l{+-a5mkWF^&e04CpY8U|M35ZCJgp0}ne5Zgy_X#mrgh05? znT=hdLHnvCzs~`i-_9^kVQC3hj8+n3R}pNY;!$|hZVxyvdG24F{I(|ne6Xj4WYQj?C`k7UMM7hfd` zSu}R7K=R$(9d@(2W2=;>r#w>AjC$Xx`3f>9IVbmZM(}hA#`xb^{#X25t@WW4W>cV# z>fYM8o7NNlt_t=Q6wGa4pG-afVzK@+=9OpynvY%=KpI>yjfRrBa%<-_q;FpcHV?sa z+l`?-JWOwvF+&#wlp^odt?;Y=9%-lns`0T2Mo8Qz*FQwJe;RScDaa`clXW3q#r5}~ zFe!;-Ku2D`7Jo%PrGu=BcPL*In)`}Fh@zQVdHI?za*BU3AYP1Yq2%Z>E_qV?9R@{{ zFP04Y=Xntsfbo1$r+I=tvG=%8Dh-)VAEX82N&&QJIrw-fzS~;8nN-2dpd5F%RLVVT z@i>EiXBLDSPRmuNz9@lCi%ntz2SDgr_W(_$-Y$AgZ#9AOfS&7(kIR!*iFdf8Bljy7 zDcE;w%GqK2CE*e|rBU_IW78>}aMsU-So~TDD9t(?Fm6DsioyGOTqiMqDS5o&@dNcx zSqj-4SXg~4XUA~`YY+IncuOWDKG6CD9kdX@kXBcE<@mc?RV>(W;2p!Guu^i-b*k2U zA8PPp{U!Qll87m@t!OK!y`_ zvCP(1#8UM|C5?@UI8GGlh*(lCg0KiwkBk6inFEu?6|pdRdjmzD*iHg?l&~KhnvtZI zcnOEC=sJd=alA^*E{kPC;Kep;@r0-JCp|9K^ec@%QuC%sN8yNLm1Qnq@Tdc^Q4C}V z^+_$2FcD9gx55j5S*yhv*1lM?;_+p_BCl__3KV8_3!!PO56^f>i79hg@j)tyMkIAs z=Rj-NF&W`{A_~)vqDuG(X*X#xrBg#wmcB%R)aNepb8B!I9=g3nU|GTkR-*0X)i|?d zy4>cab;@6i#dAO@4JPIfBu27ju&Pme7ESj;{$T6dOkd%ZG*LyixO!25)H(WwOevtU z%k`bl&L;14KwIjY{-EWrCgm)ynLaUUb?H06EK%>rNARuzJ#-kzt87?)zQCq5k8T-G z*w6;5mme1fSUARLi%2OZfj6c6B`O*K??E9S6XJ`>d$(}>i{JRKyvNhdzXD~?ee-ufd*L@w=;!MT^o{)`@i!VQFS7kVzpZx$KFtl%^78@$K>w}RBs)LW z@$dpi{OhBTPgTz5Y2lzIWK4d6%(H{mKO32boJ7*Q{{Qi zJ*YKfiITghUh@>IY}7Ad`=r%ocRs7W5?w7;uhfO~nX-{XYvuuGmVI|0kJJwOhk)GZ zXS}LQVkyoe9U)ihV?vBfd)SfgO|4x|_TjLlfhxbJu!w^o$O38>!lxRwvF&`|^!6!5 z>&z$PV=1~LF?xRG8p7FSL6~kc&`44hT-aQ2UzLp#y*7Ndj~NZky~c`*4aVoKH4P(0 z#<$;XJN^Jk1Y(qDJ7n^|>4xaX>WxX$iL{Z1FKCKCLh*#ofN=N`!-tw-=l4B(kFh|mxq7JNYf@PH&K`M<-U65LbXAjU2M zY{i?Rr&?IU8(RQLK(@b-PXFRFESlgnMfQy^Ei@f-dq+Q*`P<&S)f(D3w`=lLw5>^# z;0-x&;u1gLiLapr$1C9kwzJHzFAAmulk3{J^*(}}A*Tcn4pk!q(4|}5^$`uEd>qVv zNvWaYG~b-6_-=tC!;^@QS!z~4IlA>m(dRp@U?FMJVKYu3<5p4r+r0eJ0ZM>Ul{=_| zx)^UEc~hDWw4PtYkwm6<474lub!{Qftel!Qy8y>$|7dqyR&?~{#ldN|7QsICk|1Dd zr-5Z8E$lS41}&w1^|ci)b9A9BYC?AjAS@Cz8E{QJ`a8JW-~F04Y%>=^eTN$Dyo(m; zGEkgxFo>pZ$|0_4aPkjHkj^q=D1Pp2S9g;pl0{s?=-xY)7t4o zM`14|Vt-5^vnN zQ{7{4hrnM+tPg%&tG)UD@1Sn{m7Goh0Jy;M!GMt-pbqE*Q0|}o1xKR?b!{gBWI}Q7SPb)WH!rQUY zO?RKp)3|dK21^W2J0xhXD5Ahxn`NHK7BsdTo)>yTG}@vpMHaoSs%xK_bhi9nbQyZ~ z(G=If-Lv04Nzr7%Gni4@OWcYQJTRGfJFei%?F;%m3fO-2>02;K-={looG^_&WHN8^ z(-Hr+#G0Y{jXmdRZRw37Ly(2Uwu`%a1>)Sl{C&bJ^YTW=_uL(v-`bnV>NDWe@>Nh# zaS!V2aey5H>*#6D>48|vh1lP8Qsye{V}Dms z=EJhAb>cp>-iGxPLg+OOFOuh{gh$HPM-#LQ9%*M^mW*Q##me;d5a4D|%jI|pw@*=B zk%w`C%nYI}^ma^_Uy$qe?BZ!osljbz-(w(H8!FSJXd{_@Tr9JjO(I0Bt}!Xn@Uq=;_f= z-3Gre`vOgpb5;7;4a3*uF#}2jpkE2mj(QOG5J!d`{_p(atq&SeB8hs0M~ZB1)itH( z%(P{3EnbPZ=mZ$rs%Gsrvg&z>i}ZPlt)lV@v7L?jcPuIA7NyPSriHIK@;DD5e^J;a zI0QuU6zk|s*s%#nG*8yFc9uqn<9eZg1H$XrWP@~86qx6(oRr2E4p<}JF zb>tL{cFX0PDl)HWE&mw>`Lic#M;lFX7~*`_HDCB;=~?s8+UogVcpqsvom*h37%D9S z{VS+NNR4vp1J??H-DpB=-2_CL-g`ZO+=DeZjCUk(by%Lk@9(x40zgo>qbaAKDl>F* z)rIn?BWLjwJ<>*jAX&hf(ql$_i?5tc@KZqbZ@U z1=770zSjrMnCl7yo5XxSf0sY9&bb?}_uy0g3;%qsSRT;dX+eM(Dq_@8ARk%)ImLw` z2>`yYou|ZtQ^02_gYs&92VZMI>B_@9dbwAE?_UF!x>ivA{6v9zAMWy!4NibV(?<=y@k_~OW>UeaO`H9F77G`&y{ z&|bz_2k8J^^M^Lxc4KQALYN8IKwoYiloy@3l0l-tTONiM(1A4y+n2;>KO1oruXuy# z)NbsBJXh58`&+WUvNr7rAmO}3m2zaWa9XTa86l#SLYD-E>wuob$G`6IDYJeo-fiN~ zDyScpe*T^D@>q@Dsj4~@0igMS1#4Cm!1~+umO;%>wmt;AMOdjn~5nF$N`P6&9%P`bI~p~0YQkdzFM^+LQ$6ImEl^MkN9OyNfm zbSq!4{8D^u&E=_se6pF6!>RcZ2%@RPqd+3X>zdT`S$)0l8J<-_1T)+R6yRIv+^*c; zX*}_#Y-|Sct;Riu%5yXW?|_Dt5hPuwc5V?|SE7vv-`s#gbM5f!^Hn{-NBn55Yuf+k zF+(wYe)`FHYLMrt^qE4dI~HZ=r6JxWK?_v`U@m#jNG7Xi0s=QrYZMlm&ktXdZYGL7 z5!_dvUZlqew+>j-pe)Tlnu>${a&V4dsT}h%aqz%g4IdzDCpipu!I~O6xHInp!788x zUV6*(BUpXbU%Uu=($YNsXK`&j^|}d4drYACXFVmw$J4ih(n|&luQ=)5=0_II08F*3 z718jK;*?Hmqsx9?yeRwrs50B69(H)q7a;7T{jCAG9}s;R;>hP&7&qR;TsMrD3>%P1 z>|V5!`oi0YZYaBuE zoc>3de|Azgv#M>yHOluKDi&KCu8(*JzLd$kYYB%J7La}fe}{Qr_LoCO8LhwL1x+CI z5F3>1NCR>OuL}Y0JYHA69Mvv#|8<|E0>9`AXv7uk9n<;$ph?deIE+5%QRIX4lFA%P-I47+&-5aoVa| zo6f>Tb1(SG)Mt0n=ZbNc8NL~sY3i!_fU8Y`X8RDF*)SvHA|o*T6F-C0o&TghKtHt8 zB5&6OTa-bnvt+=COG@BFKj*%%0>|{j7yFUUaxo^?z2v2Rc}<4U_dDHIj3#W+#Di*#(Aj^XAv{15u!zl(VD z05+6NcIL2YB*)*w(c=TK#rMc;2M#ufr? zzbo*S;1w}H6w=DIj!COC4E-T)0HVh{(8u~Wur&8IZBUcgNrB~dx+?|i-gA?T^p=Z6 z?7^95tiA0RWq+&T$C90JE+VUgiX?txAm{;L4?UN1q>T$S z&2C>#$I-F5{a5kb;Z8t#H

nxMGs}@(%CvH#ujZMbDsV7!x3CKyzkzGmUGoy|-{z zFm`mWgOJZ1V7d?e{XN|uavT8l(wX9S2GvLVEWiB@Xhv5v$7Gz(%fbKi=Ka7d-b}gx zGLzBYd7>JL)T3K=J905~n+m z{7g>KHF}6D5dnQy^65)Im2cy8801;Z{r<0ONxz8i0K>PV;iL%Un!|FjhB4Th|4g-_l+WNd-_s*? zgD$z`c(*SqSo7!`1yXR-ysm3+NM}xZ{PeVgdQX+P7PbCqh5yMF|Dfu7KnyK5IliD) ziS$>nA&{+BF$<^qy#3sj#7rQ5cmxeW_xJ_cN2>e3H#)Zs4sjYd)K@~%+QE7PPsX2C zV=l=!+S5OUm;Et{q_}L4smI>{B+ZHRLc|6R=EoQl2#!{nBs(u@_RsMDYw=x;J()*K zo;NQJG`-7Zc>6G&B79u)f;aA)ZJ3D~$WPtW=uIUES%!{?`ii z1$t`ZsCsRir6ZUWnXH9?EL1cgqVYe0n3M!YgDt3pt&aveO9S#KM&4v!NneWN4Y1rP7g!e3 zTX}uy5%9gO2<2dZPw;?S4PX6#+LE*0IZ^N>!#W@!T@Lk#{PqgSG={uxp4ufF4{Ozd z!u@c_scy*sr&-<$em&8uqbbziaeP+%6Rh6=311=~bmicDzZmzo;=kra;%8sHd(o*6 z)hYHO?d0n|?kzPulrR$FvE-?hdDka?g@XSJP!lGrFM9CMpyOw9msWk=Z*^0F-^=|= z_QOx}uyhe2D6zoDn?3v=PVt|>d_~U)3~5C%jrbNqdLY5+gQd5w``EfbobqLA{}&ki zP+*0J%OPDWhvdC0Vb^BVYp%?DP;c`>__MkE@lw1G`5!c2gYtuw7~?deUz^%U#$wJ8 z0M33IiVd^Z#|j2D@<{XA`7ioiFTlODwlniLd_`L6oqqOrIFdzmX}IwVm>Qmr42d$| z7b`&DgPaOLM}ZaYK=9@_08niPlSSqEG5{0nyw4y^JgJCjKJMc30`kmYNp>M z;S6D*@Teq!+0lE7H|PyaEz!sIAYuMMLdf&~HMMIAj4R9l&kLwqi~$m{_IcG2%*F~!Wu&b=@tN~7Ihyap%s2Iy>v5q7hx^* zMZ>(6vqA^iO+-?8pj(L6k&dUExT*z~umaO~Fad>81p}?P-CC2Nq;SrNTw|wHb-I-F zv6G*_N*C{HWvXOQchDEZ>Zt4#l?!31ORbLO`4`#F1Z&^*@Dmot5GC3!b$vT@2))AG z`I(a1gM|V>ZbnT1e?lB#jD3eG^@LP^aSAe8>WpAlAw=AMgV+nxx>j4c!kQ2TE6!)s zc{GC+KHA`kR*!mLn?7=J-6ZJ1>W)+N#SVT-t|XlCnZh0YEMB`^?#TiRhx3wNg%)TZ z6ndhuLwpj%fCG>^Jyio&Zmz+5iXRKDr9+nZ6;0vED$&Ms*$Y(JU=a8K3WCce-drq` zge-DN!}a-8aoAZ6A_si>9pA8p7ye3o0T5YTS)%}gvfBMXpb7#SKT45srB8z2!Ns5@ zx;s43Ptx{tI4rmj;0s0XzAb?S@g1g2(1P06=lfX@1OZU02bK4_f{i0@4M?GuR&DiE zVWGM=S}qeNohDe=4A8yJ9IIOc1ksgF&jY6yfRgFAwpYY15AvB3834p!lsxx`F_lcF z9_(3O+UNy3ZDv+gdMA3nYzR>ifAn=yoy^+zTPpK37lC8v7J6!Qsh4NE_e|gN!F&-9 z;p=O?aNz5)R8T1NfAPjztGp+3GqW(DCbp0U-k~a>60Y{+?z zh8hfrFdb0-T`8ESrF;1b{K{4mr68&WGvlOIP@+grXbTg0(?KkN6z4Q88#N2BfM%+x za)oIOs`hugW0uQuj0K5f(o|adz_P~&Eb8y2}DPBVp zcV6Y2x~&*ot8`FsqJv2e$w8{1US7ZGDf<@0d~(`1Nw5{3hrbK6Jm6Y8OG=<)lhRmN zQ?tH01y}20|#~gqLt2qp!hVkZvHru zR^5`-D;OtP9e`~qnOe1h8>Ne2sWOhIU&(1ehn?o#V;%5>O-KK!DL3~+LD+f3?!{nbWvVeq!XmWh!=|d_NfsB zFcMy;4$aj)ESD^KpzBDJO&!tK9GJv9BRHKTj3*_{zzmiaPdK+K>0;Aq_Q!7;U-Fkx z$R+|fLFD`G(HH`F@Qb25&a?EYCZR`!B<$Ob#YOd?RM+dd8@y@@EpOQUHfZ(O2rULM zOxSafK0%0bAW!Q!9DA8dy6M@)lj(59gJ+t=$1B~2PtHf5-L^|(*9C4+=9nf#>XP6&Y>J}v+=J%Dlb|j`1K;r9^&kMrM})f(gt}4< zvFw^O`uLy-^GSH<0)UC|R7eoQR~1CHMIjL=(1~(@hY`TJ>7JYDG?&AEfHo+C=_Qj_ z)y%0a0p+&HHgfp{J9Qz3%y^kG^KlJGDH*YGsDZYOwx@SHgAUe9ML$wNa#C_F>XUS6 zELcnyEf`3yf|=xm6qvMGTsm*Jh!wuTOhsHU^1;t?-gxtCE6^T|eJ(urL;q2sS!l_Q^eSm<91v0^A4-&p|wPBEH}o{T7>1Qn-bN+b$PBQ5uV zz`;vhXGAI|MZ*@|+yezKU=z|Nied4FJ&eNXvg0C z8iyI+Wng&$g=ZAoh-zqHO0FfZ-u(=K1`-BHEC8}-{F~J1A_X_FSpepJQBN{kT@7We zO1Mt3v&hWv8nqF8wIt$Rkhc0W%`wv}PHin8sKavA&&M`4uGBNTInn4EgTQrmGdh63 zxjEP|(1O@_ZuW=jkB`Z=$E{HSCx!5nFAYdM>=)Pr$KO5Uzdkkc&u1!w z#G0XrOUmE)QIckc$G6*}wT0_PkW#W%;(?b&Z?pOsoHG?!yX;v26`|1g5}3B&p*=|{ zI#p6y_-}n|S7bZ?dgs}S5Yoj9holzHB!s&WPLhj8W6j}RK?45>383){xPYrM zdJ%ZZenRY9f6ary)Si19c~F3%0{;5~Rl?#Lnh0TSx{O{c;`>x_BU2);oQ%Esdp;K@rv9z#oR1qiZS_4*7g0FX{Uz3>v8OlAnDY_f)KOCRmolw+=`5BWgI?F1bTY zzZP(hZou(qTyh&=ZHiP}c*6E?BPf3D&@VFLw-R|iG@`DiGbqF20>1scg_KA{i!E9a zm*1M`1*apT#=wy(trDqTk7!QLx3XOTt@VN{mMXoenuH!;A9Q-!AMy)dkRcRjyXx2D zxNXXjS9!Kuj}#Z@0>I@@-|`~B$X0)~q$fo91N8oQFS%4vFKge{cadg9&J-EAIOBSA zhLbFe5dUa|1%8PuUS^?t8mPKD)B?;$B?^;Zo(__4zys%(_uQK9NWsY>te{t)`CTh8 zN5K}o#?f08y4Z;|Wwmz*w|LKHO4F6!C?r7#sAdakxQnK}YS&Rg8YN`xIP+i+Z4^Kn=Jk2vt3wON-z|sPR&q{Y_)iWRwf#OfK36!r08DPO@T3TX zpA06qkaWMhi8>-vu3;Z?>9^H$0{!d{Dlw?|lht!D$1K5Se?vA7R16(Ic((^TSk8Ai0FBlucr(%YMq4HFV85%p;gaZ@o7derhGmZQl7N(H9oX$c zL^{xI_O`wMG0*5jp%q{!GxIlkvvkmY`>(VLTP4&%QBBlFmc_|f>AnQ?m;^>S-b}Lf z(t125eP7?U^PTm#nuG>{jyba8(m?pe;XpXr#?}SVac<9_9DN&us_7R+ZkK#w#Ul=%)2PFXbgwnv#+X0lGX@8QHRk|*`pNuK62nC6jiME!l?8e_WLs2@EwxCxLz z%Cow{P4NCuKe7V^wSm(kgR54h)=itosM%jopmF~>09tPhd{%Xe>iN{-s0ET-IC`KL z<5AsCG#!x08HDzsG>P$TCGWX*$8pAHqGH=ydZ4@1C-b^+jV}{2h+`%y6dX!za@b#i z`L5_4%Ek2yHW;S&Aytpe+})>^e*l_qlYK%GPA}! z(%|Q~Fcb3Rk%=6D6>IX?pHA=;_IyT>N()-+bR|cE^BajC_Brbp$zuAH zycknG!Og_th~|~(WEnvNpLTm}R;Q7Elzj9lh8rY6E_A#pPm*s3ZwM&HmGQWKl_i|@(0I=v6Vu|a?nFRJ*uv{`0j4xQpy@t01T2gsv0Y0F>`S?? zvWQKAn-#2Qoz+a{b97Q)UfI7d#~EK|gY&C>9fP$YM_%!oJKU)>wT$rW33wob{Yha8 zaSS3Np=ON})MXrdJK%Q79$?*gpY{FNCg}@kIFM$-0EhS6RA6ex2rG_qunvu>#^3A3 zWL#sTN@#+40L2AfaI2U#<}Y%P zhtIZe5j<%6c}@!5s2Wt5`(r|m-WUN=z#d_{fv>+y{1VTKo-o|)wuT!40%9Sy!EX)P zCYVZIJ{Dipxdl(U$U)NJT#QoO$66RwInXZW-IWWgXXdqpKbUldOcokx`m)KDH7C{u zgi!NvkOzzaYM6J{5)tH`_>7qut$mGV_rB;IK!Fbo1wyWQ5D;_QsN$c1R=O*{lC`Rc1ug&O%#&dGS$HFCVg zER|G#G@Mec^d=!|K&TbX49xx(di4)Fkiq_3AfabAFcRoM>k0z3)Kg!nIsUwiu6Ys^ z?eGbxiT_l!sw+P*m#s}UcAI7qsdzgM#7?0U4nZL9Biuz8Q)ffr)9p1vn$~8>5PG=w z2j_Tn<@3xZ*&= z#9X5em2F9QpW^fr&eTbe@oRTwG0sXTI@=>ijzm;Alzp-B1%dG;X-vq$d)2x2vkf%Z zBrU^{)90=GktFYbU3Smv%hBPZdLicsfCR6m_DQNkFZJT^uV$3~z_~}n;f0&4MOaub zxsRU~nWy$L68YgudBe2_{DB=a2wu}Fcg>?vM0&24Qw+ZT}=y?!2a6gR;#x zot@S+&{#u~AU#7LeDkV2^r9p(#au8dGer1FycFQfl&Hi5rZp*6QvU{la>3xZW{QxG zPl7Jx(M5t84VPI4EE*qpfqk5Wj0b-0xOWI=m|8PW)K6)&r~K%nJC*Zt*JnTP$pzqJ zyCdqj=@su?T;!;^&X5Nbpa-WxX^lU+%B8Q@{8+o$|I%-D&`9kD`yW}gUGZH47tiEZ zZPJsMqPd;=J}yQSWup!Y>*RpFp3uKW>xev1Q(+pAc+BT={@sYMe0&ZFSPLu zz^}kH94H)IfTHHR34<(BM1=VDfz}DibU5oNU^GAo`s$N2a~RuM$|(#!mBdqAIFz`@UB zB}|&)Z8|T0y;Rc4ghNkQ$u8yp%|N41aw(okodOsDI%GmK`FjLRjOxRM&2&QnvH+q( z&qC)^iF|0HB18*S#o7Wm`&zf780my~_rf6WD?&I)Fq&xhJTBOf#7D$h$AWn(IqqqH zEIJT-Bdw?JM*=!J?HNqZ-V#{`qh~kQ&3n_e;Mu!7IMC?qH6W4!SX5e?i04Vz6K(O$ zk`O~QM?Ddaj)YC-KZ|pZ(J6->gM`1ks_6WQSQjL2#Nl>>pgh5758np`4DenMJWf`A z3r^U5{G^w!WrrPB4I<<;?@{`u0vpId4oHxB5dm}(%miF2>-s6`xOfFy^+GkzEAiJC zJnywhxC;==08N?A`*(e_LkI*UiL}!3DoYi~(~-?nYP{DNO?!5xIQbK_p{<9&l*GLo z-_SCM%#UTWNUEsw9IHp+-xjN1ku>})`I`0)EHDY2N01IB1&C-Ru$B5|MS&|q-=+x+ ziPNr<*Yu^^emVloG#>zC)&Je#VWJ-y-yakE;==R=iq?5H8s_uP37b=90^ZHTF|Bb| z#~kQ4PEQr6NOjdmmMxKr(&93#=|ubw2lX7SgZ9CAL(Thw{!+qUF3vjc@k_{u=4slt z9w=*e`5-b>!}zBs9412jAQ%UG_Drd6N*e zg{P!dN4TltQn}s}(nXllz@>9K>^IsIprEc5duaAbctKRgr8?g3?uwVTYx)9DJd)_k zBh`-Z(Yev`BN$urhc)0j>o%zHt$k{|cxq&_L4ttSk-yLxxJsR1&TfHNuUkhU@A zngHPMXK_-Y@!S*j>(N+>W#jpzmaOM9TuWC&S%Ybk6Sd06zqZew091GcrY8#;7!Y*? z>9r&I_U5fbZA*XTyOUnDuPF#UdOx6YB~!rN$#Xn~EPUTlQ{lgZkx6L!c*(`wo)XbbOf#D= zspI$O6cNdbu>Di^oP9gc%tMFjZ8CWdwdPZx;x?^s*O} z{-!WOuEZ05jXG%sv<+Hb1YL)?Q%L`+`h(RZlFrID@v@vUrNY3oOD@dvM! z9*4!v(|J79Ez!B;;1E#1n8`drgiopVjr{--e{cT#_&ENAI2Ope>H*=;DWt72)1tmE z)l}yWAk#^fd<p-8KfxxFZ3717V}W~c zIQq&3nS#|6HACg|J>8F?abTRB(k;;9ASPex7sr}FnrTy!g>+$o`q&H5G^A^Odk^n! zilM-QTQR7rjd;;_XRWY5ceQcG0JGNjIil6+$LI;hqN}57X6@JciSxixIQXOQRrMeQ z1t}U*S?DZ8O7%WBQ!8XyG5GQmE1oLo2$5-D=&b&?nfYHC?5R9fok;30k7Lexc}I1a zGPnXE`LAxP-Um+E;!W|;yip!~5e%qx0#JND26SfJweC^hb*T}nS5X1R#JotX-qWP$ z65~GH@?lRp5h@27KE+H8;d319YZSr^H_Rt8@-mXx_K ziQj8ON?QUxvqP57S~a%Ddw-jR847%aLj`YR`v?Q(XnY8x*>1L8pCGVCr|q!g3I!^1 zBheVY?0$xrQ>{+@;dL^^u*6dx-k;0+Mhf%R&{t4bUcPWjMcXrag(!P;Y@u$&jQp#V zve)dH`D^;#R{YQw7S1N&`^I6`lny9R7dI(7>#39EGs}?THBRJ0QoDn04*Il4?Z$pq zDo3RWeELtU(p`%tYTB-!5qOBo8-`J`<}+X*9jyhQ7KX2=Ne`_@pkp@H&>7*k+Y0UP z1`QbxjkZtdsZMj6g9A zx#A%k1Wu5frM7y5wKfNp3bBgFD zMsOME_DKXpD9uK6>3DumQICHDuW)e`IeA0eG=F{N0zUp7H9@bqZHxs@t{<(r1By?EWVWWgEtw?Z@~*$Jf^rri8Laf;;>f|YZQ7{~ z^(H+JbG9JcdVZ5$SgjY{vBX;u1V0Am3IK5(Z4q0&E2UC}O%K1S{xRGqnDijnA*n(v z3A9t%mq$SYjF5#gUtNZVBS`UF^p>K@aiHI0@0|(^2|5Y)C2B?;N?~_}ftYl202s_* zCQT^|;Fw$)fGi`8!rizA%|FkaNZW+*#IP`wen zmH<-=K&|YszVoB@QPq6`5(04)VKfi?K7I)^0~b6~DeHO6uK=JY6r_}7wE<3{M3kU) zI{MY9I~z|}>??SHkcks#ui8tkgY+$tA;@J*VPb0U`HQ|>6*mM}41YG`FF8Jd)=2}) z9OjI>IpnNqYw~tvd@E&xBwWL}-^RPl+n-oB`!Rp=U*>=0XBWUi?n}d=Ftf1Q)|6RN zg!>908Y1vepC9dnzWkitFlnQF;-6+@z9ngfM$tfdMHx--&*)&HGPAs&68izDJ-paA z5+)qI0N%_1pnjdwo6b*A^Uy~7msin#C6m+f$eQlCDKCqpDUZ+~{DVRjAx!Z+twhB2 z9`u=6)S>;jf^O=85caqeF;Sk335O_2)6tBYr_#Kg7xTRieuU5>GW0?f5y7M8fI6L0 zk@=@_Ot1963xMiRut9zeLasLu)hJZGIyMBUf77&F_7-b$?%fGX7Y3*Rl+Bre0iP;j zbV@u(1~*Ldl5k|AD^N?3fYIPcSOzXO%$unjLe})6+O@!P7B>?Ig=HwsfkShb=wGu% zQ^@(Pv?($B`Ds{zfnIJU(g0@YI5VxCmw9^L&^kNVgOsXp;J5AR*4E!*HMPMfsp4$( z&f6GPi+dXh3_^r4a-?`JJE}VNI#!~S@Sl<`x737Qpb@LJIVWZ~2_|WwU@ASSuo{TF z;HprsPoI~n_1%0T09WWun&Y}zbI<0 zdqf}YO5PKv!9cI?9Yu-gskDD>dJ_3z+#j|1 zB`3qji&|CC_1>>Rrr{d!yeKpOjrpnZh z4{mOA@e!-j(dZB?uD~?zygb-`-JnKxdKu*(VXfIDWL*57-uGQ8s8!3(YSxM)$3Zk^~@t_ zK=Zr1V!NV%#4Nq@lAu?*m`O0AjvZmw0sGCy{d{D`d8OIN-xYj<_V^&Ifid#>(T zx3~Ap^BvKQ9>{1$FVb@6#rmzLD7RhMbE8&nu6PnbQ6>U8@FEj3dY(u&lPT`JPkQ-N zOd_i!3>s0tElOB$;2Inq2JFl%U@#ER?K*Nx{ZEVe#Us*UPuR8J@miIE8kmZ%DRLQ%Y{XjyUU>|`n!)#~=8kO$H(RDU5uMFI|72Wo_!(%2JpXdO1aEw> z_gRQ1*~3|&q{)y;Jn2pnl?g6@n_?{67ikb(Aj@iF^g$J21M-y~l`AC8y;B~v{^kZ` zQttk>5)uBO(U7Y0HW1f>fSDXp6ibrNR7Gkg_|DX_jo$I{$htcRlaigVfsbNKVJ%vy z4#8spxI&O0mk_SeVOqisHszWQwcQIiMBkFrY^Ci%trVg7yAK90;!HFa4&9;hnL(}w zEwbJJ=R-_o&M%-vebF76i3{`?@AQfNXKvEJ5#r_ygd=G*nbpz`0BLd7Wla{s%RF9; zYmbWn$Jr7<6Y3wV0Ub&@zz<6etZUcd1m%+ZF~sPl5MPoLj(epACy~JRPYV7w!B3Dq z<|H#69d20;(3=;XFJbdQ=Q+nYnk)Ifg%?LF>0zd&z%hf+Y+!2#1dIm-Z(1Dy(T7{< zzY~-fY|@fehZQTj4zYhrWCqxOn8(t$rcSu@qgN{9{Tawke|H$OkuqI4XU=Vi2|z+= zuUsU`rMP!Og4)sn{8eTm@vqYjg}aq`58*2p;kMEHgT?hlJU;b;dRH_>gWt7_bdGsY zBF@~Czt#~)Il_`}6_SwCgsNIxWK*;4IK{Q2NF_)1z$KxNrQkK38#X^7x;5rF&9k^7 z!D@biLpvxD0Nm8$*JCJbZvub(x50Aog*;W{d``7}{S1Bk5t=F6?a|h!Bo|&8R&!Wa ze=+rS>o=0P<5*vMg1+PV*F1x*Wwh8e)P(0Sh?u3dMZayL_&(<9B{+D3t*&i-w5LnA z=;u~UG=@lUj|drD6kgzivGen6oG}V616pS3Z-Qq>_5Hhdo>pui z!g(@LY8OxaNRY{?B?o&N85`_Tle6g2^k0xfbM^f3M5)_hi`oWy7Cg7S(bm81uS5OQ z`|h^9B5ZXH9+OliQ4+}!Ztv#2W)l>byg_6v=N53K#AMyD@y(Y~eHMs_om2(jPKJbCE%7CS|CVtA#w=HJ+^FHH{8cEQJYCgMr(khQAauIPZR zLY14e_()bWH~~ODBORUJE+S=xX5<|xnRG-!7+|r~2(tr1pjoCy6g`l|K~CPfy$h20oTdx0u6fgB}$Z^ndz$PS$w?AFaU{x zlMCd)*+6U@ z(hKdylV2g)J??XJQPj9kbO5xC;Y-!z>qPqY;4SX?3dR&%Nq8uj@%ug=62PWLK?Bbd4j|M>Q=*1+ttK6)j zWU}JeSAEoVU4n*6gi^KuO6c;DbcT!%&M-NZ2f;ALjczQ$d#J@Jo*~6rQRx(0TbVvCvAd9=%}=Z5@z*XzPD$r5?JpG6AicISZC|3SU`3 z;)A1-ocfJb2~VZ^QCT0wpfkMmPBu|xai||$0+;VZQfL^y`}=Gm`4k#TTI9)-r*39V|wK1 zv2v2q$e%``+Xi412FeHu36Y!6k{82-{5l7*ELsyUS<(+5y;nFRX}JRO@QPk6_+&F0 zhS~6z$O?Y98SLM=EnAdLbrMYE{J>@ru;`BPDUBiNHqX?N-&byGDYOI=C7x3k37h#Z zc_N_=j7`w8r%X;)+gFyeHDFeo$pke-xQag`x#-*#pwoSLn79iDkckHBisFyV?{1AE zz`8sqHQiIZwYS9KluR2P(##y6L<=2kb4;qiwx`8kpESh(QlG^?^g_|;LnNMQc zTl$jgm0wdrMO-XdHy$ndFP5=qH@Bcb*c#f7m=DNzP1?Q~A(QTy23mBwmR&wR_T)Bc2w76t4NHC%z`#Kh!Cgm@MKq66WddOfG}>xY zqiAXh9KlAypXUo+{X^S$y(h4LrwOx~PGe`^9N}M8N6VZE?NjoIl0$2Qh4|}viC}pq zJEsjGelb2PVIE=IvqD4+($0qMUOU5)RUFOUxY)-nRmX9WGMFdw6AUjaeoKIdmdS56 z!f~Cj?4e$6e*3xkVi41^WWescXoMQu)141LsB)Ak8iNY2gxoS`p^>xKPdVA`u|C{= z_XI2(_<5?{V4OSFvw6c>uh?In?8R#*ZaSa5+VMYpz)efudTI%13pt2Sc@lkfpsWYV z^?NPBH47*&C~F4LNoV}}dglgp)3OXDAe!aV$+eDnpL%5P9#pRQpk_7g#{Idparpkb z+VfL;XcvXu{-vB5AIHH}ZVVcKL{pP9d%R>VJ75c?*xOPNS9!$^)HBC7~;7S!1`ErrxdYdMBe!SPC z3j1%eW|?6R-j-cV*c`rZ`pLX5@B8oU)p~;>+)<8}&XUD}Rye8;pGt2h%2)9c5l{MJ zzn;nAxd8xbioKO2ksR!>EkiZOq!f1LCG!Xe0&9S))hdgzOv zjNvY~9@GEMF8op@CkY6;KqDUy(jM{K-I>T;>ZTS85uW7L#9* z!-vRsi!8`qSc@z2ZtvjZGto-D@+^;h;gfQZ-(uPPq~_rJLw#VJAPgt(S$bAN^GK>3 z2}rZ0vU1m-5@Anpf~DjzNR8v~dW4NHy@bs_uXq#a|HSPTakUFqW7=t@c1(|~I}|xI zCl)X+Yq*nCBP?04N9w)|U9tm1EiiVT#ji?)5@`5X8u)p53G z3{$z6f(&8kgaYmPL0&E`^>nX;@$g<7OT9e8t8(7P=S9L=IOCrO!DbQj)wEx?Uslad zd3~YlB2GwU9-6oy=HJb!&+ZJJcj_3mHads2jKikb@^P839PKwZa+{MF%B0XVMHx&8 zaGptg+ha-Tm0=Jd7?RC^QvPm>$aU>Eq-UWtEZ9|5ZmGmdu8Zz|{Hz{0vl zi`-u+ZpvD-ZhQ;^E2~UWp#NhME-(wrLp_bzV{`?uN9#$joGd#q^!L{P6?%U!U*{ec zfRNXoOPghxu%nsUb5|H#Yk$P#6C=&}C}1$8Yqr&h*}{eAZp6&((t+$+)zR%MKS+;^ zb4tQFejgI4OVJZwSU@0sIYQ2)gUA8NENjl~5S8M_%b#ES$Xx*O*F_TgFA#}U59)hx zg@LyY^Z<<=R}kY;df;fnrM?M_sx)x&$;YFijFGZGm_(#rzxf#`07pQ$zn((IM~+W4 z@oRpqyuJLU{%gq>=XPriDHJ6TelW=;O~g*s$Fmzdr@5(z!-esNZ_NMM-*Ua#icZG+ z)s_(H*YFGNug%pZFeFyun;L$$q`whN#;yA?W=QtXb_lote^bGbD88|^PH{-k!v3E_ zFc@lvqE!639MtktJa28Qr48U7N~kT}pi2PpPo-VaJwfwTbweZjp=v@e+OzT3g(EnP zi>r(h6k%e(JKQljMT{^EGmuqv`2RicMh|);5M|}Vn~?KA4Rv`D{#ecMy;Fc$%KS4a z<&pK@BKU~^4*mSikGIszrw9?*)NY9OuPxI9To<87BGv5wb6doZM}qs1^UPJ)svoA0b`sCQ= zlda{jVJrAuKty#;2pUE7fbu1VZQf3n?VaTBpa>wc=t>cly!Atm{7n>t%)3=E9 z@y*U$tFbhSZUW7{(9ikQ7pQ2Ks04gGhX6hbTXOXJ#%iymcx;P!%F1mTrQcl{03 zbqeD$fY;)rj=!9irazU9?XbO?qW#DumbI=kWjlJQ>JT-Q7s#4l!0_mp7=2TYrFr{fqJNM*6HlXl!4rIj*qH*#L^Z?8~GmCPpSI-Vi9r{ zTxJc|660 zh#=uy6pQQU5T@72DH{nKrM4#K{kvMJ_j-P6sM6a{GW=tBfiHsjc1KMUG>ZDc(RwH59@V&+rai{2o=b2x6xo5Jsx24*zP`GZS6P0^=Yy5xH7B; zh@R=kf>?S20$)wau}4Jq#Ep)HRzjVuQB%#d9jr}xS391%S$V251I!a}q zh7bOI8oL6?=s|}amVc_x&@8cGt=ujLF8`|ouxt% z{v=bzD| zcT*~x96_*a#KWZsVl7P5GFhuZxLWEovY!6{Z5X-p=&DIAkNa6*1djtDf;t843qP5s zZ1N~N#&u*n#Nr z5YP4SBD^NVe4XC>9}N4zY+i~K>10n8bM-w zb9sT0+$A#}|94yNv^w?xTv2TPo95dbv~N=_ge-s@q+e9m=oBaXyx+fyyk!k2dqvGwoo$CM^=jCIqJFSkJaOBAX zwZ8Y&KbZenKA`&lv2Op2q9h{ZnKh?Huj37`ktUw4cIu2n`s~y+(lI4a;AN#|kPNTK zX_NV<$IIb!J@t1j$i*K2j}fo;hSvkZXnm#9k1^P4X)<%ukdcvtUgF@k08%bQ@!=A&Wuv2})UzW(DhQ4BkL zQ#ZBxhDoYuI9N$_RZI5_=e7<8=m|cIRqGJ#g|mIJ`TY0II6Fh)Vyx_Ufgl0zz3$2d zgMNeMS*dNrQ%ldo4+vpqrRfy+rQnXiWMEnwaDilD)i~M~DNfCOS|RW!W0+$3?sW64 z@fbhfWSd681`8!?n0OH+(QE;^k~3|0!992119(HJQrb&Cu0Lmk!R zseNAQk>VPN*?^N@-(YQoVg(oMzM!&2e+kI#R8-&|6o{b!NfP-c{s9;iJflMo4d+x3(hC^C#*1A#-S4;r6~v5SD6LVr^ZDyW^wi@9BxFunX< z{Ad#_#(|*)-41~#J3<#(0)R2?v3?l6yb>*XV(>&sDe5@xB1UBr98{J=N-r-KL|ZVm zXx_EN^PKtTiqtwcN}wRJXaqjNYKQS76dq-3N@4H_H zS>`dJfYASDN6mu|h;|9U;K7zD)64%Tb6yG%^LJYWJ?DNKFB&XJ%|pi&ZEMDh-I#K5Q;{;UwsfXnQqG_wnX>i&MGxF}Q+8FwwTzVXBZz~Mm< zV&bHN0=UMoU{uWmcbZGJHk}y1#T7iioTLk9KCkOg7fD>N=&4U>ry)#Y2>78S)c0kf zDc9!lnjp4x!f^RFV#q5%l;P;(^Cgr$6o59!w|5Gj=d1Tg4ubZuSUyf58cIEOR0Yht zLk$)3yD~mLqB}n|tfYVjt|~fUKvAosGTf`+k86j`pXzo`53bL&X_ZkeSLCS?mO^5N zjHdu{tJhm}&_GhvQ-ETr-mDFc>n)8P?M^Wd5gkh)vx~7;JvLW&wXsjHdJ)cmFQ98I z0(he>P1K1pjAdh+#_!GY@7~eQi{Gnc)ou zR>|*Bj>IpXSZ4kO38d@ze16|buc$F<$3(9^Q?!lRwS-}CzdHk)Z&&``H}^o6P9u)y zEpd&5k!&ou<#DIW;b=`GKK&$p*LkIT&Vai?neKyg;jmh|Isfrw_8i_~ z9JXYpMq(NsH3(MXj^S*Z6wf4MF|H|yCn#K2^2Epqp@eqqqFbD^7q*FQQnJ2rqbM!S#*8Zs@&7~TS>~xB?@?~YZEML^o_3mf zWzBdl9*4&z=QdQnRyi6_dVLHTE#kmB!l_%{Fey+&GLbk!#YXblv=&hhBsfi2|GqM? z3BbM~&HM|d(i?nn5KC^?p(FKLkwNm!dhHF9B=`+XiuS@56w3?bfYe6cI94*jRgjjk z8pRY_9c%48zI=fsSJ2a-2MY2LwvS1Ack(11-MK~3Tn4dRwE7VRvxlVmk*e0t6X62a zFyuh-bB@c@2D!*AkEJb4S>?GTqq5xM0v4n8r?aZBZ*lJzxCQ#fTtT;I8M~ocF$+j8YKE;$dg5%ov5hFHN*Pi`aBd~uS z+Zv}@uV(0L{~wt7e{J}SM}xYt;++*UVFV@}RU3T8VYop@;KR`bg>lQauv(74_zj4% zGvw<^zx@qfPx2Y1Fp<28kn_KuyJN^ZY?Lfq)0b^#^oz-7B`Zw*H60=1f3$3Udx+FB zjgw@zg_ov5npSMOqf1rk4$Vn}0RNq8Rra9+AIfKW=SM4~s*UN6q|yi8I?A3&^Pleb zS*h$Nq~;W_w%6Mj`P@Pkk}ib5^JsWh738dR%B;P4I%rPLQ~KIKg)&C|?EipC7+p{U zNYGD<^++qN@$?F`{6%dk$v;ZJ%k3shJ!~?E1-bC_xSY+h8J+dCx2XJ$p7fNlV;C8cX7)^Opfpv*cmFb&SZEjpu-$RcQ_4# zNIF^e7cNz&RoW?Q4O9rN#m&a3v9U~co^gq{JgI&=_V21~_pP^xU1ms_y;iGyS4q17 z?Ng?vCuWkb@nfh@r9=~<5x(k4Z33J4`^Uf+t)B4lMxrhJeyFQ$2YEEl+H?Ee2GNmJ zu_@9&oq&$v{xiD9*?Z2ufL?{ZbU+u zGi`yKG<(yk#&%)zle>s?A4>uie@e^Agod>5SPP zq`>Lem-)1MwvE^8e3C{zdg}SH9!ZO@W^gA68Z-=l0z!Lg=840)sXj{3m*lR8T>gtQ zIHy6*X823L{f{JVYysH`{rqQBgrcoqT^(V*1kp)QG7cw1%j2_XAEK0<&W(-`0UDfz zyodt^AjHRMb;g?>NKj1G{Wg>m$}t|v_&Ve+v1oF>rs?H+d#wVnCG2<-^%)uYN?8nb zI26|z+B~XcX_IaaeOER;lk_k>a*Z`q#lAfjHr@G}Fyglbx zY>{J&NjstdHI=94Mike#Q${?=*pgTvEX?9b!sP*n8zVmfCWJL`-Uv6Oi!rNqir&I` z2D)FtU2BMRBHj>EA$hb(KE3n1)gpS@#^b*u-^B5IzQWA2$w!3lzAQe$BBteYu-XOE zq9^_-{w`LSuHa0d7sJNFdU&0H!=Lz&Df>8($R143^;BNS()i;?THg+3^&pvk)#SC( zzDP;;%!Rl1cB~ULx+|6dYZN)6q4rI&B{2zSNhpn0?-guW zdzy z$B}CWiaoY5y`>jmlGX*>PjT?YhWJmF&_8porGB(d93bCYL=(X&^L$)=7y5Qv@BIlk zGl93Tx33z19m5{#;W7th6lj8=BvKTAg_85=Ea@$M2+7H%%N@H?jW-n=+`t4-4A3gc z@M+-4CZtG{(@6J}P#qUkA&FRX7hH)%15*3o8DZNO2(2LCGkb&=ymIKSF?o;_Qw;NW zh=zae*mQn3(?Hbley;UT!MAfhUDZCJZ6`k>!J5`1JeyV?Q^|@7@CN&bxXLb&(y%rj zTqcsKLR(Bld!p0m7aL)U(uv0Pl#pRQw8HrG=KnzgZtRy~1Sfc-Ve3*>`Zx<+CUMT4 z$6Efv&U89_H_R?qG||yR-(w^=(8N$)G?DKPsAqT4G*o>9m9t zI&3bM$OP0GV%{yk zvwp>tg>L*H97qhr+5`rrQs820R%ZH~?&Akqq9dYbdj0SNnMp%(2-o_Mb;9EZXkJw2C}+5#ooTNP0&(Wxt;4vX<8Fp!-OhteHI> zhxY1o_lSO{%s%CGv-gJqtAClrn{BW|OO-;vE7hC}B`|+ahG&(|jLh zoQUFHx>(c|>ycNn!QC#*H)!)%FY+N}U~?iR!6Z}`d-B$a}Isy!-7%?Z!ArNF1UKr0{~0PHg5 z|JkkoJm$Y+d%c%RtK=1P-+QoYBbOmnlNQI4O{jcvBIUxy3wd7fdMeTr0~c1Ms_Djl z%5U!DibK6pA{p_SsjWQ@FEc-g`*FLvIYJiH2GPSG2jSCAi3VF9Ll-=oB~5#?Hxa_| z$cu9dopLZ;$`nr_G9NCAS#+RPAH*XY$^0z>GX=uT`w+~WR)8L7HjPZEbL8)Ew_#8O zh}p+{0|81|gGd!1rs}8jbtE{lng2;_n=dr$X2;-Y3)!8AMKlI~J5l$Gga5p&dwf_R zDxr(Z%kei!i}dBK8sT#58a>|vUkX~1Y9+0l{A-Uh>l+cq_}>`Lunf_@sBjx!pmH8+ zoSGLUI4Tc2P=JiiY1CYs4wq00_8X|@w2^6rY!9frKY|Gi%3 z4l2|_%e&-szQoMarm9JLMADIlR@5(4@Z;3reQu7B@OcVt4oN-$9rtVkY_^0ve0aKE zC2DI6eZtH*^6< z=D1)f7`TzR{Zw2P4*ybsHlhMGcYs=vM#*PkFRSikKJyff+(=Ir(KT!bp!I6;3gXIS ziI8T!ES-2GTKxg;S^;l0t&=%e2&s_HTxouw0P7uLb`R2A@uD6qKokU(?g}-s%s~6V zml~BPv|`MP=HgudF+j3nk0XNpVCAFpu{8BILh48 z$zT7;0Q~1M5TXiit?%q-5A6>8soQ=(nT~Ox1dU-Eid>RB+`)Um_8HMwFwr&NH^;QX zGtt)M-5*{P=f@;L_1VD8x-w>X=vaVA=k)|oNi{{Pj*!c-Xs6N6MMbVc%mUJiTYfE2i}>6x_ht&&Kg+Mn>8*)g z#D3TkgUl0Y<(PqIBWZql2JK!4xt?tm>1G=Y1#pcnZo)!TjG~cWwM1*-uQq<%8R+?m zwXdh}Xvyc|eb@B~8kT6hH>?BvN;o{lIbhsfsnM~m|FwSe2Px6*hSwj5pF|HuOr$@) zfK~0ZjZ1qiXQY~pnU|s2mRzUsczE}cTzq=W2z(9h}zcGP4?U7&{X2^OShX3g{ z@js+YiarHmVfG~B0rVE~J@T{5&)|oY()SC9RQk?(xIoCY>2gH_axOQ6swf44GEhU? z>|wxO9r?gwPx>MjFWPh%Jr9e=M=2$u7+&Ac-+jKH$F~?XLx;MD%~T)LQ~{d)-J@V{ z$KmRYd^DCBKthnkOXRd&c;4(o1(QI%)TK0&Qb_GTNKr_*ak2h1_m*I z35ODpq;$s;Ujxx9-JV3{}Pf*?+33ASwdE&h(0SvEb`l85gLvFa+%e(u(T3qvBa+sO!)_B5d&nwL~f&mMynC+3t4re7@;e9q{-5f3uDfN=XL+cSK$ zBhiAQ;*U8({*e5q7_nsxKRzi@b?YIkA>r7YkcSW~WLD6~kjMO9E!Z>W6> zYkcEk8*ICv;xHk zPWr^glD0sF)ZDT>*=GmRa9*FTT71zEoE&h zQ(k@#GrPfL6%zQStG}o|(l1|GC}@#pfq8ssPH&YXy8LVMrPgl|jmTepap(`lORgQB z4PXjE)~2>X|4|=RqQ|xTn1atCiY(&P4g{|k{4|>+G9lJSD{7!lAC2K)NEs}8}U*_^9BXRr8i1KQgYG3~YM4 z9eU5pzwVY)RCr_ddWr69Psq@x_kCWO(=U;~G2j{5)*HLHPl4Z(y#9`T&fS2i>DyiGS&C`2IV-mh-N*$wlF!rRInFUFuzSRLd`FQpw22@CnZpE z?}Ox>>qDlS8Ss%b9J$O+6PtxZAY<|V$Fe&&IzCjVJq7l!M`%tNbf|DXsa+Udv=*|6 z)1NUy*WnyZH6F$f?IQr^hy*ar#N-V5nC$~Zm7T8!y+>1(8_od^LsI^-z;65+$kWWT zv)cQYzApukQiT@2B0?}<8G@3uB*I220^sXS?L8g-r7O5M#^=H8aEY~YR`C%lwIuya zivVD>OkyuD&KW$#W#^eu{y^*-^?qUPGj4l+CbtZSQm`)YjR{uu9XP@{t7c^r7^qRD&&i#F!3S^bZ{s|iG`;5?(u=PcXq|b z-YVT!kDVV3v}xYfi5xdPiPY*8uZM1cE7EbiQYGG$?P3R~X9r2o51LNx<#<-g)}N=%P!ltIc2T znIa|Z$lzQW3K@7}UB_b!#$vPnxb@;XqeuA|2_}ZwFtU6@5L*wOxzALA&mF2UP7cpl zbD6J!DT!WphR^LZJy*k4Fsg7z26xP>DS+9|FL;*^F+($OLmTGm;t?7tc)Zl9zLC=l zk_*&})6xykX}dF-I?`8Fxv?K#172=VE?=1_&3oS=p_dRL2jg*&7aKI>$fasU#)KE1 z^Iw%FS3lw$=*_U-5iO>>R$z#G$Jd+ZI>wH{Qtn3^i3TyBGwlu5Lo#66cMOGsgraq= zx04%G!oA(h%=vaUW8tRrDQ14~lgsUY2*WIOq9X7wj4$lBqjsj?r!I|MJ{n+bcB71Z zPqIDH1(DsQPjvh>c6S2}&aXEC^ivfZa7Q9@hpEC8G08|BPqGtZDcRB4zhbfDy+fdP z+-&fSxbA$-b?#Ff<%#yj6#fbI;uFgDl^!_Qstw-RpS0w_&xAosyAF#8@2$7^9dN{d zUMKK%eHpZ&yX|wqNYh>>3bij96?Uo}hlQ#lpM%NF(_SfAM;~K>(EYYmQ=1?;N;!wQMt+(hfXeFHyKE*l)FzSU`ZxV1oQ2s7?R94j& z82tTpMU?+M=2>koD2UlY!T_ObiSHM0vn+X^;}un++M*;4TqYWDYmOZwDEUpgMaH$S zak#Dn^G@Z^8wH6p+`47dOfDG~@sf1yTv`%fh9u9>U}jITZ!P&usH!@WqzbBxP<}3o z{=E?Se;z|3aS9&oO5rHei`FYK>AL0FJ{kwflQ$q8^yxc)ytH`YEP&%K6F~+Rn-<_u zDe>2_v}qYr)r5dUA=_D#o>_3BXZpNd=%6b>_x$Wi#9#xFQ$+!1%^T-PG5KB)ibIt{ zy_cA&d3yx6jk6m_F9br)5r7;KS@N(4<)eVm>_fg2R5=iVLymOoN|z<4n~l=}TgbNz zgq(&(Pa7b->qd2lJV_|b4ZnapCzG(Web{hUZ0VJk-l@l7c=^k4Kez+VqTFZ}aR=Xv zlcE0igrEKVrax}oTXV9Q3XO{AwYC??g-+gZC>=!MC6wuo4yj(C(Gw+6hKs7Z00Pc) z1DKJE|5HS)lib3`Gf+!}M)ow{1?OC!T zbSVtu!B{9oQAuhRBN(kqiw<{d@lo>KylCLVbJ9ta7hKy;BK6m(Y(HcFm-GFkA3Oyo zp-9`=H)xie!1>Fg(MK?$>3ca3I&$d3I8O`HT{03mk|xuR5sK+t1NRWtmfXu0r32u`<=*o~-&3sKv4l#8%B9ePPSm^iuu>-r81Ae@>`E=c+1G zNZ-W-roDiHza~t#qn3+qEMPN7wC2SeZ34U7u-cYnR6LU;B7`S! zCDcJ<8z4x)p|y2Xr0qxoy?P$XAjzgw?c>D+>y2{M{6Yq`c%R}6^)2wn=P#(veKdb- z{3u{zF#f*KBw&~gWN^YuIWKEJG=X~wpWFIYNx(_)?NU?sDIQb|w$x*I3jwH9$g}Ov z*g61&UV}aEuomn-bb>;3`IIwuu`ajI_pnFfs>`q7bl#jnC6o*}jT%5=*hG})*ZJq?C=XvtHPTuYPa;p9?1(5TU<&Nvh#otT{t>i5V7+^6& z6R;|2_036_7d&auZk(Scpb9XF6bzyUH=XxNz-|R)x!fL}=^#xN#4)FvDp@9iSAqLJ z9EWSrvo+T8Nq-$Xd9CM5KgA6LFoVk%l7+vx7^G=u%Ss1mf z6M(apjVP)}s`ct`fGmV%?M03YW#7~HhezbfAGr%iQM*I0Bi4ACuX@7~$Ad4N*lxcr z4=qP|qnWZYt9N7wo=@+!EA%r=jLOaJIb7Vr8EoPM2(DxSQZbg)L{OZHSHABS>xvm^ zs?~muMVwLGPE=Fj&j))jRYs!d^8Gr8VYAKya0^_5M@4>&2MK=IzYR00Di7(sX^eJ#s%PWJu}^NNR@Cn7z*~V!Y!GIr^FYNAX%S6cCZOP z>2#6E_fE}c@(u>}wFfX))lWuh%DMJ9(<8o{?C^N5_jHy>vRF6WwbdSS27nPO2c)ph zu;#bYHbN7ZNDc&9X*a;5!2|6=st>^s)lx6mmD8qOEu=4HdMp3&QCBf_ORAB<6fZKL z-8LnL>mny}Z0VYz5ZnE^kLvQ7fO*Vvo+YvgGHt*|P!NPg>2IsqR1>-$vILr!y|k9F7{v$M$_K@VMq_YNQvhBEH<_t9}{WxEK}1GTtNq*`g_q z&j+{9udGm#a%i+M&z}~M2w_JGhIvlGx7{qCC)8479Lm6VQMy}=*4m&|KNl{lgC@AXf2X8(?I0ROE zLxI?2>HLoKB40F~V5OL2qNw-`@sU zaxMnGleVSNMW!tUB`&7Gu?3ln_`LUr$=fO|+`t4BRtSGY;)saZtmI6a%H5NWKFDA}HRVHE?;;`8+@-fy&E%CTM{wS&Kg3Y(7;Edp;}* z8haYC-LTjI3Y7_zfl%ZH^#=`CmyLZ@K#K{JkJ}&7P!{XTZ z44-v|-+9uaE^qXR;d1%5@&_U51mL50xO?+>GJcr9#iZ`qe9KLVlw1{h@%JN;V3hn4J!D%&Uht0hNWCKmvf-4>sw|2W+OF zYJs2m{E5pZ5$vgcJiX(xs*=Brf%0v!>T{mG*2RCy0hO=&VdT^9d4gVT$Dyz!(@46m z>Gj2T^FHCi43$%zT*KO~ZsrTj1MyvoXfJf9D=xy~#8EPs^NKfOwo;2$s>?{w{B?$D zgTqZ1THaOqm=n3-0ZY6Ey9=+z2NpV9sXd#+{F;1Nczfq0qmfnQCo$&7n<~V@;Z)LWkO_FP8%){NWigEH8eC4IKg@PEVzS`{n@NoNN84)(z zWE(;@AY_YRs=K7pCpV~Q=8iOBlvtoo+&s7(=bcPmNU<#ZCh$IQjT__MjX5I;4$+fN zF}*@?4Sq=TPP)lxsMYY;GPRtwvDRDSM5cr%%ESgB&)@uYKcLn^UYs8gT`B_2h6gP2 zhI)N{di<%^U;k9ZGHgxbVT18VgRjb;LOJ=mxo8UOV}wl^`V7+UEAd;0F5v@-+qtf^T$_Jg?&75I^XRw=8euiRu%O z)6=|lzcIHK_0ugfkju=L*m60q7wQzOw>S|Sg}{pD$p^^An47MP>kChh&b8d0B zxR9Y@ukkK5m&A*I3JD&J8RWD0iy6PkD{(w)Pl^m^JZJ|2^vMPlodsx#FUG6L5dVG~ zrlUZvN$Yx>!a7s5UidDi_wf#esm%J@$cI_YVVckW=)ij|yu07MMdZA}8VY=x&wn1n zVRD5T`}0Fe#6BUPvGNK%gLwv`6Y)K+hCQ_LlU>KPNlw`qLbK?76B1Y>-ZVhGz27$! z#s?wl;^`Xb;$cas>uNKE{-lV!50phVkQJl6A?`%FSMBm_=cLq8Bhuo3w(8cl-d}M` zy?2*J+3QtyVSI>h4C|xCf>_tL>Al*t^bGM7NcN|KFsLfB!z*d>bQ%bg5awIO!^H5YeEJq+n>3}3`#H=uC> z>7_xms(|(zI4s<3Q&{j5lNiT~EqA}n3G8lU9z{DMLFJm~$fuhi?na`a?#Pd!?Er90 zgZC@!HQF(3-vSeV_JFbvfn@;RgQy8vDT7`qQ*_BQc$)Dt?s@86jJ7|)5QDYNuH(vT zSsQhQHD0EtQ4XBCn8eu^ zoxTwHw4rbU>dLqpS%sgian!X*%&r1 zKEA1nR5vV+SRAa=ZMjtJ@zFu1k?9;u^qTlZl^e9pc1*;Mc0pycJ>CQ|UhtUNV3~$G zVZj>>;R`SS%R3+JK(%~1m7h4mN16Oiv}fd0h8COj8addeY~;Tcmh1T2us zCom3TYq}>A)qo&lq}D;Wk{;DokwKvUF<AygRlz#T@hpXjeF-q88{f_=Esl95q`UqQx$|i5|)qi|;VEKG(~y z?m&fV#jHp$$tsiv0q|=B%UdFo2wVvRRGw7T8SBgO)V?}}BYZ5={=62s*(LU(*uG#* zCX~|M@akHa_AL{;ep=_>J&D{X9fSbagx%n{j>2?c4p{yfChOwnLs!hcCDv(R>?#3} z&KB1)*qaR@hfRxuB7bXJM*|a#U*lj|C{>rwqt_5pnKH?1hBZF<{My%tA|UgPH|G8L zhcEfK@H%6oU5e^<6f3RqJ?PPz_Ck#?l^^+&*RXzP<(V2iLkIhzt6OvN*juG2kjaqsNip7TR=R2O<`w7nP_zLBs)rV zW~94Q$0IE;N?DASR4D^T3Ls`d@ftv$y9CH1M4kHNi}cw z0!XEJl5KqC(U)(8HouO2AKEXvZo@0NG&X?j2^g3F(p<#XkadufGlWv<8~aH%RkZ%K za~PHpjC=6#yXO;CPF~U>?_KQKEg<*E=VGW?n|NMrZ<6R@Yta-GS*-Vz1K+UG^qUya zBR`KX5%&S#YxWm8_R(0uMsSovu#nUUxe|F16Codh@Nme2K;#%^=R0cJFrd+*{#GOz z?l;=Sa7KE2rS&#!{X(OIc$}4tF=HW-b@MPUjxt!aeD)VD|HL!+_cnWGkhE5>@Z_z? z8>KUQu%<*>@@v1+orAer9ykN^&fUPX6|qhOvtC6EJ+nu$z5ja4sKA?z{2@B8C~zZ+ zja4-sbGmA5fj$D{Q@=G{wRaPnUy$QHLwl>5xx}k67p-!*tDR5csNrAbS@c>yTGzx6 z?;rP6e?|be7Z0v5CjL5%x6>XR3aiq~GV$n|uid)gF5OTd`r!LAu6j+WyX_tQU_Y?W zsG-`36FF~qAY|~l{V|qd0ri)WVA!y3Typ__<0aAjjs41RVX$(zt!U90JqvygwbXm= za{!!-+G>)ET31MP1wZNRu$8=1mIo%zBgM+de(|K6`4%$GLdwqj*znzA9JbA6RaC!V z+ov>@YnT&mEo%(&u^g&O$b-c)bQhS;trFjhZYLVQ{L_pOYjG|SR10h zGDi-(aUzuq1P&DgH708n-0{Jok3-Xe?cBlF@M=!?`jpjI$$6xXU0*O|9}B*JX7*g| za&1NoPKVw^eQVpv-*Uwr0v<8b##8mPa!UdGyWAQBy2X%8SD3SMN0pBc$n}R#B5FK7SmiM4My?jb zff84^?RcGHY*v}!I|>0J!E|xc`5-swoKfEoaz;tWw|TIUz)zI_o0zno!uu`A75Z`j z+G7P{ODz}T?{XwjjIrg@Pzgx_>R`AXabU{u0h_1w_G?ji{z@XfvVz~( ztG{4nrA}^sf`JH3y@21e>=dC%z|~RgpR$wqXx#)3d|0H=TlJp0P`T}fxvEmZpl^a< z-aeh9uQeC>6=cwbyq##?O-mgUC=s>KQZdP@lJ|*q9rYFt)R38IC&_ zD-c)|8b9b?(e#|lRn(i%#Q@6`9=w_55k<4IwAA%fUr)6i$!#d!NBA^@psz*&rzB=C zg9HzS_U4+oP_kpwyBPO1uJcXftGVwcWIA-KD01LE;wwCPuUFpY{JSBwLfWj?%zP_K zc($@}dWe=J z|BaV?RIo{I|N7P-5bUGj*DRg|mf2nv^{7IWVSm39ryvZAU)iNw;JunoU3P_L<<>-t zL0U;5Z87`tWFBAU(Xo&4;0p3$Gy3as{j$Ob#6w^E_r(HYj?T#pu}^2Qe|Y=t(myW- zP)fPv^)G`Isliq+YyOIz8nVgkDFeW4IjtK;SgC@Ri&4Hz4=_XN=Cd`Q=kwmF7Ba#I zgNCo+-Q;}aoI{(-n7eLtntzMR$x?mRC+%A>z{&26{(9@4;!@*R=q#jm{%-rMBVvHG z`7ty6qhkmLvNG;tAaHQ4K=@f%?U{JOk;h;0slTe()~xZObr9G<`R~WxZ!@%4Fy%!N zoshBFXeeZn&@71!Qs0HThO@i;J;KU#FetXW)_FbOx}w0V{jowVX`W-;yHsy+c)kdh6AE^G~M{ThF^brtR>v_ zjH&>-Smm8sc|N*ccSW%K!ZgU`p6(7z3o*qrx+}q9Lr%gxgXjtNVX}_DN zE9!pU3>3_LS?!Gav=I!5fjD}M*Wl0MqPs?R%lj|(lpKu7`$rN8ef?P}lGOpid6u|vy z4)I8CEoUTW(L>ks9?Tcu^tAWSgMz;Rf&^16G9nuwoW1%W1#D>OYks!bwCPZDn%PJ0 zg8<&Yi_F9l6#T=*2m%0!RfIzD^($r}z*vhUipXR%3qqKVPi`#3G^}b_uEqmQ(U-(F zD2<4zH(q$>hL9LN*Ah;(RH=9R1~dH|7%>r=eP=;(j;3W$qEA{=pVEhT@`C!$|8Hng z1BnPC%v+SQ29`_UZL|3g83CD#Kx>muNJx-#6H*^xg+o_WvKKs*a^zl4^fz%!{W#wI zylk$!1-q&mWSgiT;C}867Z=+YaJX#2B@9*x9IfTIjOsdP+3qA$OI=oG4~O1_aQKVxSx z|1J;EUYc1K)*22^;-Nm_YBn6IRW<+sr`5y*B-1=HXs9F0JPKS{v4ln_M1+RTJWseC z1=Cffy-0S8dd+CU5ejzw*l2O>&}0mzh?LAo8py3Z2l=V&D(54w<3E}ACgI_}`wIC*V$MbIHTRf8d0(l$z zkcM=nt;y%-@c9Hxz-vUCU;r9L1?}<(G^>+-x{MtiFC+m^6?nXgzX5AUgu;O2j&HE9 zSnyS6{kbG0fwUK;0{~`!w*`^eMXK=0E3D91W8?PQUuew4mlDQrf0I%V;R@~FGAJT1 z{Yqcr)sn0y*XetrEDq;Um}gc4&!x_6g(I`XPd}1yi0jzr$^P9i_jD{x@+o?5Bd`q3 zf*1y~eV17bPAcl+_87@TK@wjg8^#V^<@wZll0O zdv;$dEMJB1W-d!oa-wOgbpY}3d?hLG?%yP2qD5IEmZksFqf0ZHpa>A?s~y-+#a-lT zPkk5_EFAY7cUNE}(R|_L-e_5W!5SQ&9Xu0Nk;)FJ{mni+At1U~>1$b6?s8UIuyEz8 z5*r*3dAubu#VUjf8j5ZOv9tcx{;m_kVSLjDrXy9kfeA90uwiRPb}>KQ-BJwzJ|}M0 z>g6>JGc$aKM{T4jKJYq`>|>I~#~Cpa2jo z8o}%cY&}a2#ZQN$Mz^9Mfe1G}2|6!~GK_hKqNl#2$xT_~C5POEMJe<0wO$CswqL>SGLQkv< zSBLU}i}aqVb8H;=#mjF(p#k9aU!#&Uw9|(|!fs!z0n!ND)f0x{c$^XO?V6Y&C2r0AyXu5byu)5&Hi~`;P_3m1Ri){2(l#)si5zCI~|9pcSDt zzJ9>E7y0`2^sFWqr|MK@f`JHkb2E33i2Z;vr5XrA7tH+p9yBCdv_T8m8c7mPi*(n5 z2SEWKr9j__gevFD)cCQq5xhe-|Au0e;Ay)7g22#oo#B$?=?TCkkU?E(A z`jV8CRO*ebk0R(pQDTvW`R?5O<_&(t7(`6G%zeq&;n-t%0Ibv)TI$C%USbsunW)7u zbu8VE_pgzXhqzuLDUEO>JgA3Gcm$3y zrjpZ8?#CpKl87H0`ciO+MeK}vF*2e(L5wfH1xJ@%ngrt6k}T5<>Gk@-@XR+utU;Oi zQCDvBgJ=8Ea?pu7VHz2TXK*kL39CML#f)4LHZmESSlWk(NI1Yj)~v@_#+t(5oCTc! zU1dO5^vOAal0hk`+e@Qf@#lj@5UC)attupg81JwRPdy5(;fK-Y7i9GXVLQ;0) z&1Xci6XEnHmOZg^OWy4m4vWQu8g`km^K ziHsaePdK_WWbIF50*&@G5x9Lp&neBx6gXx@haouqnmVl5OwC@JoQ?XOwf5D$>kJ^! zFxH+@py_)IMdLCZ!M=j(A44>cos4i^A}g`JD1rp0ZUl6}$vh+Fdrb5TocX_fck~5f zt>x91n%rc1N=1;Ew(OnG2GC>~brK$%_vE&oXNBqZD{1wZ<^{Pm#o=ppf2SOLcn_2b zoF!p^b@+#Kf#p$!a32aYVVXyE95FR1c-RW$QBwH2yeyYX;=A34Eyp0biG}+YYpLdN?ppwA9sa@_N_rj9epP<~;E^xHm6G01>1|D?ka~ z98l+7<7lzH_`R!Tqfhm*I?n?hV0Y~?nR*S@vNFg^k821V!ZIW822X%S($;|B5C~x< zi!Utz8;%WwC|48x4%QwTO;JF%`eL`VGU%~V?FW^{&xhS!#OSu0Kf9tET~dd~RJy`Qh|UvEH!Y&rHCF8JCBC$0=pkX)Xe7Dkhz8)XuNH z)30?YYl6&hz=BqEKI-A@AlgW+kC&0QsVqjdb{qN)X7Vm`)wnq>wL%D34qVFC6b)ji z))>z&SWm7fVE`t;T)`s4wPRN(Vs^*j$W0)A)pC5{#;qALVV^f(X)^M_?J;<`y_0qR zkipQNg&LWkRKdSsF53&-h06ouAFi3QN#iWE@c1hbEJj&z)t8=-^prKCI1R8hO^fl> zcpx0BU+JNQE@b<}O+?Lh+CRjgB%}pI)8_qg?rPcI_$J<#Ztxk*FX+)NmmoXWM=&AO z>xVA<-KLs+TJ1J@DbM`7ffGH`Kn5!r|u^vs+=ssKk% zm3_|QlC9Hb7rvlIPtSMTu+##SEsMzZtr@`hTB-*PfVFTTB_>U=XNJgJW7^(n-wEr! zCsaqh{>IT!Y@4%(E~Ky$7hju70-HMtF1Z8^jdlC+bY5YjhC~<2vGWCJ-%QTU07|2H zwx+oQ!tu*|GcR!}*V7@cPZV34xal=2XEYdWB2JP3V#VZ&v&XS(R-y<~q_mrcS#b;E z)}bSE?M@{ysKqhU?m1r}ul&0@#Uv}1?~2-D0Ov63tboP}=iSupT!48Tea+#{JbbfH z7{RX!1BgUcKR6h0?}oIvzipq8b&-h(gEHS3E$z*p77_J`L}w0fQe14FClD)iIw(6& zdyCgQiRrcqWv$eAliK)p1|X|iE<$WW_zJ$iiUMq8X7B(8A$g+m^S66+>$S(D#aP)+ zPH)*fvynd^h%mcC{}a&Pg7TK~1bYwmJ>RCV!a&9PL0m|i8hyU!Dg`BrQ;$LUOjgPR zlbq6?(g>7lp_zXdj+F$7V(Ch-Pn39l`2-4}OPCQEyiu~_FTh)4_ouob($ia?8{|?s z0^=oqzU&cJr|FKG0uN*53xYtPYRlFJLG*Ph7==pZO1uSRI#r6^U*GGoX~}MOc&SDq zV`Z8cSD?+Dd%?ZF0q|UweX9XSVS^Pjt~z#@TQWKl-Q(nM_Mr3%g2bUs8;6d8sbulKmI_&t4&ZU8!iESKgxii*?6p#h|(BDXe=I-jOh=JoRdXOqs~bmJ$UEiX9X zzCLcW--LF1KQ6)68p_Lj+vn-CU59@j8Gs?tb$U1vfvlq(tF4FsDynwd8%PX!@EzueM;tXwkbo+I2Js&5jlU zX{m0<(N*AcM7>ZG%q4($sAubUQly!;f)c5tyZKTaXlNCEbiZ9<-lbzxy#}YmtJhv6 zHaBgt2k}$e#lAk0B^%iq;o;1eWs9e3@oC{8;_cf8n(in=WOdxVNfH$U89!2}FTAiw z{FZi1$(dF?2rjb^Pr|M!wvV(dDiTM2Nt`fWZBU^!#p&nV|DEwtXN~bvK6Zu5J(^ro zM2+=Vkg!Xd9o9}@Bm~-Gi(^DjrKzAgIGw0z^_J`iwxJlj9}_IJjB$Hdv4aG5^~Ce+n!4*r}xNPPB8uh#1>9 zPI*1nd6_n->z93D;6NZKDsUbY3LbS_tny18L-K9<|csKz>Jt%T$by3pST6xo5v0Af}lozQGj0 ziT!!dFdH4 zakRSeYnqt~B{`tHo_42@BL%KX+plQ9u7Ae_X2Aq^j%)GPdn3s8$MK58yozZ+vDp)X zBU+p+B+?KRD=hM`D`@N;L5PH9*x8W2lM9oir}p8)8as%(KB;!S1JSm8zcaRLhESOZ zZ(`r4;XjtF`C*jIF_ ze6J%X8BHFN&KM!vlo0~l!Pm5eeYMWO28hSS5fhHHGf#b8lv|)h{cDolC0JzWW$AQ0 zUp#AypcwgpOU^y>)?)s4<-iB@|Iedt^Shq-|9aGi7oo@N@$U;^nFsyg{{4Mo%)A?^ z`0?MbyL9~Dube~kuj8t3iddNKSMGYeLesp&JUE@-^l{gJ_4@y)|6=N0*L+;;{a3q{ zH{1Hpud^?^DS~bV_1+E&YtGY}NJ;Q~J39!DPZL-(a3wR4gfHcBH%*&RC@i1vwJJ$` z;vhs`)C2?_0bH9HHbKwWjiY>-Y<}qMnjK`6o@KakV#OcAf@Zg5wLoy7Ll5Sk^}lfk zapkaDgs_UV9@}yerYy|t9XT8vT4XPjT-LJAk&b|8xB$!Ftwv^Fc%56(Af_K8Xl9vH z#A1GS)j+>Q4zB&~<`cVKn&w5{Ykzvo_eCA&aadMpW| zNUb{cz<~o40y8fx%cCWGp(#P8alCdk7LVgsoJI4_Z80W9uQE}>h8BIK&)c!2b67jE zSTVxm6DoYK?vmge?R3~cq@qbbT0%Qb!-Rw;x9<&e6VVaFKJKXxL@lZ!%efsjm9-__ zFHCwwuzKibE#eRG1h5zDTO;of!du7ju7zh#DUD*t2&HrVYW2;?_~Lx)1dV#qiA+#TiP|87N)) za%Y|$0d$q!CqF%7x&%oYe7=H+s8-njRPlU^qT(j|zBc2QY8c)^eQH$)5#Pp4FoTf;&qgSAh#q7U znKD)2j4>ndvX0(i7xJWmS;zpsc3$v_1FNYHihlM_71 zfmKPIi!LH%$l81kGlbA%kN%xd9wz9(vVdmF&#C%gBamch+H)w);Z|%Tbk-j^LMz4z zw#YV-9?B_$*|ps1XtpKaG|6(PeZ9zZ3dV}^)xmT@r?JY`Kvfqfpb?~V+A+!+ZS9vB z{1SAX+ZXRW+L1IYcf6Sl(ng~ zYt&<;>{Bakk137bkLe0x0eBA>(-195nSnTbiAuXk7XnkUI3mZ4{KgBatXScgTX)oC7xK*Ol^FO+)!V$)&G?&%d^)vstGYz&Eu)`O0V3)X= z#D$VTvkR$vZf0;0K9Gvo1xqVudKA7=tRN2engcGt5fxwcn!auIr#2f}kRK0rkI`1% zqGcbs_Kpl-vYY03#l&~+WWNS8<8Z|7!pH^Djcx%x4KSqZa402+vK>R}gW8cN?eD1w z+DUQuZN;r$Ws67UG4PDO+c+ShK3&EWTf*dgAKme?p~W>*Gbk2m8nJbQyf%H6Uy#SQ z_g@SzjA+Wc7O3p1LF0GA&0>ZzUx7DS!ivpHF8|KQ6B1BrQJpdAc*_jUB#8>762a>J zE^sz%kUS|KHs)1%oa?&di5YiBrYEXg!XMgP%16su=B@$1y;EWBSs>(0Wq5YV{=PG9 zL-nOmfBca0C;I^;=_3u7Az|rjY*}&98??~Kr#j+yaTgedZ(7>qGw48c6h#ofH3R8R zQ0JMM5s3>QI}{xcct^)X>U;u|1PpIJ$kVE`5)NJ$0}@9x)U zaU!+?vMJdblS=ZwRQnq+UEaXbITO~F!zEqHz5vaAOfWcNV0{9ahgx?pWDBQmX4=j~ zt|`UQqY!8Yd8`Vp;~5c}-)FY&(~LG4I>z+5CM9i8UyG8MhdNhp|JItI)qgQ?7x)#@ zql(}keVD1|Fo0T^*h~o0Lb`^hK%)$vdV31CP^}`q30noSET8nA;>Fp388Ny6eo{nz z$gc*$h$4!SX>w@MJAk=K1e?N%BO?MdS*RT9g@uJ#FZ$?vc;`f^Z&i8OBzGe?=G!3* zy0@xf6Sn8tp)9W0CJunfmtJuB7`6xpS)N%zW>JXsQg46!1~o<+Mv-R;fS2r?hw>=+ zudnWfx7idnEjYKXVw&5m4kh&$m3lar7BUp^^TC7Z`Ik#?L2%8cfy{}QI)cq8F4nKF zP12wBY+e&nJLj6}X{K5rM!{G!uz9VRxc!oz`R8vmYGNWd_?o}?VtK3nNRSDfku=vX zKHjPD_n)_SLemctq!6TVK@N0AJMo`?=Wn(paba=+iu1kf5`MAhMM%tl%|*&{50i6vQF|b}{gS{6whZ+feEj#vas_=bFGDi(>wn~2z?ZcM zU)=cXsBpPCwRw|%nXfy2C{I!8OEMm&*z=+1q^i=r^?5NMBI13GDIwLUFo)Ul<6W}n zgxLxw%;9l}L5qXR+`TB!xC-Z1v zXW%}C?!RIDVyD6#8J-10=Q7Gt{p^N2uh{NmPG6#R{p;|5fAa4m_m9lCfOwLt-B%}Y zOIAN7g$b;uWI!W$cEkzJ6R4oW*BKcBlCEGW6j5@_YxbTtWHI>n-s7ePsdVPMV{mWo z;iAmjdy)t>qy%1*r}7m-^!R9epv$jXZt$i>t^__6yh~%l1#7h6u|o;I0J2~FZ5c75 z*B1OP?*Pddi3~5QYIksXIeg*lS9YoAI$1%rD~;$ywj!G}P3j^k3O`-jOZj@rO64J{1PzTo+V+(kFl)cmVY-M@WA|E#v<7@PefypDKdDguB zfF`-FQ<^ecP~H-I?;7QF#Q}JfkKJLGe3Z5Ys5yU5*M5fM`!Pm&;^y$xo8VF!^RwT^ ziNqwLH$P11(WyrU^G;;z z8YT2bjz_^#BQZ;2k>@_IFLJ8I9~y zf$BSb_T#xa6FY|;=uZG>BeDd%Z9%+K9joetz>3XGGa?2$0U45!0y-}obFph~Ky;;; z_GIwK=|hD#pbP;Kt`yZ7#^tTK%g0s0zw zR0Q?4a2Uf0=Nkj*WLnRgUa#Z3oDtKpzzOh1nn1GSd%;!I&ja$dncl`}-OhHHAf;=4 zgB9ReN>&sEOubiinc@vw!EyRe6XBmF@{kRotDFujALCaqu-5_)Pi$}!M4j<+bwaH< z%9@}}L3~V4^h?X@-ACbltBdW)yo#RnSA+8p>MYFDAN|Gk9T5$c3%>dG0uCwqR5%rz zZE~V2nSA4O>CErVC^U1f*2Cw4a}7T&?JsGqa3Reb@g>j1C(`q%+QX93#|5RT|Z#|U-p?3&QV@jw+}dxPABj7uMuBg%k*-zS~pdNx!=ScbIt z@bqbL>^=GKTmi_9omRwW3aBYZKCa-KxdwhDky3o*3B%((q%r~-^vvvz-plqXJ&??< zML5vB+xK7Ter@L6~5y-qEul>xrZTB6FF3$;$xnjcR5>Wtx5o<4m=Cj&i4kvsX|D>3O@aW{O)L0z^D^*Aitf;sYwVd--@+{4 zbAx4G(Hf4Z!JRejbdV94@7U(I33^{BA>}*T@~*HD?_>-hC~*&>@SqLL{n7k&B^WwonctD;}pJgqmzVy68{K3y^7F^eya!j#YR@3N9F z9!xT|;Wi3IFF`+QdW4*KQnb%lFUP4Q{pW!O6)MqVi}nNIP8rFzjmV42OQ&w`cb>xp z9G8|#;x|a4N8}`OWWF2IRNv)i7Z_qaj>&KFl?*uKYc-!XGXZ+*tkV|#><%y|pL!5QHjh&!}RC)}8SvMgh_rU&0# zB(zLRAeexfKpXMDJs%&YN3-2hZ8g|Gu>K;dH*dQ@?qAx!_JSJCg4;C%H9E=)#wGZ< zHb8HV6)}A!Q-ynK4gx-zN3?h3cCRVZ@P#1Ss#x_%*hfN=%f8V~+G^($sRVC~#$Kss z8LP8CW!{rqJ(hXH^w=0>$P~!?5K-&*e7> zG_DOgldICKFPUhdEJ*64q-0-&Bzb0Nko|aHPNSsH+r#l}F5e8fMxF_w3%GgqX(j0t z_L;6yoh!pQ8$9U%$ex6JOwn;M1!Naa-sYgG`uuH)wO7TRrBm#98~R$6`QfLd#%4eg zI2Rh7%$7@}W!dj1Rx2E4=WOlyZPC1^Gy`(I-&1VExo`2+#-}3C!w*wo;&(q1Vwqu2 zQv2kM%1X7(&O)rR;{HqxAC_12LPfZE9l_@>x0S@r5a=`V{jl;w4&6ja29l=fY>4F@ zT6k3rL-@=xlb6zBsvO@#4@(4kpoz_2BP+XvedI9t!TD~eJ zsK$|@eSs0)=Q#SgAuqyetbGT#8`eH<^lQKNavlIsPAy6Rl68X7pa6xv@pAXDUrL`i z-RK=r5~e)3+Lr$0L2t&G~RlOT^8^B?vy6E-&v4HD6cwwHP+4VE)#*xU<6zH zHB$Z+YFR!w4~`H!q~QY?50bpPeF}fKeuY8r)h8%UeH>6Clw}*vE!5$mnqg=7Lf^s_ zo16&R;5mX&I$pDYb4qRi=I@;bdQJ^I6gmyOBIcJSy3DF@qOdh#u^P&7c~k;M=rI;u z!%Ez9#QE=se<$HvS&Z_#{2d}D>tkZ6bTx+DV_Q-a?{IV6K^!)F$|O&00E@nELMC;kYv;d^oUFr$G4z-1l7rjKJH zekVVZEHqH(t>_1>pSOa6QBdjDo}QK#Lq32@V&C_=%8c!biao@`Nnd6^mY=ltQf{tg z_ZnM=OXN5NwOn|2pQshb5=-J-Bhgn#>}D+DPC^3sVk>O)kf;e0w}TZX6{H+2=4Wp6 z648UrhKai}eciC-XCYc>iOVSgpbQ{+G8dHdkNxci(9p+^OFUJAssSb#q*eS? zvYmn2pX0p=RqKax85kdUCl}?&WdW2MJOhtQ(Q8Zjcb&;|;)zYMw2L9g9Tp@gOS+TLsW<5tQ9PO>$*{aU5hso<)bz)j|<64u{z30XV zSHx1^5O>gP+K>onCd=oU=&u0n6bkrwLIWv$e!Q}`^X;7{Cq-f1e?K&n02W&P9cTMF zdeeEdp82vO4Adn1+^(2|Jj@`IDfQF%?{-8KFxu6|>!BRzChGZ^wrHC{sXA}-dp1I$ zPA181y+ZjN1OVNsY>69(7HE|aa@3{?h;0I0POpbj@j~M{1*5=nKvCD$`?xo4aZB=K z=>09q7ceLyC>GZ{BcY>5ze5qGQwBVjU5 zd<`VJm~(K2Kj@wIThpExsp@aT(33p@`2^9%0idab)R?er2)(;P8TxlEuzPuNd#0=8 zVPA%P3+e&+1~Hv!Rkk_yrQ0lz!Dh|OlcDe>8QMnzr$m2|m*$f6cVrNV_q-c<4ir#w z`fa8L*AwV)z|KaoL-mae%J2%A-qT&UnRQQhKnIXNjHq(`^ zdhr53V-HtvYWzTtg9c7Y#f%X7MN1Naqsc^Qnj`}~iB4+0Gi@|`NnsKKJ<{0-zk?Y_ zYA?z<$WTU8E2chiA~F$hsdI!xRb_MRq%)lpzJ!G7eTiEn66%+4AdvgewT<;wvH>Qx zr6W$^&#l=Au}(UYmq~P$#|VJ&bZyRnY8=&TtM%1)H~KX*XuV!5M(w|}mMSucquNMQ z%)Y(0Y+I?9CVCC8z_Z6W_&@;ca3e5vr|iVxv;W&YT5^2v#w39O3B3M zi_Tk>U?;8gD9swS@eLQuS6WdbuzqAeGEh$WyZ+3i@wr9QmnK38_7S*v`;=wcN3WeOB%g&dT`S3+* zw_L$}-#vBx<#%5qh*4(*ARvTMa|Z9yG1*xcp@e)8)S_@dkQL09ou+}gz$2ERD+ysZ zD5Y~|p)soCzgQ7QLU!WZMorvC->#{Q0{GZx*Uj1otwD>P$}lr`^w(3b1+?@GGoUY1 zCKf}>kl3EpBXK)bv!7Gl4+nJ5&82enfgIc?*w$mvzerP=mv(<9;|H&fAT#yaJb5X+ z6sVM7*|rPnvxYFD2;i93kiXj9yxHoddQD{iOmd;w{N=wpgpRvpCe}258I<{#OQzeG z^)KCwX|vs$y#f)Xirq1MLwXL}#-d9aZg44^A1eBxQ=t_A4o(*H;VUqTMdQM-@gO|y zETX9}`=|p>XP~*=9d_2CL8#U9Gt454Hm~jg^9t)SbNb@{rLZppnq63?A3XB>U*EU+ z*O=W65X_5(m@Wr44KIKXGS08^E00fimDuiqY>s4h4+G1-I)S=U{|+~PJRXB&PQ3dd z9_AfjL^;Z4{=z?@dxli4mMr;ja^d=eIbD&o!xDZDuV#|pR1W-#1=owc zKKvZ`&tDnjw~?oHMWjvN|N2nSrdo;_yv^?sDyv4Y#BWsjvu4JS1b&y32o6jC9p+mCOTWFN6qNh3G9J5FiOkMi7B^`K@lMJL*{VZ9}Ytla^2vzhObKOfS-I&`t6gyr z1m|pwWx=Zd1oW=iADE6ko_XbB=0`e$cMXEe@6R{(Bd1m7TNBPnMdJoFqdUj3lO&o$G)V}3tSolJ`^6v}S8_#6Rz z`_Ls9`tL9({#QZInb6CSVoZzZz(q!0pQ~#k(;-${M0)@g4Q=!?y7fc{h;(Br7r}Yy zvw6X9t(jM-OE5I?n?AIv8N8F1t^sJ`B|Qvwql(uCb#FrLi>-d>+5%y2WiBo4tpvu9BBnL>-tx|iGd*||gVmrDlXKyO- z2$IAJiI7!wb$8OS9dY7F{LM?Nwb@Q-!9(1(AAP5L&i{;@!8GOU!jt&xqaftfd$AUS z6u@TyIg0$Gmn zUH;#(VOaXY8USC~5Od{Y+H_7N9)I^w-3BiUxx;3CBKAaDjVT62oPKtM+d_3w$n=;< z{BFlP&G2-Lc@6_VVfvBYLg?Qpngl^i);pH*{CqFpYHDroD;qwn#3ehG&&htz3)jMt zljWUDR{wXUdjD=WZMeiOSpH{D{iKDd`8$31ci8)Bk_K} zojXv?E%-*iiQRf$UN70OJC5_YJk$+~P4-pO#siHCHxRp^3@bVX?ObZHws*9Ra7B+= zfeD~-@1G(!xizxD&s&i1tIwwCta$<;ilU(RG2gR7(g?xR#!Gb-Z_D;?9In1oe(52X z2durL<}@G+60g~Ny#yyrw;Q{(_=_catbeJpsh!$!Ors(cdB6eH$7CX=CRk$+0_Tdf z-kn?!{%e^n@VV@#vot07&4covd6@s^;qfUQsjyW`6$Rx>F!lIaT*bK+Ob(P0|Gpm; zZupZ1BkPJu9{7%4NG>ao3XIm1E_^qC=$waSeqcJ|3Qi<-x!BE%6_B7?D$=f*$$$s@ z89vg=?e&T*uMgVbARqzV`#nEtzgtU|!1I5my3xzn)?j!~u|o48OI1J*|L)_R@D++b zpamYtVfG^GPT3CbphGDa34vdzuQ9TC>~{GnGrW9;`@JjYMeuX3(lUUr_H19e60Ott ziVkw>eep2InRyDgc;!1RM{=gTmn6E;kHH(Ar-P%{`h(FNz**>V*@23^RBs~#S+(UI zH7D){DgOl#SD2j`{Lr0w0ma`5k@uM%zZgA9;-Dk7%j%z2TLW(m z{K^R;yCh#DWT!($YWHL6Y<+AR>mz(|>7B3Ws-oxdfm^J{JSyi$a`$U!))1EC;b7^8 zrDBn=1Kkbsvmlw9nD`H49_O0r2I`CylTbX6cD|K0rkO`&{$)_tr*F2U;}$q=nis9d zDjHVUy(Dd#rVSDU{QHml9X9%;GY?)t5HRpnpO;|^n+JQ*rQkmi;F6omcU_NxQJ!^AWf|I@ues-7 z=?zVHoZ`B6_|PI}=ZmFuYv}L4wq+Jjt(Gt~@0zmk@qnx={<84rFo~ZXP2aQ*-cA%g zK6ghilYszD0!bU7PLIfZw*q25uoO*#pyTz)H>2edn5WXY-pa}ldTLTt*$)ss{gejo zx%q7r98*v78547e9dMfW75lgG>kMDeOR3R#1FRFDS127TM8Xw-%nD~NpXsOKW8~JF zm8b(*nZ8z3^)9GeKhI*{D07bIWDIMS20fYqr#^w{I^C@jn!Yp((xVVgu9cgkPDIDckId8vTqUb@_Cd=ayXAMBs#T<$ zm2?f}zzm(d=gn;W%$|Kxs4iMq+JBY)x01~3!xM#eJe8M@%pjNz0B-ny!PgEH@?6A^ zgaWvyj4>TY0{`q_Ar#{zgJ$i+buq**k8or_XToUn``MY11@nvNl-&Q^=|-KMIrM^K zujGQe6yhyC%Z~u;u1Y<A@e@J_2r5 zU(Nwv5#_8tkj(WW)4pCFS?|mPu6&hOka{NBfV-W zP#FMkMajzol@m?vg}{e^W6pu;+0TO_%+S~DzE+c2uq zYLymasPOzN>)~}HvHkp0cm9V_?T4?Eu=RY^av#1M-TG=;Z@&d>QOiwZ9)#5!!fw*$ zad9whf+h(egYU)CjOlN$hlmNh2+mJ(JqF`MKhq>A{wWN=sj+fmN@VU2_=LZR!w9*!UlqK3ECo-pSNT+hIEwC zmz#wU0L*3~L7d89Iq0^E4Yr^WBA zJyr-9NmlJZca9)W;NaXmYgnxR`_hm$OS9ZkJV49ukhGvY6D;wr-HijbNHFS&T#lLt zSG+KW0`AWh&) z2@++eh%}gi+qPqa@?6^Y>tpgPi0_B)+i#K;h5w3!du}d`(0L;PY zP3#I^Zj_Z&g~9tT#3!xgle}9*EQaolwUks2-ED)cU&Rta$3Ld<=IE?Npi4jXc>w{? zcI&E6>>k_3gB%9yKn&|o8^BKxlzZ(aCi;UOpP^)G4>T_m|1W=2viRc#Fg7|BSJ>v+0J>^>Bg1`*8fG#k4yk-i$^6iyX}62%2JA#k8#WvXC_L}G5dIlW|Y^e z!HQ?{=*){QVN2A|>%|l9Y*OWy(k11BX#~IimyIiOIHpP}qz~W6zsyie=WlqijM``+ zFk8@U5=~tY9@2jh;chuV!72=M=XkONpX1a~EEy4#%F*-}pw^=TuJrX~UwnCIsSpFO z=(zN_mP$viGVFa3uZ4{rG&pLhcZhCZlH0%hyo?$=z5b46cBtCVZBp`1xuBY1;}{JP z{R)vK15yJ--RIwo@+R)-`Zh$N1;Hi*!J0L|UOB&Rhz)Qw^Q|#+! z+%==VMsO5&u{KKC9VNdfLp2ioIAbDK_$QT=Nlq+X0lJaD{wZOo~o~M3w4@zCswZahH%CKMW6wT#McR8FQ z(BSl?ocoXs$(5Pjk*~l{%n6@RqCBjVoq7Z}&9JyeV-XxU)M)gw}U38Cz z+}Odg04oCQnaLWpe`4?hO`fw!TvK_p*Tb<)+^JfuLS!B{5~3OU0rCII^?0{r^)-`Z z3WsJ&gIpt66DsrIfd(fNH)tw&v@pzt=NfmI=B3F zZ!;A}AMJBIT5yY-`U>dJxQGVAs3cHzi%0%#Kcsn5w5W^`q-{bS)ybWOv`QrjoZY-u z=@5(e`UZ@T7$sgJyNx2j46(NL5t!KWiZ0hcB&*aID&=Xy1!I9w|86z9g56?Ns^u%{ z+Ml~2KR&gcDj}UN<>y_J3%NYD*a(E6c@4{G{sp8Z5U9_cP1>T_LKC=_zG5uI;>sl| zW2s&H))#($4wrK{l6X>!nv-~Wz8buGh0;SGMVj;wpSm+9qTE*-`;j4I9N7Io!S+O@ zPyw6tyIV+x0TYw>3s8+Fkt{61OfQPF$NOjRtNho^t(ck>#azQW3rq;-ml}&Nw0;T6RH9*L0)MMO;DdPawQGT|8q3ZYF$GA z9!-dM$fUl7nx;rQb((Uc$H@yxT%|=_|6))9dfR>QU!F z`X@8S{dB*b`Gi68!ikrp##M{jR{A7_rtiXx2Y^y4rEZW)p@R`J>0q@_?t*0JJYMH< zMFal?(TfP=Tw?X&n&Ie9s_TrevwIH2@_dw%#XFFv!h+?!!SR!1@U#qfZfhWyaUgKi z3Zja6*<2|_DR0|Zgh2C%T(`Fz_L$2%F zsWFoet zQZ6=&Gw_zaFD=e}5QW(hrCi1<{@by;mt@%lSGb!exOqdg$8ktsy%Taq-ig!rn=J9&m3ZPZRDyCMdw8cz0+`LQFW$o2;Fh2C5~@}nZhfx7~~^D zQ}{8lqYirsz8IaoBj9Y| z_C;XYhaU%_a|RMEefAP;dymO9)mX03bCUJMl0=ZY-}&#{YuIZ~h)TfteX&I@>GBls zz<}+Zh~`qTF>|XsJprE#!g_n}-{6_xrg>fy^M>Xz9B#aM&yKm04K8FPzxrz5Lx9hd zLq&g#QpNV*)PRVP60<$A!aPTs=8PnJ%M!#Ov$)GQi2CtfW}Pr{jXOr_LJnjyyO0h5 zm#}_!iIqJI5RG6%S`>w`&Hj|kxK=tWwa|GD-%@1_rUVfX3`|>8^O*XOlMx#V_;;+ z$M09a+jB>`oxkRiykYB~+3~HWqC1D;OJ)`m;eEeB>5&AaD`I3>G&LdKBQj(AV|9|f zS}fK8n&9s%_CL+`&6ZKKhANKrE|}=wPjw}&4cfkXDJ;*IPD&CWry@i)*8n2va+gl+ zgh*CIC*<` zM3T5%aXXad@v-sF|MRh~}ZXhy^UH*Hmz~x2Ad%ZMO zYB4mqG!Wv#c2-~}ZJ3@9oQ zQ&~;AT|_l32h7=<*W&5yk-Z`%Lw4h?%d`g!7|e~ubUHfL$KhS)8l;4M3tO8>9gW^k zn0^&5(SgptmD4oTq*za4*ya13`=GOXPht*G-#6}muJ{y2tgrRBRL&fObOrU! zT;Ums1S{60I;`)`TAcr2kX(~d$P^7BN#N2eAb-ZCSOdW2`f1U;+Kf_-syocj z^7e&J>*6{yjXF;c4n*$mL*g;nXNwZS(1a9YO?t6N*;ltYe8w`WdCRX4&t$9ztls#- zVbRZ@!^sFI$~Q&6?01INJZxg?D;|8vRuvb4?ln<*QTySU&uWV1o3Bq;3wK1E3Hzk7 zFX6}aH;py}qDhTA)AnQn|5HIUeZ?gH(q18@BlKlA3GP#k;<;a|zE(`s{1#)l7Q)Tb zM%TJ!>Z(6*f$9Gkg(~dLg^+A7c0SKt{tQ{bB3eA?iJY%-Kth=tnYI)PFyjEWF>fd;a-kXxsz=TdsGav@!cc!;YT{ll~XC z_UO*vJaKwpt|&7Q-8*f&P}5#hV7&lJBnkCG{~!GpI2-`#3PTmRyrKLbhA;e`X{*9# zwobYQ>5fyBe51UzlD!`5gft82$L!DdDEVjLkz-f`2N@76Kv#$kW%o-tsZI`1n9qUx zu3NP}WFDsgKWHfZm0v)5DQ3@c{AJspdbSQCyHiFG5i+H$+B>uFI>VEV$>-};1Czu- z;C@kO&Yl1qODUi)?Dn#g)ldf{a@wG@5^;wsq%~^@oLeJ1#{TwjbQrA5i|YQfc;5>% zuzmL4gv#4A*e6;f>!jT$mYpt^kdkF2kjhwz1W)`~&pRo5nm>q1#QzHC}jBtZ849^-d zO55DBbxcsl(@(o8&M%)!3FqZk95X>eS-*|TKJaIzc=tvG<_(=$hAhuIS&xHok`X%A zH5e>$240t2U2|N0uk|kdQ>Rw`G9m<6zqkVhF%E*{t?uAMCA1|59aF>&co0B2-_6mx z0J&%h@v}XteBNX_eO1p?-G?5$*W!Oo` zk1raT*}}B-@x=m5!`8k6HZ!9ZP>Q!^;ExEyhb+CQuswobXe46<%2F#XSi?E#k9EoB zC%Ec`3aYe|0sk04S_EFYg6t-^4OG?dj|d-S{F$}`JwgSHuobcyxNik%9tKR z1QY+n_h%!pPF<>&zK0`H*LOYqw~)oOo7qrmr>5Z9+OgqLaz>pAFGXI}zq!f}6Ko2t zl2q|}cH+4g8bWu9%s<%QlmCnUl-2|w^S%7v$OtG3y&3>FMctw8J08K!$zjt53 ztZi@etr!30h__EcW+VURU{sk44KkyBbr(2T8v%!((kAvsc8slVWOIDhW-GI}YenYu zqtykK-uE`Y)G8LozL;SPSDihF|G{P!KVE=SJI$8M06?^jbqQw`O+?~I4tuT|+K9j!s|khzKiB7H;I)ZPK`h4=bO2=4FW@9gz8ivO?aYc6_`Rt$d1;5!gI zW=l^5tF<4DzW~2AVM~~%3#{Gb&-@_$cLNZJA`Wmt@NX_2KiYIHDlcV*EgoGpA&=5T zQRn)+-y{E*aolxH<_g}C@!_Q}5VC^W#<_MG{YAUTLE#l*`fJ-qw>Uidb@%r9~g7)g=vfBoG5isAqLard{7T_9MsQEcWl zpCG+Y{uCN*HHc&&>71#0|Jylkt@&>?Xz;fZiRZwAK)65GV-L8QEF<_o%`K3w*&~3T zXjI>nzD;0t$ME_B4SxKu@Y#-_1^1T_)ciBMLSClJT|tM0M7sYW_!dCb`Zr*LTDeR! zvzr@l&JU?QrT_Pj{6D^jU06H}|KfD?!n@?=Hy%WG)it9lA+O)kW%HfMLJw<>_V9Nz zNvyccK=3J_7jzl>#$WIK?PA2_znl@Y+npjSIGqAJ!j){gsW@uB7)94O`h~%JeJziD z`BguJmzMIb8Am~s97pA7KE?SCVfyLb!Ix|*`v1#1B5YQFw-zAGzxK>)jA{IAv^H`+WDA85g{(Sxz zN2XQoS>BPsyky-h;yXT@YMhBPu!j)k!4V?dPr2`hL$?-dE>W9)0e^gmxy5HCIk7$4O`92`%PW7&vhaO z%QJ@0z>@d>#R0Vn#5-GgvzG=(0e(~Ya(ig{6^PNhK85#34y3IrFH#MRMDhOwjw{w> z=|zmGWFuzHV~Y&=u#f5&v+f0l{s%bg98GN!0M?d7$rhDL@&DpqjCAw zx$pa>Y!4a)Quo(La#9I!S6NpOCs4K6f3=zfKMP=4*7jtId`xI5V}7USMY~knKcOAu z`KmmtyaGMfML>pJjvLa$`Vcozd^|RgB83>tN!n=HlF1_wKV5JmM7GPovl6M{%*}i% zz!f2VUlHyO_E(UxW`qnAMuD}^P z3)aY`!^Op(Rgw9D_6kB-#abtp&Owftr$q1_c=aOsezF`ke z#bFWz_>X+=$W8$Wo~wMKx)=l%p&PU+T1R##$8|mXe8=c_|2Yz~UC&`4K))K?Z=Zp% z2ZDS_;&)Q&e8gF%K7qBske57MbY0pgZCY2%TFXb>?-x^7ChBRKGzfwT2v`i}Da{3> za)Ld@J2D*aH1pJC5&e)QBx54!0X|T7A(SQk?_9t-Yd-|LN$lQ(Wa|PisRDA2;%Jb& zAssq)A3H86x{-e{u4Rc9RBXpFic=FMV+lR=rgGO6#E8SR>`R{%}=h8u;)c6 z4VAK6)Jwu~k;PJ#wCR~su@;T`$uDoT5G}wy$Nqgp%FA7Q7ixFUv2UOdE~dR!4_7+@ z)9N@_$%mvTnPS6&%Z^}INJtOf;xLP+c_yED5U}3^Pe4lJM01Vq?!&c3$t=qf9rXs- zT(9>B90$gW>mPgQca+U|;s^qOL8z=kVQ_8b(ka>#9oHJ4F1l0l7kVy>A)?o>(=(ls zG`*iEiK1vVgJ8R3`oe<1LXadCnj#H4u>S#nX;{<%uCKq`7TH`&G!sjHEw10}Bn{xY z4S~OMRvY?JWPud?mG{lilE;6Nd!AmQ-9z{#9lSrE!ghcLGw(PKnq*iK!z4{-Ul2g& zpP-26$o+N-a?I{1Rz+bYc}FxoaXE^IeROZN?u4CBM=o4l@1kqI9|Nfwo!#R|j8?4L z*310#VWcWaVvku9xeu+`hK1&7%)vF9$K7;CO_OmaFItf?%2Iz&SM2Qk;?1KU)Vj1h z+$aaO&^_ENk`tBQ1KT0y;(dVTTy(&a!P^-Ub|{PxB;0Y?Cgu@h;x1WGEDkI~A_PE? zc#>t+m!O3b5v@9STI)__Jc^2(sB6(5`kAIN5Crk8f=3BERXU#O#f)f+AckS+Uu|%y zYk)?e>*e=4laBaXV4(Ft4FL}l;m#&m-p27Fnz!w`PmC?NrY7dqo-(7}R&eKNOb)bD}CIzwk5I(mdQ zyaF@sz*d{VH@!#a~FtgIFN;j>c5 za$eY_+CO#n{Bs75LFuMnA`8RfBRes~(3D>#^78d%!P_n+C&Q|RmHsupmfjPyHkS1- zq~v|r{GS4WwC4Wjt8TJvB<%~$)>+eL^f7WTvK55lB6IFOtUr-xGCTf!D`nmL2?ap; zfyU42#$tAf{qU!`BVhm%{$Z9XCh+omfYbGyD#_O{Y3k}r%HzPcaPeb9E|_Cn-M+Zs zTfNoY?^goX`I7p~XBRfg%>S60dBr*}|GZmO>(u8F>+b5JBYZ9OI_d}+FM@X$-T0U++BXY^KQvnoHj==o^7^_ed6(tjHQ=GZE@}{@}K1;uNvS) z64izv5rQ0Qk`9esN8_BfNqIJr+2&~0nbkEIw?oE8RAeV5*HarvNDR@I-KMngbEy;7F>iuzzshN zHk&rTg-2rhR%;XJAyB`&P7VM}Q)cUbO>=O^sjddNXQ9&D|An=5dU>1q&a4b9Kk?e^bU%;sY0d-SKRWqxJ8Q`#g#wnxN7c3H1a`&@9)@U%K*| z6YUqq@OW}x(p`aeeLGpuSd?6?k?LnDB3QN&KoLncYrHN$Y2iW@7~o=vK_9wby`S_9 zSG$tp1Ozi~=>gOB2RFPgmSv5Gv7HJN6k>ebEIU+72iSkC{Ak*d8B;p;9z)%ZVGSag zj*744aj%pVj{E6LFfn+q*Kh^bho`B(inQ$`a6_ONM7_ZmTO{ll5WDr{e|a3rY=Z)h z>h=$By3-MxxMvUJ?O3~pir-X^cE4UiUH^wGjK7&E5gJT-nPPb<$p#yq7=*I|7hOXC zW}*<2fRAk&LS0;`)of|i_Alk{HT(wjiX0Ctt5LIgIXS&w!M4y|uE^7ltwEo}%k`WhT&60gpC23lJVgy03D0s3v=5RV-9>F%-9b)nJ@t=)>i%g0 zlm5)d!wr8LAqoP5?K{KB@n2%@T@k;A@?Q-L(Y*D?BrM^XfOB<&s7M`Ck@wW%+b2Is zPA)7@%qiZL5C0NP*&59YK?k*u(UGxA6#Q~4_O+SEhnuHuxU2Kluv;qjaernu3Oz7u zeae~ae$HTsF&(#X3Uj^?fgy+byaJ31JG9O+vGB_G*Dl@sM$y%j$Mh2IPxXQQH*kO& zB9a3+;6oI{eMnUuX+z84#H+L#j|bPBeNVjW^g_CO!Tke%Az&G5-%SlNqgPYm9ceTF zwtpV(fa(DU>AM{X%Cp2*DZqI6a90ZY0SH z_9svn{ZbDDA2kmQSemgI40NrVo29F`-nd79N5{Yc-;U3;Ga5~(T>1il^A;*(dNX@( zsFPL1FciA&Iq}lCHh27;N8#y>$6vycBOiLPJsH5RQW2BfNUopm`I^~dxO93XoES>b zrG_h}CB&&aRsbLw$!*0cMfH4z6rZekxZ*{jLJC? z!gobjCchBh?b*M>b>$K5Lj z9E*OlUdHYYyVAsPG1h=#%kdGVv3<#C`kE-iYzr$e%n@?xz$e!{9ME+-5$u5iRqq!j z5^DAV|205_mz@Z0`W=b4q=AwH7ycsJJ+zPuc}WJR0CxsQq!XXnc5@oaFlky^l-~~B z@)YisqG?Us{cHtS@|t-|oQsh7q`bR_0~}TViATc{w7uKa2WuWtQf`|Yo@Y>>^1$Z6mV(zycNz98*!mq{C>bTe zXHSZSxi86~r}^W0CAsp^iv~pe4z4GDeUF%2kCgP$Xzg_$k!RX`#qDBeH@``|&#u{= zFE%-txu7J^p-{+1W-c6iV~}hP!UjjdlU`)t5%Qq<(n0*0nFDvXW8AD;2&2OO^vb*b z%CVit&)uIOSvP8Pg`{MC)eG7Y7jRxgTO|6_y>twa>3=k7MK^P;q$Kyb-|5ZYwOSSI zT~S^RU3Adn_m9I{7Y4gorSHVi4dyd>M8J!5iTAL4ZkohtBt`4>kRGJ*PN*-sd0u(J zn`(V(V3K_}JD&2|0Zl<(Zu7l_4Gu49=0IL8Jx=NG91~@~gfX4GaGWtl&db*Jz`e&p zJ)Z2P&o}A0f)6)NYMriKN?3NiAVHe(+Tq3S!J zIyI-}9uo0xuK)7|lJkmcTNm0PHUPu_JNgK*L z34+DWoe6F~##_$!ze5GIJ>|g*XU7?ZN$Jfp?omd03Ptf79%eY-fXmBSS0m|HnO*P? zb}GOW6DffAV{!n%=}kZN?zR59%;KN;;SXeiYJ{^B_oCe-GIx7Kk>C7tVVJQ5-d6A^ z<-#=iccxS`c?G(wm;q)$atYc1IAl&Tp8(LBE!)`3>&YE8m~yR$Ni%9enN!b=lO=nT^*S+K|J(#(YjsbKftd_qP&~C zBIK?wVC$RA9O++Y0#{zY!|eXH;zRhaiE*`|#N7G{$RmVE%tNAscikaz7Q1Hkmy$=! z>mLEC76o)QUS5RmXP@B01zUpfD-JyID(h<`!WOgow-G;#>#m`4zAP(E67TFagwXww zuG3e11OD7{s54{1+Q?4qiTar)wvI!OF#>TEB)yC0*krXkpsi1hwQ+`YkLHYNuK6Ut zox!D#m~&FC0L^Dm6%Xc&^=bwVzSPD^B%8)Bs|ezci5)eNtx|PlaiK z)Gs=X%1=OA0|hmDckMJ0(A0`u2Vz14@XzHe zj5bR~Is;bi3z3kt0X^S(N-tQtA1?hR;8XP2UwT(Cnm2#dA?r>GBIeQt0WKMLYTp=HUn*L;*M-T?lWU*hCJ>jv8L1-@5k2J0koiR}Nh8r1J>PZH3QpqVPv~g@|Dr*6 zk@|KBHoM)Z>{7KHCb0h~5m+J6M#K4Q{vX|?`*vofw`&5_0G|2cD>(h8W#h+^-k)Ye zTnIO+)vuKNTCevD@@My5uMxb~^}75Y#N}=|SS_04PRqW2%^Q0tbn%N3kIwQv&_E?p zMxvcJ)Q6ClUTk%Uv=}&Ep|sj+DQC;`uRIDnt|z&C;f196<}Mlo&1VR(%S2*n4;ndT zdo{oo7YCT>?g*RSEzX|XkLO7Qoqe;d&_po>>>JmXu%{_Fc$vD37+EZU@*jV|KAAu} z&RznaBqM1Zug!w^v>RalYphBB?mBkJ6d**sg2g?(=m~~QR&1mD?6Lx2Zi)KQfs-jS zcO9PvN2@4J6)%$%bTWkVk-QlNC|BDysn1_CTGA%}nyF44BKZpuZK#lbEn(Z(qQX8! zkVnN*1E#Cq7BN%$BBtCPewnaY`rqPv=@$q9`^nK&4@og65YD1{dB1GaQ=T*D8@Vm} zJzrw_A>yKkRj5*2TkwyI+LBM8*e82r40!YXX{PGkM+g+CBDT(od zi1c}*pV?{)y-cr_TaJos(N{vZr<_}&h0u}S9KVt+4%oHIH%G53M?E2Q1(Mb0S)G?Z z-b|DjmX(>HhxCemJ!&|G_((9BQ?`z&q;n`=eaE+`GruI>WL}uB*xWVSA?XEQqgC!! zm4sn9@dVm{B0WSha(sgI7yu&vv64i%r0V5A|I_DI6cnW|cJh(mlDC6NegG&Hc*{3R*4#>&I-pftM$_r;B@|<)!Sky*Qr` zBrj2ee}m8y02SL;4#;Ym-u}~XB0kR#_I&(OX1`-0Mmh}2=kz(JzYOg_lwB^d!rp}# z(>@6AeTb%lJMW;J>EGVQx$+SW-g7E+IM-+1M?VY$*Yk4h|q`cy)QGWjiEx+ z@~ik70iZ}E0;3=IBdPznBO6XUM_}+p{Fbjmg;y^VoQn4rQ&jUN+!> z?)0IP`cfI;>u-z0zw)Oclp_#VCSxSx$+7cjEtvK^2ZC7a8rF9Yui-pl-VevY^S}O^ zwE_RThXK&cCrrye_sTqwbR{#BfpxAv37y%9WR4NUAG`nI=jeBU1Luqv`Fr24xe!a( zp!%~7&DTp&S7DcFF#F$`{r*UH)lOG>eO=liTx~x!}>($ zAz|9Toj1<+4g1rB{nwZVW^?r1CXj7v5 z`zwmk(U*~>w@s|&ZykQx>T&#Tjv)j84lve58AWZbmM^le52sXdA{E<9$h^k5FZ-w7 z<{%i4$}uBkg6NlYX!{h?|C)~O$MAr!F&1564z`=pWy&yC6&vpI1MyVT{{^_| z3ts+tRrFmgYqCYK7^*O6?jP3FT?KT)cQDCeXH<%y7Nljb#ce3mMUpzWBgU^xDhcU5 z#ngj$@DVF6692a&2av8u85d%Efkr5R!RXlgse-%2N$NMS*0=ex?uPrj>VdW00EQp| zfHn@Vy4hO^{RU%rXDt}7pN_>h`Dax0!zP~kf4A6J9I_I}q{{0J>C6l&2`81nOcK{P zkY=L0Wf;2!uOJ3tJ~>Z&FN%i$UnBWN(tE+LIDPruHhxYKJ>RsEbv(Jc06o(6OT%OC zGi<$t{kMCw!%h-iSxcr1<9{~>ANrIPxQ#w6iB=tusQ~4b)>jDpp4Pi!>ZYIPq@A0D zY|xx;f1|nE<0_TC8-p?Hh4R;sj`po_E%fZ({Zp)h1?3CZ8Kc<#kVdZf9&b5#B1-VT zttBX!tp!{d;2%rZp$g*eUN!94fYANzxfJ$!oRj85fUCzJG^%`afzVdvK><)^h|heA zI17i)y95}ZO~d#S1;H_iDM0CS*XRfmaO z;!g+>nZeaT(5_*h1HTK%jwlyk&FY)_={7#$_$=$D#%nf=>Eo6h!eJR%}+@#p|8L za`pq%&gUtr)}4agE`eoN*cF;_!r?;st@8hU8OTZni>Gh9`S%jQyN!qwT)*!Bv{e50 zQ-kiBy7}=${O>=^?&2?wOXHqE`ov)-ve zBK3#-ak@O+xx2mjzmNHEH~haR|2^`*ZR~Hk0>Bl|#SZ^pBl@*=x8!5!5b5SOzs)=1 zB3@FV`ISDMZ}3U6pb44_hR1kR4^WfZGg-ZcFC=d#3wDaDAM}kc=-j?{`Y=R(RBjm| z+O*AB@_rquKg>m=84al~<;tIr^g4fA9sj?JD3f*{Byn%W19lheLa~6AuWb*RE#YGl zE#OT0h+bu`gwkUOOc08mFIe|l&P3JvE&lBwSYo)LurjLy-g3WVi0bFWd7$0b=zfv1 zZ8bPc+_5+HEJA7kzFMDAz}KWC?V6s?`>x&n_31mj`+*tfHM?jKPj1$Xdp9WMs9Zr? z3I^e=K0NyQ&R5?GH;eJS5u*g|&u+qtIT>~x=vz7~5VvpWalqxt@zI=jguymNkf08J ze!JBr^TBtVcfm5X4E{Eo{vDPOde~1gW1C${hWegkq2GlqImOCEd)?V2aLBY&yI1oF>PW#c5B+?QDla5(2J zOX)!6y`bnhblu}3O1CW2x^(2e{?~dH?2Ud*gZ3D0ml?NaglCmsWd1L4vr!B%t1i9- zXJ$4@y52>%EQ^8wUz0^Y*2ni;yl;kmugOEvFP>VJAx-+VPW5xEMd+2GJBlzFTh{V$ zbmjGuH-)qxE+D^X??OtYES>_%z5co8TPOhFu|KruYw9S*`kklA;->QOmZJ!#w^KU& zlhgm_&f2@f`_+zG-%*P#A8{)meRxS!VJLV<=3?d5rsZEBb{&P%<93}f-?&jYf8G!xvBEqHNk;dGZTN+dQo>X6R`fB4_-E}Yb-%iIL zFydtl=6Gn)h1lfi$fVfIByw-%Y@*}ABR4*~{Py|jC1N!Tr#x8(e?@-e)&A>Ary>@- zSRp|0!Hk}G+*HY?(KG;tJ-Im5sG}FjTJbe2=KgJf%+4^w`!6SYsb++J!F6MNI0zFm zkZ-p#*0W(spMdGXbOvJkNeO21%mbkFa@e*YGX)>{%g`$y?aZ8d7bAIc5*B?#hh=;c?8@n4EB#HcV^7?E?YAM#W#Z{?%{0w< zdGPRl2Y;A5|Os{>(H1Q?ph_8I*nfH%tnOAh? z(&XFF>FTsC3JFoDPNZ;Xcq^$2w=gKS{L!RHuP0JDFdp(G4L=D790)Y%Y4FUitTR7 zd!mvg-Q|MEErb@X-?CSrAM-@!&XZR#q5T5m5H4PoyJsS-5mbI?|>)3**k}W-_n~PB&oQIBLc$T zVV9c_%j51vOdJ;iKMSV#1Y%KuX!fG6NBkPv$9G!2Hh_^`=Qr7x%ObwtTb8uLebbAm$Y;RE+)=>c!5QuHM@+{M~ovX3n= z>M%i-J**rd&J*<<0lMA^5f-yf>Mj4}B=j+Ki|8p+`TAU#qdc+tp!}OrP=fZjLc{*( zsjs)bie@4K@FR>*HOI(HA5mFgNW5LVSB5{sJ(!M{>0qBBh9ot3{_Q%ZoD4c6xsDmO zR4CN6lFw`?C<^O3XEH9$rI;(M7_Y_YT%07_7o-l9A)YS)|irYPh zO2LcA(sqoWAEXJKg#I!sg`x`D`L3X=RdgKedur~4oflmkkFRzjOf$CcK5LKh!|Gq8W%*n1b5brsINKjsgO|Ceq;UsLoBliu?NW`D z6aXahU@*(t$1RPGg86kbgpXbo?0?MTzmNGHwG+fZ8w2AtFf&M~y;{7|iUmoXg+&#YK{NFlKfkD(nH)ZkL*)J`X`V(Ua0X+#}2MU?yh~ z9iNu>4P0h|5q^>h#QZ`M-`_xS4UxBeXPgv{7uuE5T%Gz%+$2G=F(|K}aU}oBLI2r2 z$Y_INx|fjAFH>aX=RKPL{K&Pcf$Mz%<2@u}yL>a`^8CmxT!2Br*H`fd7f-YLt&2pr z1w+eR98Lo9&A!p^UoPv%9taIuapld;5u2^m=BG|f(cP*h#1nTpEb46V;>397S~o^ak*L9c zhr>CJds6`mE%Y-UsMU-M-3PZMT^e{!ThXpwxFrBlvV_Yx)bkjXFVF1M)crL#{Iaya z-GFxzyaMuBd=+b?9YTabI4wpO8I#((&}NP9x4lAfb)wV7A(bU1_QA&r;?h*4H2>}O zKz4w<-gcnKm}r|e>r-TGYx)Vm0r!3G*T*`)PDDUAM9n}G1g+{r6O#p*Xul0^A>@D; zhe^o`wmJ*FwBm}2RV3yq^(fYmt3c4yXVZp}3VptUHAMroKoijIKS!#T6?QMUE@kPb z3q+wyE8ol(WBycI`|VGGx1np^J@YwhewL0!!4Q(gdH*&@Nm|$vKojBt!Sm-|hD}@|52b^rdM$sVCAx$32akKx(pjrGdw>p}2B zCM5*c1?|lMZ*Srka~~Dwsl_Ixe>cpHa3vP&`{Z5QM@48+NsZrXF#Y;WM&(pRQJB<_ zHP49R@sgtPRAfwjd^G&X$~RQ}r8=Zz2UMx3i1(Xd4DOet`cHytLm@YLAA0C-_XuCa z1(lgIz}fGx;vI71zw4xRQRG-Z5=WX4t!r4=1aNTsWyBHV0b1EKiYa9wm^NlGU83%Z ztimNO?Ixhj`Sxx(kO74awS#}Wvv0lixGXzddpxOEsI31I4dGsAt%9tbA)B(SbS3q$ zGtQGQeqbsm9MYta@oT>ub^;V3LClg z)D?^oQpywNv9Ah%>BLm7C^z9z$7QL(zQN~Fn4-O{mi?`r*ZY#LuatPgQX+a43EXoN z;r=(rw3ml`0qzJiOkDj}6g*-CLJ)kzW9ZqqJ_QyM+XaYKzYH}%l6K?3U!TvP`8{RU zD2vbdOC(6__B*nTTM1+y!((DKrL}`a82qe^{5{daRV??Yyy^8pAmI2k z(j;lmX>*>^^UZ@PNbw>CSh@G$l4dJ@hY*X=B1qyITnr`yS%x`_7SoQKm}tnQyx_J3 z#N#<_RG$*6{#OM4?IIBE9jm1;kcfZ1*HiOLAi*J{jxw*GU+>!` zA4-!bATwurojbYOz%-1aqenLvoL(1|!oH1nv5rU*{(u6YDY{>)(|mW2;&2|p!p4Bb zskUhcUH0vn^*g_rRs_`MIcG!4wQUF`_8ZG2~_Hz!ALW$=~#B z&J22upacL4Z-nuLcm!{R{N1EP!P&)$ZrI9jpJUn(J~l%)>HYC|q{5}}<|+UvT-?!@ z+_0LoYkOY&l1>>VA+z2F&&S)%!0>K>$QM+CCNV*hNz>>2@mQPUi9dpq!G60lS#kap z48}E$CjX9c!0hP!HnIH_z7PEW_HgU-;k6?*tp#|c`{Q>l`lSNFO8_9{lrEX>8Oe5V z2DiAXBqkrH42n?#vh=*;)ZTlrB+8<-rRuh;-0N2_CDNu}BvdS*^5;jJ`0L6Hnp1Qd z>cI%glUf71d1QEdkH!bdV0)OFumkobJIhJq1WwD1vTqqu<;J4pFs6Rs@9m*JuX*Ct z;^9-l_-m$$!u+pk`XBC|Z%`W45)$$&n1vJWI^XbVCgYUoOdlR4gCM%>ks&B{1{bW{ovFt&G26}(OBJN>H*l>Im`;tO{(pG;vu0V5WK9r# z?)nuabstW(kk!o7!+mgfW^llnp{1mR(V1s(;MX_4BUq6`WS(ATyJQE>9VHgIOvU)}+_L9(-%{L)C2l1QZmYQH$$&V1&{n2*dUCM!pAP8w>`n>pI~+aQ}vR+mXdt z2Q2&P60wO#xA-F~%7e2BVE7fV?6Z1C#+MY0$v5t|?U~`X#|n-Z1x*o^ZX|k$26mvQ z2faca3sA8N9b-x1r%BY3BmZhKH*b>NzOxDhMcV1m`Fv4w?7Jmh(*WxozZ;;Z0ib9u zIuB0Mx&lXGFx095AjiQJTX-Ob`SB@7(98f$Rnc21?OEHM`gIOY$Le^zpuucH)3rPr zDF=@C>kDeKtqX14z&PSQ1_mwglfrx=?*6jB80f`djRFowK+z{r1%*3TR}b^&6esvs z3i4g1P7ZT?(ix{RbNUD~cox=|MW~Je;SsM)%K3Pua(3?$50gg zFkF*Qz$i=LfF}#cz~DTeGD8dF4x~39%k&Qxb(;Dy5itX^6HXl0sYP&8^o{b@T8PG}&VOs}D9*{E6ak9Z8~Lq~0Bz{CpCKr9dx`N*s|Y(M zb!sxa?HsS|!JP6l^ok>cV=<^FzzAS;6X`*iw(9AyP<%4s8~Y<-Y1$b287G1S*pOC? z`|H(pKq_SS)674u8#)LD^bQMtFEa3C#12D!_f0Q4$5e0r(wiUPdiwD3Ca5%EtHk_l z15uZ4>-P|}Pt7@zK1b~n1Q5K!9!+=TK(!8a!E2ubz<4=5q#xJ!8)s&mBht4v=mf?7 zm0Qe?$A@SSK=wxQej_(UV7|u~`s`|A3V7{cHJXzMhvz3Oc6bkgu^VW|xD38hjP2uz7$*Ye{D+hNgnM(i^sL*D{Eyo9|MevhgU z)r}%YQpf~h=>RYLsdc=?%f@8>c+$E*WH0-fF7cj!8yJn7;@^p1M(ok_Q5aeF+Yk$EO(_saLD_q7M1Zj{fTT;XGijqrJ_ zx8*cN_lL$lh#y3eylV`|OYGqrcz(Bk*IF75M-_;H9rMTyo8pNskT2s19LKQP)3HGu zoo>fCT=~VGOBx%Bf2><)VcDue36e5?R-)N``JQ#|^!cDY(Xqr@@Onbso{;1k{yz zPF~9uZ&HsIfh~`Y6m#-<&{kfT51P_$&m1}p(>G$kPpD3KFZopE+;#y`vc=b3R_bW- zE?^R#UMHbknR7V9j<;mlH<2|LGxGOg);nF+* zG1zbEvU*JwKLj<5qbUQ+z^P1D(W1(Tx+nLJLN#VBKAo+Incgw6k*e)*#r?*~!tPCw z^7lWYkvi~UaU9(M7pF-L;FC!RXLrSWq>MQPzrls3TMZm;YBL4Z zLGX0eyejN>k=B39UxU{DGpA%;K0%6#c#tPo4G5FVh3?uxBuBRJr(2F2LL|sdst5wh0Vgz0E0z0)tUhfcfU$3;mSHeUHy#|@~@%JYY9)^39D9>cL zz+j0;NE<0%E!}*zMLI|PZ%fQo{0b)Sgz)Rn2@us=!MxVpD*4yBS^~uTcN0eC4~9LQ zPZ|rmW`}J*c+~mjpDqB~H%wuEBDCuFysHcQtvFCpcP9Uk4oqi^kBD0iVo(2ad=D*u*IN2?pM1IQcYyz>tg-XB#A^3d zIvSl(V)kyXrz9P19j^1rF0)nM6nDX0LAaRrIy*Cqr2GiRSz;6sIX7l*@F_}s)AdKbpceqow z{rcpTRu0NB-Wv9c=&$%q2|S*+)m`AJ)*m`BXM6s_QY1>|#us8k0w{X*iZ%%^PK1A_dFpqmZ`|wl2?Isj3P67)X-DL}8=}z*2mvLiX{!5bd zj{W}3n&FGMKQA8sGVW}{J_>6)FEj4>t*id&Ex)dnZ#Eb}zdnCC#f8#su>}M`0e!94 zV;M6&vpk-&Ugi2ou&p5Y0CD*ANtwd7*1XWDT6tKuhSOIiUc6;lSD;<)|yrPpCsb0KiDHXL{`Wjzx6# zL@UxK>NuuH*AqG%C!^V{b&zaH8pU=o6Ku0BIAj9=rMnU!YWNdAQ!A7Wy=^}`9lwzw zhzWk=YaM0AotjSnO@0P!3P=ymZD{hB&v;Hj%zyG$do2O>Suu>W>fwVTVDqYhzj921IpoRUQ_I*YiS)7t!mE2!L`g6U znzf_XFqxa~Ep0#enGj;vGBEW10LgL(u^9z^j+Z=Lv*%`XGiJPjeSFPSievt+kxh|e za>FdsCl^(~f^rBXvDaQP$2>BdwH2&g<}O>D*Be6krHCKcNYIaX;UnsaHSVf6SKR6U%Lb`>8GY#t7SDQhxdJduOa?^A)fF$T?uBo9uv*0HK2#5 za%(Bn7@`)@n74;BalM8(aNK0cRHRoHnU^_cIZJ?rtw51gnNuD3qK~4Bu{&yp>;kDAc1DL zv8~n-5t@aNC}SF<0axNeA<;|3WVRvmOC*%6C((8}M>#U9PphnLKBMwGUy;oZMoj75 z4nzg-O+1E-Yg?x!pM?J0f6~9GF6kP%-e&|~4FZ#S1)^=LiP&_^Qi~6FaWPb3RiqO_ z0A7hw^!n~!M7Zgo%14(G6%Q_I6GAa9G{T7o+$Xf*z{U$pZno;>q73$J7}G?Y8oHD_ zFgfoAHO1owVMC;%S1jFIm3!oz+&?W}oGhn!-?w>5nF!z?y}aPjvS>G79hIPp)3h$B zptE3X%en=a3S+z+Ohv}Z9RnvXGu5M{axKBxbw>fnpm}Rp-_ffbjt8f&I>=iDPU<0$ zuqe`I$?2tV{CcT*C25y92Py#IH-+0pj2m!rygYW zF@r&>f)sM)>`Tav%o;LIEFh(LJRT%ZnehM)^sNUJZ#v&3-YF-^IpDS^*5cd~x)XFJ z*A`w^e)c53Se)|0;(kyKcN{SEF(7UVL(z&P)f6lno!X39VirbnC! zOcesxruUPCE2ylT`rA?zzhL3$*WjFb#v8XQm5@!-i`usj1}2sxM9SOhR={ zh5mAPnVZ!)dP+^#EB`}Lu?EaO0MaHDmKCw)$!6(UHoAqpENc@%^b1r`=JkT=VaKHQ z3U!QKBNq1@#;E53H?W6rTk;~n& zuAaS#)vhws9Jr;aZ%};HRit_(76X;Wn1ZYxPbR!`bUx__IUt@MkZ+q0ZhFRECSALw zB;Rk6`~d76XQAyJ5TcVn0qyBPGm~hyR{I&CYU9!=n09`s^Qf*U_(tF#^XJsKwu^=c z#H0yORa%18Fa$F|er9Df(lrH8Kqd@O#|taQ5)@H8us>z|+P<)L)SiTLdCifdKOk0S zqi~1n3Q+|emdANcUCHyEk_0=G^HyBQ5U0iHJ~uLP%^IK-@cAG}=*OfB+EXjx)2)1f zG}W5Jek`7~!v{P;F-WOGO|8W5ZZzeXzg*+KBY;V~*a0YdcbE?vy?w6K{{t=Ie#**k30OUU z;ZF-}*yywapYQ&1cUJho+agOmr5Pa_0XLErIJp_SpqbE-e)(`dgH3v3tX5HB01Px% zWyEX)Nwo4sLVZJcB{rF@ofcZ+dh>|8Xp|D9=>t{yYb$~Ck`0fy`R#{-UOCSz_!SD#i^w#`Y9+1 z%xG)%hly}37TSg08$7W)&ginWICb1&3|RS zn*)6fepUuibKE9CgmeoPGPxsUP~G=>)UO;9Bf&WkkB$QwgDAY$&yaJK2`NyL zByxBDo+3(^v7y{3P`+(hj_y?ObQLkrXabyn_T2&AyJXCf&=S^VRMFO!_3+HY29|XtYFNI( zA8U(%GCAoKF5v64Byo5aj#8@M9$I3`^%$nfwM_yJ)M&?{?>1$T>)A!*x+S9%vfm3` z-6aNxw-m~p_b2Xl6mhmRg~LO5DbEXSslM3}_;3u-P_UL_xw!xys_W?_8g_>AP^#Gi z#|d14wob%&zmYgMA=+o4Il%qn$X0L|Kk8jdY1Jz|5ZWK@)_#Jyj9Lv`Gx2kBy~D!a zi4yAPQ1iNXPLVMbIT=8bW9}}KzHTK>XqFdYfxHmv0@o-=g1bUVEmgf2KnFIRPrFq= z&<*rPu%gv<3_+T5*45O>D<+C8I=s$L0KiG*Kp}=y?yBH{TcgCvs(U?ooaSjQ(U5)- zbWEp%1~hsrtd#^T08?CA4C614=#H*Mp5S+*bB;TR>_zCo-dixAP*A@MDhXStS|jdi z=Bz|z`Z}nIn`GopUnu?1))7o-GL6vnk*-!m1BB=aDL{d#o`2FL>F+d~f>pP`)M-q% z?l`2ZgKh70(};HEv=LRIzqDr$G|!fcW=;oIoz3DkyjNoGpJpc0f*T=$0c9wwR<`rq zqwz2+^Fb80#)|hiwSlNoMs807Q>U0Rh>kvlLMa&6$_vVf znT)vpTJ-?uxl};hu~aQE^C?XaH7oD=j+~I)a`Nn%sqF`+)AjW|0der-ICTypLRSAucdv{RS+{^`N>{+`P8MScwvMZ7ur}Th*-v^w z(JPBA8|Qr2^v$wy2XcYgVmk6Q9Z`OI^+StO;ZO+Z18VE^L{Z-{Cj+3nfiC)ZOgN*x zB5evs!5E-d!$2gK{t_Om(1>0N)bu1e4lU4r-;N|oInX+^>WSukjq89nIZX3_y41xr zUvkRpQGpGa!t5F?tWs&q!2Z4wdIa-) z!Xq&5#Mr$H3w23B7HrFtgN?1)nZfbqmu=LhJ<5R~Gfin%V*pE%?8n}`9v-li>1hgf z@UD}yBAw&)dUnN5aGg6)nrPJ2V-ss42w#FOX20o+kiWk3$1$reID6}9z z6F44&Efm`-IN@Tj$F~Sv1YJ_%VStQpT6v3?r~P7W?<7$4Nl`8>UP1Uxh#)`q?*!ex zHrLEU;(Vee8#PQG;JhAIXQaz3GM;5QM`>+kEqWBsY2lCl@(ciHgG-iisj-9y?J8|d z@C_R;D~b~JfFIsTpg`i8zpxWVcnU`dJP4W$JU;-x{!EuiUx2pd&|~!|EK3)jyWuAc zT-@6xt@EpB=Z#it5|{E7ns51m>ySl70aeZ<-TEilzasm!*`p3M-Qqfe`~VOWo(5-thZyjDaMelZr07cIts_JLa=NDNP>99F8AO60z>e=16Jl=dn0zRZCWc@f z^Am<=g+!#WhCh~&`c)@6$vA`E@PX4&8aoleonZq{ih_d}m?33^FG!z7aX~Q0daBqn zqC%=$a0JgMEsE>a6WZai@C>;QWgVLY*?yFC%2*m z^cRwZ{zAa`buaoKxtD@|yB9v4)L-9B{F9seuHOIZo6k@Gx6>g11*XogN6vdu{I`GU z^Y`=f^U?qFeB7@`Qh)jDx49k*{gT^>6aL#@{@Z{1O+Nqid>-QA$+2{YfXXnd(dvd-YcD@^V{)}Pxub0Mf{OTEk{6E{XIo;;}{%=to#?>kC?_fd1 zuW$Sc1wUnVitR55_Ur!N{``;A8l%|N`u*hW!PSVKBXz#v|M_2F z=eNfH^=?FiltQpS zzwwvM{X_*+|j8dj3}>ilWLPJ?|JYwVvH>%WyCaT-7Z{#}9?`h9tf`cn!1*7$c4BuV`5 zCHTj6|0uz4+dq@wA8r2u34UMaH{t!sZNCezXxD$#pTChE{&iB&*9UxM2a}iV@V~ZQ z|5SDu`8Tp7zORk{xtaQ{@joCt;$O&)_!qJx{(qC*Ki>A&vip0z`!i>HY9IAQ8Rv^r z_*WBu`}eDr)E~|i|9{`j{~BBP1WsSe`P&b_2KoEi-%&cj$<@1a4*b98>QVALP07=E z|56(oJ^S^`0#Ika|4wawYy1c2M*oA}{Bhww>do2qSI_=iz4_a=e_w4*`$ABEcH8f2 z^R?Mso3``siu0Ghww~la@5di)|3Urzy(52e-{nZ=c`Tx3PN%=q;lBA_^SGqfQ~y8N zum8T!#Gqel@g1Ho_`@*dFVuH362IWbUr)=kl|XRxS1*4>nx$h#z2wFM8F&XDSsvUt z-*@}sHhIoq56qCmHawxufFKLMI&$m2NB5mP#QrrJ>J`pXE>-D|ORag$X zy>B;&6KTk3Fbz~;%mcx-Hweng=YU{L;KN>ot^x@>MFQq6lrrc`9+C(}f+vf9e}D;$ z+<^8-SCj<4nf)9^WnQ_EG2zJo?(lKiUg=2H`Hd%!jiHWQq=7&P{Xj?Z4a%anD9qsH z@)4~<$N@Uw35E$A>OQk|UQ=*G5Iu8cZR42^k*;msZ-(u57=ORLPUen4()nt`jVvf; zSnmm}jx1>KhJh&hnodNjlQSw?Px#`6onYB~^cnGt7`r}0L9)k10Id4KsVK0b=_&l4 zB;Z2-)+#1Ow(C_{yE``%Z6$iYcI zfft0Q9A9$o^F!aZ(s4JmpEIgIWxTf4M6X^@c=5x03vL^gl(h{X7e|zC9A^EoP(4Ez zZcM*|`9UC<3W8qJg?z1FdD37Dc=M(iQqbgtmWPy^xCTdH^e(l+##^6Ml-e3ijO=qf zAIEkKn&N&m@2-E06hJT8-~o~wiq9KF6ffx1DC~{s-OV+tX;u6dvZ+aXNO)~P^F!qs zylCzTW8RpXY7~y~vzMEivzp^Tqa{?0vW0X9j!t|wU=4+pzT(0HOqRqG$az&$q^c1=eB zikNb8$X~8QAG}0{+-Sr>Q6py&BTTG4$hCD^*E4ty{kB$TmpW@w1ZxHvi z!|bE$?KFYychBC~+ec??sMQkqRT_`i{f((F#+pv!M`T15Mukk;i+0+Q&+~pgMq`G) zy5n%zG2Q*Ncpq3&G6)jC-k^JbI_*9E@(dlz=pCsO;PAfR)Vn-qrfMtb73$NEVGN?` zc2m3W(GxwH%WuB85x3WEU{o&9cJ_~-VFyk$zXf$M=mB*NK;aJ?z&S2UF4 zaqQ@{34D~oV@AM9OFWRZLhL@1W*I!f*F1DS=;WipXS2ajSF*wrQpu<3tnk{h%~M^m zm7`;Rck{PbNnnj)iiPUC9+eE$Xsc#rz}&%R40(=urxW8^T3`nx*!Og-E26&@@XZP1 zPLKO_(Et|~>Dl5@Wst3PtQbKEwH%fkLJ_^Ut#8dh{v1EHHxm&BiO;*sjO1%2VTlSP zBLn-bFg(>x-DyLA0|UJA$?TY1*Q+($uGh!mMm z_r3WLiig_nG_}rBskzRNQU@Mh#1u7(!;Rlvz{JdKq8l}LsB@@!Q__KZK)v4yPk{ON zrzP{3_ds~NT*lFzT{C(<(J=wv4JJJJ^pRcmhB4;1J0wt4g&GQgq7(%Ky|p9wN-<=V z1Mit2-6^Pt_znt3a?5iPd;s7^%|y>i$hERXOHS%6p$NcLHEo|4@8&f(a{WY(;u`HcF~~TeqJgLc^vN zREynWxE#>=)@pIh%6aKZ7pFIjnAG4`G<^y&oJ2r z?DSi-(*xa&BUgH3ZEG1TWaP7-qh|q(N@gf{`;jO6OEs{=h_7g;hVo#pi>u;q0(Rf= z(wf=Y%C2)ffrfI&5wz4~eP4;HAv@~9>Qm9MWG8~i5wCWnMvbVfnrf;MaN(RoOPJ4d zM4#NsK#rn!Ax)W0J;`-a z6@T|R@HRhh^Btm^7Fw?bG7gkx^U&L8G#`(u(6tJF0xm+`&@k2R2pM`3DGW49u-@kN zD!7dR{qShtkZ?0S0rq8YqB_4Rph|N31M2G!;F8RHjo8hg<7fD~4-h9DJH~JTgb6KO z9bTp)FL|fIOxHbwg`3zF4pi~sw-oIPsd7$Iz5^9Q*1l+U_1ALku-!c zmF7L-40g=VPF}ayK6_AA03Fi12bHf{c(pN^Z-=ESn%DXHCX&+a+wS&vnK2vT- zMr;``lMc=S@;Z`su4#M5{>%%8hu;^cyHxs@?45Hd^J!p}i#YrRzB|&s)`JU7d#SZjDFA^(M<#M1 z3P9YTC;E&9AutTa4>o@gj{-z|X3@&$;2vh(ujL$pN;0**4;MSe(ZB--rXHoh<1$+@ zjbJBAuaOY>BpRRZCf<0j=PGNc*Ud(aMg@W{+&N)~*Dwjrk`KUokL^B0yD<4Ve(ZF^ z#`+-MGAG@f-gS>`-9pF;60TpAS77(YcU%gr-gq05|Mpo#)(2CXrGo&MRrC6a`sMP5biWw1RweH8m!J!i-TztwDhorAx zt=026)5Ao0pEc}=QZC##lxYGMlba15$gzTD{HHMDdtwhQ; zYqHU9YNDf3&uYj_?opI^c$E-Xn#sHf#XiY8LQtR(crys!NDg15 zeV_{l?;~vmRDt1PLaU~MvtsO%NyTXf_=rE3&?t&sXOnmQVlXug(He)~_pk~*9=*|{ zn&Am@r?f}4EwW?E2?m;yQ@P*ixljTL^YuAB@gyiT!8Lz>40L}Lk?0=pSfSZ%l~=go zJpVr6up5kz`+;q@Xb<>C=A9sciBnkX0V{ODoHGhsgDbc&XUi+9dkDq{ia2SOeP^AO z-8-C;zsJ0m(xzGy)S;dKnbD*CAZsxp_a=(<;9;)Pl`9j$Yx-fBo#0;B8vNg)jrr9h3Uf~Jl;aLEC zWk;dfWHK$>GY0_b&roooe1Ou(2?VHsh1glyPa0cY55{LTEd-LlHnnEVJ>;mA2xM~& zT+x#nS0OhvPxLlAv+gPi3_E@y;df#MfXch@jaW4UH1@cThN>*h+D@RHuXUA;3!Nhu zYP=-QLSv1D43$WN;Idkk;lR%Z!f-|YIU8$88z_81Dsq50S?Mk*=GwseSxOKGCkR?a zL#4q4VujL+ZKQ6bQ6zA_bsi&_KeLx?O5K6y%u5}iOGql=dsLF-^4X4UAqrYOA#G1= z8g-)Casi8&3nbMzxDE3Crp3DQr(8uwX%U-d?Ms})Ev)aYSGp~M+Y2JIie2o)Knv=q z)GYA4-{nj)pbpx7!t(M|M(m5-No>RK>5G|{;WRsiEXlKs01-_vZV@rvJZ}Lr1e!gc z&NA3+K6);$5uwLW14A<=SIW(+(iF7RnpxAJrfmw9X`H4aB6wh8aHtW1lukZ^y7)N( z(EDP)TJsU~w$p~P-SPO9VQS6fs9*(p00#!$1Wl?Ua}B9?NIh>4U`|?m)V*g2-%3%l=xj?hO-%2sf-T@dqT+0oW2n9MeJ_%WPP05A^yHGDVF(U z5!j-<6F*yD(zsoy{Fb&!7;o<5gG{s{>+|6%?TIQVbsyal5m2$g(Z%gmiyR(e1d>na zn-2^^S8rgk4dQigURbJc5~*@`=e1&FH%ibTAD!}OB|U#{XiS=)q&1K*rD&7}BgpxL z?aTW)Dk;yk6p#$Hf5HgI~^XKSP9In4z@f-Z` zRjQ#~(RlVrP3*+u^J-UV{2+HydEm6;q9`njuquBNBE=bW*}mrgVZY;A6#FYvP;SFV z3qET2;LO$kH9}8}Nv6V{OvQq{md>O#3Bse^TQx8g7@AtrWZ_jNXrh6x8BQ9t+-BWd zMThuF&_b+LiA%b`*V)X$^KQuT=b0Uz;RwTgZ1$so+i`w|`{0dz`cv0N8x|Cej8+yL z_X%+gGBylYBQqHt%oFOf0d&+HD5m!Hd25y6CHFM3<%Xp^J>-Y=XRF;amHZ5y2VH$1JNx%oP= z3RJAeu-E6dhj=c4QTtb=-vfP4orb3qL=e;r*DOL41FGJ%gLU-F@RmiRDhxaa7N3` zEaH}781&;qqp)s&$Q&jw(AMeW%9AwN2th`JsUQz9KbmGIpL)aDmTMx#n*(M}mq^}N zyBFz6jveznBJPBv30I;jClM;3G+Bc`xq@OL+*>J3JKW?_M`X7!+<(MV}-D$(aBKJ5DTlQ{$_qA=>Be58zF#1*`A zx17g^m>^}$Gm&!+=0d;MQY{wR%78>ooN8GtAw^R}9%Yka(Z2 z5ZMTNVju8=-#m5l)t~HGw>Qa=1Q5maO4F^u^Yjyl6d>`WdjJkJ6hTRP{&4csl*bF0 zJYriHNn$6*wZ6UNBN+NyqDB0%%u|>ueqxUz-IxC9tMUhlZ+OIp*|_%I@G0%3ylgQA zKZ|WFLf1>fIRl2erS8stBPa-)$Y+O6o`YD;!bcfuTm2z=}!j9j&=9v=G;jKT4FjjjOIH5n*(MA3FyO5I%dJInj2 z$1Ll6QaE zg*9w}7a4S(+r+mR;@2u*TNN|c)P#=e6!H^!qTnl-8OK17gE(Q)SpQAk7D8GJ-6?_t z!1b6u!Ge?HCMQ3^vufO85KYw?LQr*lQB~j>7fEClj|E7LPx8>EEu=lQd0Q8YIUF~O z50F;EyOm>DG9|P^Q9pOC)EP(u zK!vC?Lz;NV5ky6QBKUIN1!hMAb|y*Ur*26zaHluonA-QT(ziMkx z3%qlTxA%MPv6?hoVVZI-@xCX$SI8Cj!&jTk?&?RAwdn=CKT=Y9J87pal^hY142iEy z)k9q6?9+~Ls0G!hsc8U%=n_6b)fJ%?HTsEYMyjL;CKW+bHjr?1iz_g=XQQT>z_lGh zUCb`%Mj?Hpq$Jv^8~w^-6>hm})kDtHn75F8cr|N+6hki+L&$~>|2D|&m<}|JSe#gB z$vR1|??|h~lSK;9C<~paNxSfkYR7IJDn~)~K8-`i8lkkhv3ONhNwXXepf%i9x)m#w zM}7ZsdI7al*MYt5#CgH%a=tZgW@CZNk96eY4f7Op?TK{+;5J}XB$1L2Ybi55pKvx^ z^n#HwAMzQmBh^*J=U7N<^`3xSsy#r7SgrMa zP2*T&aG<>QE_K1A)7vJquw>p$ujW0X8qa(8M-)rtb6p=#007GOpqzZr1N|x^y*4|r zlfaPCy4);5xDE?Jxi*7{179TAi%w;axf#P^#$E1fZ9Gyd1iXet1)N^daSsKWXqDuR zipn0%92}~b-tg9F04bQ0z=u={suPQr*`8J!(k&-Jc1?n0s3nIKu8d|-b`}jN{+{O{ z>rT`@$3L5}=@168=p6%Yv8y2p2xJ9s{xZQzYK95UTDm+hB*qeSMZhzFKoSS}um<@a z=bdi;vc)6N$|N+DQd@jlhRV9+hSmb)ZEs>eNqm>41;TH0Fsx>hJeB~q2_#q^*-Nl) zV|M$e%5sO7(889+HEI-uHpUHwa0kM6Sxt>ra-kH$rKD+rEAaB( zxnOrrp}TgZo;badT+sBVMM+l;e}l=ZGGm5A=283kRN5B~pZH*!Xr8c;Z1|%p>GL>BHEp=^Y>BYl^&?RrG z3K+5h;hV)D?!ir30n)=*t=Jp(#|+VS*EYfocZj+6X%gT4iHNuUi9F&fxUkX%Lt5|M z9D|XisokYFJ2i%40?Qm8Kvj5V+*&h3=9f$BIIF#WqTQn${FTBg$zGEob#BDr$7D#( z8|v#E;^@>tMX9I7ec{rTu*ogMCY1cGdnOOyfVP>ECH7I?hoT}bcZX$5%EfcD5_}sv z8fXGm0AzvzBtS3VN4Vdx(uwD{8sPZL^WOj%*~Tpu1VG8ysJ%@33nejt>v!T_9?3NBbBQb6Tgoj9L+u65C* z#_e4ehff)AUeS|a@=T#2Dg=2d-IQ9e{VZf;e>?G00+82b{cfWUAPlmL6dD&ii);$- zpM)_`31Uvb+}UhEQr;elDJ`+}k*0$nio(yzd z)IgQ-7j`J^Lt-OMK}<~k6#ZZzdNjZcZvw1EF~oG)n|0q?bDb zn^m_h65u|}$^U!2&rYnzQiGncO`%|?&_R0EFw z01&_xe2rR0^A}0EG62 zuz)5JP>&PgX7>rD$f;xO0)CFeW&PGn%M%WzyywoJv_P@$mb()Ncbe#e6gD`McBYL1 zqb7Q%3W*iaO9Z*Ug+1UJ%Ga*PfaV?oHB9v=8ofHl5t(tUHy}}DJYqgn6pS#?g?8B` zLm}WNw+aYb*7UA;*C`o{*GtW0mQ!HcM30jgpUh2JAoRRm*Sdvf@g4UFRN}H!PLeix zAU0n7Y4?4==2K6in(CvhXXTD_f=+Zg5g-D6a_A+zHwEuXXpP+XNW(^DRH>(`U;Ctzl3l>=>*80sa7W zIP_XGr8egZ$>U_$?jxd8gH3KP3Qh4EaV3BK9%yfwjv;olmc|8b;SSe>WoE=Q`>W)YArB%Fs`jaE^G=rm6P}ax0<~ORZWYvLUx~5(7A>;|_U0 zLC7Ioy#Wh*v;>&mGF)jjX;OjlH63%ldPSy8@2OXL#O7H?Q0|GF5H~V-sDz)jsWTj{ zm|i5&G)J&d?oZ>DOe6lFYEr2APm=ODO%ugRVZxJz~LwPbfu^ax_EDB?KT03EDi_ix=fP0j-?H7b-PB2 zho3}BxF|JIeAWmaW+al!7n|v?Wgx&{Og>kK@`WVQ>~?+G!iw~LqNBFO1LJU9FbTo{ zBTA(jaBS{I`U6yFC+_8H7aCLaU8#z9!d+F?AY^QcL>}|e)vd|CBc!(9lAj}s&Ew-3 z2dDu;^47p{;`nzwiAcpOw|PaUb5v?IGSLN+AbK(A#>XjtQmcs%_AdpmTnUJSei}lbJ`0UvH~o$uGkhe1S>K0nN(QX z*lC9b4?F*%bd8|lbe2Kuk@wRp^$?U?;?TLVa@cx?D{2RglpAw77=T}a#dZ?+e!4dN z6&j56Hp#66Qb(S>wHg}lRu788*7775mtz#M-VH!hv6_<5i;qBJDI@Vah2lf`_KCkhGZ(tg9EiddNm#ktjarH(c zCk+;io<|2}2a`LIbnjKUw{fgK;LnRT@5o&}QU4ww$$Fiuu|2mEGU|T#47$~RgNTG9Uuy3x7$aFixzc!^18>4u3QnY-2og?`AaC^qAhJD4 z*8viwu7?7wKh1P2j7ZSn8A>a-aPMV6;Q;4aNz@P-1fLYEgqo#2g!rKM-m#Z)$@Ri) zG_+^#B_1$~4t&fEyHit|5oV|@9fkCXcQo_J*I=DA6>sj(2bLzbI zcsIf1BQqfRp%oF2{i5@y18ve(-O&#_r*T9@-BPPWVq4a8K&6kF99xru_fFl2@)N!3 z<>d{7-La2)Mu73B$deTezJ78{$=4Y*oIs-uHBT^b!=^2!k7vCm9Hy;b_?AhB*$M~F z>j)Qp2Ifg7vv=9zk3p(}mp{e*Y1#1NDU;rkWYtBmUPQakBZ#^o84{?nY+(mU(_2G( z^5#oT1Zy398HxtZi5TjiAB91xVxfT;Cc-v3_IZk)5v4_%+HH-s3EYS@t#{hB$S{*V zu12oMmel-tj6S&^z(#}3tnU=O$IC1R^!QmAe_qXSvg2y8HlCuOe)&9wB^f?V>Z>XB z!BR>8IS?ba9u4+^Uk3o=M(I=u5sL-E_nwKkZNwU2L}X~jq$?{#4}b)0JtFQFSVnjH z%{3Qiu(l1kU(2 z$(=%;D|dq(x)@M4)5&{tf;IdbE9>JRjNuy+w0oA@kYE_8p)thlbZ*-yaj_Z>QPL!F=zi7^l#V?NJgMKeJ=V zD9I#ivB3fjcn|{CT0KL^Nod>JFRS;XqWem?;; zer3P{w^522wPQ1cXB~QCgn<90(OXzS3)YpoT+9KL9h~Vq!Hb+`KDB<5xn^Org6m7su zNw7!&v6eLek5AiZVe5gNvee(JdkocZ&2SH*wc<f&|?-KkCMN$ImcHxX~SOYFA>< z&riZ)xR(9Ami?~vzV(*;BuzsN8Z&5r(!K#j@()3{**%?GCvZEwvfI}aX(SXjtQ3kE z_pe^E_-2UjKPOqN2B${OswyQ6O~8YWw88AG?#|q%bQV20F##z3t0Bnwf~6jxLSG6g z>#x**6c~Et7NXwT2a|xij$g^&7diifMC+UJe(zr1>v6j=ulMq1zp3u`PeX6rA|q7*1*q$T$p{A0lizg67R*6mrO^p~z{Uf?ZUvHgYZkt=eYWvupo+ zcs@)mu+W1-jC|0rbssNX)=pmeff_bxh5UJ+m&OgLzQGlVo_DI(A6JBSkX-$SO zj^U@p9T78syw#}T*OTyja-#F+HN&m6Y>@GhG6{fFbPfj}vmVh5d%C%NAQ7VT#Mok# zVkW6UEb2+(!;{8{WZ1{Ua7bu7DX;fz*3Ej5v*b{Cza7m!As~y=p$HVEHx1DdCr8I zn4Lye7Vx{?z&}S+Q|b81F+svybn#`N_uHykbpNtbZ3n(3Smgb?sP_A68uB0%AG|-3 z*`8p-OqoxX#8qcuY(Z3H|rLc5&(kJ0-n-L6FxwM@pz=2 zgMLlTbL^4f_dzFaofEuFSVUX>froIhc`%rwbG{H-xV*ueV?-?>9`jH)gKW7TcyUdk zt_HmFpVKY?avmlga4K^C32GCGo!)~Ht^?I3utn{^5Ld8o}bHQNnB zcCoMWM>j_D-T8d?cStV|m*@xdZ!)GVX+b6vVOhUr!imb z1>Aa{+X5vBc}_*!J*Q%8K8N*1I%+k!sx9`pq&pDJ2k=f+EiV+0-lG4imvMl zFh0hTCT8Nm*4y(NplwbvCZq6n42@Ze4LmP{EgL}w0;*!hiGlbPeC6!da6-6=MMqVt z#kE(RQ!xHx3T9De^LwOBCMlusLx%?|#NRbWl?xTiK=8P@rmxXhKt@89Tby1YpA0P zku+I9cg(3neZc&=3ASj6++y(7!1&VRM2ZG$Z7EgnTgun>|Tb|g*G4W zX;)v!r!w%NsCh3*Ur9cozNbzV zknNrg#3dW3Ogz6*k_a}d(LoHm)e55=cYvT33nuL?vika|4n8&8KTgJ90YlEZPcHqO z8)ov}6T}ik!~hLN@1sovZV_+o1gAJaKF1eX=#4R>qx2J7ToDNRk)HlmHnVV%O^Y;LGTQ@D&I>Txo z4pV(ja>3l@b!$53S>drYIHW1tolG=k7*9Mp`wF4bgg=cz;)u*q!}xfl;r*IZ{2;-u z=Z9Ubt!gzFgd|x>{+_+lS>Res$S8d{uTO@$@K-I9&QT7!!n;uA$gTgW_1$v*VG+eS zI8MfDUh~Z~^s?6}XxBSu)!w|}Y&ywHla%V3lWdV;<3aF4}S{8Y#dSGBZhB$&qZ)^WkZZvK-eoxRiHA6() zU!DkTKKd%T5w!5{3Dfw~^w*SE@D>9@vE0Wc3~pfED6!8fw<~jjayF_~DQ|8LJTYQ< zC#29I2HoD_P&LC7oa~=TBWOvF9(`m%iY)AbEm!e&OFd@0Mv9)g#DIuw!5>&gSMPuf zBNS?8Wof#XV7lmjK*enC)~l-mVj-HN!BmDv0VGsF<$mFt0s#(@2X&V}!5s;dt|=K# zyLZX1xlhtX37tvbiG!(4g}C5Ik8Pd6xc}_ffY_epD5jx`XD7kiYlstDC<|&!vlmg z`{4t=b>e#;HhEn0or#%b47>AJXjMt)V9HxQ(x#?uRJW~7+!#c2MdtaOU}=>M@FNjI z4$dGWT=(QM-LdiFNt~M5t!& zLyb08tc=7Aj69r4TSq6a$i7?I5$D#Y#bR{1zopfo`$9MM5s95ng5mHk>qZV5B&&lX z(63w)%h_i{&W?-i!%wP8phE~_fH-CLEJ;o$1hjWfe<9}H6Z6;((@i%#zF%l2Z@rFw zx7o39voWbDi$;(6vVQnXytd#3sm>)ITw z6NMpefBG;e{$y9&Z-K`s^^?|Nlib&Cuh#GOxdLZcE%};npHIa>=}cC28H5>JaN3a& z>wX}-+8wb!%0|}7a4e4OnCl1V41wv^=A-)Iha2wTZw&YWiOfG|z(36Q_U^OxPM|W> zmCyLwoS&2zKe(D00K1l~R~h4b1Y`Vn`?#iO3+iaPwF+awVz-~i+)YR2q!YRn?B{lS zF%Z#*v~pnVH#7NmQPwF&ZmFFt>#$Z*v}x{< z0v-~3A>t=2MLXw|w5j=XzsV0`IO#3TdR&3f<2mI36Fe@^7E&gac(dMCv|8xO96jq{ z0+|7T`sCBCY{j?0$*h1eA>-|ZIJL$u-CC$t32bn?a7^rL^2(00?K){f+RDOh+G*1xyAu%8ANqtV2 zkY;KXm-JPk5)Gwa{3qM)V5tJr2N-njGi5oBjn*|8)DiVSxT@SFQY{ajd652KEy(S) zMw{T!>%(dl&CJ!hZa5;%=T6ql?yLNYKP;F_HiTpXT}yg6^j#lNjR+~(*~&N)Ay^uC zlBEb(5)0kx!U!u(=8m(9v}1md^y(!j?QhFv{mP2Ra{~mtIZF)1epM8a!;~x0ri2^S9L3(- zD#F{tH06d9>!fRm0ULHh;|oIC-prJPoX*?e%9wX*{i7Qkv#5YhU=M83<`(XE zN4Zz7A|T}80|Vg622$(EP9u*CCe-= zKPiY?X^@5jWa@ffc083-)6YKN6v$4Tq&X4?lK}@!EvN%1JRm5Fcn^TY=cm~cYmI>` z^7K`bA)wej>2ZJ_p=u~Qj@w>NP|y=`SUs&QW&jIxDnO+$g1R?b zyerhF=rK@=pjFQBEAHpWML~#9N^$;b0BJ<{>V-1O+0Fwcse8XY~bDj z7gyhQ+JlJ;rQ3;hU^k49Zr?ewnl1EtJC58#S(^h3oh&NqqCMM~umDVN{8=Vk0P4zZ zhC`(LV3$d^samsMT1$e`LnjlY_3QykKy_Gj^H9>dMH_1ZB4v5Q0;%l%7PzpZyVM7} z%{TU^fO&68@8KjcLhAw=V|`NuKc1J059}t3T}(c)4`d*jM11DMQ(EnYNqV3HlpJkP zrfb)L>(#1<%E;3VicAIJj^oMV%vIZ`^+3%dQpo<{Nw}Q|=!gk@(?VpBMJzU{MgWtiLte={nH8Fi0ATLm_KQ0`1=DXu;ymJ$XQ_73nl7h8fG*F108l`$ zzqn1;0zprOi)W^jcgUgx;SgJ_ZNekL4jhocL zpZ`?E8FzR>2{hE~Qzv_`bVD=!}LNZQ<&X{d&v4NmJ*eZ?DHYTq;7VJOwoo!5FDdj)#l+HjISF z5T5TRXtuK*DNs$8@5J3Y%})K4M+8r1Es!9}QFd_gGL?5vAoCIn-(bHoy%tYY&rH12 zALCoRf=z59z?ysIo=OOl^VE?c>-^LsEW=Ix^B`9?5zS}^p9#+s$3$J33x;s|W%lks zr~`2&7$6f+98?$=tdIoM0TE-oMaT>mFcy)UV;LNVmjt#r*$$2laG7)oWH2#@hHTTm!B2Aq1Smuyz4 zHOfQI$Sv=Xw$JP0b+SfcCY}cW^XMhCL5O%x$Mc8||9T9SqNJlx2-gH|he&Ci+|uxY z0w^tHSzYY7cYlQQfPeM{i~%=HV5kP&Er%z??HA%2sd2;xrQJTnlDW}oxV{RWuq_HX zHKmgubVn5S9mKWdg2gs3+cFS<;qL%co_5RyFYDKpXQ%7tQ;LXRzY#LK(*)fJJgs5AnWU3nj6;=Mv@%+4DGem3)7XSfpzkypN-u< zAmc<61qxU>sDlLyG}V+54>yd zC;k;5kFYyAWYX)Nda@4#&|wCu`Um}o6QEC>M~ zD$cX(R#62IiHX$HVE!=A(U%`{*ufg#0ff?aA?(xh^T0K=I)ydu*Qs&Ka-`Ucwp%D{ ztkNcmjpi~$9d+bhg2P&1bLNR%=EmR%K$=?o8b!Vs-9fXqLHjww4*uw5ye;aQ6=Z@k zZQ7}58y!RgWYav&T<`*K!zXN0nbS}3Of35C$@_b0GzjWHug9!`<-LIuor=uvq`+;= z!c#VcdaTQ~=0wtO1kgYn8z48X8+B~6YA``k{kvMWc+IsU#HDkxuG_zMsx-eFBN&TlA7%Mig9!n}PVuIa2OWya^AWl5h?l zR9B96`^pjr@t5%7P>u6YT1>7#4V|In3J)-O(B9>IpD-^SZwhtL;nT`#R@<=OFyZ;* zbnNkM75Ym3PKY5?I_23%NJkz-WR`%JtvXs>dXtyA+cWUZEnYHhJq`-)+!jYH{xRU1 z>9&!6nqBus-iIgThOX?+2!u6(cHB__AgGn*=xnOOO-m7b zsq=+S1wDz1r{WRs3B0{_EzC7^eRk-UBN0Lb4QCxJ~l02O%4r_&kV zFCR`Y9g!h;r2{1EQg*#oON`aV8c)(m#uF6xFa&96f1x6n)b=PVTM*VEy}!GIed7Kr z&^w=^)7gsqE;--e!~oJ%45Iml*|Ls-D3*<@=jB<1!m%XcS_RS59XSXJfhEiWuBGFx zGTJqb>QHF zLn4(%hxUqPw21&X$#W7^C{rv4ytQ?pW`j2s=;BDeXS{~`UKs7!*e)WJ$Q^ylSL1O4 ztz{6#Z`I6cbTcCWRL$U-WdeL6aaNxuT`&)6b;icJ3R_(rK7Lk zRr1DY!TIV3e+=Mf^t*uMBM3cRczdGH(}hpZ^b@+zXtBFAwc(4hO0-Taln$Cxk0k)v z=0iY>z*|SSQxN&0kMGmHN$3lVp&rB`KR}WOZMFfMS9I|3YceR$eKIDOl6#Ktv1%JJ zef^|+1Z2Fj!0T~G5YQp^-q9t&fuF!%KRWYX*D5Re0oNlE{W!hXX52)J&LN{rjM2sk zr6k@Fh_q^mh7#cMQKTLN0)TQTQk5N3ABW&F6nDl?z3=={`d4HD(u+N*WtxDX>^Vp9 zJ>K>fe51)m`7I$W2-K>mJ`oY66i zs?8a)A$&vbFdYkI9q^bkp2@cID7DtTB5j#zm7{+8Y8!=3etNi` zVd}<6mfpjQaLS$Y&xr%|sO!L0S=h++YfNR%smZ_Z%m!VHZhz)`xbkfOD*ruTrSG(Q zAfokUcU*Tu!LK)Z{WgdA{K`%G&+^Q_kJ?^*>R+TU{p#62bBB*#$8%m@GrZ1l7L{KR zsk?cwKlfu4~?~VTbJ= zGMl9K%m>>c2fe_I^;vhB41GwgPpgvq2)!_2i*>EIpotAxnjpp9&lEK;j& zEtMBI|BjCI>dGk@fM@ll)8b+roItn+0p$nUktBhoK5{jm4G zIqi_Ke^rqYuUx>(!JP2OdVOix?HT@3!*gIV@0b4Eo+u#DEr(Rk|L@;dYWkaF{lCQ= zNc1WOfl&0fI17#cX$7C(TmQZs1pW`l`v291|9Gzduf~5?!RN0U|8o_5{_MuzkJLBg zJZ-}B`u{36eVsOGJDwiEhe_Z${Qu#LiQ>pyX z_@9)@pWOJnRP=BRn{*yevHV$Kqra`mMgCT+hiDi=uyt1^)|8{9d4kJ~e=*e;a-J zugai(rS<>hSp9A1$ZzLp6uLV1?d7k(e(BV=)E0D(1$`;j_sZ`t)VJfr-xPL%zYqBr z9Vg@eKWf>xY8y>nN`@f66~ID~KQ|}HpP7?i@ALQe1o>C?1o>C?mpwtkHRf}`iq66E)% zucnjO)%q>hgJ8e3pZ=wk_^+}3hpIjJ-;8bgH)H#)`15Q0p|fYyA7lIHpa1>XBG^{} zF$DQljtKtCX-kN2bt39d3*-FO`uAh|eSyCl+dnS+$D#e2tAAFI=8v}j@wXTJI|XU} zl{X&(`~0teQWyuN{&_$CX#39<#`%-`e(wh-D(s(C=lK^^g8pdxPgdvo$NPTo zg!t4o!}dEAB3^L{B>!b_BHFAlSTPV z$;E0vDaT;3Pt8rL3~}MQ*c1Cw#L}so+;~1Zsi?hS@q;d$+3Uaws_E^QHr)+=;#ha0 z;G1M}qV_2CX}2`t2DY!5Scpik3Q)`L^FawNQ=sYXNF(Teq2YqGb3?aUcMo zRb9{9gGl7s|Hv#Og)5p1bCKU4=#8&muVTB)&i$dVY;Utya`p5w|DowW_8HGV67M>s z4*1ZZxIQh?R@IgLR0kXw5i~M@nG^=Zd>U3ub)$U zi2JSJlXv&*3-+ev^DWs#Y}4J>u@Udqc_p8R2tVDaAaE!rS&H!{4B_qFXN zAH<1N7Q6tuciBmI`L>Ycr>M0qXMDYs#8O79>E6#I6 zR_NYUGQ-q?q1nAd__3smAB2Ayo1K-!3o=b3@6THZ;4nKT7XzNx_Co2P+xoiF;EUJ$ z`Q$3>Wpwwn(|v|e74A(KdB>!p9^D~H<~rhRJ%5d?#}a5P6uvCqF$Lr!7FR$xe`z%v z-q7L(eOlhiExuxj8&vRAXe#&5SiT03^gCj?S|g8D|C-pa4etF@~K<> z>ynRt_U?`G_Q<4U?(uJ)e;bp(lrQMmAq_OMFxwOl`@sG4E?${<55miJ=QDq0fO+pz zl3<=R6^pR-rsju5-SM<~N9D)oUi?flH(C^*cPwV)^FHfyr9v@&$U>(~S20HWJNGu3 zw_{E!nX*(^-g*Ihap%PJIKbP14oY>kv|-&tiG;tuI~lmbj3m`q(qY4DFrg8S?SVf` z{Mc~^^e#V~CW$Byt8A$9Z+lLJ00Aofz$YYP_e8TK=n6tOj!(BQpnkarwRp5fI>n#nb#E&u7tiB0W&W5U2_BV;gtK#{q9w4e!EVoB&LAWY+^=t_?aQ$W#7A3+9^_#K{cP%k$q zRD~WwqM$CP@?IT9wN?w~)p@cJBcu}NVER_H|5DiRIPgZc1a~MWq z96pn_3VO@5eMFS#4tO6}wY-^3k?xVmS5|b<4f5pbLO$0juPe9rOY0bR97%v)Lu4XT zFqo3oN7Tk@M!?TH8ZG z+Oen)wH(0&SXJR@&b z?pkgb=y9KECeIKdNrqP9 zGaaRYuTr4u7F%M>I>Y97(d%0O>~DsjfFJ+{-Cp|v1!PhUaKaZ}pnjlFRFDvW4w?`mr@kX3$KA)m3`IH7mcHq9f2C0mYxswsx zbZQq3rnd*5#;hq7>5>gF4cOft7@ilKa}f3R{h3#xJ??FTJ#ztH?*$BrjP}^NBwO2Q zqCmE4_4Zh8;SPD%8XvYO_GWe}AKuE2Zo2Bc(u;cgGzQOx;Y9U)jEm=u&dAGIbHA@9 z0)^n|wv1=nRlv1!L}a%#kqxPj9ua%bnr>M)%#X97N$#aA-G*DxK-kv5Sgs)FB+C%k zcY%x%-rcsb+ZDWu)E%>v{H7ax@IEN)7;BV-i5QGil_!&GH>6FN5_Yz%8%cs-SyTaU zv;*6leEBf0*y&1hI0b~#5|^NrVv4&E%>4s=_V2Q+EC+pzLG)u?5Hit^r#BF_A={@( zkhNggTH>;P9tmiLm7L(i0^t|Hf~z11yAnLP8a#bn&oc1&qDry(lbH3O-a~t!@{P&g zU$e5)m-;D3eQI}^-!TX>?gQvku|~&|{Gy4E@2fy}JZ=joS&rk>zLyRI`T(>LdUg20rhOxVmJ;VqR@IzldP@6$@Io}B4Oavz*KEfrF6 z+R>{w?-v-q>)NdGv|aM8Q?$41;Ym5MVwPZ`G4-nVVIKg>(Wm~fzno5fyg$n4I?b|@ z-T^t>#-|FVAF_DR>&+w&M0~s|=^-qT2YRdsrJAovAPCOc2lfa6oM0$_Na;{sA}&m) z7Z{v!<;`*6)N2DIsihZdnYAZBt&i-8Z_5$P-sev5R)|{n?uBu|O^SOy$+Y@`0wY5P z<@0nUL^{8$5Aq6Mr5J|(TdAqVRh;Llz4BgsQl7up?SW&kC%ed8`a3i|F0|z@%Bklo zS8Hs~*b~w*1N9Cnh6WEjCPAaQ1;p+2S0(LFq^{$tD*5vXRlsi2%EiCJ(@@2UudT6; zXDdM_pl9rpGV=Hy+Iyr{@Y8vaG{dSrql`GTEz34-1`8(1aG&i=*e@iiY3fK0^lc(x zoFamd&!3IO=W`T0;CkBV6hgK)e&hGt9J$lV5>hIdu@yhqcS&i)m3%a;VA4CqY8KCz zSQR|Fj6D-11>QfThVUWv0K|cKfBkmdhmO@lIH&ABt~qVIo9#7H5LL?iH0s9|t1CGW z?n=G4;!(X{h~$KLx`qP|p{M-RR))aAsQl2hNf(_dAW|v%%KYU=Caz%`2%{WTDlwDp zVx*C1u1%kKB+N>B7Wqn2*pBs3X)jH3kulZ9X4cm;u$v=^v@F255c*i z=utV{yE2F;5u>Q+NpIAn06{Ra*_K|=_Ov+8upRZH9_%(w6O!rJ?VQ=i%O!xad&&Cg zy!7^4m-L0(xW-r2>C=%p^7_EH2~mmhG?OYxwy(WJ50!X`Z9jAggYNJq$b9S1pSB3t zPparIWf>O|dKsTv@1BO9lf>;#xsA1=BZQU2PhGrE?qPV!+}}_kJRj>0z29t0LA|^$ zr(A$^QJ>Gpiypjsjuu20%JTH*FQ6lq zb=+PGO42~4q3JSa4$9<&YZQ00hO#T)0{NJ=7;tytp+ed;Dmyw8=_EhF)RrNsR?JD4ctX64sKiSB&da{})N17X_}A~EV#d0R$Tvi?@5A0PX1qR7 zveyNNVxRa+<(G%RLY4oy)bE3?QogUj7kB7ga2rF|?v_K~ z!7j=~w$uilFwpFWbCUe<@c1+W>WS-Ny)E|>qPD>*GzS*N#_A(zhvg+-8994PI#|vP zNL2PMpBZ%A@EA}od{czA)ziHf6UjZaa@@sD-jF{h2|ms4aZY0H;bYkenNPSVo!?HJ zPMM1MZOU@=bPxq3(YEqu`;LB1BR58&6QB^J4@p$nI$>0^{3(?E%?MBRL zNIO)SuS5xkny75u{4`2^V6w?ZeA|OU0PC=f51JrjAlA~l9M+7%Gv*|dCj%mrT!3Z1 z=o;mEDMS&7(alr5ds2@EXX)tX0?l%oIxov~P4zRUIi%e1xQznW4TqHnI)fQZ&~YLd z@Ri{pjLxHKLafM9*ufZw**@4?P(CV@)W|%BM~~4BjwGW8I0MF*;;n1SjSFY=U3lwH z*=agU_bi(cD!Gm3%sk!E=&I;(7t?Ni`t_=u{F|YNve;j)EdaXd))1s3++)k226|k% zD0#r0^ymaE`wGVZn6B0gfI_L}{cArZVbv)Z4#24|cCA@+IMqSn^L&TCPCHxy{B`W@ zWS}tfF|u+r4jdxsdH-;+%mC)i!$M{={7m!_ z3ct{4lFd3;+YL9XPIij(uhS-n_s57T`St>-@20jvKueTw+ZS@q#@`upv#F+Ql7`Ck*)e$ z24M2N^SC{p*1?qQm8I$hi5MXs9wEui^CRx?V?ZM5>FRtTu|L&F!nCOEutm@36o$%G zZ{6#xdh|pic~QFH-OVx0G=HfMRfPcnSh^8UVa7b?E1bM8V6yxF7is_1^(eBXi^6|p z-P7aX-5%q+KnN${goit<@WQ)a|Dnv}t?Jd)efHibSJhZq5`rLNn&+8w#*8SBK7;;R z@3b$y6WYnr{5?2?I2QJU^`9{I8r&O(V|q@$SKeLcR%ML>FYkHA8kcH*9)xr#TqbH+ zJfrb)^j5ijko%1~L4f<>iILiiL0QLlUbDlXOC@2X_}t;Z;PjMtp>&`DhAdmA33&3Uf<9T+gg~+u9b9>8$IoDv&;BgWkMK$h~R=renIZpp^*G5NBEk# zEdX&SW#oY1026qQeG!$d+lGhT*s&MVZ#=ZYdjxeWL zRr&k$XVl{Cz(eKk59lOC9NTQ^c+06&=KX;$H;f2U8@{||B<$mS*DI)ZKwqH~eZn=?ygagBfE=6YfEGwXl*T_)AV6Iy5;>;*d1-zKIqO zj=WYjCPAOvbEDjo$f;?6qcK%HX)&F4nVM~ArM@rhclYa>>rU87-e1p*iL!Wf^cPm4 zUC-Aq!UH;}kFM>+(dK|p2o_DBwX(*kA6XD) zTFZb4MQG(P4g;_kd21U-Xsdz=Q+}Q_1%GLHs)0AI22^9EL){V;2hfco2~M_Kd`wnR z6%jpsoOd7A{xtA#9k{1#zgnW2xhItI*Mhh3tc&-yxUa;Gc*iQKm#!JS_uR5LThbeM zM@r*!a>lHKfD?06be@3NlVuj&eBGZHq8OfUo%^(Es|2fUwcIEEe^!fPN1Z1BxSa>6pEK!fr(8C-Db9>FVI_KoQwx1{~sq!uJ z`+G^M&5@T=>cS^cck8!l@s~0HU^L~Wy*;>{yX(Daj^>OR5~+x~y{UDq6XC5CPhPGH zVIDZAO(O}5csFd*J{XaW!4IMdIF?*tx`@JW5lP^MTi}%|vS}G}rUgBwchhTc|->g~Q zdG3Txd!U2@Jkic_wK2H2k3Alw&zjvx0`O`)Bi}Y@o}lFU5VQw_Qt2v6iFE!&9PRG* z@_FEOoILb42PE~=kN-(@02+F}@+ck^&mgG==)3iK8Hm_WQf)0jATTZG@DB7)1r(A{ zb~X?N{03b>8ot8L!kj;GEo%#m!W=m9LIkVbVZ*5O2*wpc9r$5NZ#kmmf%(!jBcpjB zVI!dP5A{V{%5YmS%9!i;DDc@vvsJ`#7)AE0fC`3>`%Xg>IPi5d0Xx?kJ zjuV7;&({S7CRGQ(mf%hnwZS(eKQ$*a=II4~X4_YQRL?%7;xfYgbhptH#WF`E5OZ$a zb2*Vt45!2m^h*0(BA36`8K&z8z9cxdw@eSqbE7hEr?#i zr%C+)T7Z7iDJwA&pPo2j<61{G?din9HKX-~G248yFNy%i(ZC}3n`*{X7q?`31<-o( z-%D=z+m{C0u#^>RNq8tl~%R%x2BK-hc%gt}{h1NMb=PdfXoO<729k3l~4#bDKkL z%R%xxTZ9^kdQPIa={@l*-k(E7vGM`)S^N+sW25PZ6r6;V9G@b+rW#f2+IHa^j#Ea% zc2aL5Pp1viYlP!r)AbE&dvd)?j$j+F?!s_+Eb^V#8jIsVFw=E?i_vpEuhcOZvNQ*>zN)^_ z*y!$=+-2BX2i_~Dv^syps5bQdmudCyLMH+6q=NFYrLNNi6|P)S0o#b#BXtrm8QaeX z_c}wIitY|>t8Nze8!NOhorNctiBBpN^65!e`6mMx&y$nV;7{C7y}h0L$1hqCsnY26^birP5rzr3$KYo38@u^>zgijt?m{jZ-0b18qL5$JhL8si_@xAx1lHG4k^KOSM1qgn=WNTiUg=cUkSN%zk zR_oz0LXBAvbz-59gPGi$mRGSLoZDN!a=x;|gy^WLP;(bI&iR!BO_JwZNmjl~Ir_Aj z>NzD`Bb_krM$XkcvhM21*}b>UpFjF@o2|Ir-!WIR>hkF_by&$rIHWT#8RHSQChAa}cCp)23k;_d~HLWS13vGSYHz6AEgD$1?m zJg0+h?@B4}LU7~x&|$pCL%MphLe0zI-TIR#VriaM@C`w36~sbf3k6fD8s7=_Emst- zvi&4_O6C0=$@YK+ao$IM{5hkvM%Vr!d{&*cat}SPA*2t&y!PrzsLYnErpkZb_}wB z_+{3NpKrJ4ug@-f^ABJBpL}*1(f<#(_v7|4dL@csY$ zFSXhKsq7a1*0>?xQbpujV~QZ~Pw6f3_rj#Vm)`!ZG!6ci%^?^}Ue&GNvR33l|N z`VS+(zpwCX1SIkE3gmxRjQ#gwMdB+)Fm_1 z1N}eL=h6R{^?CpByFapk{;z*AN%F6*Xvcr5?!VOH*CHT4Mc?%AS@`FY%|BoN`!sx4 z^1l>))Bl57oL~O;YVp^X|A%Vv4?p}f71;m!*O*TKde@&QtpC*wpDrDy;@^wE|1KOb z{*`Iu$6Q>}LI3l-{IhuA*nc$_|C4;c|C50H?aTk&eGh-;&?x(}Mj^#kJV~ZFTKG z{qWDUz^AxOC!PD3_q*PAEvEcm;lJASGOYi~iaPy|e>Hm>w#ol>#5gN0#V!}ZUS`1|XBpK=QNuafxJmH!}#82LX);$L6> zA8P17{P53|6aVX9$uGxs?N9oL@@D#f5Ci)EQVRazcYj87EpD9uZhRIiTo_l*lpD}n_?7q=EZVpnF7>O9CG>9$>h|&kC zgE2VD%Dif%uE^y~?x0NTJWU5}b_M&6j_A^Zh?_{euK+|OuVH}tZE%H@JQ_YvdN`Uu z5`rK~-0ezae*IyYTcSQA7X4|rhwu~kvJ4Zs<<}*5`0c+xZv!HqtC1~Fv__M*@y2j6 zvXPN~?boA#hwl6Nm3R?up&*?+hcA3hFsfi%a-V{mUzajZHrKTRVwBf#XQn%M=Zfm% z)Psd0vnIQ3?_SWnD2^bD^n&nPnB9U&s|VyWKb$mc*nmgLr z2s*2OxEPQ{H5%v<5p=DrgEbbx^Mb7} z+A_(+ddT~o-j`>#kjP7G2Yo&1_0wK}r-+d;lEiiPa394{sG(oK;%t#8uosvcnC#c_ zbEvxVlN7~3LZcIk`)${xqi1A<1EMURnR0DlG|<#!R6{8JEG#$8lojV{bR%Ohz3A?t zn>HWyCntZx58Y^Fr=k}FMtKu zGZ0Ld6my4=_a3_r!hY(?)q4`}z~)czYvxf)w`gI$r8^37V1lsx75h<5{gefLhk)^b zwrr9!BwKI{oLR74Q^muLta}2u|A;ii^HB7y3TmkzS$kk~UH{C@=HE|grQvu_O7qbU z)_}ZGNYqK%pYgKoKR9iBQ*W9vOb~#LCMz|1URK132#5rB6>I4kJsmwB)h9vLrX=h^ z3ql1-_sCNdl(sZb3P>|JtBtrz!g>16R}T}(+~dEDz*V238d)Oy>(M-@%;iVYc^XRn zd-^yN9OMA8;2P9fFex+8yB{Hy=jKiM#q#Ti%d#LjPXUXs| z`<%D&=o+j6RJMZ(?{~8{I|n7gkw0ZyR&wBqMA6VGCe-lU%lOnO!gEsXw89RlBFfSj zi?-B8h;X>W63SwPJ4MHf0f4b4*E2PN<+b3uuX#@ZOI<})K6nsDQ48UVxJ{mwIdQbV zP})9hx}2h_-#UVhit+VpQgqu};qn>{5e!KH9Ifwil2irUNZxrAKBYE2pl?~6Zxdux16xoaMYWG3l4MYq zccsp1D2+;!kugLxmU00}7`SSabpm-uU>U}NXUL2$b&1&(}Bo3lJ}ZyK#>dGiz8072=9qg22dCz zYZ349c=6znO52})_3;ypA3%9~q=d7exC5S#a1-y%<*Qjm;y7<=eZaK0up0=Z1OKM= z49b#Idr)>?h)euZpe;Z*tNFlP$OTVzYY<^Ob|RPnvs#;l2YlDZ7~WuzLXQQ^*yq4KJFBSJ`?aO^?8CToDp1}L@VS)8VnbbNZVCFWN% zn9ma!r7JGBv0LdDx+AQiCB|eDu%qzXm1{SEl3p9T-q}OREjcKDeST+5q9-bS4sy|i zt_-cezluT=EslkhKYly?$Qn<@V!#vr&AkDI+c{9d9}K6+m3GtWm6)HD*z)!S_ZH(Z(X zh3<`bj)KuXJ{sN5N3d6_qv*cZHuW1RcsIm;EpQ`deIN*R2Yj19jt~JA-9Ef-vkYxp ztRJ9_p!W>Hvqyg2Mh#5gZ6W0i5-u_qLg2n+1@Pz&v_3qJPVqi}35K4PRFP+BP8TaMPm`@Rj_S~=4#9sIR*Khi0kFUpjawI|zc z9Hmn)Gdr0t&_>d0Hi~h?hhH8+&b_gqpP}*A!J3Xc4Uc#ON_x{9Lr*gZ zE1_U5#C(}8LyO+9)$PbVCXddu>6~y5k7F)-j)?-9=nkcd2JM(WHYg2puZu46 z6J0-c^34c>WcOz@uBIsEpL6({#M{f>w(qJTJ#_CD}vV9uwAdw&~76h zH0{cv`)hF_%{kZQh0WwV!1uV1&R5BNmp}0}K#|G&fiKNUhY1X>bti+@&Ww9~`dD(O z7E&!eh9EzcmzAJ!5Me$_#+yys5vMy6qzL|gL&0!qbLg=r!$KR=Gsmyd#KR<&x86Zz z9Jik4tZcp-1MCV3lq%ysP# z@wq~b*$FA79_lUN6hMrWqQZ zqF@j6K5LGAF47ZF*tSr-G3Ob<$W-5m45oBVZVkbYybIsd4jfm&I)p(_SRU4N`NU2& z1TGI0Oe4E&Em4gAI1bI~bIoZBj%fS$< zWbw#x&5D3R;_a+Xq78nE3Fn}c*F>I;_V9y}*vo6a)~ACz!;NDAa+eNkFZKS2(YtW+ z2hfj*NA9j#NVa#++QgF&2nZN#w!2N8pPrEVTJU1WDW8H~G!?PVL?v(vJBz5rEnx+~ z9jd9tcIhP>C%I&dibTlJk5Av6Jb-?v$?WH-EM!oeUJiA5tO7Q+PxHgObZLt>$+xs) zDr8#@GYn`vQ$9Jh>#>i?un5wH-La62t3IWIFrJ#LU1>iBmDvqk%LVkQ6qHk3n*&p6 zRarArATCez_5n*3QddMRn`7Q=Q{n$4GwBB=eb0!-Sl)3oDhf^AaxKD50%Id#otlY( zyt^F+;z2sgL(DfN=S&XxG0b$?uZq{94JW;C=Qf(RtS>x(V5ujy-nbIS@o?wVoIs`m zyDe@u&FzV~z%`eAbPQg&nnK65zi2IAOCvn3x*`_=$ZzcnrTQffn0PF15~?}J^CG%_?($$IW?16<_@KJ7Rh%8CwMh+46 zw45wTu+(0SEv&h|?aW~83koEI>+Ib@uM6Rh85PnFNw;M*6cdX8BMk2;jS1m7jEwYw(E%V?e}87&Dz3a0hFNt@=KM z+NXGsxy;XHoF?*5qSwU$4(0^a(-gN2c+jjM86%3v)*@IvX^mW^Gnt>Z;^p>Z%i~LX zIK-PdfgEc{AL1%T_mVk8#%D=knVgk50nF!=BM}FPCFHeR=(C!Hllt>0$O#9;SG1V@ zT5Iin3zhp{QGpumKj`=1b^{3akyJ{Y!D$}e=Qunb*<}fX-5LY z9Jk4GkNFyn#f~H7<-xkt&YL_YW}-7t)0fAJPfZ?melpF}f)`u3R$?bw2@H)Nn=s~A z1sntXbBZ0FI&D`*XoU0eOr{u+Y2rfH8};D@411DRQ4Go=s>?C-)$nrW==B8WF|21J zMYYTMw%y=)j#$BC2&Pew&4Z(T@I`n;=TFgWUVvw~-Ul1yNvQ7>M2NJq;cLGpSx9!1 ztFWZrylfWn=XwmeE5p=`-=EQwO7I0Z9tv%;@Di;BJocUUIMhZn6^|7eWV#=CXW z$XT|b1d;RA#(@urw}bD30fgixMTn3b5smHfqvfaXBYCMA2=x6;oX~6Ey*Q00VsYP~ zMoFES0&J9Yx;~Kpg!6KV0!frlJ!#Oz;s8FPJtul$94DJ$o#Q&6ynxBQd4@CeN`yB+ zxIx*D62g0!6;5EjK42)CKHiqSvW=uPxGjAZ-ZH^K8>fs<`WjC^MSj0y!*-iu^0*Rd zJg^)HZdTIp#=z@qpn16`cBd!L$er77Fy0KPku;{2NQ6vZ+i8)dSHT+J_MxR$DxQYy zq$sgXZeT4V9?%7Hu`N$Poz$2GKD0>nRDMURvI?WouYR_S`lPgYu<02{^YSJIJD?aIw2`(0FX^6`n(ED7#tZpg#;u=c=X9R564>TC@ISYx}4%n z`tvvenPn~op&AHbO;q+NljZY1DOF^DE?{E0V;dW%@)n(?hCLo`V}a6o4Pe{xn(U+s z?G|rc^aK8O$amd|Y^15eJaE&dyN=4rc|#DL+z*|je%L0@4bCJD4Zv(F>k`Wf(uEJ?D+(;j;cPh$MC>9r=N z2L`DgtL9099OgJWY6UDm#pv~^dT?d>xhG`ma+1qvZ=V_Y?Dr31(6i95#Huha<7o{E z4@M_4&1{R#9Edsi(OWzE2qh!J7PV3zyj={wbQ*F>(LGzPK1Fpiwb_61$#e0 z*l&1n;+rS};;D2p?W(_B5PI}cWu|c8S&+0$htAXEd0^`?9du#RX5r#=%RLwd7>wp1 zuv&csEXdmgh`IVXzuiUsOcf&H^hKhR0vNRB@bCs+dy-5@*2Ef{p_}S>a5~)QqxsxE zh)1t!6ybyqQSjB1z*NMIJ;$IX49r{ST{1&FoK?W<%Q$t ze4^?R`3N!P!FLxNVQR5fN@S7AlhW4$)W!#B59?EAH&e!PxNPX|^&}z;(qCHXNLQMR zpG42rjg=(90-51SLeGDJFp(#1-9`B^H5887Ne?HmUjs*{MCBXlAfhGiHsm7LO1=2g z>!*X6s`p^+i>KHv5t{HP{_rEi{+!?zc__?M>J8e@JD`w<#d~P`b$7;es@!G$_EmY= z=2PI~%ASC>JQW~tK${FDgB$@6G(zi z9S)mT@V3)Y9Pid$bPH-V%0?ZktvwP{LGQuFZyLPOGXo`b%0*;vzWa-^-qh*kfdXnF z35T3~$kEZjQ}4}3%Fwtuj??zY+;Ohmh&kXPP1~J@AuPyCYjx;jy#jWx5+e-yh`&*h z8%oj1j0W?Xqy*h8+xN|{`z*`wB5 zet+~@Fj~&j)H8vW;kSqQFV|#)hYVDTaGL+fu3rSuAI>)W=1&INaVo!#rV1$iox15} zpEt&>yKgFJQm#~ib$;KsHNH##vm9AhY?5z|Z1dwbn`jxA0LSl(<%>X|@z`spE5vlv|Mm#gpx53B| z(4W(lLgT}Z`ZdNiFo@FfO(LC(zrv$hpUKU#Ps?bx1AqMg5ax4~h- zP8i{NKpP$8`EKR~iNC;JTGurk(qwj3ysCE8R`&t+!XA6UIq>lhsu<0%rJNMJ>s^&@ za)^@Pb39xXbx6epqGCY&8kI}Y+ArrjPeemAIs9B4*|XO2kb?)Yg#G+#BawB*05u_Y z1MLB+Nni#dN6=p~S~73m*Y-uYvaGqnq7iLTz!XAOnY+=!XRTvTrAQ5|`hKY4Hoc9| z1ucD>3VlK07u7!C+k?X$e);Jf)`>7j>iuhGiE2;d$^}W~U7Z}ug1ZHK)GoTZPG|ED z)U3?fX7&&dT-&-jNF$i#{{-x^K_Fc-hr>e8ogt3@LUKeTI&LPBQC^gWl=x8a;d}!d2%1x$g;COu!-+do%>3 z^n;}`D0Pv>F+-=zq}QB&Pzf*#vz#~4u8rwwuKT;)XW9}3EhpEfNvyO)miFF2LudrR zH!Ko!aY{uR0at~6s%JS;0TGe|_*_?h1~|?Dos>YGR`~Thbz_8<_jO9gvA97ce1qsjCR7mz?+_78G;oXHyZ0Uw_+}M>8|DduR{{9J zCX8IN`xW=g{rTj2CAB=CS%4--Ww~106=alwx3xqEyd_`g)HJ{=8Tc##cZ#-?5udn2 zMMP`5z<#RC%86?fn_>EvaEOL%JTJYInm218PheRq=|CABEjBp!=d;%wamT=8Yj-fA zC+(tTHj-+jQ>};!AP}}?lhJyu+w;csCbY7Cy6sLGKw~mxB2qrK!BTGn(%~B|Wq8g{ zYzq^N>5;Y!D#Q)Pac`?zfKkv|}s!Sj$zEIZNHQca{oDTxIQ#8|%D&k|$^d z6hZgYS*jf=#3=5Iuu5xv`X`LI(HVi$&^&0NH7rw$1Qq`xKN?u9Lq?5y9#I!bL}Y$< zXP~jiIHfiNyzLlH@l_<8Bk0Cu{Lly8;h*VHusReOcZuoKSygEpYHnZ%m)YWb^}v-= z&z+K{u*%Ba5hyYiuF($o$Os==P)6D`w5SuC?-AN2Be^XfG6~=fl;^2m!C37YAcq-+Kbb> z-CG|?MuO(ir^i{3g(~+Xs@~fqw2sdnG)*B-d8AH7XYDG9ZijfujTcH<>8FHy!b)B( zY1?PjGCsnt>7aW@gp>x}r+`8x3*QriNZvp!J13KwWt`H|&t?6-Il0P|T~oK~$B}4a zQf#b+enwfjcKq}-MQ#{0cPpKXPfaJsN63$8NO%DB%et>ZU>!56 zs8PE1gBq-9ZxJ_Er80Qoc&%Onx~A%g>rX0+89(R71)Z&0CMU%Qt3EO7m^|5YQy6gv zpY67TlM4heHzg0*I?z8RHYc(u?vG^4AD|Ro34*ycB1CzTok)w)r>Z;}FOM`n87D(f z?(`g3k2^joBytjzAk{y|Y)EyyT2RI6w163V*EY*39hm2|DvN{Y z5zNAFVG$H+dijvGy(&9w@;eL!#stTmD_~d7q+U-Y((nK+NA9XPe^Qb=hM>&V**@7JvhMJ=>dx zoQOJ+W$A4xjZ&?%(V(-yriT**aM_zIfE=@bE)WsD%DB;;78WKlRVi86<6B>e(rG(B zB-2zyPtrr_AqD}(WNT~5$0WcjB(IVWun0eYsJs7yPU>E?&Z4W9v(5#WFUB^Sr?l85 ztlzyH^<%!hhp_HFPIuK@pbc0jJ4w|&1DpQGF9ij!y6g!`YyWd|o4NhKCAWVJyL%jn zUp*gLsZ|=isqc&V_AX|V#?g|SNt)XI)n_&{*Qh2`%bn1bTT=>$Opb(y^FDcqvKrqO z-*W`&5ic^cU6Hnp8OPxkD2{RdhF6otbG%MB2V7Mb;z2zGRF!$g9gZzCK+tQ913rz}B9}=~StP&}UMye3^gx3AAnO~Xu z75nx03+(?j3a7hECRvFf+p1VwzY{<6{I$UsOBdE^C?M0RyLg%sm%yhsDW)z=FDX9w z^Lw_yNWf4#(#1cp#sRN;-l&b&Iu%jyp^qCqlbgaxK4bUxVF;^{$n6phVa+;y(Xvx$(vP2GF{y|xm5)!;%O z=Ju6L<@Yzg{$$FXpXFI0)iV{N`@KL`Cc9(U;KNuXOXTBH4v`z{|R ziIAD}sFlvmH(m%B^l#-h{eGd$>|e#OLVAbRVnk(r}C0G^+_yI}7>V8!cdm zN0!jjSLfv3@PsDqHTIT-hD%S=G*OCu*mSj|-=paLx2o0p@A4sTSSprEYmKOG!88bx zbkyK8EH_1z%*eq_-=>$}NCR0>U4-0%3qpoT`sB?yR2zu+cF(1Q>UcO_W3*^7HlF`M`1H#H z`8F$#wLsq=or|6Tb~3E?;+iKqwB|Njh7v!z-g=_XTz4Ks*s!DdJ>%&#J-?^;TRkSR z`l+n1Z&M|!`#@p3xIg&j^-;2v$5X4a*{CM9or)%e_;x;ex1asn%afX|#}f|6kGwr_ z6YBZgw>VTEkvo66yAWC6#!_l0^_$8rPf?jYiOMpRrm^sQB=hYv|C35yx_HfvauY+L z{B#u(sm7I~+TG+i1*Wae=l6`|Z2;s%(b(HXRY~x8hAr zcRzW(z7>?uzg~!Cf>FM&hq5dE`+*N>RAlP~OxBXbFh+B7D4ZYP%3)FX+rSwJ)4Y0e z$wX^^^>7X|m-`-J&HS?4x8i~VUk?C0ZqM#z^S8Jom+6hZPuYR1qy8A;@aq7t`*ym% zcVV0^E*&jl8zbL&-I#V=F9xH`*6U;Ic)T1G$d%4VDy#=YH*Ei=a78yDi~Bs4^0wL{ zB)paHNYI}bm64x#ara<{_|`#$AI!VNG^}hhhV?;Vq#^MduK>%Dxq2FFiS1sR0kLOgsU*bkDr1be!Ln{0!GQS&PGo0YViSU%) zu%A}AwGq1AK!{)zIJM;`iEyq>Y-1VcN`T90 zwa1~Q&f&jK=g-8_*PD8$-)7mz*D({^#=Jg{L*V_237MZ3JYVUAdHQE1w4MR5$1LV+ z1_!4gl{bF4`Rqe_I8XVchP@6r2XOxA#WKKq{Lg-BQ*QK2%x)u*XeCz|TGBpt#brmv zl1xK_Vof;wQL%Ufc&!@utL_^7WpggDf0~|zyV0whCSs@ zqQ(j!ax*mB=iILRad+!4UuOJv7^UG0;%NaL7q^jWyfN|z4wTpX`6vvIz)_Mm#TNvm z{qT4{htCtaPVbR{o58Qfb!(tigaju$D0M=WDMH=L2o!@%lbzfNa}Z zvjA_%xvCFKrWkLnc3L@X>SgBnd~S!IXdV0N%Ta5+o8$$*3BdlAiNlo|G=y}jeZ2c5 zuzx@s7y-+$g|MQ(oH(=D7mUPR&v}tvu9yXr^m|pmy+f_Y-9|$!Az-vXCY2c53GL zi?7d5-_jqgnqs)w7)|w#96}Xqn3W za%Y8cYw$eJiK3OqSfp1Wq8G(-(2w<{`?wcCaG~4rw-ijDH30J@HDIL))x%WP|xiIVg~2%wllTg z=DZGvzIY<|Zgu-{Fqv|!+>0o`2bA94{;wS+4^9XLv47#_F!TAhdpnfKCORGI3EnHk zgOs;AxY{xDm)y+OodnF_#E^_NM#6SJ*7!Eoub(ecyXUV1v=$Tx!fDVB8zMf78Y{{} zh@Q;v$6llP^>jf98ZK-m@EIDhSCjRD!;*Dko?l%oM^e}nr-a{%_)<<6*I?ZJhGB_@ zMpu(`Ko_h>h4$YKO2wD5D{YU;^>H!|_4ZzU?#8^h+sig?wtT|;hh-ymSwwX%2=3ak zS8zdIe~Cu(7oH=$lKa(o&68nVvApx8+F$29(7<9CR$iP;(ZAya_1zKh^HO{lBHgRA zS6>&aeafJod@^q7%|kLDNgYUpR(7Ur$C*KC;=McdDIOm$7U3{U`w2ULS&DzZ{f0?- zZ|8|a02q}ccoUNATmt(2ekWRR!=Pvb_oaO@A&|T{iUhh5WiANm$G#(2soIGf7Y|<; zFg*^Es9to|hpFmPlGuZL4}~gMsFvq^d;We@dsnOywbtk=#kB%sLIyK;^53%acY1NU zmA7zzgAS?jrLp2re{*07`ODW!^-nwdPYOlP32RGeGDxr_kqSNy`J5zA2B;AGSy6pN zoYa*}bn_(UJM`UPdHZ1hSsB7py`m6)_TWQ!I-AID+H%Z&CY*?K6y=lRV{}S%S!Lxg zFOi8^J_*1cw~s!YdquDm;eO&b_m-2d`+=y>Dm*+A zqcpBGFygX)tuz}0P<}#T2=}pjb`kq>yUJN!sr6n^$WZA@DK#+=s5fg?a94SS*?Y`; zdDDJHUe>Qie#N!?9~6-eRxN#rqpOM~5>h?z;P!audq3tlx^~MZ)E#?N@0N`w$W?Il zOaLJBrsv+B@1Yhv@_Gq&AH!0|uP`%wm=s#P)fm5f(EfUyA2uJ`U?T8}LPqlabZLrN zNDiM^E@Rub>b*46uOq1&rufB#|J+7e(bt;4p;C3; z!U^6*L%T2K?SwA{Gz#K9Z@muc9lbqBg@h~eRQKKMY5BB}q6h)kXjG{pu-6(WvssoW z0%t!|q!Rc^8bc1pyY%}ek3SyOlr&gj0t>oCc9rTKjHrT ziAa7{O=fmqmOUUWzmW>|xcy-|7rbtKlfB{Y;`we=oX%~rxLK$w+|EGuA#gZ)-6i^g}~$C%WNkPD^y)Q3(C(U~NU9 zFAKTnMvLdg)|8@kW#^3>^6@(MKbfN6Hfa2;0VbR;a|pDBv0T5b?P%N)YL8G4eu06! zH}!?mTN#QfjBX)A7j6s2{{kuSUmyiph`xR{;yN{I_TXh2CzRG6;w3I1`7T}M7%gUU zaPa;~zb<${oK-$T!aD)PwdZz_Lk=xaPU%gvk0 zaq7;Ww)dCG@$1KmbIx{b1ka98r>GPXy1}`kkR{*w?t1b*>qO;B>)s^CKy+hxkB{-U zZHZny;@gm{zBK3~vlvwE?m600yg9pZRoG45V6eN-k@7cYb$kD&AbB_5PRr!R)zfSr z`}pYM7Q&0s{+YbX)n?w3|M+{hjC=K%!BtGY3X_&r>}g5Rseo|m)l56jS5 z+d-4oi438=mwbFp7IGVhzjoG2WrYhSO|B8lrTg{`Kq=C1*A}{Ox0{|qaHnl+n6X*e z6LY0QH*KCvt}u{+!t~rzi(nz)F1%v(B?yM%BF-~(?sx-?C$fF$=zLpj`}h1Y z>lO3MGvNw#1R5JMl9p!`k^q%Dik2U4Wng#%K142(v>iTo=j46kN1Su1tdnPwUWVrT z(kZbiTVeGy*d(dl4}>8}re^_WrQbh=^0ekRq5pLc!T1SWHSbxe@_rXSVSR zTEnLAzsEyh?qDg}JzAy@fI`eGo{)xGEm>aXbWgi2sSbBedAkv`s`J2l0R<2B?#|6E4 zmLH<&dSo0AfeT97w0a6n^kg#^g?IILtnZfp zEIKi1it!dNcx{YIV(lO0bowi)7T>V4tv^O(gk>1qT1?n3CYc!@n_bgXyMR4L9~Vd9^5QM9qYHyJHU9IaZ!uW=lJn z(ns<(H1oefT_%#)-`edn?l#_007}?V7Pe0^_}a9iUDg1K^wD*2e*rCcg~(=6R6y$U z=xayEyVLIF& zxevvf^YnA$?%9oF)=`48*%@u1w;3YkOHcMF(d|9KA=< zsZ-4z`JE`)KBL9Y1Jw6aVOVZIBU3ACk=mdmnSM`*{5dH!lj^>BhD|rfWZ(4DZJEm^ zmcB%Fa0v2bu38J^?gPvQSU66xQq9gj;Zqao+V<_J`1>{_7Xmx$PVa7RhuxaJ#t8YY z$t*}_!|ISfKH8bR1H352%3`<6CtP19+KV8JlJo)Xy>Eu>g}b~;8m)^BIQX{nm%O#O~D;1PO>20K(}%V%~EmWoD%TX2@I$w z9NNT(A|+L1a*t=}HYe4Rx<%OTh!I|1^D|T}_l*II)%{maJbAuk`-sF`slQQ^Z%lyH z7dC%miPnmR!JZU}f|on9S61U(swwqC3k4sC2fh@;3|9k_|H6M?JN?#<6igRy)P+0q z{&vX+;%(Jz+4XJdLKI`fz8^H(sD=QYb5TmZLi6u$=qmgzJ1ZKjO##g=F_HCs&{$u{ zT#*UXd(*Avg^RaUVqS{Eefx9x@0S~Nrfy`EtS}7(veoU6r~Ju|otU`xQ5O(y5|cUd z6$Y}`M@6bem_Z-rcZveCt`;Z_AMx60&dpdoEiZS%LaliZY<9XSo_XDv_iA71(O-`^ zv5e!1IbTQQsA5GDYa0ogK~uFmO^epk0E`buLZf~k^ObpFAY%VYo`|1oZmt@E(^bmP z76b#_cmfgleBmBg8yeM~w%0XE(#nCJ4G_BWOs$vw^<<#T--4NMwf`YDY*y7zFB17_ zVO4qbIUp)ttH#6fVdeC5j!M3YY68w9E@OUW!|zu?`8Trv8wba0>D>??X(NdUI~m$5 zvb@)=McB#55vtkTl3VQii1>Z6Uw@_-cj?scB8SC#N4>HmoK%Y$p{Sp_3Z%PAd?rh; zey5S14D!Q{BfF>!MrJAJ3dzfsB8?Us94zbnspxoJqW4$24@>#*d-j9G7Jg-Db8T z_&-w&v~=c?V*h(2x3}_Fy5jc)NR0lUTj?+i_NVsdTXggH;t=MJ{p$1bmD1OrpWpEx zNBjGOzZ&-aD)spO(U_}J>QaL18{m(h`rSt7_ecMfv%8XY|9Le3W6qBG^K-rVuP^-f zVCIce`97Q+w|2zO$r+TF+(5W$-wK{~WIs7kccE2iIxxM}p}{Ex=`kz&vt_}1=Gl%Uz_o6whc zXi_c50~2u~0m6}M0{6#r42ISp_1&?L4hWo+Q+yrrMW7q$J8h3^+74w4=11K_6K7#W zX!Jo{?Otfk7|JbBY}$R_GH(9vx!GMs_DZWKx9wRFZ>#q^)AsQ@8}Q1b*BUfA;_tC| z;oz?K93qZKbp$8w6XNdVc_`w7QT(_`7xhpB#Hef`XvY3~084v6K|>>UpTM>2+U}gN z%6)$HDTq|tgFL~J+%NWKzDa2#-yTy>eIq0C2AE?M3CYb7-Ra9B zg!hOQ9f6l-$QH@>5bzS~t|`776p(4BJ4}y#at8l*FMlNv9?(gX>7 z>kpnhxxU2&8g5@E$2hQ{G)Pm0c7>Lt6MJI+4-aUQ8H5SZFt}V8ayY71e4CodMn#BGxAb-;- z>DI4`!rs(8bnicPevBY9$ND!tV{WH`ec#g3O^Sk!z&O?AYwtXoEYAs``h5dpRDQnF z0v{|;0Hc{ypr!_z35e!26jyTDmA7dR51YSvi;kk`hBwd^+27((bXI)!o|`Hs8D-Z& zYG)*c`K`(VlY6&GXny<|qVC2zX6IgWns<%m#9qDXL|3ka{W|yY>0`+>=77h_F#3Ad z1L96-`|Vjcqjlgc9*xnnhjgCs(>1OH$@WbwZG?Mqnw8X+p_#hVg>&2vG;H^UAQ$&E zC8{Df6}cRsrlH_TL(yZyQw_ZE4gK~Uq$}udxw{mZIx(oCG;GZHJH4zCHy6^Df{2lWAV!l@n;>)w z=twJ@qc6Irm3p9H=1muYrb$_$p9kebAOJd9@X3ymmev}8hOAEU$dhKiGePBWou(%B z3Hr>Wz614xZW4q4Ic#15A3zG42&phVF@4(~r74IygG$4PA=1$1J4=}G;jF0yo}p|P zZXpirAaziBcx;!~{KO9RlqQnO-cn4}@5Hh-9qlYyIWgQ20|Iw3b>hNIR$IM03Gj@tbyH zvbY&N|Bz1APE&^`!>VXO;@O)*IBNR-0S|9|F$kRBVUsuf1&{g4?~xmUf5K~}24v`1 zSR478^DbGqe|I34h0Fy6pgbe>VC(uci0^WcmXd`FDEZc{t(!5+Kh1S*52UjVd)dCq zq7#}}Q|UY7;+z6sag!eOD*((^N3L$Lqcjfa@^~AA7u-?vfYeuEu|ZZd`^Q3DVW8!BkEJjMNI3C_V*j?> z=X>1+HSdrKIoB`CG5dB{%#6>IY<#FjsT;M1%zc!$D+TC+2{j|nTlRPY)=YpTNI(xB zdFQS@B4S%cNe!HCkHF{Q{#E1f1<5;&;}ij!OJ@A+ori0DIY_D{DPNDKHny^&Icx>~~}%{laRpxPLXdZ4HCw*PGZtyfP-h$adJg=ANEspT z?dgGt8pN~gyFafMp@3~9w+=SjMqBcdVo;*U&2~JwOC0@KSNnUiXR7XOM=`%-%x{r_A25;dfIrn??Rb@aVyygI%6{J<0#@|< z2LZFCsJf>YAj!O6Fv*x#nb}u26Ek4X&L835)~y|YQzr6=!}&KfU>P^O&t@^$Xe|2_ z%!9Y~R8=Fo0=;VyXsuShu?Oon_dH+UFXvR%VvU_2;`6x^#fW*^np9r*=6zSvcw{s* zt0FG!H%8*=E)w0WhMmgzlmGR=MK}B>`AI6b5Z}vv{V>m&rT%=y`s&Fg{6}~BDP&8K z+aE&wWvmjo=tU?G|kH48-m%GQE_?1g{eFr@n)~?eF%v8IsBM`<2%m0=u44NLI)pgrDjw zN75*W#}|XexboeW74ZT0GH#Xl3GIj~at~020pYuzu5FLIx~iDNHwFyF847}7qBTfT z`m{zS4K2+El!$n2ka2IAPglep0!DL6WRp8a^_G@G;c}_wN0wn7q_$GBgUqgs8+ShY zIZNPovlJIDZ;|kf1ZA}cz@4lZ2q$}UAElP>X-KU8)p6!aIXK3+X^bL6;Cz}QZ_v@F zfBUMcDZY!!Fzyfq!7CJhIhVu~Y|Qv>wjgq#xhUZiT|YM&i-5yY9;BlH?%{p8UQ5R;0i;LwSP zilB!wA68xZndq>?g9eZHqBke}@>3$kYiPS}b2nLOf7!nWnS9)NSC!5h75*JzwkT{X zcVkI|Gff}bPbZVyA=+;w{+t}&O;GcaoAv~ik_nzRzx3>A2Exa20_0cXf(|$=0;FO+ zznrwhj1*#uLv^Nc4ovpvPQa`ft(V!-KTAUS9E3~L2~VBWN!kqioTIWolPpg)?Um|s zAH+QhHWBZCO9q@f+* zWuV(0GMzh@Y9`uZA5BfoHLbXKGki#^?Dfd=s5KSrdc%ZJRPVC!3Lo{>c7 zos`PGl^05no6~tUb1;E~LuIixX@_SvkMapX&}VX6J=|Cvve(xlLXJ8bo{J{@kWQ!I^;P8|Q`EdXNV>2zF5`Qb?@u%iz|P6y?v=?*yvi_ti69fg<2|h-Z}>TK4@u(E za0nxP9-~s&?s9peKoY#d&QlMNk!Gf@ z-q#Mm%lZoY8F3$`RF>jnjuKSc%nZtnx*Bw+@blR-D?ZCT)Ib}rxi+wLA7_IzP+fvs zC7)2dH=u>DJW5k^$8w+DD6`L#%&a{fmIzKw<RDXp*>gwL1sSh-iy5RlMl@GTc3w9<@+as}0nB z&~3EEh(Gm1Z8KbrT>gICBQ41iaG_3KmV2UR0MiE*Y3z#&{@ezm8-Q9+ZZ8%y1C~c2 zDkNwvfdineu8+>Uxc!y_r}`X#Jg~fq~cKB4empX3pn$sce zIL!|@gFSM6w)7*^nyr;p6iaj?rpcmbo+*zc`BgRu${Gb`RTH+2;>1v(eCj}_nLZXf zud~tf^(9CSVgw5pkU@H(43;sagt1rfG7CRH*)qZPEN~yOI_=XpJo%O!%p$7rpNa&B zhJFFSd!~k2K(-&%nBOxC@BDk13UzfQp+TUL5rFzL314UIVY=>d{oF<|sy*6Ky;C!xN5h@4!< zVWHcOSm~Id*HI2Fldcpt8;BB%h|7o1s& zEv=0FNFSj!Vi43x^n%pM!t8l8fss6X~S`!Sd><53Qj53Ia6;zK$K0YBW*+;75UNcGEowu zo=9kgG&)vZgoXB>zi&1n%m0;@BS z5f_p(C-$JhONw2`cV~0B^T+avqhiP-ZSxKg?ZqfCqkCZ^w=Fv za3q*sQT3IbM}y?_CDbsH_Z~8qc@SCjw49?WK(5;JFEoBa-cD$Atb->V7Xl9$#^L5s zHi)VMc3D5DJ<1w&SLI0(A&rCSQ_CQ$!lvSMOEoE?FZw1_x8IIuww)sAZ~2Q)eL*P1 z;75uTMi(1acXS#o$mGU-+Z3a+(9x}bM-Dh&GIXn}aBe>c0-mTN*tkj7@V*<=BoLSj zUi$~_r*26 zu)(BSFvkw0AF%q%7+iBQ4fiKC+;w+agsogYv_D*_WA~Sc6MN~BHs5w_Dl}j07HGLGEngP|32qZ>9 zaR_JVt#jNNOdbu{2B6-}i*djpw=_mLDga>#T?t19*we_R;VHa>oE!{Br0C20GX;P@ z1~uq^2t?IIgn~E6IH)A{W-oCB`4&}<*9uDjB~gw6z-9e-JmGb3pCM#0M*ax%CkRjz zhYyOd+}h z)w$^Ksd{m|E`{pR36M0ylAXGE)=+?_oSzXwdLatnPJ27(-Ioa1HS(o@3*w#~k%@C*2ChnQBpvQzxyD{{cR*o#`sU7zM z7J~c;ZuU|trJjNs6>j$aoYqpmeE0(2V#tD*j-Or})=ZoFtebd|0td?W&Gl!K9@ps* z+6b8f<#ZH@Le}wTY6O7Zo%jma>CS^TnE6r0$8#o7veSUUAo>MBA01Hd(>k0QX(Gxg z?a1Qp4?_mZ7%9^XEQF(rJoWu z^`d*q(I7@HLnmUm=U0T*#S7X5eKn?`6TKTC2f$vlaU5iwHb{n+Z%rtCbNuEsFOU6D z*1MqP4&pl-9d4O@VL!#r+=+(ievY$}cxXd2*r=)wLmW*Z=(|n{A}ajre3SUJ{Dij! zzVLhxjtDkM3U;4>2(|zMe1sv(bMeATU4c8m_)B{eY+#}l}*Qc3>ex(U5miSMj zyl(RyEItgkH#XimUXcj;1SG{uoA_zP15&KG7xel~^;hM=c>ZsL@fqqF`)lv~HzL4E zuj4o09MAtN-T~Lg-})N8JPhxid=GMw-UTDAdF?kU;eF48;Z@7+Lhn+|(ik%K)EyvW zAWzik#1o>YxU}VSRKfcv`}cstH{E`-y2uq!W^G?ThiW}+P*$D!Ep2|<=8L0~Rn#`E zZQyPZOa+}eTeRUwTc!kVV22jzohTrn-@nyP+OOSQ)OYI@$Gy4-(ZD?|(QR6!eSyOJ z$*Adh<@BdTw*B-HyqGlT@bSrld8++A-|NHbuLZy3W0uZ<3f+Z}D0iDXC(=22w?YaZ z_fgbkyzYRmukjo>nKSy*hhAAk)rgGFrP#Wl!0+k1NxBccSa8&Jcy)tDPclTr_CQUV zU|q_#w#*HMT%Y#>xI@Oln?XU0&f#8AKu1$*r$~oMm3AV*lON(yBL{BhI5>X`H$_=k z`aZS4LqD0kHhcZreTZs3^k9K2?5xAOwa!>}zrF2;?82jqxkMBrFqO$OD5lg>K6x7T z$~Kt@*YB#6X9D-JIZ<2s3ZQHI-f#{uoZ~(9>yrZF2sn`@KcLh!cDlrN-s2HvdhAPP_oD=Wh;A|J<`Phltu?RXzP3T=E3=k5s9^0$7g=p z3vjwm)HXXk1l+x!p5Sh*vuJ0+CTQ9@hxYN4sBp?j4sV2~?t$BBI?PX*kKV+Tsc~A8 z+wlNp_7kv_Zb4VljW0qt)>?xa-x(FS%cmt`OuNTgdOw}u$ED}Mz}M>LwAkI9AzXlK zXiAq9lzos_oSy}MlXSXI9SnhyNG>h~hWxSUB+|aMJB#B{X2P9HNz?s~qyZW1FZU^Y z>-r6b>%;g8^j4C$O~UDRK__@y6q=HYueCJLtLe}zszIg(Aw&V?Ol<0JJil3pHVBo8 zH5R&?z;zp9bkg|Ll?2hou>RTs=614w?whd(5Gf-Ow7`KUK=OXPQ*u#sDTYKzpDeGM zB8W>ht3ydTQIfTTOwghNdf9BAD(5~rO+#*5%)rpjQfbYb&pN0_OL)L99eUAHQW-+6)u-{%7l{X$cOW00d*{Sd-|I7E9Wbn zM9O(%CrR9sa}AepkFw1oXwHRm!_h{O#|98N`Z5Iog%phhM?I7v5}VRZI=ez0QKf*a zQ_u^QSt|HA3UGgJ&tq`X)O7&fa^VGjVtI2qtXRgu(*ye|*sDU2N?PVVt_CDs^vEAR zp0%ZWD29{!udfL&Ss@S?_86VmPh3I8-7?#AuNdD4twxwN%1o2cgxX%C+2UvIQupPc z?UCeAg?P!}D}mx>S)N~VI1Ii!j0&;jx86^7bqu@T-XUUEZk?}$WbSx^zAsP+w4Zst zu^arE%11u~tXiP-;M!1%O`Zv{%U)49y$C0rZCe1JJCGjn@TzI!+h`?EOQnaKA!LoU z`1-uiqWtOVG3M;z>*n6=T~PSA5~hKz#d@17TkKPdCXvTaK3p5Nb_~@vfLdNM(nErJ~!6YZQJ8VE)foi~Wil8LHyT|&0LaleIYmuDF7jKz{E??mK0hrQk@m6*){ z?!bT%Dp`~ep!6o)v|OYXcjC$|l9^C!e~&CztwZ&&6wNTdXf1*G9% z^Jh)pF)K-LR*?b*Zv3qd)#4VofW=}92G<}aU%>^KjPEJ^>9&2AHBi9cFbZN^azgZ& zu0eB81b-a3a08@49;_jn3EEa4dJ)a1iZ1WVGXQ?mDozN?GP8ad=5%#(K1TN^a7)DI z?S-!Lsp`@ZaIhFxyeW23A)6)X{lYx~)aRPw1liA}Th&jP&h$xE#g0VZ0i3wcN#^~X zccTWO;To8^Y{O&nNXKP_xvT|b{m6IdV!oj92uW*jFd(Xj5}2c5Y55W&wmqF>87Z2R zAPB8ExI=c==t%>IQth2Y@Sue#J?09LA^Qhxi8)3aKL1W59 zVy*%@hOYfAoIPS&w2)CR=9^&P-hguA69!S!F>x^P8VSbxbo;gu`8!CFfL+1^f}=n= z1V{q%cJmp+Ph7E%LhOfwE?E8r$f-5am)QZ<)(-zm>u>wGB^qgcH?@k zH0fsgxKEy|T~mChJsZvlL?#Y~g~A604S6(UH+?&&VLqWYm-g8vY$vHbxFhMREkaN` z=7JBf#;$$IjrDZ=AUkMgN6G2&!sd7iX?G86+LJsBBW_4i4ni0F%7~bUvOOPBe4sPc zZtaYfS5-%IY{16n{?R~(06TY&7TtAtslT0y*>ywqvNksKL~Z8Qq!_w9DL9{)N_?ZP}j=l(3ieQ|y($ ze@iK!Z{aH+P%N`qH+1kcL~apoiEhB=vhOie@e#uNjdB{{oZ(&u%PH7TRfnSE?%iA! zz6sNBdjeS~X5siYemc1XW96m7jTWaV-dm*Q_b*Pt?7l_D$ba)mRn(w(;qg$z}w;kI*0y$lR?5$LSpd z;S82kMoXrT{B!bmu_$Jje1FSOzqiis+Am&pjRqXpHzPxTALzf2@KJtbbyH;%?F#h% z+SNcA5gXqpT)cn@4dtx4xX|;(e0jqAd?NPmPh|H^N%()Rm;6bqN5b@2OF4b}cc<`+ zm!~GNB7V!4zoTlUFTsR^Z%7OSHsSZSboqfi8z@bkONu2=KTMu&g-P8*#2F)m z_!WPk8GFmk-?9=Qw>+&W*L}QmlcnAe`IIbJz5Eooot^>yeCcQ|2KZ;lN9M9?r=(lyj zAC%#I!>KWUifQ23jRI9~jL#Ebne?zVKZ=>9OAO zZ_xY{ub^_jyGJeun_t&~iG`sNPx9@Kb@o|NE2^!(+go+GLp zlKG|kKivA?N%bKb?`&{!ubzE_Jz>=%9~5ztyqk0tF>>GSbO@hoIgox8K$HKg0w^2j z>U{~INBLPPiPG;!b9AswTKW}fqX8O?QLRk6=ZTsZ_RFpR`>FMxw*IWk$LTKA=hCj+ zh5bG+N*0b}_SAItP6nsCi#y9R`PlvDQP}kw|M$@WBc(3v_q(&AmzK;p0F>-Yxt~Pw ztpGki!M`8bO~H>w%q4U5n@RL0GQnYKoeo&=^sd%sq5OEs6pM3(t&kh3iQ23IqGjlO2-_aV2=YTu2D(xNq?&ed1 zbs9!w4g8r|@dMqaqC%e7DT@3tGt7!e8h0=iah~L65Pt8j2%sKg z9Et0utI10jMHU&Q<}nAve0hez;g`F9G8$}&PJtl-8Te~@;4n=Yxg(yFkQa+6naU-jd)w* z`liyrVqMNRg){nGJB5P_|M#!Zxwgj7f zsOx9{sdgSsc+`PxvGgqjWCcWXso^%3t0YPClrc%=7~nb0$Kdip0M-g5N z-i1z}7H_X7h?!n8bOKhK86#F;6c=wJRMf<7p23r^IxtEHA7(xMtT9h;z&so8ei5No zIdl?lQBWt~oJ&piaU!a_LWWM$Ndn!n0rUcwXBxC&?sDY5y*eXly&XYQ<$jPqbJN?^ z>mD4l*Foa5dftE7G&!Wr|0yxj;BFrb@yOe=?$rfVI z{p&4p3wQrOiUu~y`{mxX&#>%5^W=~c;z2T=$VY|lC4n_>3URNro8z|8Y4a%wY z{GgFLsev6uss2e7@M>fdyi7C%mL}-FS*Ze4I%cWr>SeLK?wtbG1GJi1lQQ;<$ zORS&+-@-i>V~Sm&qtgwPYxwQA5Ypku`8DTLsn$h?{OHtEP{Jz*^D5pp)gRQ3Pu{nd zFZ(tp(~~u7gvvwn3HhMeU5o(;+q~)nfZbgIz!r8rGdE^&xa4Mo0T0x$z_3oN4lh0! z$jQx@t2C%Gv_CLB2l2rh58!k*#4`;)dQimB+9PFzwzN$kSKDL}J<6mYa+Et8~Cs)5n^O7<9a zXAcp0mV%lO9hNzCSC0b=&9@lYDXk4ay83n_yuybp#gzOhE8&jbjHs~zUKM?f2aIA> zG%`n(2lweSz*j!{yw4|Rc5w~cA1F!dJ@V5Vs0u-I-ScG5^m|&MBiWTa^pfvNHo@v= zb&XDvz1}_o9U_U{GDo)sCuWyvIbGA$y;QRT>oS?Dwy^-TtYyIfFzDjE3`e{aNb;H$^(}P9R$b#k?KYxMzQEkxualk)@(E&RpL`q5 zV}0V}I!f4f(hzP)K=hF&T~}WwJsc3yD?YOYHUixFVvtSGbArvu15=KDdu*f&xd{fJ zwykYYXL6(#KFbkU)X{+vaHT8q^;K;k>L_h9E|^C*Iw85b1qrB6d_)?2Q%5$<<5TJ% z>3mG@0wf~MY#D23-<--`Q>@<+TG^f`{le~`$Lz*!jleJ(aHGfvF46Qw?0rtP->BH` zC%sfQ^Rap>__6NC7{4x^LNy|alWYMQ+UG@;PVPyF0o}99I3o~>kIj*UVR;prqK4;; zZ?o(h;0qZy_sb+O&ex_I}#9fcZD1Ae44~Ml`BM!#gSwM7@VSpH{vQA zCSQ$RBw??YTD+zNG);fnJpd$pED3P#BG5!~)ZN{3OS1z7Mz{$qlmUY>ValHFGzTNR z&JIWa1f`Od9&aoD?kmu15y^Z6a$tZu05%?;(10U`lxy5^-VMs!+Y=V&2lYlJ4(`uo zk}8+GgCXF9KitsWi3EL%dWoa3r-I}1aksd|VmMBb_BmJ_SscnUKxk+1^;lVC$l-$6 zy;_q};++v5bMm)2t|BUd>$5X*VQ<+IKhikj6tpXZE#R z;wSLHswj#sn_ZBqfU>dic#7jh#2u+s!3%X{$HxgHd{nnyoXXM33qjljf1&ma!LHGC z4y(>fk$tStYC8@igU2brPbYXM_FUv>7Q+=1OUUxn!Qp#?n12u}joxHUo#F5&@N&8% zy1xOVzH~ZGz3+Sd1iHm*vqeP2J3jeYd@d63WW}j>Czeb`@)!2zC5j)#Y1<7ptP?-tAU#DH7dMau0o_Xvkdb&wpHeqg|GO8oK@B6Dv!s(s77 zg0PJhFax|#Nn&&AI`k+FqZ$U}%}>0?0|q}pAxG4bMi~06x!he6=%;X|h@c8^xQWCw zVBrQT^=<|SAG4(m@xI|w23W)C5*NHD$daYCG4=VdVSA>2I5c%lF_`Tos1W67X$Y>u zCyZ|)BHy6QB`I`x6B}8UcuFis$)C(*0pw<(tIpCE+@)r-zT6#cj=X_%eDQ9(Y4sz5 zY(YDIeAWWAqMoC=WuOvKu%FdNZ$my7dL+c2ZDV#4xg33YhNIaX>CS9K-Fx5yxI18& z1>Wo%3*ZGJaZev;zuT~g1k{Ys7Yi+jhfHq1-l0W*4h|&|IBz%q^=bQAfoX{ElwvHA-oZ7cgVnHbN!8ljR!K;4z42RuVM5E;s>a}Y(u!O1& zj!!}-P2E>crjGM3$x(7!Ab{DR!<#HTwrADc_G`f!J}Ym zz!r`dhGps#6D=6CVNxn3=QT;Gf19Hin@-PuEjUR-SeYWcH$DqAuZOPldJ~WyoLRp@ z{51@VIXNIR0I6lAQ?cw ze6-!Zy%%||F%MG^pMYF=(GsLIWeR!bUG;u4IaBKWjTpDL@z|T7BKvNe=+RFgCnpw+ zD(XN7V?S%h&g1N!ZqWzTB3QN%(aCDAJcG$adtivW5c&=^z+{#m4vquM_6T@@Nl#C1 zsixDk{;^4{&(-cxS1;}I6 z^?nHjx;ABoq9(JBR~V?Cu?Gt%zDRN_=}fKaWZ4r0b!+n$F{hkv?Is~&fbo8BArXK$ zy2V~-4j4^OG8%L3fp%}@5E(Vj=f1-SZqQimCwklb?R#2-_iSEThKj;Bm3B*L%;aN# zUtG0-6Th!)hQG`f%gNU3NNKkeSHp3CH*t7iB0mO%3x#R^-O_)5mzITp{5V=0ro*X( z{r^+;U(1eaS+_9yKwRM6rG$ic!owX_c<-P7pj?Zr+UDEwpNhz+jFodDF-AA7b-O8Y zN{YvEXP|xbeE+jT=6R8qA4y(*DSy_0;!H!MX%{Ms0wT3TF^B0Kl3q4E(aI0-TfBjs zH)n`fzStdibe0xQ$DW9RNIBwFp3p^{oq0y14wi~RLYlk_l~O%jFNO#V`W8&5(E4BO z_bzC-1KH%W=YGr#=^-Zg^;hnn*%N{+obdeJE#^>(dc&wB9qbRobYqCj1F_uhjwdSXQtmq|!Ve`%f^Gznp@g>@5Mn><8am=9PgPOOb-LuWlgs{R@bEjI+ZRr|7q*7y$hG?F z$USV(Paxyx;z-qqpwp?3NRccO)bZ-i312+ZhW8ki={xS1RsabN-_o7Or8^aK0A@U= zXS~_K9cm>7fe!ctvYuk;pM2P(>}bzbf6{;5nInWY5<)T&m@bTU$9T_Wp2 z1?dI8q~BReWNQqjM^IV9@TEsk>nY$mZmT&*!MNR>yO;2@t~aVg*gs=po`v?(JLkel z3GxI7Jc`H$6`}zsXgq@-6FWTl6+-1BvoGLwYq;TEu)8}|XCmV|OX!(Yuj_^JFg)`R zxs=su`7(#2$(7mNpFGLoigCMsSbIDIN4&domh8NQONms3sQ6}|BKE-J#WOl6{^wW& zgbgDvoM{?BXfRt*FsDTv>5cb$^7Nbeo?opgghu32Q6wHSB8SHpYQpHcs98h6I9sq- zCe!QS96aP}t|k4Ary^}zzeUvpx4RpchX$Hwbm@Wg!Zj8$z#b$o%n4s0{WoxhV|=VT zSTLq{g>C$U2N|#vQS?Bn>yz%BFX=L9)THNQjR8~n8UPS4uzVgcAde(=_L|sWA?G#q z_M5dzuPG-?){`yJ7x_7w)eausW5C|YmWyjSll7msdVvW zF?+v0#}N8)Qau&7&oj=uBPNEU^HZ>h36RN$qeH|%C)Y12^IoAeNK9RrRv zv%2cIQlYgE2nLJg%=vA$8n(n-MeAb88!l{Z38e4R1BJdXN6W-^0n)`mh!HojPk3i$ zbmbpu$mt>Q7KSUSf&e+gvs{eA(z!}ee+bBji8+LOmV3BamUz>Oa> z_#jRR@$9ANtBt$u&1K#?ViMc7RF3*Ml_I44e2wjYZs~zx-%1vc09N!3Y^-KfEVA~# zZl_8r_{@yEw~U0-59V;-il~vPgVioqF+QfKk83qC`%8S*s5YrDp%6jxcmxE3%8Kl6 zh+6tEOy67+Ow8UUXOtxT%)Ja98N5pa4k!1;zk&9P>#xo=CU>u~^J0M)Y+PIJ9dU-n zs0IjnHx%%>+Dw&+45DPMQ4|X1P^_U*r3)Tnj$TbK@Kiqy8ZG3T8+PZ$~L=utN<9cn80PwO*G|7?E)k*m`5j-eA0sjXSvf-&dBfnYvgLrje_zVSZ5RUh%~B-sdEH<6(7P5dJMWDEG|% zxH7(!@kr8*>z|;2JDV0`U~utmYf1>@#Zt0MUfePDyjuL&X~SJuX+d|&yW#aKVkPu@ z>aJ5-K|(a*OolkMxyCogM-`}=It>^rW3%Mvby zJnhBwSNeeIlS=4B&P`v&K#Xc0UCWR2$B3ye)t_)UcyCwE=Y=%xn}RS`lt6iRaflh0~tTZB;M@6D^F+P-k_TW9s{VO_QmAUzV>a6r?cefos7Tm&I=P(8eoS*t5OBlh0w8*oK`=X?ttvK1&n5f5M#gYe{9+sR`-8!rQS zQT@_p%d6u*Gv&U|x9;s3;!X98;VHQh=Us9@ON({6x-$&o+S}WX0S9l~I-;o+L}rct zyBpQ6jrQNGlFi|v1%cKwE5u(kg!r8Ds41th7$S^9PM5WGM8 zgR6_a&AkOAvI-8O3XZhb8!?$$ac_I0qha)Pd9RGf9ZzkMUZZ`VRgMA6XJ3@o7tt+n zaeK*oyf)6rbw9{FjMgfqMKa5{LuB@2Ey41)NR+w$c@QdPikejcK1NK=lv8E?jAIZ8-s#?Br=q>2UPWA(vz7bEyl^k8nDL6FBxqc zZLf&QT6P0NbYfK=ww;`FLsPfHMB6_V)FCONo8->+cUGMs)M30Rop&;%xaNW){92u8fzJQsJVT2zx`ZCsXSlLDt6W8>0-!-m6@iK zXz+3##^2>g`ny%xED(!?5K+ws&eV(xPx1jDGBZ$p_;x4jGWzPJ9UXne-X^P{Yzo2< zqRg>-U^SOlLFmDWd%37lMd;NJ{12KIS>p2n<8jy{xlX`qtcMYh|LYW zn?UdM!BW}T5rd`C&;YJ1k6k|))+Ba})v#+qmAN^KVkT94DD2W&tex@D+A~1z5PQRG z{-V4k!H;9|wci0%0k3e3k5dPi*ElWf90#uPm}Sg6l(l`N1f?<4#Od6&YANabUGwn$ zxf`|IOfv1Z*3D+&Q&&6*iEycpbPI2>B<9$>WDbaL2TkmYP7Ixss63J)C~_mw-^8!q zM0-chlQvd>f#9+v!Wf;MSf3~#qoL-wr57cPYFW0vur zk>KpGbj2A{a8~0_xa9ibOKL8L-iZ%jBVBSDg3*!mXc44d6g~g0Fr_}_Nshyk4R8f>BxhFLwU-F+T{s&9Fqso$L_DpE%glb71i_#vK%a=`O) z-EN<62a`sYFF9~w15TVD){H@J7`>lkE6mZ&r*Pa=yB$Q_&lLG<`Sya#CS}zsk;NrR z^Gb>WUrPo>#1AV6SR8wbo*eJaKQl#{+ucdN3K-4^2Do6T8$Q&uvw0h@|3YHEu+z1g zP-pbr*9pVOjKNuKmv?qvW%%SF?7XvOK-TVUiK_1{^}WrOsr~hWUPNrsDV&|eOn662 ztIAJJ&07_G6?ts$j`a_KVYmL>vfZlAGdA?awIIUG z0?`)|-B5zk ztvVvBi}bPiMdXhbMZoFK-6cE0;TS95V^{_Ha#p{kvblc=kory4mAU>hb)86bNTxQU z^V^d|SRpWv;oo?%)n7={O^-=GDNwFY9O|m~6fs#WGkL)ZFj?{cPJ`XHzF}Yf%af=} z36W>M=H49!nocGJo6DX{$27hhx(bMNZD08|a*f1P{V!a0VZpV;2FY)~w9IV+YUsT- zWq$^!{ypL+_a_^)*)CqM`JS!}uY82X#3xP=q1K!4X-?(zdb!9Z$kS##IWdzup15_2 zWh^l)obUe_Wt(1%{C>lIG=x3vg*rmamyeIi^JE5MygkDito;A;G2LD<^bCIEtmV%5 zvIe}PQxyp*W0VQ`ogmPA!t;^>jSROR;xL;dk1pElQ2j>Sdoahs)8!1G8|AKrT35Sg z_x@(px*YhoN?r~(pmM48C71h1o-5}?>8A=QKz9+s_k9kM+D4IM5__|h=s7^_o7an? z8j+f6e1o>`(tAF_@DS*)IbaXE6qX|z@V*jiXP2W0& z>>u}uuyIeL+Ljl&gLWDDJvMtqCO6U=E0~ZB#HqHa`?M#WD3+mgMIX`j`IEo9ZLw!2 zKJxFVV@BH)HHws8=C|Q;a`UBC97jvUS2h#!S%3(dpMqQ$KMV}$<3-zbO2o;p zlOz$T&&FdPd|S(=GZ9kVDU^%nZSA*Vk7<>OOP@TiQ5){A6a5MC3}+-2XBp^*3C&&VTjQ6U0B8 z-{t84r7+DP7>u;Mwn|Qq{Eks96Gs0tO_h24Mm`hBb>3DZ#i`E8)`OkxH)z*%W|0nh z1|96N85@1(lk)NY{(4%uNTP@n8v^CJMI^vJS?`#I zocTbM(pnnG@b8#t5O?<1ets?pE{_ndgZ4@6eSOOOZ(cK%g7bHT zAW)R$Ih;lbB|ptuj_eX|;@{!k%x}uSA8peV35@@%L2h65Da-WVT{%uqh&k!eMj_=N z6fZC2D^G{~--hyk`=-7dsMN5k^mp%kkp7s2nld!xR>|BMHs`SN&s$ zlrYR&tP*`TIw_i~HQL-zphgSsh(oauqxlmrkKAk4^bkJG%~IhH$B-t z>Gl-Me7AbvDVj<+xx1){roN>$f_^$U#4&EtW$JQ2Ixlz{MOJNmi^p63Vs`$1eBY0b zeF)O=N-ime!#+lO@OHkfLF2f{arrlrf1qTt*exVZE>`h#erY>9$>9{@|D@#LvH3 zrpM<`slf$UUi)vC2a*Qctnl3zfAZ#3iINGdjEXWa=!1j)%BL~oZFwHSY^83lb1%*nf!on1QJx{MRe~$QWoscuh z!x990JWm+iBTbZk@HD9hw-Kd+qOt%?cRNCdRUk^WmHOSt6JyvZ!CY7UJ|@=^tF}Gy zmfN&%0&`#G^+0XHbA#LY;)|y15I(ynIF>CKpk_P4(#nxp*PfrLa#xB7-_53IG;G0N ze@7oAy9OiJ_4X$w@5glGYSR;d7l2HeVCtfpR`otPVJ~{^6RWKZ5!4Azv6S6YNn%yu z#oq*4DNqn?C;pyrCHu#PVs_^U9pdqQU2=Y3GbSu4|0U+3FzJG$-zJyu~;uTS>)zWxTm zhf^oF-FzcHNhtkTJlTQXEea*WEugUu0G^Ow_g4tP;dR!60|!ZbpG{$; z=}}*gF&-86O}KnNsU3PS_~rIq34*H0@Yvp-ZZs3zzlDZ>K`jNUWHfti*0gs_RH>b% zWuFYysRjO0bXGt83$BtoQ2LP0j9%ieFbqf1qt7aS`h(axmj4$%oOkrvw$6Qjst8A8 zQUad}QQ^6#GTOMVm7#Dl+$H({Y!0H8EnXN213Rsz? zST(p83}9x8%c%@AYC&Jv8rq!tEQ&S>$>8BQGlWhBa#04i=ie0=(rz7n8q4|$Af$fD zV(lkVX=-hTgzG7(2j3NB4C}{8QzD`Q&z?g^xBM!R&9}&WdriC0ql{J)_Yk6m0N!(_ zFD}tI@3mbl)T4vLlpsJ%5oXg{oTMuPVU-{%U%drCAAcjXhsj0Wfzm{j4l+%}U>teB z4r2+l(F=0+EKEenqM#d&>Z+zWxTdT`a`Y#OFdu5VJKatacLB9kr)5fF+j(|lwT9>n zoZ@D)atRB>8tO%v*uVoME2CDiwf#+sT_4m`UO@hDY)gm@kKGjua^V)hUlQ;>4!p_2 zbX#9yih5`He&(~ET(oPFaR9qa(^=O3YD5-7{Y_Wotly|>^4=p(ad5v)bwJ1EBj?G% z4OMVjPNd@vaByD`+=wN#;^Vn!<-xYIyXfU#2h+QkDd0(2)bW;H_|R2KlsFUc9CKP3 zkhFNOG>xv_h%$59GJw#C=@E|3NV3>+=X{~3?}B8!+M_eTaT}?5#BtXx9D0FkSadRamjJzKHYc@H@ET9PdfGs}mZ{jjlcG9y9 z->jGCZ7(R0J+T$|dE8ryM|#6-S>A_7z5Uo%Ph6Kr=IG^1cz_bCsihu(R(dOflN1-_ zxOi0z;xZX*f^S(J7QyLu@~&3iSiCSEP`Yl>cAP+=EyR|M%{L7~XwaoiKQ_OZqd zLk!K)z!>^F#c52y=!r*>RJr{Nwlt-L6Y9J!0q)9h8tXXVD~ZcLW!tl~`$@=zPcB{| zaW$LG>5ct*MhY^JK(GNvFGR@Ei;#>Ax^8{!e`K+zHILSnfP>eO850Uz5Tq^fL{LfCy)#1R zA(brP2K<=>uA+~i2`kco3t$vRquqt@o?lRLI6afLt?B^L1Rnh?R#tMe-+_IH4-zo56D`XMWRd;fK~+n}89 zMlJj~oLo~ei#XJHd+uv!YbIn@)Xw=xvNe$xJjp-M-x)7|c#-1nB_F$Wj_#kuG86Aj zb5zFhIISFhZ_4~?G+>CrFdpQR0kj6?x#a^Z!P{(=Q{e}N)sU{~SK%cd(TMS+e_4OB z2cyKDtN%@tl&~$@&oVP3`!`=p z&gGw85CNhEY1I@m$xF5~8EfzeESulNqy7$9tA{*m21Mf>_+cfZ_qo`=ko|{LGr#Qt z3Bvkk&H!pbe(k@t#oQaakC;@c1atVqTlHZqOR!-du$1cV1JpI03^gW?j^jcWI+&@l zWv=JQS9!MUYeA4;Gv0n`ne<K=kc`^lj>x8V~-+DzGQ%AC9Ghx1=v*~eh7^5JpS#g%1@S1x!W@kKKkC@#tMfV zm=SJ8Nx5a^&vfXY(c_|~|G=n{W00xR7WrxU&|=Hu!l&e!X6Sw4q~(w6heGpqr5~Ty zL&dm1TmioH#R=yl%m6;tGT?9NHra(Ax(fb8Q!w)`fQbElz39aY^}&pFfLPvI!ORW; zHQ#N2k*b+jeV5v|@w^y`{%!t*S25|b7?d9V$K9P{;ua*g<(uepdWPkKm#hd!ec}Au zHRI}`EdKtpFqC@U;UPW8Ug(>~m&fNWe*LRvBJdA8R9@&;aCbBh{6+wHD53zu=UCnU ze7!VI|5Nz)&r#nnsokiHPwKK=ddnyNU&{j{X7dduqxph-R*Lk;#3hPMWEV`w1zGgF zJ9meY4892O_E}BUfu3m;T=xp@d6yu# z6kt;I|ETHvKZ^NaO|*Ra1Y-K$=lhGAy?pJFTZrvS*|>~pR@sf!!61la)XM#qx-M=_^(^`H1BlWF@`43k zE#{5Hv^Oua*F$Ahx-iJi?p9K31j4!;lN+=o{&bojlM#cfi&i>hBH$7LHO0M4gC^Uj|Tft+yM$-8v@CN5oexA7@p;Vjfo>)CVi~ z!n*&ZRPJWJcg0+^W<55F%_{^dwut21ltE1yx&t)Z^Mw`_Ms@L_iCda34azJsm-m@0Br0&7sPyPx$#xMKL^<3)W3}@%|N*&!@@dVOM%%{n7y^eTHAV_b8TT!PUWExgGt@vik5W zfRx28+1buyQdWdFzy*G4UQBF|QV1QwCcBHutWZ65MYQvWDd6+b>p4&o_Fv}vV%9yw zWc@{Ei_CssAqbcW@pNXg`H<&9Md!4Q_^dV0{B?K;k#%cCg_T)Y4(y0`3HQZBMjf9r zP{Xm}wdHsPHs?hIO7zmfHBr10UuQ+FgxWdX&{auUYg+xlv-PKU%1%f*hxSCpS5g& zQuj1TefLERkJa#S-_Ftq#h{J8-Q9RV&9?{|P}U;?NiiM5L*((y)Q2W>f8t!Pha%YJ zpRSWZb&b?q519m_tmRveyCLNJBOD3yb&Vx0UQZ9Be1R~TJ)@DR)|2@lA1U4{Gf0+f z)ac~+rFgT~88@N&1wF`{2oVZ0y$`_ICXo`-&Z9C}`ZblGtL8>&T!+eWF-p+-TDHkU zBhdr1QzNked}IR^FwR6Bp;csoG9vor0CU`cTQlQpF?5dN`0CeFz3t0`)Eu$<=;oCa|JJ{J~IG z4FdV}%0Tb3ztx9koeL-kz}c@A;*V!wgy(T8z}Rh{F{(-Uvvd&{u*@zND=K?lhhAzt z_O|TF!hUnjxWLC}s_brE>TMu5h$G=&2@qbVWg7?uwjOBV?BivX4%FxrHjPgdt~%!B zHYmS-{*KYfqvi}AJazgA)yrm@sUCHKsrBWMX*6q8Bp*=}^?y8sTC~JQFM*A_3}qCb zEWC~Aw;;wBW+ATmp~1=ys-HqkAZHyT&F`tar@93qs@3VFy1UX74d&PJ3g}Q*aQ`&# zfZap?g*2vYWz$Wm`OV~2$09QXOhezaJ#*n7hsv7WTc7%%BbRBVY`@$VFANG4&hE!3 z7u(Rx=t=atRAc`v=6eV`c8TmpL;b?-$_q><$V8^I>yivN0Le}?k zGG2$b4TdtT5!7Jq2-Fu(1NEEY-?toZ7kiKq603ydV(11{2c#kG_PTmEgj{o;8k@zi z!`@&)+JbAVZ$V$le8dO4iw#;4^o5XT|IPS*g~v^59%XS(I35?mV%=ObUqb42QsW9b ziJQ)o(&R+aQnG&z3z&AX@m;KcvWU#X|9ntomxHN%%9>uWQJUZRDgDGF^S!SSJ@&Py z<0ZhS9-vKfc#(g2yV&#PwfP9t4|kmlb8YiWFP~Z^Dm5yE>%vzNed@@w38_%M z_!dQf66_?=$3PG$aw+ByO1|(J0t3q14!J5uC?n8?km&io@ZzF;)kzQtxwdU~)f*l~fzEuk%kiS_CcX0VeyLKifDUTwOQzmqfDR`^QTpR;B9^rVY%dAfJ76m5XJW>% z?CrIO!$rJ5fVq&@t;VHQFIFPPk_LCQTZ%~l?GG z2bA+WpIQnBQVr4E{i)Xr*55G-!iuQ0P|GBjGDRR*BB6e}M#?-oQN6fS+-skOQ9U17 zErMjehM#q0mvC^R2GUXgmYcF%UzhnP)eAn4%MlBBs9x0LOP1`{iW-?4uIIJ zI(|`8XvmPK^O=(t370zv9)wzzu_D*k7B`EvJ6w3nib+>%Yvs*SomdD^k+)IYfUtL{ zMmOh}I=mal{1%|A+&sLi*S!FOEvS#p2Mn4sa z_D~(be*l(S^u|!ter|*p*1e1WZy)n-5;`z zT80dsFQ_Jz+65vOQxTjyeGL{{3zR(v(+1W_s1wp{+}sM4k+gJju9_{ zBiHI-USJa+L7&>`r?v6&<9vSNe`-ps!5S0N1DCqp+V|U6+x2c>IcZ|0(8u4xA#7<@ z^Rb3H4!VhqTj??*KsI|=F*{)Gs(l1oSyc3oSN0pwclT>PnNuNC3{T}Az|q){zHd-t z^kR1@KSH*r_YnSNd@rW;JvwXQZJLKn)Qf{sUiW?mD{3=zjH%~mdF3V>Gxh(aAmrx? z9{iSmJwN(P(@S}OfCJnB{=Av*-U;xkUT*(=;YdS{ zA|D$(PYeSoo1sH@QIS^@LG0>T8mc(!SjT5lvE}-?xrhE%C;Z=%{dw~cQtNpGlfNZ8 zq-U%T0;1Y5#w4#sxIMypEu8^@m%iJd0YCq@B7hs1_;%iCdJxP+xJJh&={m;lsEgp? zFH=ALPt{XzW!veRy)~S=P+XoXuG&7u|5xF|>&4q&!NEs*CBBZB6Ye=}pRDrE&*OP1 z4f#JFCr9!ZB|^dQQz`+E9*mAG6#qxhZd|O!`2SwJ_4~~f;>G%O-9Z`-bXWerT)6va z!#$Q?X{I-nU7C1N|C1#Gs>`lmuyA9q? zexf${pDKp#3s=HFg)p#GTOUYdp!uJ4%wQ*`4eRaML*u(^ri4=c=Ka4`N&Rl|zVLr9 zb2AD%H{oQdKSS-v5iL(sWf!i@R>iNplHS3`FP`!HVp5H?`ucg)==0Wa-@(^EYo4{o z;Pvr$gwqH5M;saD6Cvl<=bl;*E#k!hf0a@~|L%lCEs5Fena;aSdRnH+r9067QRR}q zmONO!+(BrjKi<#v%jL9VoNyPjPLN4yY;GU$4Hs=4W0D4>&4fvvT7&iU*-W3y?UzYq_ zoB~cf2V6;@E}@-WB7^MeOHR}~DD}S=Z~pUT8*OrR-k!U{41Ji_?;{s#Hi@mpTI(UALx&(c+`Jqtr7_O{H=1R<0tF~ zm$4}cb1W&Ue0^l%EW(=kXrAYYGr^i1n`AJ@pW}lL5a9(s|MP9I$NFFP#Co`5&R;NR zDmf)(zYF=>B&Q3N&15IFy9PG3qj9|;`A@{)dDrao^}i8ElaCoF!p*A9ZI3dZ*QW~q zxWYdz`eT7ks6f2eN6wg!&YIti1$~V>@G?P*@|Zx7k zOW5Y~h8`x9R%ia8&2n03Y%MOp8Ql{+>oU$A@k`IhOFw0X@LX4IXaV70LFj5pU|D{k z@wk@ozAYKHjDc<-(j6bdY?O@kEjK!k_xNO97uI}u;&B0x{7pj9ZxhX>XRq@=o`JIo zjlSf^rt}kt4CEg*z4KGgl8?DQZ`1TJJ58vSgyRuxxoCY`Jz6aI&ZCUxhrP%W?|Zz3 z$8hBDa_yIpOBy%6@u+R8AC{(b8yu=mpp_Y`qlp-VSt+1l3TXy!xCAz;6JQ7axnd^L zP=pJ=4{p) zX)O2%N3(hNvQ7c^Cs5AZp=OigIMsKJ6~aT=_8CN*Q%YtuA+VTp0X@cXjpo-$lZEjV z|7{9`2mh4}&eN9#$@ga7bk8@|6prI~8rFFwMo*z?WNTm~@`dnJvIClkp<`}PKJ4Go zRFs^%YU<+pL>+FQe^icZhxnxxT`+x2@s+tpF27HOM<3{m{$xJ}%-(fN&-}(WlFquT zcyWR}YLYd5=iXbX_ps5=r(+IbtMX~sF5T1+VBZET=6DGdIeXuI9v3 z->V*u%Yv4d7FZO$XyV{XV4$|_7$=258;FALG478dsy0qBGJ&^&8N%MNEi;w135Cvg zlLk4lKnJL>kms`GNAZH-Fie3=f-CQxfNbUm>ME6eN?_C6+(k=K5!Wif_{#-8vrM!wuSsnAD*2Guw7`s3wv`b;d34 z3;4=5K0&@5e7rt5XY~T^Qp+RNNl8U33tJo`r@E*&^Yv6a`x(QU`VSnce{kqBx4)rb z`K$zmk@~@54J8FQG+hS<$W6}|J}U-trvNFBm!?Nse>_iTV^p!<;HMarRNBjT^^KU7 zm1#V3hS)CcbB&&|bkM`%RBpV;bFSRItekmVJiWU-{OkL!|4Wj45PInwB`fDlek&Q< z%f$h*&BF1?yWBWI8(+=k{_bTRb{I8teBygnA#4O0G#NIBCyzk8L(j*|i_Z^V+H@Jw zZ9N3W`>=IFg}Z%70#dp|9aeP)G=GZZQs*TC%^~wO%{Z;*Z9~{O*tP4JuxB#P*dvGF z!tXCm{6nDJzi0U$?DrR8{yEZRafll9tKi{T`J-yA&w?i`^ohsVUNk1(;rfI&$@%{F zLkzbqHhPNC6EKTjT*BIPO_^@(hOqYvVl<~FanBAbD&RSe_>IW8;VC;GQG4x-%U0g} zw}AyBQ7@0!+&73-|DigDdfc;IcJ}eQIQRbV5wB?E;vJHQB@aH2qSH8HzQwO;+|w@q zc){-vGXK4)U$Pc;^nZ-(o+BePs==hyHQkL?v8AFB^5b~>DOpTa*Z|VkXZmyLqWqWW zDC%Vi_+?2iWU67w$jo!x3!U)J-;WRbREW%3?@-4>PqIJoU*4p@IQV@%K`i;D_<2u_ zQV+%WNjk;Vf6!>|*>X&~gQxyoHmiZ__j1bHdA-0zoZ`m~HiduEq^0oF!BAkXvLyyVUyEI2_#a1gVIY^hzqfz8S@`h2Ax|HxeleL5())tzMo@>9QqnM}lf z_%NNa*SUI9np3bT8My`R+!LL7c}ct zTnvhT?vq5jr{2Pt-<0vZP$)*bq4C<&IAgcH9Soo}frzjx=buvmtUF{zHIVw0(E{c_pENh~cHmO`u6HvCw3;f%daGl*%#$~cVVXzSuW zKmp{XBi(u+62D4732(-6M2!MS;CMDH-Vv`OZQsJc*V7I5>z#g?llfFO9M2M>G%shf zv$3+Flk{(1DW-greLHrr$nHRanb|+Ux;_-f% z+);H=Q7(%+cbCR%{^R8U-mqH+f)%vN-1 z5D}KDWnTW7%nBo-^_ULzJu+o3TIvnXK}B@Fg#i>e_qsVO2cItQN&%}sAGKA%rOTns>y2ii96 znm`v?T)4rag=ccsjSITxa#z^pX%n$qC<~P2=`fAZm#x4OI55x9yBy93{QMSqt3K3v zbX!$VgSV(pq!dIJ(^BzwKSS0YKzlr@uu4fZTp;3D-dLci0<6zZKq?-vCChsmwiqB6 zza@?p-pI_klFX6R$KQDr9{g-n07gK$zo5(z`wZ&Fk|@I0D`>*C&fP$<83xjKlZNQc zT=F3GLq_E5Oj+r0)p|?Tzq8~uZ^)ro5aMM+N*GM=(p=oWgwgZgf`>=RJ@%l<9K`={ zVR-2r2)gDbF*33wMEqC$p7wBgd09J$I`~C;oA-&Q8Z&Kui4(A{1JDt^xt1IK8nN-v z<#h{xzj5i}{<{C4++D~KkJ)boIuDWe&VHxUd*Kl@8}`i2;rq!>+;T4P6OY+i^QGDz zkMQyB0t>3(@Fvh|jjcg`1WMU!6eWHQ*F%oj&OfFTj7EGgg-g$kqXxgRHNN!QmfgMZ zKyE0(p~lx;#xsVI-j$dP0lytT`i$1gGvWs;8y_#tJMz$u3R?^8X~X_?V6XQ^rz~%7 zcnE)Pln#cND75U34_=BUqnkq&r4+hxN{;n37O%IrXv78+c-Q6|Ofs@b^4BO#_;D(+ z=G-PdZo99ycZSD?*1{Vk5!%3S)US|rzc#EAed3t0$iZ{)J^{zg&7s-vwRs0#t?tx( zw~U;vZwp=Nujzd@ z;hDIMuMI{`LAsmIezXi1QPz;L1we`l@b^RWkOES~3mIqnHb*bexBcQrLGn$#&DI5a zkH~$y$d}}Hu(H^6fs=NuC1p8g@Q)SYxG1vUu`LI5cpgt> zsPdfwf@F`hTFZIxbstE(*Y+O#>Pd7B4V)WS09&kr4*_V-3x%bCArR$Fy7 z17}}}FC!`E1j2IBgZLGWe}!221+QsQe~Pd8d@l%Ay>RY$0_P|Vx4qF!H<_hf#>6egMlf~?p*!=WNhtsoq_S*L~QjHM}G4wmW?}Z5Wm$J^N5w;YVfr|N2J6QCM z$Myz7FOi?AVrfO;;INFDq4#>@)!IA(*u{xL#2!h#OYncDe_1vaN;G%6mPlA8b$oa& zU|#How+x{pz5F6mA^ihFIH}&2v*#l(C}X&_^0B-Fz3&H~Hxakhg_9G3w_7J*Ed6YrXY+hWU{&1C2i^lnPMfFfyeqM89ahs!ng^&8$#NT_VO{NZTq9tL~X-B7_aTGlEKn5cUoiy zUe$l6!G4dBB<`a~xV<9ctqiX87{WfmL*Z1JdS8xyH#y@d#Ygevk9X6ZmsehZMUmj7 zZ)YHD3dmDls6xOtdA@F1HqD4Stf7{x+a^>`&KL|{4t`_#Kc(%_SZEta%jO*Qbt~m1 zkm+I@COYT7UjRQfzLDs=^p-er7*uiopnBn%AHy@Nk_c>E>s|UvD5KR^HLDgNh#DKe zO#4;beEG_*SlmIWuV*Idf0~z5up6LGMMzK9dmxeA39xyUGTLKBPPX?Dv?lmw>$mR_ zPbd3#(0Sa{AgP_tHiV{9MNHrQ_0Iib@&B37h{Yt6RGV7XFYLWZfcva{^@X5){1@!A z*U$RMyHw7{g&dUswQQ1$UG@7+$BiNXTfQm@KYweC{wuWlUu}9qe39bpaa08Tzs-uw zgWr~;_;OU)$NZZ+P#)L2D#_#j+J$WL%Ae_`0kgy#^}i$uZn?RBisAL& z99yN=*8hXuU6hsKFX7`i{r}n%eItsM_cQD=$NPk`!`3lKV3CZ)VKxgA585(8t z^^PL-lB^N^pGaci?}Q4xO<+CcVkgPdSRdVxhHSp7(5%i2@c#euZMe@9R1kHQOsH*7 zXJ1tUdW;bji(WlwXXN*2H=^C6Q~B}#eB6D+|2}oEYzm?FXu@NUZR`{zui3Li_7~|I_%ssbTYC|DQt>GG_ii{{5!&_m7Rw#SihYwpX$Qi^Ow;ydLH} zadX7P!vC=?^p5O5qsGN93dp^j|0#L2=I-`C{ri8(&6?{F*R|ZmRmHi0CaoL^L}p77 zBI5r?i~g^l^$vmy)X6Y{^i}_jM*rUe46azNPtD0x^`DOs8Kc^<3(Kq2Z7BYPz^bMu zXp`rchZz;8LQv43Jh7*E<9o4SW_(3I`6?+3$iR&5nM*yhOc3_-?_2>6l3nuo{kUu{ zeCX1*^{)N?+wc5jgtyUb3QGy*NKBJ$IIN#KzPd8gC>{URtv)w0%hX?AejNYio<59k zT<-o!Dahn88`_@u$_mx6lzs=lc|b$LvH>ii^9QS1`MFNi|H#A+*L zh#)^b62U>wy>}R~7oOwJi68(lTSi;@XCA}-7&e};SXf$-grn=6M)~t=QhvsdIsNx| zzia-=>SGtuNq#y59PP@}M{@0-bo*ar_}{YbfO7ol(Du9wSTUcs2x~o^jk3Go(8(YB zB&J#BIHh2#y*K^M&i_|Z`foOR{3^#JxpEB+wLM(GPuRZDyJKJSg6ELu(<_t9(4PeF zodW!$A4N8gFKxp6$xdIB0Cu^v=u+P@K}&Knvj}EW_I_CR?H*{scd!D{Ko^z}{!Xdn zOMli<9vKI|>8&@SnBuq)3TbM0 zIQJ3G{YUt(zZ>WIn;~@itL&JvCWmJkvXZIsmLnzzXEtn{1^k^lK1;mvKe`K1SvRV= z&7W)=(9S1{ODFD26h0|bv!8aWdJUZ@%%zgqJ-z|3|8`d#+RE&lu+xdIpBwcjVVw)k z{$ftW^Ml4WGNkW6ZDmT&mIVCQn|9@M>;fZ>tUS77qVCV@l38eqj9f4GtB8j^z zVmSJ)laHbi+YY;9vBX}K{}+({)1!CW8-dJ`Ne(RxSuPCJ8~l;W%#*a|P?SiROzLRy zj3Q;L@RPqE=@6Wd#n$bJd5&6`e_KXO=H0)ZX^S=m8lB+ot38mLd#*9^2QVCK50T`H zr=0D}{z0@IYRw|+7H;t^08zX*g{7&-eDj1nPJq-cLLaN$@jI0BKJ$3s(?^<)^s)eL zP?yO#%ADm^(JPy};l`vdE#~kO8bWpQtKwZIL67vUyoq7O+4`d66=L%alySw|C}-r$kDud}Th;Je z{d+5e-G~wz+k8nU@c7x~+Ag4eO3CwLvgX#Ryp0?9BC979icfxflJ0iqS_n#|@CuTf zKqE&c#Y-3^06v*9WFKi9!*r{fFB66#I;i;wnigJ)Z6qD#lzu?Zz!jbs?|C1YGv7sw z1mF%8IrAhkx(c0vd%QDd`cgJH8ep~}RLTYutTy;dtBKDm=^S@AJeK(smZmVDJ75RF zWk9ZBW#Le;d&Z1?6`9E-y9z zcNoHR@I!bssG2iUaN#q6nAoi@D++@-4r-^k0N#y$ohjH4`_1kKDLyY0e&j~O7@`i( z93oba^gWijPQoEP_vXiOjf@|*12j=(@gzvvrg!CNikl&SSA3bnuCzj=2Ro$M>B^}m z({R<=KD*L>#*HrFj^#CrOi}1u$6YhNEb%=g><10-)xU#M&eN!UR|dZF3d1wiy=}`F zhGFDmSKgr*QMF<9rf#XJcHn3zf@TROl_ee=?OlA`yB3lb${@s6ZLxS~LSdz}FG(jY zI?WS~IdmaT)=nW*aILW7tZH(zv)#c*aF;iFz-1 z&pwo0oAd!=Jn^iHHC9k$@$m2Ry|G`nA+Y@Dnhuasm7-i|2SUMAt*W9FwP^MgvvIjf z(1e5IFzBIL6ci>GCFTV>xqRNetOMA+5=S`n&<*tZ*%F4To$nmlMc2|SWn|O$vGxF{ z$bJ>4S+M4fIDrG45KK|Nl)Kvbi1>&UB8AhIiw5Ev`+DOm0C)B<`Z`)Sak4ytXThSz z&ell19w98VpiJYQrY#72D+4m3)}wG3-`N*H`2@{?^5;hj`|DGwvgI$*m%97T7?U;6 z(5aCe{W^IHELSk)#n1hiLvQ%}!*9^z{ci=?D`WhFeMIX&{dfX4TkpQ<VOMY&{}17 zFwcpV>0h$@Z>h?i^PZBqO~0S&yu`t#LR24k)yiTH7YoLSATsxB2eaA$X+viys>T>N z6}y!IKY9>LUL9b$11Hvx>e2;dm6!=r(`^aAEw8FtytbDwtt!m0fzS|fdvoD29uB&? z`IKl>#)42A1FR?w=om@CPtCu?8va!mQpsJjL{a=Barp})uIIBpbyKt?gFtR&fH(?G zt02$`b+4Z;8hr?_fIT@yVsk92y4Sp*-oo*eJg0NzF;MYb%f>PW9NLHft|Qtb#RO!F zZCRjscNt4cjvPK|>kW)c`Tm@E?+r3RJH_R_lS`C>FF!jMojl+^oq79C@;|@x>FR*C zuU{-kiZ?Yd1djDhFnrrkag1_zv8;AIa`~QAV25wBs_dgPorM?|O}?W^%-)VDoqkrW z|9mp?7>2ds{Q3=8Is}RN;$X0kE5AYD;g3OpC2!SWk^rUgB5P8G!XG%~?AuT@xm=iO^M1u{d%{M%yf5)1 zn;3505?A0~`Y9c39>9bUbkqWh4^g}&E#OiX9P|0C%bg7b1bo$Bk3Ce@;7=OF~x zo{L*aw&2sFxF|k)hkqJ|dUxni_d3%}(Dd+vJC_j6I@3Z*!AHBf_l)sv7;Vr~L+^!$?o z)`*06ycLL}CjwU5G?p2i_eFgYZva-hF2;P+qHfho<8?o#P9^M{=W{ns=ewX>y@b;` zd|V*>L+J&loWdGq@`x_w1Cf`&Vmy(oi49J0d$Fw}O@duD*5Wa5U@qS17j-T9 z%SDB{DjO<=9Bx>p&}9;=rv*KQlwi)259=lXd3yAMwV?kwvJ$ZE8X0UhaRE9QxOFTWJ6F z;1KL*;WE9gH2yWf*@}{K zT|k};YQpD^8Z(aD|f>5^6|K`tDJ5ibBz@Dq2g zx0J1&czor7>%a6Wy|C>3g}VNI%=(4?0JVw3^RXTr6I{sorPqdTaTiQ%pAYf!^6>0A zmt^^QEh}eXSu;XP41HwX-SiIU0k`_bA%R^#I+46cX_8;&t2z?BUpnfdBr#E^t+XH# zGqMyhY})CPqQ|y=p8Vahly{aIkZ3wO<#f549++Gu6Xcd9@|3pab%K+F7C5GxZDPca z?i}?#{jhJ!9iwLBZX(2cXk(%8W|BM78h`U8e#^U?Gg--+!q)J&@#$l{_RA#ldV6*X|AOTLugzNdGgNlC zTViM5+w;%oA~ocfS<&gu9Lm$`MrV($N7nW-Tqb;UF;%yKZJosI56OqG9*Qm=N>TV7 zq2+YM083zum59xoK4-zOshQLeIxbuBuVTUesx@C6!3k6meSb3kgo#U@izku?{!^FU zGP#bIcpk?Z`xIiJfRgbE1~MCbvxv(dmZ_s0^=nPWhN#_b_cujO|)Z*c~Od28Z|%9GMUvHT`(8zHtzMs=;vb|B^bLCl3xC9uwVIx z%XFh`=8K?TUKaRO`*4yULV@-^Df8u>O9Ep?*NK{ z81AR^6bVz_CYQo4EaiwSwU8$)l3@~h@8R9zdkcUG>o2FFV~45T3}$}T@*;n7OCls> zu9robR|sq-^bE5ozDHq05m)p+I!h-{RJAOGcaT#6Q2u*|itz zG`_tocDs4hhU`Lzj!kbSdZY-{Z^aEhe+5-DoK4HDQZ}~KaAP-`7MDUADV93rT70(*8Bx#S`mCI{_*!@OP5hdle zwzhaA;65zGesnW=Hs`0ef(Nt^){EBv-qiPpoa+37W=$_K7?=h?pJ|FG7tJ`b9zQ@e zZY!^D>9-Sa{k_G3u`j`O{j4vDlI|+9awDEPE8s-X9G?X9E(^GM)}H&ew&5RWF{+8>`hbt}yATmkTiBB#e%03ep#> zKElAOxq1rADqhb~1#fs=UH_?xP&9n6x<#t(Nv`W}Iy$WaSZX8uXugH1xe!L7HOzz3 z6Qcd_qq~u!0F-BSS4zPXC)4AKK)R{a45~n~{I-aPHkNRl>Nw>)Dvb>N{4{)-LO8h^ zWYi}~%ZYaSTYv9B@0-?r*vxR13|i|`Hqz)`=C+evyg!p+*H9HN7ByU&>r3x8t?5Y~ z!>VMfHu$O$`>%u9J2k*Ff=bn*0pCFFHXmV^`sFEPWaIhX@wRcoMf}6-p*gTc7a|*# zKIY~LMs{%@vCS613tT^nxTo?vc?laGDdm_XUuBT(g3Mn~gZ3QBqnG38LJbWFQV_VY z9ZvQ{v%k9lgL1#jM=xiH> zf!>^?RmD1%xHm-udMespo>6nNmrMr9ohfBu@bMObE1t6kef5a7ATNqSut( z8cro`UXfZzbfV)FI!Aa}@l|`%O6kT}1M{)g>Q5l-?{+;`0gbSc-Dt#YOWZ)c3kX+yPwSaoNd%Salws6q{ ztdB}$99SuWTzt~p(yl1PXLL?uNJ+tN*3(fFtv5uViJ{uU|1xkE9B2FIxy#NW56&ard7gCPj4!~Ejzb%MCvrv4JaTPWmh5g+jS(jr_1yh!Q&=g52 zklCqV4~Cyj!8brSZlYES&<5dw2NU8wt9&l`py433wlZw_J3#i>TnbUYRzG{8I()0H zd%`SqjtGKealcq=^0cLB>rovfV!_TY_Tw$B*yOzGXbFFZ4-;kVpZgwuFS3X%6C?U; zPCJ1Sg|ov9(yz!pVs*+WceT_rr_=`~GSR|-FZuJ5`qn8pI!xK?WAAH8a}=S^fn%xc zYtem~f>cyAt+AjR90JoqL>wv5Dt;-9hALbJ3!QZ5HQQ&pvq#S){QxS~a_(y%$l*R( za$`1R%ftbuf{)4j#7Tg*3%B8R@o@u_BwjI?|DC41)WVVUc-fG7lzktMuf#on4c*|_ zZR7NT*3Q28|C{b3`{97PM<}1-RmHKSKoir?_e%{YJOWj)X|wf_zcqBmf?&h-=-J_x z+s@li4;DOH-sXz0_^2Dempg`f)ul6)m32%e& z#at@=wgB9(vsE%_RT)7KJt2CG>{h%9v@cJug{QM;CWh~}4W;~qnkcOrGixmkX zpA_#8!4dt+MAM~E{hoDfZ%QuKntLFv2l8-dDq>yZQTDfogqB(yX~<&&IA zlquQ6C?Xjb4|vWR?)D5iF=+bR0LJwd_U=bLdH{n#ZtA18{?&&}L6hWScY^O55 zDJ%yelg{Cc_Rb`B0i>yUaZZXqjuN7da`$>^J7LS}naEj95{KFl(aG%M_MwJT?pwZ> zSY(2pP#DIy_-XOTqFwclyZ3s&4+7G`mf>`Jzr&7mua(e9kFgbGmy4~fy za`GyTPhv)9Og!44wsKZegne9($zdtFNu(Om#M9l?hLQ-G4U^WFH zOP|~SmQ+>@y&UaJ-I{!sek;6?fQ`$CxF$o`F!&=1b&Z!3y^mqh!*Z`E=nN`FNsf2w zD~`9ldD_2HgTvYawGwdvRi9j*`>jzwtfGsUU!AB#(-kU^96Lr&^?>Tm_s$;GG&;%E zznclimj?hufd`P%aaDN(GV~?zQ_Uq%HqvcJN~iF+xY80+f?S!7@TOa%Z;|m znBa!@H|zPrIxB8HMDby46UlP*>IZQ2ExhZ+B*Cu#SVSaG5bQF3zg5DP2$A=Nw-xcL zji-ENu%*Ooz6HEy~|5%W%k??CUjV-esj ziQ$Z}U_PO(_-(NxX7Qm`rW1xjGwk|@&HCNDLEO(XpET#X0cBe2cL}t29R*7zjUNZ6 z8B~lPd)oXIf??D&(A2ZanLh5GyI;`>3Z52Sk*E^rQ8DKx&(d z$K;n;2Pl~e>2UhB7MB_l0I&KCldUU zLNhHv)gdit7WN!qn}VASI>BAYKu2=S1FfOF&$X!exBN>%cgwHi?0A6|uPQIj=f!>w~?ca$1{?y)PwA&p9`sk>L43BTlA?L)c99G*Q$=k&g z(1eSnFs^;b_#2xHIlJ&!fkJ2TpQwoSQ9Z49byqGRwd^mi>VN}4MihdD68Q7V^XOfR z29I-}4kyCMUQXVP+D?s{fT3a~54q8Ab4us`Mocba&{j*Nm^DCL1Rdz>CxiwS3|PnR zszd<6y%*w-+U7?KcTyrT(8frRQmO>p9B*KPJu=i0RV0Mq_hB9?Zex5~ZXUe;1_JN; zZ1|utc9sM?Qq-a z9XlT+t{xr5T*4`;>hta+u;6gi+qu!!3m)}6Yqn>?=E(YswQU_mhlAAEdl&lHOFV-mw&|d!| z?Ll)<$dDUgmp~uOsr{`l_IhmodKDz-5qdsQD$ML7lSeFjs3TAa?hA40L?GG8!P>_& zXmWzR@MlNc#q+5-$4%#1TuA4Iz)Y)GQtxt-KYt|vJn%59Ty+znFCJ^8;Mqmdggn{a zk9Sz*18`WMX9_2Gu|2+QjO#N3hABl+Kk*~oCUX}r_6dLXXH@kyc92n07si=0$~cZz zJp?E|H}Rfrt8y2=vWz!idDa7*6y**yUMNSkr)P6e(=pOtr)>>Bs-A-%lmwjm-{={( zCv?L79DGDSy@82m2$gdQ44H|_=d8c7617d5NJHDNp5|hie;vgtGlHw;^XkkCpDR!F zy^y@D6)S&xhVbd?C*tgHmJq~Nj@)Q&R>Dk%ofY4&Fhbq50fs&vJ_5MN$2V0ynd6 z9CeU8kv;$M$-YI92icw8lM$q1O7)^Yf)m?QA79Vute2YJ*3*C=i$PciY*MIY_rJ;h zHxb(E9WKQ~E(EKGPF*Dt^Po%CVSAw0%l8K}**Fc0!f*Bbp~UR3+L=(~$~l`srDmE+H{a<|vJ^0e zRKKBkS{V@#KL3PpIAj6DTqV>!&f&R2kLvMwF%y?PMrb8G(-t~X{-8hB_wQqZ2z#`R zTDfq(#~vHG^vV`{>d9<LbSfr5 zESP2QC;*9VeLn;Ax_oR!j#_(WB?$^3lR4+x53R;Bsjw3Tv_4Q2k;k9dtd?kXPe^O!exuHL zgm8YQqSSLtH_-%+cd7c(D;QC@aX(xhypK(pv?Z-1?0%`&zr(;D&ll4OHom13+sX0r z8;32Cd*!E*73*b|ecvuVc?*+P#CA_Xy`at=+2^j)!W@J_b#IvWFr&^R z7-19lr^^Aob9UjGLy6b)orv)LQomW5Iq(2nf1h%Kq#wUZcLsa1RX}?2i^=N%qoQuB zev-@fA<`J5$i%G-H%B0CH@l-&{Zx%!{cYI`xo?LV(I4qOan2dP)a&;#J6uq+(_IV* z?0pUu&=mTN2!+`UfAcAvaNuQh#y@Q!-0QJ5#mc~iPeG4Qreg{z(b1*1Hhm_x>~7n` z2)M;tw2?Px?(w+UojPyNKg}fi+cQ&cgUyj_Y}!Td68d@w)b66KVCUeBqv$>yYa~~ zXNQW!kqizQ)4S;ds-{k|zS`C_J+$sNMKk4S*O40eaV`++vI4Fa70|0}zXA6Vmk*ft zo2*TGc72lZmP@E$S^eIp`w$Z%_gw~*2q_#vsGxoPnRT*p+%uomq@Mr7d`TNm1BCoq z#NuIIcEklF$nJ4T>p$Xk9TmrYFjrh&?^8invPJ~g_^wkqpufK4JAByp-c-5zfz6L4 ziW1O=(bBBFxKuq&!O^cX`QMI=Ld*Yd04r7I<0M?SOneVeXY;O0*KJfS0=V^{BBsaw zVY*L$O+Cti>u7X@59=XQgMP^L?O@=eCM`Y@)jaZgUMHRBb|Z>k9nJT*Kni`?9NYV&h03+|P9m*>VH|DIM z@Rm>wgBqVXQ5l=|G%whX`-Be+!UhKC=-tI3Gr`J-G1xlFtz8OouMg~0BUV1^DmK!5 zp%%n94oeFyaW&v{#Qng*%P*cFQOdx7r^fvZb+A4Dm};MUy9~8DlNV;f_}R94?hu!# zc2~e%ilumh%)h-(=I+~78!}#$@ir9Za_q&f+sGzNzo~rB$6PiXoBO_eWLe03kUf`R zqM5(zD~}@u>1<>7-knhUQ%D83P6e{C5y@HBJ(7+v_a(aG^?+Od`4Hqcq4Z1$b5#vl zZeC_{4aQp~KevCz?r`q2yy127dCSvp9#a2w7UK&FP-HFE>-?;lmIoY*V{w1o3aE&Y zxch@t41v<5vh>tl~?C$I`RY@AdE91YZ%xb`|?d9t1vnq08) z1H;{ur64-|JG#LWzq-3W5@)`cru-?Tx?w^!wiinvcfx>?UYT3JJ-nr0u|Wdd!Y+rgv=oY3gDo)?av5Gvu$W!)c~s}|k!a_^}fqPgd_VOmERKQb(Ox9E6JW^S0_9iM0{}NL@f-=6 z-s&trFg^oc)U!Q{%GBqPLwWb@m2O($t55OhBk&tNCGhhp!&nSnYW)5R_VcfX{{YE5 z9e&e8n!nR3^J(hKbExlN5s#M`a&w{pOJkpK)OqcXpxy0$DcF4(jjR>ye;AaBbV^lQm+- z@0591AzBO^^KsRcaRZN-WIG9_xS95JJ;mDH)Mal;3w@ch_P0O$!O`VKPqK>@%2J@W z6j{eZqBO1q7<-ApeArHp3yl6jS(7%Q1`Rxvf4U(be`(oQ@C+}HF&6T3^?6~yHbh9X zZ3Hp|`L2C>&lDLq+Z&7580Z33tIoD$VEKpv)(W=Uq)(9vIg(>GDP`m(jNmq&4MZntZ#w{H2jAZipD}qut2ccp`ulGD$zoP# z|I@GhK>uyneaz2@B_A8Juz#E04NL{~)2=CsOKGtEe2ka{uAll=&&TKe&mZeuJdQ*8 z6rWZk(xxw^Qzn_s{>--#|qG@JGQmXMc!b0KwtzzW`EJ?WWM0XX(ZifP;;6 z0}}vq=L~C|^tzT=P#vdZvsV+4DpD%}FR4m2ISB`_Eyi+g)-n zewT7J@vm=K#geA!uSIK05E${)T!&LiwtLz0E>X`=M$vUiZr!xVu4xDreK_{WpxyqWm%zZJd0<1@WpA+ZTA-jIK(#}aAt=D!y7 zf~e{93r`X&KMk0S9faQ2*O|_OhODUM1ZL2Kml~H_)^JFZb~{7qcY$Y2bA^%Q83m%u z^LzXwH}u7~zVCnK_s2}cZro-c;TaJuGS+*H5g5w~PJ(y$sGos8`_NK`)K!P00Ib21 z{zf!d?_uQ)DnmgXGsl11~Sp-6#kUIipOF7rEbAk68HE=E_XY?-qUR2Xg5JK3lIg z-RbJ`4F=hhFU^6n`nzY$sl>zcbx^cG=m~rqim|a1)JzJ0v!60*bt;~1!Z4ozfl;|E zU_IbLv>x)d7%fpb{|3@bh0Wu7ei(My-@s%>$p@a|FPg{FH1`p^16N2wJPvT6T`fX2 z0lzGPKWyPMzTiYdU}J-Q6p`5?CZEb3ztJdqXVJ^FsV(BAfjI`E9IR#6%st^gVKC{EVC{y&kCAG;Iw|CtfLl9)J%yKJx_4#m!R zjCselz|29_qN1yYune6wM;M@ zyD;}JuzvI+IdH@GdPaec(!2y7&vmoSf#h3;@-;%0FQq4ymOSx0UYs1rB+gI^WHn># zQ{h6SmM5rzui~3PgjU2`*+;%bdVnG&c z{uYdBipuQj5x67RSd)wlfZYzHj;QmmBGFmh{l+(^JSJKUx7Rm84JH%-A)1$F@$6Q8 zLvyVrL&Hq&^SQo3R~psr9Y7?ZJ{6m1UoW${kJ#E{WPDH}4(hx=uTQ&kUX}SS@Sv0N z*^yu%&cA`qHIJy}#K8l)mYt?{oFD*Z%ivDBl{i4Wbih?{Tt5RPF9J6Z;qjO&5@x+O z{(uLca?C<4jf&bmdaN4rR9v_Ak(mkW+ZH3)-mI}Eh~+_k)Ivg~kL6Iqq87;@N;!Z) zOl!OOpi<&d4G)m6#CKGDbnG*mMF z7dXhr_~8`+;6jOMt|V;AOM9-Zco(C|!$Eq^l5DIJK@(jpp=)8#ie>G~jB+&mpNbq? z1FS2rzh6pEX7EobA*wq;*r)+EM`g2)>=5{}awZty0IN6lhx!sT*@Thb&Q=`_Q>Fu4 z)4Zw2W#siL^3Nk%c{8iUxdP*#%sAtoKKLKyh?=O|A{D@K`+Okwi8!%Xl;HGWojUkC zL=5u+{q)$cte!9CpFpN{Ip2KcxcpOLN=#J77%$B}9eNnc7Q`9oGm%F0;$6meBT>86 z?O1}!)&3TPg%4mYGzZ&}Gw~Z3#=tBE>n1Qs?{~cey)&kAU|m4&fcq92E#!80Hq&(| zvP#W396eF1>jt87tg)~?JcY(=0nd8X_VLQNhb$2O7(hPe;I^gPK-==fjYL%ld`;Vw zW|WZHkhf7s9rqi|MC5`OBnkUkKdsjO*jT$6fXu}m;#;ZY2maux){%F9YC;+!e%H-X z<@3Rp0sWXk7gw8%)U@c;21!A>Nbj}^vP>;9xoWA-Svd?+RqQSQyyvCUSstPrSdmGP zvd8zb8VlO4^sr%_$9nKYKjG}8lYa~MngY=(9KtvqK7OSp$Q^|&FqKKjD5}e{hry9% zO-WSe8d19I)9p6XH_b*-o(wcdWSfX3HupOp1ms??>@42j9odzdKzlUsp2IX2XdjgLPsJUNHD`n!tA^9 zlQ3<|axmQ7hNa0Sqtn<&2`FVl)5@L(UHDDz(VP4`DT&xGR9@t)Cgqd5dwb+Ebovtd z4uC5>3s^aNy`(HJkDU+Z!t2rJ-h$G{HNLkkX0!b3L5|@ht(%<}b?>b8Ns5Sa8n&4Y zTFx0eD{#J2nw48gkc1S57vGSq^q6 z0jQDNuxlr`$vnGaGq)l@WdY!=Gm74Y!SwedPZvb`L;TLK_oEYd>INs~0zccJ;Xnnobq7jzhMWXoTKiJ1-DgOzbm7GSWY@LF? z7GD_dVxEFrf}4;Xg1q+3-P^&yyi8k3E9B1Qf>!I@9gB0MzX68hd#@eIcKhOTmL4p; z=aJ`{GnS=g8DC$-d~)=B$F^M1?c(v|sG_%$GR-qIY4O-@_wt)Le{qw19DJu{wmk$+Z@XcnWPQ@&ZCw^WJ%T+6Gum=+F0J|o4Ky< z@kwY!5aDOpTQ(cYH)soKO{@{>tL+H~#ZpCNJlsGE10>S$*?nF-7a-6mzG|b_)O`1* z7az0_?RJN)0{C|Wi`q)L5~+x98#^xy0vpfDvFHsIiUNS~ox#!u;)c9}vK5$&pOyVC zMF;o9X!}ze-0qHEv0O$$@G>Y!K!bH3IpxLXxCi&lSwV8&ek&ev>%fWUe@W?3mgDI% z2coA1==$SL=iisH8HmMc{nxUjT#_w}J`fjZbs2%y1cAOo20GB{ z=?^ROV^wu`_1^zEzuB>}w5rNvv4H8*eGK>EJ~y2Q!G#Zk%Lv=IOeVs^+y16MwnPfT zvIts*$3M|_$8ZBc|H!*EFR4%`tFD!Qa#&9(mt(#5#nof>UM z7GO>Ia=VNCim=SmE}=Zbmfy}+pIPYd_ znaX1e?v;Y3`hY;sHG2PHqSm$Vo}tgDJpptKT+eg@kr6V%FwG>0)jKuSaGB6h$(rGx z$y)EH@x^5k!w*B9SC5Z8oVYYya10p}v@bOqAb~u)prt0K z>+L9*wX&Qb)yKoZ*Ws^|hzLskCyyNC0+-@b=C}TZYjsOwjpq>9%fjQig=oZeyw?4{9y857W)BtqF17wxo6CNz%Y3lEE$?r;H3HZT` znj-LFp2i}7)*avvIkcbeL!-yx!X2FeJ%j zPTZ*+F4>jmLVFY4!e5T;;+mSazot<+>D^(%4(Vrx3|}dtH1Daoj6k_(b)5B)R?MWa zGwMcu(UzEI1xRzEV}p9b^u(V=+s7s zZvq^N)zUa;bfvLj*F{j{Ir9b(>c*K#W2TrJtJ;)=y$;2Ko7 z6bbU(Wy+mQoX$;F9uSF~+UB6Ln%)RV0DIIyEyvxFLesd&eBZZei76=Iz&oJ1?JbNj z=?i*i&GbB|!~)T$V9C~?4h!PHX4lcD>HC6pmOKLLUr%&;!N%})$!uPb>rF4`FsZ|qxAnLa-{PG(|}n?arqxMqJ9-T{M7%rDL^ z#ON!Qn?~dBwCZ9lP{n;Tb_4TC;O??v_;=F^{gDO@s6vv_UJenQ>jo*oV4rCVa zk+6y5ev0Fy%-Sa11e#|L+=MAtw4Za}mZis+mwU3Lx!)=00M}{`IB6@D{Y$7FJ|;Mf zf1U=|t!tt#t@+sDY|%SapI+Qucm$n+2Rge3K{Ht)=xi?uupJyGt!CZHT50{Cw>?1gueBsG({C{f0 zp8$V<2Bnp69-cyGu|7-q-)#fX2{^NgB9b>7H%Hp3lLdO5#j}D8%Y76!_pO z@G`EEr$#d!mT>WWm(?crcA|=yn@&h9+V)cc zx;SQw`@MV42jpSC{&SC-e4cdjbNE`dN&nP16(KWU{uI=A)bzXqX`r4}ev+1AYW_%9 zp5@;H*MFO(Maz%OF)v3+98 zyik6)|NDJ^uiG;wzp2NzKJ@$@zQwqOhLGEPLs63C!>o^cH8{ya=BH+zDmN@@ZR(?BAx{BGl{uIz@ zAth91-lisdpXsCU&1CIAQyYWg(KQhATVp6hxl zQ>t#jJ5hh?;NOoDRWd>1?XaygzeI~0w^sx%(l)V~qI-wrOS%`ldX605#*?CNxE+Lt zm6|$Dq0@ircmC7p46k}3M!k4a%UpD~OaE#g=y(dUdjZE00e@SkX>ihausFP)%XM;_ zfQ;?8AxO2Xz34$1w&E7rC2*%YzJy>EVzJnIDe{*0E2nB(kO2D3Q*OsetE0Eo7G zA|pp;s34u41M0Ooi%k^3cUGXp@R_Nv@3D(H=QaQIJ5T>i%6)9MAWGZ!`q55q-u%vI ztv))b=^gx=&)@i6Ru4CB8Qn~F_@>E&j@7tItl^Wpm|zt(==I&gua`-k^T>U%#HgGk zw!y|{I1U&a9_|HSHnH12fnhuoL|K}BVF>N?_|p(h%J2ATE?+a)6+VdPU%l|pPq}Um za_y@_WeaV*YrgZSEE_HsQpBC*%s8^+3IQcDPk}rr%op;W%En}+9Kn~ya&5`5s*jU~ zPTDyFXue%KGt)!1D>W>s2NT%*mJgu3_0_M-LnwpCfCLgC91!_ z-JLNpg-4M#ms^P3lN|&Q-l?>&bAN~TO;&3`A~nvOv3*h&KY{b_Zm7m{-@okpRD}Jv zwK0z-CE#~ses4O>A5bD0_MXTZu18f4{h670+Lf~}@$w?zE^f{MEDGZ&7Iy$UCW|QU^iLIGphm_p$mg9yHePC;rr5 z(1-x*6&PLAt*s|_x-jK-Xmb#mD+hM|286H=kl5n{IlL zof`mBWpC{INYAq;6h9E}z$66A!Uo}!Wz)lzfc4KtV{$IyM)XbLcb4DD%-53-T0`5P zuiGDM*;*2llvC7LJu$TurHPsv{o~0dE0vI={^^XoTOx1a%zx>Ow5?=9nPb5IQ-d!3 zw~~f@{b_vjhGeo0euMon?f9}LAXQDlzn__xS*n49AoBPe2TGsU`yP;yG3)ua8WyY< z?Mqa`ox!Jef9FJ9`7k`Z+(QitI*=KzS30t=9?Nlwf>vT)XgV>lD5+K^aqbYD(Lng4 z93iTAz{SA-ej9k7xCQM)yYujVM`8(>0!OB1jToJYn74~iE#bwu{vLO*2_<`X0RFW8 z-6;LvI{=^3{2w|1m&W%{JOieSu(i}Sy%WwS8d*ETj5%hXk(%H#B zgo(}PFr$DC8ZBDvCl;?iNfSOpPjBIBIQMr$K8r%V!=0X(#k=ANdF;~lxq&g|?HBlf zH|*jB9sUkvTq%37BxzZ5xLReV7h~tr#g!6to?f^2`;saSclt0Ulbbt1t@cWF%nU8h z+}YKu!aqJ^Iz;Zlv#Hy|IGAf==_EB(8l^x^cYqPjdUwx|8MkTt&^7)CQt_Pd+C$`L zr(b|kvL1O&}RSO)YTw<@4-^ z&c!;SXAA?UufcG77I9$TAVI+(Ba{8!O7NL{j>Sck80+hz=|xcS=ZlYzozJHiBm)!s z>KTL&R0UWD7B=xogeD3Ezxwj3dfveU;3cCNqy5i%>z>j|Lc6l5{v) zy+r+q(eYQ88$A7xX9$tI@<9+G6mOSqlgPZm5`_`oL%qL-KI07eKT+LP6 z!|XZHVH;5H*VLVNFDM$MtjuZrp0AmS8}-8ex>jj-S{X?LXe6L8X7&lOh8$0J*6g;X z_n&Xiw-hvJMQ_t0pfFqbcW%<>VF4vX#{N_KS%EPoMn9g2u#rA>bzuNXzhWa-T2bC6 z9O@SqobVNnc2QI;QFZ4iTr(QDl8bnBp%6Iu;R@?Mm;ETm{^ffsA1`;D(S$V}Y5-KU(Qj19$F*ik>c)oWW;rufB__1Z^>MF=cMo^6~UetEjVS zUBMrcA=S^@`#F<_wq7)>9)qi+YFoa^#3V*h%7D@1ymhqG^!FAw>-2-{R|jqbjIMLpwmQug&zzoUrlTE|Ke z3rT(tV{;^Vs4>Hjoeg4NC6qFd*F%{SG9Qs46$YwJ56u&|0WK2q5q@y8&@+pM0p#Vm z?p*rc!voldCissLs!0C`Q5fZfODZ=&%i9B$);XVIur*Bg`e*n`{q z{nZ;;7*MY7i`jv3_S3cC%{VqidYv^#cfOk+eQ!>e{fE^a&p_B5=25uf(p^t=)3R;< zd+^3ZnYRAm$&f61JSHXnFkXzPcVXuuElTni_x7G8=WTyGa$e(TX#biE`FEb-Lkjk| zeJYgy(SMX*?lWV@zco&%@`YFMHx~ZUN!4Q=|CGKk z>$*hz=YSIC&m}+OLHJL6KMnEvKWO{r=MrRi)VS-oQ6rmp-v3Zi{l3&Ks9F*RGq+S? zcqb$N^#A;;@#pa?>5c6k%j|lcphei3IMDKT{{@s-RuEq7^DWCmO7ji#W zRt@?2x#Tjx*FWc6cz+uac7DB`r~!){D`M(JPHO$}e|Q2ygQ~{|A-bDc^MdTG(c7l;`II1yV15?~_*yF<*S~xh2BS z_{)BXR?z+14)9-_p>8PfPfygB${EifB>r6n3e1O|-M~a+PmeHH@tntipRuPvtPwF4 z{rmU2o#=o2Y%X61$LZ0Jk9%q{Q-QRssz>X-f5vg83+j_N1q6=o*N@jzTyNc$$il?M zwTvrfAj0vl_Nwaz?i9%%wX-&Vr;7Dn;q`?ViwNQfj^^xl0>l|kv-*bLLuw)FXD40Q zy2!`FiqZb_n;;}NdWrsXoKYEG-h1?^E<@HJ*9GlmKVIuj~Ir(^Z7)_WSHr! ziIAWfmPr24&Dv6a8AR{_47k+4dI(@Vi1yKji1l? za~=*sKJ^?0K@%kRiY~w@1{NJg!Ho~IrL*sx=qh$+{EURv&Z4#-Hl%VO-6$Yq{Huq2 zd?e=YAGx%&;A=k9mYu#zSWw@xRc}>C5p>#fr>WpcJW^1t4|hT1mRFk#DhJJ?Z-D5) zsTUSeNiN{hG%(4qhCd;(5tImj=lz-zj>Cq~KVP>X#;o&^GoB|H=#mQz?I-EMct(z_ zZUvLq=3tCca#CFn_fYl$k+l1z93uRHgYQPmwGIdkMBQ8&5-#Otpe0XHFh8%~*Sh`$ zRl|?L`}8es$4_~>6EEzpg{P8mkFQ8mi(TXD8`AaFPP5euqBUJE2jC$D*fyVO9NHd< z<)#Gd{$f0puQ&G&?-J5Dt31z5Gg*Ai07)1C{hrLgHI7MIM-oLTvDN(1S)=++Vbe<< z+}BW3?Y4UC-D>o*9$ZavRsZ>z?3SosWG@&8)*qS?m|#Ms&{<56pN+w?yhH<5JOX+) z!mNZW%Xj~s`j2S3EJ*CWh-`6+`sUf(VGmXv3IRS}mTOJE@^Q~?0%O;n|HLQi1yJ#xRlN9PY~nY_keK{;neutN^q&sd zabf=!`3X7}j8zRu>#4kglczFIb*HT%+F|IFqgopdF-Mr#@}ymoi8ZwwiJscUQ=7d9 z=qxk+2>`N}J-O91e?2^h&;L^Z&Ms(vuT=iVCgqsa313VT!~*9)bn6N!>dYlSvw7Rc z*x*27F03E-E(wE~`h_m60b@SwlkKgrTjm}Rb7MSvh`hE$9OIuA+a;xN%W$&2eMcWl ztbG{59?zdPh`+)43X-wyhqiTlKg3{G1~I~LkTD#G(UaBJfE~}HTvk~3-6HUK#Eq~l z*V=0-zJ&34>VR7#wSF#a@jFQ3%dt=3^tG5Cpuv(6!=Tm++EcN0Js&H21L8fc04XFM z>im`pJORWP(7=`;9=T^r;mg0^1#|#eYf$H?G(^ z+m6mUaGqC1fme57DL2Q9t(!t+n;?8`1jpsrm?}=iDD#fuNhs$7B|QhU}PW$ z$X=4}MDOH+fU!Ig#;kUXvO_N_r5zXe_nd=n1%9ZW(`ZGv9_}X~eJAX$#c$<>H(sE2 z$iFDv_r95Olrs;v1$P?mYgcP%C+LLS2lf0|oPkaS5U^QvuSoEv@VE4T zKl0Y-BVaB8DDLQY9yyOW);4HCUN0mxL3HcZW?;+i49rIzePJgBlj1Ykk41ox8zwC) zg2nv9qsKRvSsNOQ<9negCtq8!(m}wn!3WiFGOZZa^PlfiSaFN0fuJJtjs04ID#I#T zzxIPHz^3<_Z$z)QuG1ieeWx{kY6v^U%=Gvo`k7XIbgUzkS}yZ=iEMM%?s}!|qr?!{ zv;YZU9A34~9@MD(0VEVv)DdCIMTux4O1cjmu=x??)}aZljz!pb}XO%9%)twHE*=PEuTM*q_hzigSQ&`(S75AnO8br8f{>Io)_En0IlG1&HiH-8a6 zXd?X;6MG58V@w3Z}(BT*A^cV$IZU7Q6RJ*OHYuo?!LPSj?mwR|?| zZCybo1`1EZ-DN2-JH18I4KR`c6()TGauZr0z?V9~3!!0rGPMy3%+UPG+orS$;9-!? zwg3>Oq>61Go+o3!M;U;T1;(LUlN_%GAqKpe>Um<_7r4ff4| za4h4-zS&s-AL-vSPcqU2@MbbRyfD@9crA)=;t9R>)MeEYO1uyE3hsr7wy3zl<{!>Z zEf*_#`1^j`G2E=IonFqc75aT~PqKJL>?1d#^CZbr1I?2>xpdCkUU}8P>FgjpT$r}) zNV=cEWBjeM%AVKEy(w0*#NZQ|9;BMe`QS#g2dA+om#;eDE`LU>aMoQEU1Pn`y`lz< z>3kjCnzjs6cr7_zlltr`QuX(@V*6*GEFII$RH|&)FTn~1Ne!I9v+Y|oW1XX^T@4V}MT1*$qSZv`0f;4uxI{70Y?= zsUrVI=1i>(l!@s2@xiqmv!Vv&MV-xy%sPZ&+eJpLP^Zm1r&uU^4e%+J!Sei&Q4d%@%RvDq#ya_5Bu4KMErJRuWr$!xJE~?UQ`| z5W{JDQ^Lnl0gz=`FnK-mFz0)Lrvv)w6@|xzVGm7Cf6+OuJAeJn9}vsy+PbI}Msi{g z0r_4K?4K0SPZJBPL8@_tSaxw1PmYK+H;)yk_4mb`%wK7o$!dG9S|K(Y=o3}lubt`= zR&69#3hLND(}$-VbZ3E)4t&10MDKKbtZbit>(7&#ZqPW4h_5zodv!9%_+(UW&s`ea;(6kXkvh26gaF^ zC3E?tI!vLl56BP#o1GS>R)+YbK{TjTzU~q5xO3_93qd@+VymZvPlM~16}};fO2psf z^20~Gc!pUcH%91VieirTzyc>R3k2MIIhq-2elx?f<3k`4qaKLnKy zEeA|byP`^cW;KU|{>lwWJ1-W0)HzGr>UgVxbVj!3g;ozxq&?CAHHJLaB!5*{xLu$h z|ChKZ_h%H4--9;{!R@BPih;Gd4C}{TbNu z{(AIs+zYO~rz(rh7%H*>NTb36D@lm!gEA$sjN>WqVhE$0N0NdynWg@xciv$%!-WPk zL{Q#)%2;7hmEhiW1}1|NMU5m%>iHVj42t5#{)14eh@Sb3jO^m0(%fp<+x6YRZuvrS z?>My;DtQ5{kNkA^+<~gWsgyu0(AD{1O6KPu^b;FIx7s@>3Yf$}@T7`!JA+_>go=76 z!O7)4Zo5c8lr)L%PX;LtbxwGe0evj5B%r?Qxv%{zVT@S$b`E7IIn34(e$Du7EOSl=r9- zVBR~B5|;7I*bSLZ_zb~RR)Z+4+YD_tNpF)aP-hor^KL*J4kL(&(g*Ccm88rV$?}d# z87MJK(y(a2$q%X_?pF$!mIw=R$+(qlxk1VR6vKh=Y5!{Jhn$dI~W*-nN`>9dh} zbZ!B33HtV4GO30mayy1?Hs z{~!bNV!Q?X1q2!So3f{#p{I>+_Eb1w-MpJm>6H@wqxs|*L<_7E(o86S(U;)^U~A_j z77CNx48V^_o|?1O?kWwod*LfTcmJNM^6hj-g|}tmNW~5xsgZ)fL}X12;4h0CgIJ7L zP?x}5eqcI2tuMQN&)(_P*}0CUj=^Bqr&3WXI_4N{$UC|zQ?+jZqB$MiCyw1xSC{Sx{uJ|CQj z*WttnpvU9MA~G&C+=|}1gwdt)nagb#$$Gg2+aqDO9!L=?;cJ1-j(t9cN6scZpg*?1 zD%PJfin!_bU z1D^G(J8I$x$HgsIqMMml@)mJ68v(PhJ?)Wx;(wO?s&0Z-zhIkBAjPBa_qO=#o>uW(UZTpv`@(di^*ih`Q$xDzwrjKK)f6{L z>WG+f!mN~M0*z^?kZ_(pjRfTXYpTl2{~37lli#6#3z7t8rawpGi9H4i;=3)}LIH;z zuWf{o*HrjCzK<3DmOrx~|45XjkNGpDBz%)HVc+RP_TQ$*{35GI@#jiB!+x%0|9iUm z`QEf`*7lx(?iT!xcD+AI+=bn;$7f4s6_(OdV!!{nNUKG!nKWu}T7#()$y+M`D~8xI zY|v*bXqR0lp$0*x*aA((7(Vg@48|whKXjK4#J`edMo?ZlV5L1MgTcMv8QSG^EG8EL zO&>0T8*gTQ`b7&Eq)Twayh)(>dV5qU+B~Tu(R$)=`XZ8-1GxXD71*4I7Q9x{&4Clm zp`^e+A4q_U{5`3ab8y5SwqRX>A~|n+;{PqJOV6S#Cy%bcJ1O50{dJkt6-r;F?FJ*n1y1ltM4*UY~Je zs=)$eHA=J$Ps|cXCE4Zu^vpdlnd|hI;)B|$Ru_OoZ{{13gmLVmqMw#%*SipUY7Z~# z9!h4Dk7c?r&m5EHqhR_SNFr^)sCfaaSU3f{Mn89MYprQ6aH|oD9*^ti2o)!>g)!iX z2Y@sEP?q&A@#tCl&AxkDLT{ugE>YqssmgN9@2KC}$rXGDO(5g?m*=3})|%WEm~t|n zup+G{l_GF84KN=xA{ZJfFs>w~@=bUjvCVf7HozSy>B1B%q(YK>(Wd zR>QSIYW@fWvwh0`>aX$lL0`e(#>G2Mf|A}^?`;hsbXZ`@&+{~dXL8RCug1;UJlRCY z@~W{&5_y>*RA+=}{wTXv`%VL?NaMcJvo4Zu%^MQ~awo`N4>W;SLqg7r7r**<{U-Gl zHNZ$UtB`A=5{ovayl^3W<6^glG@aNex_3=xp@GIXY1>f7bVE(CZ7;x#6Bo9j7BErJ zs*dY2aK~=5eY!2C3yrP<(pD$LVyE<`rYQ6~^c zbP|+(>$U$aojq?WZZ+jq*q#1(f1J$>VA3rgBt1LpM-58^a7}|C#U!(R;nlk{=!%6N zx3cE^97g>4inrp0lqya}vwh@ohbD=h2amvb1230$t+GM^VsD!m9U(EBgM~@+-5dF` z&}g~lA5h}lz(iG%EH)GgpmM5ExMBq1IjNsqHB%(b?tdb~Jl2_AEYqx*!oH6}fB&hxy{?J4`ON_d8$>Sx_z=C3a#Y>z_WL zLawdSx5AdWenOI#3uae?_{y|Vw{ha>_e|@3Fc0rM_0B>DEpPar>G=tKQO(YNBVNZv z8s;jt!*};yoNJ>ax;d$E^z~Qb!oS19IBNJgA|CXZoB75YDLo^hn0K;}sewle#6t8P zv=p`Y>^Z-EIvG4Aa8Kws@hpyCx1{#Dh;T% zkjJ$;x0FXvFC4-y&+?If$M&)2P)*JV0=V$$3r7 zZ1H6D#1P(nZcJt{+N-P9igh;^9WT%E&ux@-Hbh>C!BtGRYEJ97Q~0tS8kUmhJpjwn z*VZf10|fCo!(a^>A%vAPsJ9P9mY{p|CfSvb#y!E%n_s&2ZD;k_t=Jy&f#Z+~N}sfG zh$Jnw)~depM;?97Rs5_u7_03@{LZ5g*R2QW*YtHC@#O)5gdWWE$AycIx*#WEiaC}& zlD}#%E*MUYG+yDxE3nR6g=CrTr zVCqEO(-fb-L2?&q$vF`2PD;_RF?BuP1YQnatf{Is4HV4~K>)MpO(a}+F!15Q395ih zF5hOOfhwZF&t%y*zmPXd$6oa-jbsX*i0KK@3IBR);)zSX{OsELMZeh7iVGE9X+mkk zQ^E)ndn#jBeQdnI{2NhZ%Ugc0-s^O2kfQURI8x;?If*N&LW5c8<#Q?E>_C!}!}3Y3 zGB-H-nFAYt0GOWv~>?56$bT)_HvJQhNB~Mw37Q za{3X(<>lf&>U_JcIL4j>J zOV?FArxd0m6k~MWuF}y;^>io-wqY@jN5f+@@<)FAUnIM|mVD%z(YW8UD?Gj0o?s}ACy(G}83I%24HA~dVw8rt@RK9=5``>)(pDF({-vwZr=IR;@^jKh*7 z^@?Pvtv3@{1ae^~5H&7Z&M0s=F9zXHChH16~%tyX6@wdj``WK|pBUEtew^ zT9`?v*DLD_xSmOFr*Z6k$M6gZ=6HS#fEiqPPWh^iRir0El$`4QSEK;*>N4Qw>}@sSNrY1v}EMQn#ywp3cFH6xbBCr&hA^hI9YB z-uTuE{OV^jUj57@r3psNS{u3eKxPjf^jWD3H(u=dgNh~@H0Fc!=Ig!5@Y~474cbg} zf#oWsw$LNf5`Z#?H%}5>aLRa<%4Nw0jRRUM8+J!j(e-Sk78g6ehZis4nD_ls6Ul-| zHPHer0Fx;=gx9Gikkn=S=4X7M$>srhbji|S;+3@>oj+MkDG}M7gkoB~+agAS)^W+1 zmTojDm!{oQn+X?-cHA&b|0^2UW0_+h{#&h{$FSukUvnO9QWZ7sETx@(k=l#Dq}4E^e4)S0wEwAT1DU`5~q?*DsIW z&CRtv2fi_c3oFtrO~&Ro?tBy}M&zRff@n5vq!ej;@2SZf{Tx7&P9ivR#_@fg4C_xu zf5+kz8?ubVn&Txx1TKr{dA{6PFQ!KqE zvz8C}EdO@}^lPT?;2WeM4c0}o%C=f#UdKChc*TMjnDJ=@M5gCfF&a^q#|c#i%xI8e zpWA}x{@#wAKHdcS0(Qlj_8e~6+P)tymSg_7Q(qTcB^gI4tmVwaF40Mjz@J<^s7=7k zV=6tGc7jBAMR|q&nk+mSNQEtnnXaJ6Ns9p)oc?a{z&;E0H4Esn<959fa>BIA?SQ? z5C-=3?Uku*Nn+Y^07anratD!CLzmNJuOZeU z*#Eg(08sii8&`DLb*p{3Y6?PLQ{2J#JX4?lzSW7$z$_yDZN%qxN4d~9u(@T<;_ZlL z*98HQXfsV>Xgs59$*Uk%&w>2Dbrf%N|6VxDDqrBb^0q{h&ep;hy{O2=8~l{&Llvjg z$tvFjWRhSA=E>p9vXgjTh*r0w_+UR%Z;97fX?Irhr@Q7){?imAaAE+1FxxLUeSErz z1UbjVck4f$J)vYWy{QMHCo_b_z*OO<`D|&7iW!lpcdgBV(<}%JSK_R|-Y;^JJ2J3U8lL<6MU{k-P-p$*hQDY73XDq`4t=*kGoi~w(Rh$?JV{7004E=|SsI z0)|Mp`uK?Jz{1jIUYB9w_v((l(bx-j?;^HQefNi37mULqGF#4oj4xA*kh<+?r>lA9 zf1iPkt>U!_4>7QB>M`tJomP`=#NIajN&b(+-&sF@Y;`c0;ZIK${s+4MEBOAawdxy? zfxuObh5s13_}?x3ik7SxGh6L^&E8o4XZzmWdc|zm3l9*kY@XN4?En2`E>JpEtY$$$ zhqgFr%Ez5rHUAIQjWDqSL3_X0_`eMI{x6Mn|J>#P9y9w;nJoDKuEXuVM9bJ0(;-~f}sQWNyRiQ1z+`6Y&&6dZTWDqHN{A+ssi`%YWd8azMG6G3}ra*io))8E|MvA*Yl3p+A1x3MQ zRM9slk7KlWL+5$}?GS_N4r62*OYPp~2fd|1DP<-S7C*Lud~TxoOS(wJ`&@hi6-1!m zwq&vO+P4_`Ec%|7J^_lUqlTBSgR@qhZ`$m7f)V zQoI6W(hPxB9^yq3IzI9&HhsN6@zxfm4Qiy{Qz&*tSSd+poF>DSG8tezx<9apOEF-# zd$vQfhY*vG&%~mi;3sY06{9E@~SRnWCrRv-nF{>xZNU z^b`L;awWNg@6mZ4ky0MqNShW0zDf8m*i2aX1>47K>P;QxK1JN72=Q%;I#IIp zFs!q|_C_?m^t~C7X?yR;C-JQBfNk4W?1}5pez^epXOuBVYol{|)A^YbERRuRN^r!u zjy&}W9s~88qYw;ARUbPhT$_T-YWb&q1Z?$U{~*<_sT9n_-4g^devV#V`DvJPQi%`X z=+=f96?mtCpizvDXjPZ*DQwOFPdXiY+GKPN=Fp;21y0*bLO=OSrY~?AOxH^F79h4D zlaT&zO5Ux37k7@SN9+(JIOKvT!+{qQD-{m)ssVd%dvS#a%o5R#njM2dLlp_Be9o@$ z`>Rd*&I5?RkJLqBYJG^0i$ZVvIx);ITe zc<@>i5Kz!wgde1H(D6a*T4sYQnf|dC=F}MUGT9fuKDMPYZMX>DCp&+Acf`i~Hn1u2 z1@jcfeX&AiLR8N|V(fV?Mti=G%g1?E(XWHXr2_MDfEFeY88S;zZQ&y?;v1M8CC|W_ z7FzIE;W7NRBVX=S;XXIKzFml)kYEFY&!2_!PU;_zsM7!l&Cj}LB|7{a2DOqWP9~g- z*h*6~%d1Rvp*JuJstuDK2yGr6a4C1hQxw{(;@NgK`zSLYiq;1m`giaAYBCCHgx&?{ zOm-*gY86oj$vOK84b3hvyUF1Ng~scSp)+E%>ikl)wf@`&Zu%q@`5mM!E&b$ZQZUgK}`+=X%%1p z*35H$PgsmW+5i{U?BN5{>-`c0me*K8dAZ;SQzBmXa2?jN`!=$;Et2I5VUo_X>H8F5 zKBa9PcUjcrO~IGC=G7=Jmn44dL{z&L^3f~VCK9=PRoY!To@lX29Dg^)o?4R%iO+Un z%=UFye2)c|BTFBoU-pUY$^=&nICT#^dmayK=PyR1-!SPDIL~;~)b9z=fyYf7G4O5H z+YE@}RHTV6op`E3zmBd*dSFc9*xQF5-_xN5I$0`~nkOQ8E_+IfAr)#HF2I+^JZw_!*%icO|wIm2BJ}q0|oO<%7HZOdLkA)+> z)5GFocxxEl=yrIbeFkJpWz^niI}I@btM^Ljl9C+XbP1zDF85^U(G2A0cuo)wc`JR% zf-`T}#Ju<4@ZlhhGEO~RSf^_bh~g`<6sC|9_DjqwcR-P>F`AMScHQXI;3)7 zn0YtMp1qk$(+$6do$Ka>Dm~v9Jfj?J|Krg zBYB^AvS?s9jM^_8i!>hag!5sjyU5SJM_)~G59!d_wlA!I_y=R5qGq_5GaiOI_vYjS zC+h3Y1=dNnPcAOmY0Xm*!XUh%zz>HqU)ui&W2&?_p6YF2=nnOJoYHh|MkUhrO-&~9 zxeuXfGaPyoXi1-PN`Xvj)i0hp&qqknvT%BOtB(OZ>=$uIygi*SfNNrbL&p&`w5Tpb zd5cp7dZ(S?y?>X$y9~T(a=nV(+Gm((Z=zae?n#|`iIJh*z}dChaI}I&su+j0EvH}8 z{n<6Q+ft5#K0P+H6$=;=ehB=tq|!v6zxX=#j0E*2lDcO^s0g2>-*s5I-8}IqA`t+i zu{*$I;*RM?0&rAk<65Xe!;+&d`_1&d4N@Ua53g3P`&{(82ogBl=r=__GqU;~-46XW zp=x{p_V`;UR4~KDigVOt599qQyzO!b4Vc^W7PjeWMMPSW>dBy+T?^*HWSV^6$=@m~ z>VwTkH>Kx6AYIS3PT%%9U-}k;Hd^KqRPxN8?`L6)+0RT0ij96!KsL9>g4XW$ZCX)O zHCQT*nDpG@h^|L~m)_8iNx=%OG1{8@wHS;Z`7BkV6IsF!F+%ev-gSTFVF4;vGiit?D>H&SsfI@?+E| zRAw6!q)~yye!H4FbORv40+@gIL@J(K7`%-940kKIYzDo^<0roz1*}pT7d5YnZ&wl| zDtsKYvVA>ah}xGZu8#Trgc%uxEMpX(vt31*3e&s`kUw+Ie-A4OrmWGDbr)Q|ScpKr zaLq%H{}aZkgy*qqT@itC-2@Z=0K(q9BLfSPaI#5F6m)SoEOr5Tb@&dw)8$pCP)FNv zb>fiO!cyr`JLd%(QIct+E`ijU&wo#tOVClOHD?Q^nC2$E5PPX#-SFhSrFoW)Bc#W4 zfF3=hP%KbGRuLhrsfse{iIeE?s0^W%50S5fcxSyYIrd!v@)Xm5^#00h1uYbkDJ#PbKp^mA{TR~;!W z(oGwEtB97Xzf`R?<$-D+v!S5>=2Xlxk{F*Z2sC}!zf`?!K9Di=R z@%A$J$umetpP7Uxc{85cZ@FXM3yxxNL;JHbd`U#?z|hdE^)U7p;jQZPn=v7o z)fHjlf}fr+A~+BU!fcVUcmblGNM(bs<0${6dh1XE6-(m*e`#~azlm7Hpz%wf9glCm zsUfc0M)Gy$%b&0Ou=t#-bTEM04$qeOWYN+fl37b9yoR_T$5xz zk-Q$6b%nahOnCkiQS6W0bbWJ0%xM5IpMd7+({LJ7ri71&2Rfje>9Bs600&OGFj9rWINzHfZP=nNH_oDT+M zC{&(Fk?f#PtKrCeaxKU(Gn0{??^W|I62t*%?=HmbKf5%K-`-2V_f$t+o+WfmxN#gy zbJTJ|ggKhu9K|+>zUCb}wRMq@@|atpevl?3zc-@`w{^q5eR)d`3U^WmIOSw&#gN=k zq6jn~S8_QBmWqRvQ4;BJjV-!$b)5pT&rH)u_4|179o*s4(tjv8f_&CdXf>NSPyWlC<3zuMkSaP&2w`f)EfnVC(_km5;Q#-@+gOXxr6 zS3TQtPgBQ@c`Pt_Zpe_ftdn!c-rTG^UqazezJ|>24QLm4nPMH7b-YzVJo&zHT1Voy zf8kP8-A)9?-Yc;lT4R_!(K&T|f;?E(7wtc=-X?yV-dW7p6irg4^K!o|^HDGNn+jQX zan7dY$v*Gt0g;Xu*ifJOL5nNxBtyPFDlI)_;!Zm&p|~5?W*p84;~#YJH%R8SQH-ky zYU?YWcT!VCwLWH^*kH~8XnnsRJ9r*+bgkO`ZKOT>>axrQl!C=9&<*24MXrlUa#ra| zfEuH>0U7!XK($s1!B=;M+lA$F8uk|{)+Sk7hwGC#o>X zayK-}a(i+}>CsVU@u#n)6|y-PV<_F>g$(9$wM95lQF7xC5HP-jFD1TJpT5CJOHX7hT3 zGe!IK17y+11=up4{shUtdZ0D=YhT1p(3UM0M1syWyFswooS(0$b&-h+ELUyO6S{sM z#_(wp24*gjFk*2(&nAI*0iG$AY36Z}u zG9OI4Y1%)zzHi7g=kn5Gjtct*{>IG>1zqYuX^|V!<#;zNki@V@9n2 z{YXCisA*Hn0{@UW5~0jLl{A3)jhbDlq$k#;T22!<0GVtuwizfjpFIfT;Mr)Y7i?8& z*#e96wX8Qv4>@f_A1MTX(c!+$&$_be6?yj93)*7H@+!Bem0_=K<>ojgbDiU%g#jK_J&j)-% z4Y0KHZrYZ5a!3AaH_N!Ot#r(`*YV#P2Q8#Ori!(}=}>K8QYEti>}^LNP?0emF&L6ZXL&BflJcEed1 zEJUQVv^NhIwgwNf{z;Kkto5M7EV9@O7e$ONY}A*I;@X$(X5sjZ+Z}frYd|IqFC+Q| zk%vv)x4Cwy?-l2xJa!WuFQ_i|d@O%K6^Rn-J!{z(H%eaYUs@T6?=Ut{)C^)l<)E(V z0CBGr_hvMRc7w2K2`6d&BXZoPq%A$dayg9K{(J`rZ6u~QYtX3Z&-emobm|wiC-2wa z-Y?ab5DK_olR13?#w1LdU}huUG1S0nazHwwa}~oty5M!ajnX}D(juG8r`gcx8r=AX zR3d3h{>;gx@{7Vc3=*2^WJfkYp1NWUdN^L;0c^)~rTCtPFqN^wHN zDre%8DIB{hwEUdm`D#8v@Z?Og{y=azy6;?t!euJZuvnbOPuFJ_f-nTS2Xg0&%ZryT zhWK(7L*87ex!IeFuX`DClM%y>(Pk1fEv$i;36x8Q8tsqdA?>W-rIW|ZyN zYIH6qb@MRhP1N%|*$&0p79lYIt)r!gmNcXz%x92yS!a&ZfKYxC-;>)Ykg=o-g6&3zb_p==ifHXw(irFXfhp#zW;JxP>Jg;8Ve6_W7khM8|+A z{cSGO)DP=V{FhngED$;7m*7K0H-v;-9sp(8r(IN0hH)5_g^z>&m`A3CA-S0+fS|<#!Rlvg?GVJ?2-=DUa?75n%c0lscr1F!5vv%k&nz6#^l%ecII*e_f z3b@WAAM;IkQB~6=0+Jh?{w@KEG0`Hv>b3_15-pl&PF>iK(SR?$0#jM6Vd;QN9`7`K zJ2ehb$BrGs~OZb_iFfva!gxy6#(?4A_V3y@pN zSJ9bKKDFWH41xjjoxRS1!ZEjOO#T=gQ%{0b!0Lr9++-e2_mT9`qdmQK6!p+@>okp8 z!0X~~@bv~BfNvgN$2_vkve&aUxaYVsM}_?tjn3G@EA-%5M&DC|&qzAN;3FxPo8%io zvrM2OjJM*Y_$p`ws@{qRSkzVw+=l2C5f0;98c=;tmv-R2Y;5RHY z-=@~`n+O5otWxAxsw_}ENgS(I!hKYPxznz$s!zI~rAZQGoiHSVqA)#%J)tlkINOT* zU_v+7EGPxP{EK6|S`TpcQGYk{WZ_^&POLx#8?2n#;QYwaAzDcM$;k1XIe*Dhc zT5Oxx6DJfdmOK--` zR4}gse@NY_k-5j~`FzTat(0+@3IJl5-#2Qh%S5&>ew3wRd)(i~w&;9# zl<&lZqze`$p8W+j5*NP3#R-P6NT^hyb24>@ugPx}*39nf`evClrin!Uii(Wr<-P5) zT%Y#XQ}vY7<|l!C7Vg}{MZUwp?EX{xa-T$aTOvxiD*PgfhiB8{niRitlNw_YI%lrf zck^xnTcrR~)~}6Pwe+m7^p_&vALiki2XFzG4jvOgpmLe3jZSWI8@z}5{6Iqpq35}8 zeFG$$jDw3mawc)J(k2^Z0@a0|8P>4V+jt^a%82DpLR1fxaY*|PcX00p{IQNMAHWX$ zvnt7{qqA#sf#e@sHEUgw;FvnkgcT`AHb98VO|_(csaK)6_ntaM(1zTLYYLC&JQDB{ zr$Ib_DsItH+RDtA+8Jhlg=i&z`~zaYW{8ztP95*paOeGG0DiZmm_H2aN2@bIGBw*O z0BD`q#eIW$E?NtI_w4_fz%w#VfWCyoK< z@#&tM^=*8d5}T~9mrOs1Vx;om%&HgdM8vHzVgEV(NRk|yA&lkpo<;7G?t=zO6P|a|C@;9W_SM&wIn|x zlAprv&%gKDzqtC1DE?6PR!(}jx#wRi|D!-Kl)jLJf3=d&2U8vzbv^9%W$uFHC-AMV z$G$LJ3x8!x%_?*!~O}A^Dkxnf3ZqG%X7!@|7Df*skw|x*);tT|F7Q%n{NTcRFTWi%0SQg z|M~*|>B(=<_TOHhovzT0Pg(HPkqdE|%l0Sfg1viMbz}eF5QSil0H9w4n_!lhOIJ?dxt~*vMMg_{XC=j?R^^;mkx@_ zm6NNX{5RVna$Jl+vjqhdYgn;4QX+J`TA>K|2Mn( z=QjU0^ZK=`D9k;*q6w@aO8<0IDD)Syzft-$B2GiL1ZEH{)hsRaV3&tzVuQ_hBpBm@ z8FOeLyMn#bY0}ZxTgehe7P07VNy*%}cn3Jn#Ob{xYnnzGD$7SATVYI-@th;oy3p1T zR=}OkW0F`)jJ8Q?-n8FT**~|rGiKK}Pyyx5BNmCLaT;NT=A{G3(Q=QFN!ncBW2G({ zQH07D;nD3tK{X%Odr#$zD`)YJ1MBdP5s;!vZx4BUu=5m2){IdbEpko3|K?5j%K9<$ z6OttQv+)ROGKtczaUAym28$lB=5GMpJGu$iU3hG0<6jZ zZEG^Gx%%098TZE0lUr;;O>(`7bE-qBRTL`8r)g}(rm*F9iK^I|PU=n35 z^Bs{fQefq@?5MU1q%Vocg5=MGisV_B7EW-nI0Diy+S2F?uRS%nk4_sf>D_4CBej7;(>!jN z&zyv(Y&Fv}m-s**@waxCiu1_iDp=nL0N#BtnM)|7jS5hQmmgls(4Lo!mH_jDS(xn% zfDwU_agPBZ!X;zCX%Wm*+F8rR(5cnCpTn*;tm+&C%s|zj8e>y{Q2s_8AaY6*;eD~;Fh274 zc)M6|3U^{-`!3g$zI950tk<{jGd6sPteyOb+wHZrKc`*%pwK6>`M z^1OHW2Q0%8$Wtn}_l$Dma;({iJNHunSULbx8Q&xUMZZ2-0Sbp+% zWXK&5+=Q@rd~qT&RP?f`byi$MU_QY*uaU6MsIh>H(+57#}O zWE{zqLlacfaHICbm;%@l`8&M7c``ZQ%zWp|JOzyPM>`=G-OD+S*BYN=wKWbrX;9P_e4XqihuObLU zq>LqybyK;mBPhx$f8S@3+ zX{}Wmf6%izhwUO31R+psl%IC)dK|H#ZuKH zjP&0*y~ve;Q_ec`BSMBJZmh&zQ1Y*nB!3+>ykh}>IAcEXgoV;7!i)OjaOH1Kl~ zb2ji_sY%bwP^L)Zvhod!k1z=mY;(0e|WJk%e^YWI;XB50A zzdoh0`i=yFf#T`3;0olW{nN|4=rsbE#(A(G&|Y7v5K}l^v!ROTqK9T<(!oiVfWHa5 z-p-f)4PZ^v#1F=ocBZZ*k_Ob#3OqhX4`LP}$BD}i2Je&l-FtAtoW4}Lbs@iZJ^V%H z^ekbvP0uDZR>cR%_@&Q|7$#qM(os_bnk!llMpN6-s7fWkGcVZUzqS>FmUrc$l&}JILuoH8W z+v*C%0Cj&7FHbH!H#P0?XRH9ZDu%r3E9gr|p8pEn?*$!nh$Qilwe4 zK6dq!JGGLEeBhOu$4?729wwMauTLA)Q*_|0+xtB*8jXz@pvW;m7ju`%WP?@#0>L-Q zI^Sk<{hF9CB5vsuego!(5s$@G+9GX`)W>f=b?ApcU(Dj;^%%AKr1*0n8+e*2X%~Yl z!f$jg0@to$5Ka(dH-)$cpuzuL-5Wx#C76DKftH#snr(i%P~U4;P11dh@4{HoHvj?w zD0xy-V_#B>56qp(#E0!uX7Krj0u#v|2na|O&xtxnv@s5Ig}#^xI-W3Q?%!|#T@_rg zeA;mPvr+Dd=E%RQ^mpRr--J~In$+Ns8tQANGbYzuBO!yNGOjK1llLv95!jkUKqn*= z)A3tT5qKx;UarzfeQm(iDt2bx^GUV5`Sl# zCPa(Pq@JTzbuUgo7&r9!cu5Ns^8~V)1LcETcmaerPyVW%4ZAY;C>tNN%73PAhKFn8 zO#?rwP$|WBk!7Y;BqAl{ERq(Xr30avQlZaw;G773o22;3EI6udA8yPw1_=cq{kHxASv-TXctgmTd5SbD8y1azqxAxpc#UaJ@|DQXF=p~b1IC1&X!CT3=1kd* zk^G-yh-jpOh?D+pGp7rj30kqnkN{iU*HU5B^@ZnIxNzHU%X~Dy{2Uh161eCYC=zvn z`{Nah)@=a8;kSY1HAtmuu4@vxM6!}n?k$2*!HQ&XCiWBSY@my*b`<-1MLBV_JIilXK>| zx1MOc-N}UycnBooS7)hyP8Au;SA?g!Ap6Y@YxAP)BFI_^h(PAr(fKGrM(=}^j>WCt zHR;+JQh&+aXpPxAjUHs~0i9=xCg>13s&Jv@y z6MT*tNEDGJ@7Z#o7sz$6QJ6LJO`%IBOR7l>=>XS!LT-m<~$pWAFD`}o@np%ULMNIlpr3d)cK2DNF`@P_xo6$g1iai-K zu&EO*^fR07xv?oBqOasXz9g+fY59|8<(Rvy{w)3_Nc^ir1RUbn{;8=vrBC4OXpshj z`9OXKN4QA7j)NGQrn=)OBU1|O%Hil-3qyDOAlM!aFa)fa0TSjo5bV6 zOtqx@>EQmiiqO9oL;hAly53i?SS?W3PDOR=U({;*9aIw525mg4A!?pCpO@gu&zaQ-}EyN9VIMJiD)#W$DZcKwNT%yPg8;yu+Z- z(;G*R&&W>czM6nfK6znxg+iRRQIXsK8F`WK7Ni0@4Ij*|5o~%pQHLUWhpdrxYzGpk zN2G72O@>Y_tIHMpQEp}6QPHS0%#8~i8t`s?auS(M(JxZj{SM|!R2Ybl+9lxp!cooM zt&t=9Ehd69GAgBZ{ZPQk8l|bn_5rC#ra2JAHT{%B>8al4cVRzexU3ecsfpA{v-H3H zh&)qpfEIFNQI$iFIwP^?6A#;ZhuqcTsJ>Uf&}`!qu$2b=%dvw zKue*Xk1fy$9eZGatG`PL0yRDE{PfTeWExGgS?u}##0HTKP^n_UR~ai_HuB0uX>h7r z8p6!#X$)h0X=WpTZKw^T9N- zBpK+!uy&zJcxiM@K#Y9{I2}eF_~i_dZ9kH5T#)bc@+K8!1wG&4en!McJ{B1sx0gx3 z?Y_%2z9A?tA30Lxouylm{+rKtiY%o*^C=d1ggqS|?$Pg35p*Q4CbXu2gB9xI*xoXj z)_%24O^wQr+4PuP@`2X=eBArn2-B1ys>f#ro&s>zqSfckwH2*FbL<8WOj%?b*tSCy z>2O;ppKmWF4R3pGT{Osr?yNwSMFMAUMn&aCfw^F+&;GJ#b|&=2S!K{h{V6(8TUR}| zegpZ7>!~>?_;MYLaBwr=ya7_(ph`p2<~6`mk`&cg?)NJo?Pg7IG4F(8fDev3diBNoAa zZYR2+9s{ms*U51NFun~37e6rp3i%mdtr{Buj`kdK6*XAa*RftT_vo>*5-+3d$FFzO z`O812?tV&c67VLgk?EX>g%4)wxxqg+^WuT!?8Mm)T}=mBEcQ|L$%(H*<3aBU-bi%n z58iU8I&BfFstKA1D@=WJPcYNZz=K^`Olp4y3a(9UqUW{*z$y>0M zZTx;ciRuVbiF?AdpFcn=|1R0Z%MEy#UzITG7d5FU-$%YvBo``x(Xyl($1VL=ghXBF z`r@cxeL~SMY2YA36Ke@ioA!PR`*+E^TpV*J{TqbAcT=SBY9E7Ny1$^!L_MnQi|;f8 zr$ulx?xFGHc=ei>ugFY^>acsXY??)il19X9M>%6(+8jg{4m}aPpQfsT2mH@WRi`PB zNtxY8CTD0U2H<-LG9dqg$IIk>MTGk}U3NKF#mIRlkzEq@?->LaJx1baM+KXAFAgy3 zdW-wXO0ZHrj43eLIe8ROGdjhYe|r!nnx@PDN_+a6zH2bUNas^$NZlD)-_L2S>lI%e zZir)U3JK1thEK0?z|x+SE?j(`pc7Cv&gX+NaQpqeN1DB?H!bKirkeS*q^IfOdZv^< zR$D@ju8~WwAC7F+K+0A!3o|r@Zw;x7xIlI*>}+;Em#jz<*y;rd_)Jh(@|#md2?KX; zkw2jbOzo-y^p1jXpjodyVrd_CD6vQckK2m%Dtu__Mv(M(?Q;-^(2|y$2cW6;-j2LT zpzL8skk@~J&mRobo+Pl2EI7dKEz~o@^Mlj!nzB37ztJ`a!O@`+N#>N9PyDVB_Mmq~ zv^uBvXca*8MN*gf>aNe?j~>4P?bqDovZZHo08{E!Q(zjmuN^($NCyoUxBtf$QLD`Al9%=Wa~rO>ywS7+QEE)UkF`|k&KHC?_((DKUwH4o>Tb$0HR~4%bpUPXGW1===yvIV z`L+mNfql9~wkx8Fq-a0HpK~cV@8`UR|E|s1dVWQ9IL#EEti0 zUMc|^gSdXY|Aff@yS(ZDf5MsnC-NrYUv-B6H}a+*UDH3e`F|sC`q7{KbDRGtLqQZ| zG69^s{`&h5U9;5A(8gO#ZT*?kF}c5|j4Tjg&FS?f^>h7C3;g%#D1-s$e5anse6mUX zDhTFJF4ej-`%8iyf4iry@Wubs@ZddX2#u(vKz*DL*Zgntu(h02_6~OqWhD9bQXk~| z`M-*CcTj>tW*{+5B%JI*Wn6|GF zF$%sOE%~ehAIC!-aDZ4&3`9hOlK1HpFLqvPJ&@sZ|;I|$UaZj$)agTXC9A1DY+b{!9&L z@f`ks%lq5>`vd;}@?MF#1sQthMCW5WE>x&4{0Wv71WqUE_#M7qR{Uu|Ti8k*oITpIV%(4p6MHnx_F4rD z271#s<2xl^rQUpUs;0KXp{v%VpEz~Z29vpC44%_cH+%`wG@iOp`j%cVG zrFR9nsKCpHCU(OW)hhQnh2I{{IvS6T-o-O?eknUxrtlmv__qfxd@=bIzEC7ixpx-Q zKbPI)mt@Yj5g^tc_cE>s`0O>W%-9{oQ2rG6UT5g~bDbv_P+;JESr{m4c5b8Zr=b#} zh(FZCUe@|!vC;nddfmxv^B2gw_Vt!A0tzZ01S}+jhdi`1pAV! zPUk7L!@LT?GQBu`o^&am-^9&CRqn2@=fx7~o4Dy2Z&*#@x znEGK0IETh`>^4Ai;zJiASttuq!Ah@BW_ojcxqoNPe@@$tV+E?=JHWu7Weo9GV_{zZ zILxyLP>L7^adezN->TP=YlSUnEY~Op&rcPLmzz`=6;{QD0&ksYLdzbRgVY7F<>m4b zg-k!Vg7Hk@OhYmf-_FG7fZQj(I^)tek-Dn{qL{eFF~`_KuMn;acX*@9@2p`iRx zJdg1;FLTDZlWYHTP z#uJ`)d;3BR9~Wm%N{vop>m|jyU^Vap^XEX2qeAEFZGHfi%Om;I8t?_)R)EtJT#wqdIbr-c=wj%k@zi|MoG?=NgBKGaI639+HnOig6pAx z3n607!CZv~2Simm5}z!A(3e|Y$6(Xpz9HY?oiXXE%N7GUd~2X*W3Anj_)!^(sTc3r z`s4kXdOx49nJx1zUBJO=3ogk_1ROK>NN%u?fVAR7&o5+d=GYH($a{dS_9a4dHck;4 zaCwC>xE$j6PT+T=JgU4*eDMGSqDUI?Mxvb&t_vL4_|x}iOB<8=O&A#%&mwyEj)8%9b$Q^&3XrnXfY3mu8lOEl2Xe^nV z=xl6wJ(6y+`r|G+Ka+ThxZS_=jc?Gr%zh;NYw*qrw`-e(#mVDv7WYFc<@5R!OHA6I zP8tFj0YN5F8(`GOBgH@@+1K9zYaHjMHidz3OwRbEQ;UtWU5nF`_fEOa5`a8He((%V zFJc{jW}891*6;h0-P#zs{S9eD_)0ln0r{j4GmXpRCw4!j3K2e$$Pphh%l$_CGClfA zv<~2~RV_GtS#=pn)$n%d?x+H`kjCHlS4M@>#3^5He0mvz(xg~L;-bmij=53zD%|Gd>79I?eN(0@zGHPt$7j? zz{E`V8+@$>{FwHgX|smRaNr@pF?9WD+k?3E>&?{(KjSM1=;GxYwIeuGh7ZK&Cm1aD z=fy3wtb;a@=I5h?tToPh4NN+h-% z7~jdvs-aFhpd3EJXapsjFP$Eb>(jR8W;qw^iPq})PHv=dmlvdaHpWnn{!Se_bn(>v z=C+=aZk)Z|snt%Dv06^eV8}x3WkBrt`fh(;I5n)HsMG5d4BL0dvT_skB5)Ja-rAea zo2pm^Q?{scX4Gp+S%hjoN#gsiVTAz=in1Z`Vtd51&l4ba&rFGRvK zJ(`1|iQJw+LSRJqA{T=U8#8_uhU=?YuWvja+By+Zafu;OebwQY^6{@+Wg9l}*7LOt z^Vy1@JE!fTieD{nzR(%ObFsELSrHd|PY;cUKNzM?TF(%M#vv0uE84e$@<%~+?ay8e z4Jqokp-70?gRALDk$1kss^i zk=@o$`m>+td*NdnXuC(L%1pK91TZmk%nKSfnO;0}qXx~~DM7>6b#xQ%Hq#UHY08@0 zQ1}Sa$iqB(rd2I(FGR|&Twm7SV%Iy9U?g7%l{S4n*1U6p`%9Z=gE|03t|OHkkK0fE z0NRvhvmqys$@av@SKQFq@fLgnO)In`5J6ZMXm^*QGOL|Fl^SNczvxK3E|zo8dd$6K zGd-?xg}rnmh@;37+G!{+rr!GCw*dn2+-RhXbm2gR78I_u5pXRLP*Vo zX)5*46%;PQUtoDKq8U9Ck@Q{=10}INP1|Y&PAaMuHy_KKwC%dEZ_2tGR@qyqzRwcw z!2Xhx>j(ACJf9s3E*IH>=Vk|kvjVjT3s&kq!V}q9dIi-!<;=fKT+>}5uOWb= zvf>RRI#>eD?r$mk)~oh7!JbY6(19)ZOl~8}Uv&Pt|6%wjMi}C2r(6|;$T*}{xjY}i zLck;;BR?UIPR*I^QX?7Ta^RNYI7t8V=$}vkm@ia>mh40LH#NI`_`j&&|6a|& zK5oPtn8*bB4!dEcAJd9L(BGAPd4}U)X>wo?~|3lw5|7{+Z>_7d}cBx+W zPk;T>|2zNl=b!$9{=yOHFA9SGA_(*^8pZxXaO%1WW9VO(3%|bIf04g19RB(8fB!u| z)pKt1Iu_^*+({6HPtu}^oMh>fbk#@rVH%-$vL8J(-Yp7^TZqwaDN5f|E;mmiaT#-j#L6^joUyHQRRtyr5p5)m{|bPVeEaX_HkHt*n+F z7$d849a={Xmwqy(6=`5EO-w|NZT1pdWo;U1i9Ufl?}9x3^LJZ->E&7RPKKhc)|K%QFIsG!J#_ZEY-?OxPH~xxu&OJaEP{FEYl_rGVx?=P~EZcnL zA#BYUe(_i;*S!NNmXT)&AEI6!gPzDVF+F4;(x3W0^>#|VJ7Qg_*mDF~$>D^6mEzGn zuWJG~7cq3n3sIlxxxU)Xqmmp#shM2nE*l@d=-kE&mF6HyNYp_DVVeNpg&#AGzI_>0 zUUiJSbWZu;5MpX>ocq+5+qZ^Ip;iG0Cbij!?AbpQq`O^+FFCBU- zW5xH=76Oznz8(=6w%7{EN-p>+AVGg2we^nTfO(z}pOu;)X*rxQjaJ0)X?T?07TfdP z+H#4s{fcsAb>$`n1f z)(^|^-`eXR@GC+l1ZYIHcA!Qic-sFx@BPWOAke(cX_1nm(s%*X)GtG3ZYaX#6Bk7d zD;mi4pq%==9RMBNW8H#oS4Eak+0VVz2DXPGEe!SkAsgGv zkdewYli1p}{R|4}q;&01qeSHsrjMd2mH~1rS&%&-HAuis+DH0gh2WcD(7T3v9XRn);9R zL2FHd7y5oSAIjHM0uvl&wC+Tw-FmUxOedw4USi48DEo%^#)$z>PNB|B39Y4psxcuc zQr)bHJu%eI8_4I%(Rx+8qsA{JGw}@2ePA$vAxyvc94iQ;STE|k0k3((GQ-`0`eO=gaG#q3<}`rC*B$q&6?PqHDQq5jk&P0*R%U>qEVDbmAZPo$0 zQ@zOQMthV9>rZBA;{7 z-ES?~6A`*3!dW?yMO_g zHB@_0&ID}td6@HMP~*#Jk^{O`$YIWNA1Ko3cuz8Utrq;9+25hriAvgeD0BoNrBABV zL>?;ZyO2})<0OeH&J6Fwz6vxS;J833hO!k~fsjhKT|Mu2c6u&3_((tBuU45R7*@O) zCq9@wbnoP)d?vM^8rKyGyU?|$Y!>K(#4lb(t2c{5-tOGC__G5C?ta84VCCP+421-P z!l|(I4(+~CLke#_ht=*|04Ru8D;UI(-w>8t99e=L=fSgo+ngT*8oRk)_37Op`-#QARL)bX0yReGM&(FW@CX}9 z(N%fH_2*pbnPq=Rp>i(XwYhPri&E@`_0_a#xofj+_PhFi3bA0A3&LLv1lA}DOr8Zt zNDia9_piTPml2ZNmq-?dW^-c&n34sjjwKL+UQL-Y>B`!o9 z0U{slY;cxj+w(j8yc@~ChHGG#-=gHp>V3~rH9WU%+M^H4p5w|RR)+V|=*;mwE$;#) zbGJ2NyA(yLSrrDL6@J#psXrtf(@B`G zR)2RMNy@HY_-R*g8NIT`P$p9J?qcju0medVpP6Bj?-Q>MG|0c-Cos9b_4%~U?6`U? z*1+fUS^Ze;ov(&d(KRk7TC)3lXa;!8@h7@L+*Sc)9?C$C_eN_#qXokDQ^-IrhqSgg zPNIh$XckFTc=ne|!$8nZ;yc8UoL}2Vaj^3@Purlz!&}Bg2`hXDP0N215jgvjXYCdE zP^JH7WjctFbu*L@H)HKL3#UWZZSM>iRzVfJz!max!O?t>im;Qx{9=J32~sF0%$I>Y zWIFBK?QFLZRQY9B=*K;Qe4z4Yu^2zL<6!kn<6*|%GaRu?VUHKxY|Tysy?}@*yqow0 zhyLM^PsP^I`oQ2Bx;=wp=}5m2BiKLC+S?BRF~d@7Te+Q|Ku=;Au^t%%G~w7}@^sOX ziLkLEJpu)WVd*zg(WGSV`cwYZlWJ99aq56KRR>|#mB!OoI!sO~E_BzR27Bz?;v&Eye=1IR6 zMX^!GvTc}?RlP5l#4g=mGyT`-Qqx}i@9$d*UEn5~p?}E=`e>1PeS}BbjYAeAP zl3q^mZqyopEvsn(xQh0e5l&cqd{Gt0;&Ukrcp{OB;;A?Yk@)_)v8VB6vIuB(t};sJ zGJ3-#BygmrvgojsDX=nM5x`VP?Y5H{Q*X(xDQ`-0lq$I~5`}m2F%@5%Uz2tA3h)Bb zJNoybU(?6}N>(a^_FtJ;9vs-8ma18PYmq5de#iJ~-@P^` zovBBBVdDh?l}~Z0{I@l`>PxL9Gl5H$YG33u6g-qc`n{uSlmngjeQOGGT)hB_w-Mco zekdJAhHVG@A4 zb0TM%jufDdTM<~B8XwEAouhl;VTTX!DLi4tT9?5k(-2JCLa!)P)VvSa0e1#Aih?m| zVQcOxwDg)~orfFeGTwV4k-gP^EJ-dkIxxT>7kn!x;eM?@U9Uo#>>H-8WD5 zc0gD+2}W>u!HRgGP#Wab(i&;NpRML0LAvl$8?{M@u7?nZM0390GtAvHczi^82;s1S z;GaOfZ)l*aTy6A#W z-Gz8{q&(IM4LLMbEoZx?5|%?}nh9aM;reO#b*w@$&BNB7QV`#q;hVYJGe53h#!|y! zOmiGg=MhXbwVaet#aq=yraGeMlZ(R-&!|7iVFts}1F?f5e`hFNc!RxwJ!7yVzoj1f zcF)JvS*YWn%a`t%tYyF`ysRi!PjhqfA30UHGq*x#J>Inb&kONm|JVuC3P3-p>BtL* ze41Zrnc&unR4>$4$&tv2ZM(P>+xOhqoU^=;P)U78%wGbOu)< zYpvgpzu@JAtF+$gP6`s&rXF7(XTm{9V4<}<9${o1zbniFDBB$E?=zQJj+z4` zxHfu!?oy-gJN|xM{3O+-w_z_sGfcBzh(`@ORBhiqb(WI%8wOIPxB@O%x*Vn{#caQ5 z{yF!qOwJ;UHPKTd4JWXYq!kN%c{s(k-YNIS>fqLfPjiHHulCVoQK)5Q_$o$f^)dJf zKws-Mq=*HDeodlsWlN1bO7YNPn9sa!=37Hq@C@Pnsr8>}#0a{9yZ{ z23_)a0Zv`1j%QR=aod|e!KLm&fFGgrjQt@;QRkR1Ohu9=sgc)X_~xD#&@=NYhi)^~ zwBkYOA|7P7Lp*rb1}%AF%6JM~Yw1%=@jDDZiNjd6P)6{Xf_!GHp(cF%hF{iLiogUr zegab_`G{!4zU@s)SR_e);ZU)rwi{-8wQ+#>jNf#e^2?XA6pCXO=JVPD?-ZSRR`gZX zxA&D@S%K)^!>kIF^W_-&xI-%pF0>)3f`60xGSPkZeLo}w@zGY;1l(4Znj=ecL^Sdu z&mF`>)cJeR*D2JtaqRuL6&wp8FrFv4Js+u_>px540%m=aytZ!?_Cb=Iiv3Zh8e-CV zbH37zL=oFMAdh^jk7t{5mzh+LOYtJO{F?TpQm{`-PIR=)&iR8X_CkgL2LKFCFE6`+ z+jng|K5kCK^LZM6EE6eDb`#YqtFvr7EtZjfcd^0!(2m{D7kW(|@^%#^j~b31g^tW_ zEmo9{N1U$qaLcHmN3{8=C~6LDfO+cekF-e^Ylcx8`UL5#38El#W5mY$If4av;O_ZE zdHiveP}>1W$M(U%nMmo7arJjCqJB9hCrCL14`CrWEKQ&uif(z(lQ5y7{rpBuBv3`C zpB>rE0o#BKX3>~5lV>f%ry`rxx7nc=fGyUjU7lz(gj03qVgNiq!@pIJdR;GLf8%0W zWVw(tKDzpS9p&_L#ZR2PAwO)rrgF~|Pje^JD-Pr?K32O>jY1yYxRwq}d8xkcHC^*L z?C|dO)LHAF#Y`Z%+;J;->ir`%Qd1;0MUDbMIgKJ5>&+Bew7lR;AS`|C#LaI=UdW@_ zpL@AwpTTTB+mq!Q<3ih+!-{}H3pqW9@F@AQYkE{wcRN@L0Ysrmr73EtOb?1w_j}Hqhyz1?k-dB# zUz%z>h7w54FamXi=nY_q(+Q#s5c40@sngo=1hqH%_T8BKis>usEcR-$+!5w{;&Ujx@pe#`FE-b#%bs;1SXNc;Gb!wzfc7TCho3btIvdyOd^e>+L`|sa3 z|J%>qKR5qH@&EUKnC9`n#dVi_{}c4rzqt8d;D7bRlokK!pW-@7zW?;sKgn6WW^ogc8)h|I?qp|Kb1jAOAo9$37*Wtoc9wPw?+A|M<8n6pzt(fX#8ivGo6(j^;o9 z=l}Yj|HGeu{*Aa06u+8_)AT>Y1;fN&DD@W#UGIkfBL71EwYdIq`@a_#f&LqD{fj66 zt+@W&{3-t56W71E`Tvu+{tMgH=|BC{ox)J$-~atTWcL4UqbB>GN&~w(nZTf18WjAu zG^l@H8h_vZH_{;CeEta;P?r>t zt3a5>uTh1;KaxTJv>LzOMgEI7Tmufr{`CYd@?A^`N?g{HtZetC6k%8`m_oEC&`v-a zc5@xp`VI|n5@=HD420F9LDwA*-YcuDlLGVg`j3TLD+m&RCF4LULX8N53)r)%7D3R{ z%+&)i1|{vS=_jGdd#4`9S; z71vf^OFTMoh_rgX69Ds*yEf8=Oi}|F^Ws3iLCu5^it(XuR189dUnMfO_o14l>H2LV z=^v$I=B6Ko?aPbI49zzi#;A~i9^9y;>RVz8H|6N3R80K#7M72@RQ@wDQZ6iiu61w5 z+y%IL+bG_d>k~q%dy-OkQpEXWdyUz?V*7I6soKH4a6yHS5;@LTGOzSaGLSgGZ8jdo zuk?iosNiH@a}Bk>@!+m-i!L+K>2$}FOWr01H}Y$JfFKLf<~kT4l3}UQ!L^_|oHal9 z^1XiqYa)DeFwJ}Fs|~SMS0JeHzsX0NY&mx(ig4`F)JsU6t=f(GvwKQw-at{t`B#}09$Y(jKj%n%o+d@b_Gp+B##y=&?k5g?6PW72fF^8HmOEE*0waou!%d3yD_r>8y^Q zngSnj8e{yOgyB`(ds>6qPrN*1tAxN-ZuS?Y=vndLK^Nb7s6Olc3l8aOf+4s^? z+}Zq2bU|MTUBO)^X~x)??FywW2g{)3+|z0+r8AGlpPr^^@SY=&Em}+4 zZ4=BYHZTZ)=)(L{VF3JmXu!xRYpJk=$@8Uw@|+q7@v?3b-&RacGmkrp0>v^?(#8r} zBHx~RLNjFp1rkWaGkm?mjM?ha+K;qTW}~4bj#vea$Yqh9oKdyf-Qi$Gza+{%3EtB? z#F$-j#yId<^s~I<(c7nqcs@3?y7?`Wf$q#GN7)_4zW4l>NE)M}0a) zzb=w5Ll~ciW3UzSjnu4+nuwPT1$w1AtV5D{X}fh7Q)^N|U&Vwp)4w74DrU4g(UOXp zyb8dX6xt*!sxJ-amxkF1cQ!E`1q$n_LH#4#e>=P{P4naZt1(r;&=#D}4Id>waM;}7 z`DS`^=7-{FExNw)=4ZQjjsLyv4Jp4}$TFkP3iyfRbeRkNJfqP1!|4Gjs91y1kS*E` z@7)PmW>eVyn^Z#(zs47xv3`z^cx0Kr{dr8ymQMY7>>;i+>f`44pocZY{3Vm2~kFS=QcIkt{Q`B9>pmR>e>2?K6>mo_bvpWi=Z}){~53 zD8e;dNwVszg2ivf2k_2>wb~QFfb>&C-$KB)gzXXG`5?C8bA545f@S%>5dt@2WBzg= zz?g|0rkT2@-0LpVUQm~**rN0!YG%DnIgNxW+GP@9ma8F=PBD!J-f$LUrZxmO@fMsXnzp;`|`=`z&j76Wm@=YbyZRK zBkNy}{3drpyuZE%(_sKYB09^=E>8(QyXoDQYre6RpV;o@beYM+gOdo(z`{Q-6i=-; z3C*2zPhq%1!`^QFU;Fb~QB(8z8h$o2-uXx0{6P@^5;}AibSD&IPg_>Ef6Gq(r+5m1 zFOZKQ@P#@_7`yNkeNhtl2k!siON2uI6`rF0dpw0c>!g+gZnH${>+2XhGc5ZAkL^2Z zCvU)K`YN~6cs$VDE=zob>EKJI>}#`^`($CN`#kjoib=|@#HTB+g6m3B7)_*h90ra| zm&@#Uro+nlD2)&j^o?f=v*okD>OKXZ$Dp1m}ewF~4i39Q*8=K!sS zxOh`xjaXg-Oeu`c8$Tx0Zch{Lj zG#5012#W8N$5UWb(0muQ&D$Gyhj+)e9-i*maSZPY@1HRKH)Wo@O!dS?pBbBx8Rcr8 zBG|(9@)?g^aY-tMW2|_`JLOImylyj>PH~bU*vzAtxklw|hQ)^Ah+gV6vyLeL{J+YK zr6D|pLdiagjtf86gxR`bS=#0rLY-iDu<%DI7>X@maeDaygpD&zp${|TQ0`e4ijKYQ z89f_P$WuU}wzX`f;Ev0F6z#2}V5|TSV8G*Z-R9Mn$lQ*j99x)9R?MGm*8`#8-(@xx z+Sg_ot%OIlSDFL5=MSf+FDp+zxq!y5y%cVfVOvnMN@3Wd>NJ=8Try$xhg&Ymu_=tO z^)G+Fqm}3M_gY* zmXQmbE0r5F7>LVKvf}P6=CTTdb_b7K1u`r96{ly?P6dnUTVJYM$8FMV3;gvj!)7I> zz00?9&yi7L=k78tunK#DsH=$MrwCn~Lh!9uFVMwSfw34$)NP=i*5~wrAy(nr?!_ZI z4BROp@I15jc6DAcmID7qitg$y?&BChOU)d#=r4}wd`FV#=`Z*u*NB24ahGRG<#!HQ_9tya8`hFfv!Dy5X953Pb zBrJ(HVL!Jq&Q4fVP{vMYDB+S7C;SnSEeJ_5&NvekA8t{e@C)}4p4bx@%NXOIx3ax% z)pJJ1Vf$(#>dCN&SyZKf39w_hWCI$B$}qU_%=UII_IW+p0FJ2W;s@f-wSN?|Jold6 z*!3yMlS;nz=$SAl6td)1iK|mvu2Dd)&=?aIo+d6>j7Vt3T&hLEZ~n)*Bk0cO8Yf0p zDpp)r<@D3z9HJN$W3i2>KQobeF$SR*-}Zvh7#Cc(U*9M7t3S7h|5hUdcrwh-CwnRA z^~nNHj%f%olj6R5#)Zgjoc<6#^G^}_Ln-D&VL67oN4Tr9kz!NKTTt0pNC4(CFV`0v zCn%<4yT`j&59Z1FKfgSfgsBi2_@*BlB-?Kle{K;!y#uHj7R9k^`105AO=wJ^u)dT{ zzqa+qdjhFA0pyU_qjUW(D=Xo-orO6z@NZPOQ;D$8wge}}C{p6u%!xA$KVEMxs6t?j zNGa;6kQt-oioSF<(*0a!ED-gVkTWbZdd!_2s({L+R-X9uug8U|I-kCMJVB9BxR{y; z4q`B7QuRRWY@XlPjWemMraMq?6O%E~Ju9VM)+8^e_a*9=b07*T6S8_)Ho3bVSH15~ zF$1$8q+F$-LkAl2qn_J>lCZ-++1oR?2bsg6u3z>-NY--xnMzBc%QP`R27+f^)YWAi ze|c{)yY!1GIY^YA1@jbLMDEOcOaYC{G19B`3QuJVrZB^X``Ps%@jW(dq7*Qj18so? z)hYyqK?np#6iN515SRjH`)(Ax(2;op^@SH4xDV*8)eJ+M^9JB`#%0ZQ3=PzUrVnC1 zqt{}B=#IeT8Ic3t#v*%T25XdttlVGt0YnD90`T#ql8t)`DFj#sC8bWbYs~VZCTYk` z1eXsy1y=c$9vP~I$33~#9$|ITwbs+I0l6rY&$0TV!8#8^FSE!X!gDJ}gXW+gDF>ml z7KPPUY+^&BsVqNlclU?`7|aZDqG?)2QARu7JDFaN9uKSX9R z?UR1#d6t`ax!-ZwCiY&5`M%U7e|0Svn+(;x^g%{Eno1E{Y*rFH;r^AG1i5BEJM|Ok z3ZRghpnf0z5-$*}ym7UArAySX2<+hJoYO}GSd|g9VAZEql!T_qgXaLaAHa;Vnmkv0 zqYR@jis19>U|x)bvX}KetOhSYWiq+b=-=SFS~!VOan9FBt_S=;ZRAut)_hDr7DOWm z>c$tpA*%}sQ0>u16X#>ItvK+FJ9)P0H10pg@YQG>1mg*mANFOJ)a4bhJbNTB^O+ou z`+{y;Jb#|w$FXX|GYEUDHYTVo{3+J^XKsSvsa>Mce8lda3M2w~y1&u>g|B24A$pZE z;LRrc(;h#K{21PortO_Jt*E{ynz(n$bd2=nL@t|`C9bN0!~4DB*r`zLx5XdU3TgE3 z*$kQ!CW~8!U@mh&-aBs6^aF3p#qhljxlOl_>=_4%Uw5P%wal+2IngL;8A`u}Xwzg_s{ z3w7UkQ+31odx4I;73Y-wak7WX*ZE8yXxWk6p@A7GFbu0IT35U`U%!p$qf)~5cTU3KTZs$_MKk@fjCB^C;;@-<_-B8?>E!=fDKfzlKI9E2r%6<0zZ@&|$)gb}Gdd zD(|Jy1LLmotH z+K5$VsQ`f)yOU1ec!@JrU+mF!?mXVoj1^*dVRNB5ue}Vq33e_8?fcb1z007G4o$p6 zGD2oc+>6N!>-Td?Jt}0i15qhh93hM@KGHuTE+s& z`H@j!Jz$6hU?D8U$kd7U9WV0godPsdsE3gZ)=!bU7q44j?fW5gw?f>ez8qd#BjdJnka$Z3$VW0EX8=@AzSO3GA9bS0U9J>kaoXbDBsN77#+hC`SR975I==TF# zmXTNk37L!mO^2MWe^+=Ag3+_57oE9Sb1%qs@~+hq(md14xhKsugf;%@G>nZVVt-^4 zqG4nPNMjp%kBxF}&oB*#yFz%pN>^X6L^2w7OBRDF#lhg2{vq@|j^*>thi)p+=1GAD zsozK0wGZ z^R`lMKb1=#KR|p^PaAK+*8}xwgf}~wcKL9x^Y~-EGOuRq-i~5lG~l)#Q*t;+A~hdW zXx$N-Vln)-AptAeF0u*Y2{Db$J0;Qe?*ucXr}vRZVHq!9|TG^<=gT9;|{ zW_Oshzmj|`*b;_b(DP>}$^#4ylGQ>g@lbL5*-nGHOjS1(^f!J`M~=GuGhZ$vw};ui zj5;T3FHa;6;h6>S4B|07@*s!~?Azc1ISnaGTQADb@^9a*A|nqUPk3vtE&C6Z4dgpn z5UJIMz`{#Oy}oKs>?K!Fsne#EW3+k@({Vwe7S*2b&b_E&DkLcwXGb5rKy(;@;`R&x zQSIA>1;^JXwxY}WkwGrQE$GY$;pHRl(@+E`KC-l6G4N@lO;ZooWxSXjm8SH)+HM9W zBU*!louSAdx6S%0@a1lm%f1)^8No$a5^FyP)C+yUWh}8ggv^9J!Aa4SMfz(fB82Fb zO~!WPaBLHNtDpBwV?pjekvrG@uyy;h=%$lNI*a?LN|5#NFqS3WZj(+Q@jT2MNYMEY zsa@gPYtVQMY)fWn-QdjqgrN}DAQ}hE7;hboE9s=H_w!W>@O%fQmh|oR*7Fgs?F$&S zvf@&AC=-JNbgJCXlA&`%8spT6K zqhR{-ENKU^xpo@2%I*G5MwWQ_C4^1BZN3cY5rVNw_HVep^Xm4Q*|2OX=I+q)o-VSQ zt|RJ1xuoe>VCX zPVZ?Z+w&zt=p@h3Covn~XNw8o9m@s^EhG5NU)Ec^KaWx1Qce?JSGuxfseosnwqN;%_X;=Vj3#-%r<)!`G+_dq!MN}DZ!K4 zRZ>N5+vc+A!5Z|kw%WjMSBs|5o$I&o5O$&dJ#Zq;=~pW6=S+V>P03cxcaeB1IE~n$ z*nen~l1tTsUHp_x(&7Si@Sc5j{%LMmJsQXj+H(3D_DoWWQ8*@MNCJdbEAYh#wE+ZX zi3s8^j`-90twHJlo^!PN(&OD$_;Kb_JKwU|LLAnySa|SVLwc_tSOL+**=*NveG*zU zGx$s!_N6l^_KniOjYnd!KlMyFR(bk&hhsI|Q!j`o>WO53&ab$JlTk*+D+azFZ$)sE zjB5USW`VdY-e=*4%R~5Ie)C@b>oB`o!2uO(dxIbAzfhZ5Ks?jrH(a%B8E1q0 zvFDR3$UDgtI8S=cXYc3hDU#qF|W*Azc4u`yYz>Wc!|tg+sMZ&g6$B|M;7j>9w#Of=<_tz~=7ROked#VvrK= zshqU9h6%K7f{uR!V?adiIbJxLGg&<@+WE6eFRlof2H93lf0xemk}#19HT6>{A))-P zr=9%kI`-D^x=_?Zy#VfYK_%bu{w+FjQ;V+jkxe1H;JWaYw*K3d(y=RXab1}17=^@q z+OFh|{rxUF`2>5DV7Hd;;MAw$@r2e*3$OxkjyEIooilmpWIdp2Kig)t()W#v)%yGp zJC#W3IyONX$Ycc{6ls8M6niUxf(}kDZ~Yhz>uM~hkT1|aWSV~%4VlU34cb5-iavQo z0-X!3i(zdv_&7PFM-Zw9AXt6S);^3EO5UF0*Huu#B2XfIjr0k^Vlv)6H9D(Ug#(m} zl)=F=GLTR!M$a#RqvQr6Wroov=K`ve`Ya4yB;tzK*?Ahwg`$hohw`3br%L z?J#kKw8C8m#UY4$)GY2Zi{`fMmAN?kNXLl`Lg2$41~S@j$3|cHzyxf1y?6Kv;;uUG zBq$L$GOqeH%Eb#1V>Xr0AZb53U@$Ov!SzF7(L7e z`rsS#c~>=J7OYK#sI&Xd3tfcI`mmgZ1iY^vx+p9 z{dR%+@h0{8nFM(Je9qE0r?rwa4(d_~|5S$?3N&7}Xn8kvQ828Z1n^bBSrL%@4o|s* zpH`nm!GY2aH1)@-JhiU+Vll#1n-=r#g-!0jOS(tuLCrFDPI^a@#TjF1I|Of8B8nsH1~MhuxVT5Aa+)(db_hfU=nnP3KNGH@~O?$ zV!F?*YowgneoQI;C4i;wFh6DR}h*HY%njVhLA~Xs{joX`Mq)7@~<}we7U@G7K%y z#!$^cGU0RT^PBQI4isXu0a=IADb4o1y)M^ZTt|yidh)o@gNeA&P7Ij z`n{G)9BQS$)3x!qzJHgx z1Bj!I4Ov;U&?_9kTQ=uh2d-M6+KInRr=%}u!=fn}hj8@VgcN4IChWLXIi*g791zi)}T&Z_hGfiTVy?4ExXUfLdn_BVNjbEUj|3`(Myq@9W{eQy zw9-%cgl|8!3P%t@K@KZ}<1PWIf{8MT-Sd3QJ=0`6%>Y-`wAQt}i)jB*#|%5XOQEJ> z3G-Wv{pg?tvg^8!;n$B^v}+cG%XM>R9T!&@{8s%YM~?q_5Z`sLMiJ~cq>v2Yr+xKr z5FCAF)tJ!`4;m}9WbkbI@r?MZe^xya&pZQnRQnP)gP{EcISuq2M0N}fU);KtlIA8N8p_N`_*RPRMFV&6C^&cc|YP*KE4;r@eDWz{VE;jBOx_6JYK(ZFk!Cv zbH1T!1nWDrfJl*}ZTtZys%n;~?bzvo+!4IDS6Z;tnUES%?jV0n7Accy+7`N`X6$)&@tqtJDm&TL>sU%0 zc)5oBVV?A=6bnrtd(<_{uLNN)J5Ti zAS|D7z&{{0EIbik{rke-_*r=RFO5$mS{Jd~P;4%P37c*5bEd zY#MgB4zD~<*XG0b_s@mE;hE>z*zGe^@4--Gd$r3dBHp*l7yffZz|Tz5lmD6X4wVN~ zNX*r$pR-Ko*QnX3-T$O~FsgBLO`O6*ak8-}Y4#tkU_E(;47XF@4h2*6t2DMy#o~$K znGQqA_a+03!pp+3+(s0zy`LqE(h|tP7bIEK5Bm}SW=j->h!bGgd(#ZyNG8H+n2lN9 z;`fOGRx=|t?tJBYOfA4#_bUmwk%@ryF!u1*$E^{MJtKQfD2b#Pz5b&J<*L=O&$Jck zMGiQi6~{LZFAoroEcrpMppUnW|C80>UFMi_Wv$)A-510q&_9^@sGNvsE4d-_S&@z; z$_3c}4fIMqzLUucV_S;Gwi}WUN{MN)!mX_W=@8UbnvSr?kft=-+Kaq9M@B7jZVn44 zt^Vz&^5uzcr@7>+PA6_DKMct6Bq*1EJQPb^xF8#CnqE*erogZ6kk~i%QSQRa^%@x4 z??ELAlk&F5R?cEHRfAlfseT6d1!i}fJl`n@^lgihIkn`QIGDj`lfjJom{Rf(T?n^q z3^9t7bIYO379*nh*5Z-` zDYDsnx?1S1U+BfsgC`_J7jYm~vg!&fc*J>V72FCJCX z&&sra4klLksbO;gP^vdiK;&3*54;5pecw1#D>2HHi?PyYi>ULUa_`#U4@}(&aNu^$ zPLiRI;LKWOuif=(LJ>Ogt#V7I>T#UO8lxWx4*KMoU7!*IHTIZp?B~1?GQ9>AbflIi zTGajoi6bIu9Si`96UKb(cM8;q9`;lBJIrlp5>#d$#Y;3E5PdrsFn*tUGUok-<-lkF z&*lA)ENLe7YnO2K$lP6K~cc%qY+%PR!jqxN;#zJQa z^U?UTcO=IEl?WneF1jkeEH#S4q3Mtfickv%#w$J|PyTi=9o__EeCC;;Sb3>1f8ofg_GD{*{EoVR@J-VumnO6(#Z>9Sem$o6DOYUxzRmUTZ^D`f;3c9WGyuk}iALXh& z))R+75Dgkk;&~PnV>Ya*m^1>Oal=90ztr>hTrTHJ5Gu*9J52`*mZ}#k_p9fk$s01^ zfHlSdKe`7{keIsewsFvjO{QCp4EPDsv2~AdVE*`Di}7=cLKjLc>F9_s`4sAcVh#nY z{nt4XLs7sG0HTMb&}s|%Yolu`?qB+N;~Q%2Tj~2U5vyFI;%l483}GPc^94eJZx>cG zSL(xA+K(Df?3#O|{CGGoEdu1r6&Uq@4!pYb1`_d7>b7M55kWf8ppenfV5DIk5orrGhonh zT_qF}^PlnywDQv;NSzdS-#Ts|-cnB|X=T{GNHK_7{tTxJcnvD55sh5lYB72?KRJIA zZfH**&j2JoB@~YB?-Pn>|2F9<@ZfMxen`bouK0{1z_T^a)B)B-HWYF;vtzEJR23X{eM;D9ZmY*5a;k-xKQ$H*Zyg3Wm?SpcQMh%Z>E5C2NB(7YXUtw)3lH9Fr=zPl*HF6 zme1z)tMd$BhEbMI^5?Y|O9i}r7r01VvfJwA%H4p7ez(@R8q@i)pHA>cj;igc%cuu- zkM|5&oBdQ6TwpgGIYYrSSeBuAM@&`n0(7CXOzS0{n=?rB1~h|-K`p#l%F(i$+@xL<*}}j5NA>0?k!117#QX(DNo!F z7G=LLl&@p3V3qm(c=KOrEV>r}p0Db24B;xT5!0t`DazwE(VFffQ9O2BgZ6#5F0~)Jg6-O_e0a+H z^F&rUy#_~^(#iLX$B_iro!TXHq2`kYoZl1CfLu+`x~T#;)KUD>AIWlLCN%v>e;o!R z?Yy@e?>~p^UMeNXmjn~`Q}Wk%HJNSy9cov9Dbf4q960`K=|7Ku4!QX`CT-i<^XHi3 z_q+JXYA$sBnOuYY?Ww?%C9Az9ekT~!t8|1j<9#i#G4p61#%@zWN+~BG?x<-p`Z~_!xSIl~dH} zWgwp7=!A_l3)6-%9RqjADcyMypU1_kej2H6udTqCLcMB^^on`4U4q7y+W0dk;#sVu zmUF){BQayiH{&x^SVk=F80UK;qCYhjBdO8!LuDbR;C)zm-5%3tj*>C0DD9HT z%mi3G5t1P57k7;AX!KQskMYw2ZZmX0jbD7nFv`rG#y5HYG*Wh-2^6wWAutm&muFdB zdiP}B7o={r-%ZPtpWaa(Z+(A9Df5r-n|SF3$7nWt_FwsRb<4&ynrSFM9nbnt{RnyC zM^5}b8FE#wc~X}NHVSZ8PgOUcuS?GWB?}|w6n-9U1=*a7u(*g1dzM=3KQ*epEM5Af zj(fSW&?qLObJ@?&IOosLte>BK)IUFK|NM+reqJ;0;yEhWr4;7ozXuW~bmB$`c=gfo z%Tg2qRN!@Qf%`eI`^oWFDe8wk$0)`5{>$^t&nwva>g-eJdFAfiTg1GsZTmdhlb2*Y zCHw1Xje5c|Is^at$zuq^wTw#s%M@bBooXXa9%BFeKV#0fHT${DMY;VIpl3p{ANR@n zT}+0^*cGG{bCF|zjmP_=`x#zr3WG7c(2C#UvWE=G8h19~S#Hl{Q{VPGm$pWzI#2D5*ZV0^!> zj$a>1sLW#%)5&FTXWmzqGB3J9T9?=73s{@3^AiGj z%hF?^L$sIP$hsfh;mA+;8CCyz&o?m&L;v*u|ES{&PjY{X;43+hrc13ak$mva z$S0R+xOC^vV4*IJ&-S40M^+owv|M~tS*6ZmXb?LPX-{b~_&O36Z`I?#N zKb7URXL5$(*o~JVow!PDpVZHFR(nzxLg0TI&AGodiLBH>X327qNq6ZTA5FE**aC+$zK$*-rZAA?zB zU^6mX$Zl-mTxL9iH0eTUZ|SOW)U*3lWB-GfC)2Lj`s1nf?FJfplu18wz*tgfS>^aK z5Z}uqa6cY|!N=ngySiPkxvt~!Ws3#tQUnfSeQ3jH?k?t%Z)eiIc^a+d;FrNrcrW3} zhBQ0$sv@)L117Z=LYJ0z$*B1jLrgo8vtf2sXU>F>S0|>C!XPXu)tPb zn>O0Bqe3<$u`DB?{F_SHHl{!|fvMhjq@=gKh*h)4`f|F=*5Z`@CL3=|qX^kmKVM-E zY4J)&ym+*1sdt&1S3_^bl8pwu@NV7B*w3(g^R@tG&uByS0V&C*u-*@9`7U5UqQp-7 z8XP5NeB=R_G5n&GI(`KG*l?3r8 z*oIQmrhvQL^!!9TdcBychiV@O_G+Q41#s3cb&i9O#iTqG5AZtxQ-R=S=IH%%x-J{Y zN3<6CpNY+>XAmwAj9ky9wiFr^B*BYPk#7LzNqiR2l28umw&!2Ei}9ZKr?*uCO=_?@vert{cSqcDV2L~V2!eRliwE3?rDK5b2wBAM zwipEX{ko#0aSo71myb>mIXUS3w6=r$W40JaostCiduVi8BQOlr3Rf{uPin4?-z`Jd z1twF<4MrTG=uvDr^U+D&jt_+Q0QEgGz`n(8)-DgqvlrNjD!0H9(Vvcpo&hC zJJyPyUr#(m`HxPVdOGM~D#O9tZ+KCC2u{GMVg&;ko{t5*)cPKpAM)HV0cNik2p$TW z94A)M1))J<0qbqy3QXfq5Wj?;Yb#D_meAp>;vYtk(+mE4u0cZ5*^-YfNz-*6!IR!# zxcV4rI(=BFt?qu*QbY+n8er~+`8=D^v=avT&t8);=E0;v;{q{%e098(@;4`y z!;7U>MfCY{69peDxLQ_Ex{2Nx_Xmm}$d_C(h5NNP?EE!QDYy{m^(yiY3{rkZ%d8=faWLF>mp zmgJmBsMkotdMhJCaFLIb{+AHC1;O<_Mgw0oL-)UEPzc@*sD->`h8m4dyvL3f~T9&mH4o2MUkbER;)e6jlh zUmx$zMW2WJVKS{N@8tOx^_@MEfPbb_qg=FwO#EO^^#kke7nBA(8WZ<{th0V@rBW)C zIvTIQR>LD}l~OeB*)L%pjoGumd+{+_A0`-T&|J*7dusg>eXdxod%Qlyr&M~&gqP}7 zJn)78Xes}AppWEU#`(E$@#I}Ls*UX?v)@WyKhD=2;2~{V!Z#6P0WvELUg5`(L|B&| zSbtmtPuX9By)*YL1_v51SQEwc;9t~dw>3Q=_Bqws_<2~TpP7$iOC)J}XvX{03Ooo9 zHu9ZN0#O{~e-AqPHZxpLt1=TIqUzrft8z<9Egnr87Dn1ape7SPs*G30rWu*C*X+xj}2R+P+}=ABWQb6WXq`L-JQJ3>==T?A`yj1|_8My)|` z!z64o$;C3|yk#G+c3uW2Y|UD`;3Nd)Br>!aHJT?D;iW7!XgJ_&I+7qC}qUtW&(~g4^`9AKcV3*}`*V{uM zp3_$KlwR$Qc`3|Zi?>>2H+_MpIxdl+DynB%LTk~&N=3vn`^_@^H?@`tMEDg%rEcwC zZ}5|}H6x%2Z22$}WtD-wj-^=;7dURNpy#Jt4Z$?Cz}2dBX$PiCnt`d!!Zf?U{e?7iQn5QK!h z2g3!z8*vZ6DwIzM`i7p+0DN6apqCKN5Dj)c5pmivp={(PLKWb4?}@bGV8zG>x8bs5 zW07B>DbkWoudSCITMKl;PWXUA;$3Omc_eAz0PSGCpnKW4L%b&?rO91AX5m%$lQZXp zd3h-O1b6itKfge&W;;p0qznZnyX?94L9$2$kz*?|w-!6V(v@n8L}qeHuy%)dH~p5G z5``nN<=NHMj8%1wDB}f)hh{h*E;z%lSl^L;Z?M~JL6xm(CHMyVl0^5JgP&PMb(XU_ z1DHKt4^0YuWR^^{HBBNoUFL0s{pq$22lqhvyb;C2X4I~-9(6KR5Y&I2_u{a67Z37d zBr0n!zD~J%oGnEc6{Fukq6JTdC97`MqIhX)utHZ^W() zmvMVMpZ&)ka~gluOA0}xtd-Zu^IS(QYxCaG0cV@Oy8ut19UMXUZQUwY?-;5`^n#%4Wn=vxUtCEufq==uq?zt8uP zj>J%QR>ShJQKP#Z4lc(~%g%#Z@1#T+I|~4zmYQ3A64Z{w5A6Q+9Y0l%IWwJ)<+y~1 zfp2h>!_BHh?CWhTQCAXa>*zTeo&~+@ zOCMxX%Okv8)um5c%d(E3-q$n8&-A+{As7Ss3AdJk6pF zqObRQVnylU=2fn%ev9s=tjLmbORN!yI{WI1b>r()OxDeX9qLu45W4rnefMpaqOD;< zfTdc*y3P;vy$c=I{jP<7N{#?5vJt-W(r_BiT*7GVv%`3(q93t96sZdI)`V3YiSyMC zmqBhytzpSC7B9njhYz1&uhguA$gOm?7Cn#Idk$Oa?;-Iv=XK& zyL??b5H@tVd%s{GgTV5fT(;X{h%_`6q1U*ea}xu<&(8vq(MJd6Ik%z6T0iDj^N{OK zBw+#f;@A|v&6bNsZZrTrsrGSmX*(CbJ1z&p+*j3I*A_CcfhZtN@=< z8@HR5;q4Ph=*Kjg1z5d5ns$`q@R0+!8?C|!mWx-rDAyP?TY)!=<Ss&O}r*CJ-U1XL#49d}yx2 z%QAE!t|?GO@4I8)=^efQ3asDBRy@MwRH-w^BQ%=A2ue3lH+a?R(o0CcS{z>N`#BR7 z5KF@`=w7>gy4KW^C|L@oUT}P)UoojX7Zhsk$emuvdvQ$%fEK8QZ^|lNT+*F8t_9oG zRGbQ9LnZ*Sar5z3yUbblgPtlHYw z2vp9hPu>0Lk);$+QzIxF#+^oGS{`=w)Zk227NG0dQ?bPP7eZc8%kzk&#wFi}YU-n3 z2$Z|vC$af39lH1<6+TJcdivaZ#5>vqT6+4nUUA$31k-JcmkEIn7|DL{?n!*VXs5lJ zNplH{+4jDu{HAO>%B*a@73;+Qc>UAG)xbT}@1ehj+lZFhejZV$eF=+u?ser zS$Tcj2DSnb|CpLMwj>a|Gyg2YE$5xC7r0QA=aTq6iq9|sV0*B(L@8x=4JhqPcC>k3 zOxkyjhx~dyA>I{t%HF65n!jN~M)MBzpMiTaJ9!VyY}$ZEpc66m`UQzGGY+eYuX|FD z#Q}|Eb#Pj|Nu?NH>wRB#vkxYp{{kol;0R#e*pENbZ#d+loGt+2mq^9MP06P+p(wyP zlT{56hBK|EAox-&kLUb^RJSmyYK)nSVccBvTzRM&L$wxZHxRoTcDk!`1IOaV`pAX% zr6&PyGm*@S#eZ9`0*4LOt!FA=tQnpI3E*!xyVcJ}wK{Wgiaf-Y-SQR+>1Gg?$2W}T zFht%js#s3;-LtgY_A2N}TDgdBTYi`GYs%WM-gJ!8ucb?>YP7@$@#NdXDtBE`cGcm4 zsh*|Pv5>@XVD?*bZfwEb8UwvCUv?+?-MF~yu%|89(oW`&`{(Bv`D23?NxY@{Y8nydT@c_a<8`He)K+Bd2X+sfj30D=zWLejzzNvk8iKhy-kyOM7C7Qq73 zO1VrU3<(CwPx!#d_SnvQt=Rl{j=CxmNj7n8TkS{%E0oKz`6@saHZHhX&_I)a7boam zvZsyn{>s`BPMAFF73j`2s&=^SC2wqO_WU`R#-C?X?&U_1YlE+vtq;9+Rs*#9%OzGn^}ncAb9HsS5sWzZ}aRY^vYlig|hGcCMR3^L>} z({qwfEN@87x{c@v<7Z8I)z5U)Ccpn@(>jc)Y#+StDXSnRM*|;)FyHgx>v4$XXtX~L zg%6YL|6Kfd?YXljsXT5&QKs|rF6wF&vZa9# zN1wd@Y8Okk1X){)Xi*TDqqQ#6Cdq%8LBPO?y$&_u{m#zkZ(UE4Wl;x=&jZaJ3M|8- z_j^wh$Bl}{Ufp&O0WT?XVxb`V{EYaAou7LkBh&I5g}lU8$0OMKsRYPDVLBxa6zA50 zX=qFGIa?3!kLPTQ&*dG^G(;%EnCgv&Xq$v?Iv-3AfAF36MM2aFBbKH@J{M*})h(6h z1GB&sOe&17%L669!$Hf-{PspLs&Ow=%;;WnRzwBXZ;8U6B-Vm&iato%F5D?#X`=6Z z=bV4`XShJ##eB>`;yVERhKZc-T`jmT={BOP;|OTnqN{GSo+CAhgFd(pn`QF?XaQcQ zd>!ipVUAwv1U`BIQ=3&4UNLl%3)AyX=ThBg%7Nqt_yQlStu(dC4%dX0zK$`Vo=JJE zW}J>vuf8rv{Bnw(oev_hk_NoQSKemJd&K9TikDZ#sWsE}Rt!^na09(Q-V4|)mzCl4 zZ5GEJCgRZzdo;2zCLeM&0`z-K#h&+uNdAa=t&T0NU9dgT(g(pqy;e@^H}y1o?vs`W zTEEEh4mZT}6lRvP{Rh7zcZUzJQPzxQw`89X)!#2_`6&A z0)QC2fz7v1<2a2zy-VGxD&(}IsqCD&?=(H6iWGjpFrr`1ZwM}E{T1`eVPa>GUBGEG zCx}w&`h%M8`nt@qOWYkE?2p}SxI(1BaJ^J|6QQ{M=DHv5!0x#Zaih0X1&{$|?MHeL zqgL1ns9o&LOan&01HBsUMUM`S;TdFU(tGYM{Bk4IfdQJBB3gr~1T7!^>`tWrHZ8Az z41pDSE_jqG*Y-CLzx47At7lR@s^a3#DagJb(K5JtmKT_bfcmJuU-dpMIC&8PaTaNz zsqrl5%+nyi_>PzmgISX52`#2h8Xr*m{`hxxeedY{?J8)Og1Y^7z}n85m%;tkb_e|< zyWx_ZdCBgM3V^9a0^5;D_!f(yd8~p5UhuX{*6PxmXFtU?8!tK4zSE`Qzz3hN@Iw~g zD2i1xanmyMxl{xH3F&dztA@15QU^fPnx{pTPN5NEu)z7YXrIwQFXo^zQZCzM(pz2m z%&*aVPoA)6L_yIciY-U`M-=;f_v$s7Qjue%|p4_XT3uU4kff0-N*Np4zfX4Qa582z8~{hAWM62;G?qft!B@%{?@){-+wO z=bj*hyJ+f1F^~bz(xQp4^Yo79GXx(dAeO?p8r(-K5Nkw6D5kqqRovX}PDV1t4AL-p zS&gT{)dH`>ET5l&)-VS|=+=TWM9tHrSow9l8rh7)%pDb=Wse_CykU|}WeacUwJbF2 z!L}f>tI(U=88e7z<2L|KTnB;b z`?3xeS$|F>$w(@Bt|Ifi9Is{=uIR!#9 z$?$Uc;^cd<_&;JaHxm6JHfxwws5=cXcueghT}rG8N@yQQy8zK=USr~!LQxxHjj5@$ zz<)*cYd9+54>v87740?nD+5=r^7+b5l=tZmr2j&V%kiqbjq+yJ>A7Bm=V6#~0f z%`@|*I{GQzTOtm^7|y`i+h~uO{De`}`m+hwVSWV`&8&|tPAh4mpLoD|u?L?3^(lM$ zgBzhZ=wJ1YQLH?-1VB0W4L|@U3Zgb zxbF$Ier^$4?JlcyYJ#r_NZjgbg$$jVV72J-HP0GDBVzGVFQ6@t=p;s+o0LC2+H zqh-n4`gt#DEtw_K;qj*kbklQ>-!FaUE1Xj3B25@ZCV=Jg;6|f)2pk(&cbQz64Ny$6 zZj;^`8%ghzH<=vRbby=y%YoIJp4byP9+xjRhoJY^oi4V0KM1TD3xiJP*X2#fPye~s zwTSR;ph`@Hui9;Sn7|J*y7{A6CyZ+mo8G5*vZeb%R+=-dwsl&6}tUYm3Du4!rP*j zARfLlYCT3MHA=0PesabZR8$K`YaVPmJg1*zH7@P24aXg-UrFP4O#LL5$*aAGX9Ka0 zxz8tEZdtp0$#w*qCz~N$4r@U6TXBg({1j%r-WIB)oymA}b3ZX2h zTC55IY;mJAPq^UwO0yjSf`>?AwlNzpA!QzoKkvNseFrai{jLRa+*=1FEK9VZk~Ttr zo&e42wudSM=t7#>jL4s3d#nW4eQor;#G73IMrgl2(PBL!c;^ZQ?Yn$Wft!C|?EDaP zTP49`gF)sjEL*RjWyMfbzQ)4aGMT(i^ zAfRs;Xd&s|E?`@q@1C~KF3eQ~Ea$Cq?=1NYgWuF;&S?^)JPyY)w2~8V6={G3lZJa> zn@-5AZ%pgBrV#q&(QbTQO!FwgW9y5%r{0k}@BQwWWU|YY;{{b2tQoAf8*a(4EcqAM z(TEf#`OhBuP7iq03aexWfMS5>*&P+&F>6>(y~L|CF$f^&F zevIh@rqJC)LX4y?hfgSNmftWbhCIOC*5#zRkQ{X61&k}$O3PBKG8|-}K&%xAr)3skZYXE+PEm?h@VB(Qy_kP3g#eNe7LD>mmB7^i;u!LUQ zHs~kf@w@+d7H1Y>d`~&(Kv&A>@(lrmF!Hno2*Ka#Mv_k`V5Z#zM^&-Nv$zV7&VUkf z;!cf&FPcFBDNN+)rya)mNkz$*98bys6CNE%t3`BDd+AM@+c@q!InaJ(8EO0IO@?@U z_Y^)+`T~&v;EkOlCZ)f77v2HWa}}u5B{dIFf1si$Z|K)TCB+dvF$7FZc0rBP}`SbgdKW!MEPx1N09%=Z8b46PeQ5eJqC#Es2dooy55PFiJ0p1;x3FY7~gyfzKLvve@ zV2}ZC1LEx9mrT!PduA|8@wvlP6D}~{FW3AC#=7)f+L-5Zd4Yak!AUcyW*@KdnA0f)4T(=c(A5KS|u@Wgw)rFN} z)WU#UK*Che8Fg>waT>d;1niF$LG!4o^`P0Q4iG`RaD4|r+uKjI;z9B)N_3EYpyrD{ zCP0c>IwZ^z#L43rjOT8XP`<3nMuy-gXB39vAZ`B~DX=HMYg5re52D<*IJG+009@DN zXRn{1wDXmq;sgwUB?zN5m#1e=ZOGt8)5D%lzM(~Zs~VB>`5R^(k7`20AHsXH)6q_I{G2tmbTW6gk?~} z*>_?;eT`<%_jbM}W>Sm#4d4=~{iZ3SStP(Aw z@nfSz9_IsBD||JA>iM3?E>e>2C+v+TfkVD3>%N*>-M+vy`T_;JL%-gI78_;f<-k?e9yC2P~nIn8d?#alx%&ubnnjukN5b{IbO2BUP{A3u9q zwq;YR@sZpFI$doF__E#};{8~Vyw`Y-_nu!S-doNZJ$^F2{n7Kjm*v?gSDPc%0-Y7g zc*6r<+^xkyvv7$U@c@v4O%;5}$caHDS|qF0gUL^r+=V45F#yt;g64w5po*Lyhjxn$vYaw{F~F02QlAs8p`d?NOEEk3;u>*2js1IRhEl_**|6;4 zVmJRN26PEyUbn~mfN=1tQ1pn_-XX#LLj`~2x%jn}{D>2H&>L7K1$TLXRYFzN-9zZc zer*AQEvN#S12=7-2hr9Hz;TN6!!}yXS?ge<21Dl{8$Q6#i29j;N@I`;{J9!!d6Pt7 z%z0V@&d&sQ(8Kvu1keH`;?WJr2isQznfDB6{X6K<4GKOe+Aa1>wXr&YZFV+y*jXm< z2@v4Z_#gu{bAF3Ng#WO#=b5DAv#(D>y0~e1sZaxW^;c&Q)5PLWM?(~?y?*yj&Eina zpAyr?Vsr8Vb=kT~`&zDrgrP=%DX0lve^zL7UIi6FzXUGlY9tcWrz$SW)tYW)%(td@imz zY#xJ>4SiWPs~`8(4&gDaSspa;@A!a(T^?xS^;qIAJfIsUsmuFP-0W9#H<0+Fxp@e_ zdkteY9e>ZXeuSRb(s2Fx$)~tz1WH<;0p!p-GxNeL+2zw(s|OWt13xO00RK;A@39;` zlVu66ryip=S#9{*paN{u{P*{*7E5G%)i0TEUW6C3X(<|J?Mn1d(XLk zh0eEps}WE~2C!R3*QrI-sN7-mFT1osg z2PG7<8o=B9nKe=J;T^A7?=F0Vz77aLl}3wVD$sB00SIQqqc|fl`#V98rG-?Y489|k zndLQf;p`w;dc zes^|?NP~}(17Lj=L~68hOT=)rw76==7gT|7dohNAz`~U1g@osk;%7Zx-PgwXFJR?8 z-r!1qc*94AFV=)DYwW+!BB!Ja0&X_H*ZVy;U<~duo?Yk1>^~Iwt@b!WIZN?Oqj5`+ z!VNgH$a7FHs*jjxashLVO~(V0vTV`o+ruu5XHMIp8O_Y;NX+ZbZSIFQEgm;YfJre1Ibk7|UZ0FvM6T7&*!Py*sIs zXYm8(+a?V|uVQFD|6+Bavghlz-*C#M1>a8^XaAFU`B%hy^lJUY71Hw8SC&w1z)WMF zkzOLR(xQ@EBp!5IS688fIJEF!OeXxLAPQK;z?f(>Qc|z8I**oSXL1k;O5kV-X&1iV8*;C ziT?_>T6w|np!(8doJx{W_yta;LQ%6%QvZm*qx;DgpCzF)Lu?5nrr|elzNUPTq&}e> z-=lW2e$p!VD(^!Wl~zcAn^Smc4ia&AGPISkS377fp(d$+yf}OP_7gP>&?VLm9FON{ zA?YF{uN~C`neh1P?@y?%FG2I5X0|N*RIaDJc0)E=Inz;SINTv4{j@+xW+n+fpjNtV z0dFr=f}kc9YBZ>&BxKH|_lt)0NB^U9&xXFBP%r?=W~F-Mx&o6Vt^F4(U@TpMHw zbo0^oZ){HALLAzR-ngfyBvOSmBXPEmUh_|gAJ6ak2HV?-5jieZmeKJPg<>mv5Ye|N znDjj*Jn@nS0bx)FI;7`mnKA(B$0rH>7=E!kBJO{7a|gyHU-&1QmL7fmzmnqOmN!4{ zB=kSHlYIM}yT0px&wN0$!v84_?^ZB>4-LONKlp}Le?q9ae+*Fm-$f?hXDa`P&i`vU zJO1A5y;A=_26QsmS^t>U^+R2*6An-D)y~kH`A?Zcd?mHzD3qSIp{gz^{seHxP&NBL zj=jdrLAPt5PkJ0;{iXXVf?J;JHlO@t>wCeSfp{NUzOvWgeC7i$e9;Issy;m`M??zX zM&FOg(Mg_tG1}_&)Z7AXmA8z{I7RL)wgfCw)i4fX>0STKN64XatUV1K^;Fx6I#>f^ z{v(}0E(kw0ORqt?KAn4K#90@+yWw^1*+t0`P5s=uAA3d4*CEGbaE;*M>JzxU=Vnay zFs_RF-)EMOgeQxK=Pq=+bl&dmKshR0wl7u*PJi)l2B~$v0C6_9rlaRk3m^8%kHP+X zt^TzH?D_XW6&XXh+PzZCyRCs|%lXjbB$%ItW%8f1P~{t#gkly0{UdBqb;#1j-v1g@ z2Tl7Mk@W2R&12p0)AJ{7h;u|$%}0OVFEP#h#r~fgh~?L!Uwh2Gd*Yf z2~YP*{TL56WBbn?tp-j5TJi(1w)$VIr;HMhKL|nolv2j2KL|+@e^B@*6%U2|fuVmc zr+iWWf6B-j{#giKi9De*CdQpp&s01M=wk{=YIRRX(;^<>pQ9;ijUb-}7{ zGubFK5bO>*8;f0Q?fS#0^j`Me#jScf=QbTVO zqL=`In@RpMK0mE=VS3|Y&4#+g>RWC4Yrk$10@sgi8HwdXfLj}{3b;t_#>rbEPxo!& zM^JEkL)=|ovZkS)vN`zf8KEdA)YEejT&KHjdxvbLTQv@b8Ggmjeqq5H! zrsq~#zC#v^6(LQ2YCktZqCF-k8_uX+Glz{pp9run@Hj8U=tt7f$kc2}W29TjoO2Yd ziP3taz9X0!SX@1bsEsqdJ?_Y{6%<-s>I5eYzsVL?1PS$U@oBS`Dn7r&Z9+5xQ1YV~ z3MadrlaS|AW{V23z+e*J)aZ-SpGApVss0%A#GgcWo)Yk0yeDFpx=r66h;1?}L#$$X z|Bh!>#ptPG#7lHbbV_41`xa={q#@>@*>3#+F(VK2!R8ba`VxZ0zn-gZjXo$q5bgK( z3B&BAVG2}grH8JT(@|8qbNyo z94?MN-dVPs3$i4NDEFKp1YP?xGb23hU-NM7=uL!B=@z6|pO_2r?!LhZV~7COuH4y= z#5~wWelMnkQQ;m#+Q2J@zphZEa zPytO?!A$_K=`t{y#GFYT#6hJy6KsNEFyQ9K{XJ8okk%9=2Z5YSMPn=918+2m%5kis zQ>v(>8JOvfjKduOzN*0^=;+aQR0JC80XG`u$cm}B(fTNy5{ygymNO%^B0unZnHgvG z71q_HPPQgM^v~{|CVq)8nKRM7A(`EMGzm_8G0_AKF0z>qD@>-mfVs$*?8gfe3xn^6 z6_tV=VEkCiKG~)8?<5mT{<*WciiR5L3P&#V|NUP}$wnfNKacARh9K+@hGTzF z{72HmB>V?{!2cxs9~h4Qv+(z7-$qh=(-SPHf(U~!0w9m%ERO_t5GZ1#cRqZXxs!@d zY`>nW{Te0DWV`+bRd6DOqvtU1I)}cBEb`|pdNC(U<-~t%dRP=jd`mQ?Jge%ks+L0D zOuk6{vl5?~N8JW|FfS|2K!BLINJ9`>EtifMNp5aaLMs%VB_F;mdv6N*KYsl$Z1x|% z8k#+VmH0Y7=y{fxYqpUyOU~rXg)Bo_c+*@*e}213bNcyL6DPQ&qQ);Le1e|`PjU&( zA+&?@7hdcPy4o+418Unc&z!nYVTGJw@T5u*&*!eYKJVyeGE-DGSJv5^i( zq$o2N!7;Ma?7AsyW0dS&K+PI?TTtm%)1mZ@6d!ni&YP#CvWlgC#k;g=7w`hCu<5~T zmx{#R5*A&OSlUPFRu)c-YH^ne-LIJ@)_rKoioSZC>fWwffC zz%${y!jLv&%V3!Pdq=`+a=9M0pd8G@PKYwh@wLwo1}2)E+Z7on%{6E6l_5+pd)8uH z{*(>2qZJXKZeZW{)h+N5lRTnML-O!|^VVyt6&fKOcPKx?7_}thCC{+4D0@B_JJWZgVk=lf>vo_>0G|wAQ2Z2zIkzw{zLdA0 z+yS)y!81bBW0szO+n*}v6@@9V5W`;LNHLrT*PxIuJPzXsQJDH|sZ=4{VljvO7OuE{w+ks zy^r4_AbkuP`W(|FZ{$aG5EPqL|E%3aaCXFNt57muu<4fF3v|e2os$%b?6wGhD_01{ zi(;6)>y3Shvl$o!_r;wG1gaqB`0bMyrd(WY-fq$F;-{wCYNXC%A7me(7kLMz%kU}; zdidnb2d&x?=}p38jlL zNC!X6&BMtP0^Rc~j#HUNib1|SGe_5ZiZ9h|mDJl^d4lYhGZ%h*W0o%ZsSCH(%r2v* z(!u0DA8_A1Y71koy4YZ9dNqP6AEmc5kbXeW)+Zo zEm8UN^%7v3-@6NhxBY;Cq<*7x{CawvMqnj?GgL$xP_sOzH`)+i3Sy7avBN+{u(-Xf z)^JL43JaPFAIAHH=TVSQt$0yCkjuQYUm$oCq$BaXK=`ag{-~2ULxqva10XlJZwj`< z1ARdjk`V6sTgYJc(-Wy#NOs*7eJhC`T!hBh6i0thkP`Vy`k4=}P{>DTG~apARWht9 z_ziOK3jxJnLE$=)pVXgb_a2MC!k*Ua%>@}8aQkQ$k<1EBJky?wvjTg2J3t+uRm57r zW@Uty+uLM5odWr&WdozRv)F*JYBS)dd1TaH_@O3Gmu*vGwK9Oo2^{A}(pGkdknK{u za4%*37KX#ROCLQP_}i|-FxNnfd?-mnd#>-A7%w*R{PHpM*cd1$$T5ejbe=&^d%~%0 z)4*@Z(Ca{ksD@pHyLq&>T^kcdRO9%tSVIwFbyz;R*c>@d$0KMXdt`rGP>Bqmn)aTJ zeyf(XtQ0|hl;5Oz9Ws}`} ziZ(F2kmp?4F&mPjE{VkDF$;Yiyt&0DEmSR|-KFq$T(hsf%`Ud7*g7qmcJ*R+H)VC4 zPgK&4d*AFN+DyaT70Z9qzLNc%MhlDfSf@M0O46)aO?|;0;1mPt~)=b zk>!OqSCWp-;VYmzq7wFcwvHfR2l|<2mP*i60J%C&DH`;QL@EmGaC$#Y>P8ejG(W}1 zI8MP?xJN;`FHD;V6-zGG!8P09%Aa!M-4wC4O_iMo4n^nFy4t+yvucz z>M{vRNsknnnS)Wx7JKd~#EnRt$kV86lQ-HwM@og!fpU$E4udtn_YK+}-y+P|hbm*2 zB2Wj$u+{H5y?0C;x&xMwqfYs3BPHEl8^IXi?K4jC1;n%(s$Vzu1C%OEI}mV$gmciH z-se(suU+~bXM5(nR~Fzd=@EOfx1RufEhL2&eE@E(R0yZR-o~`9FgOHyA2{&zvMA}& zgrVTEg(W#Yx6@;gMntOa%3!o_q)e!1zX_wn3f2Bim4Vy-oEw+ z6cD(XZ)=zWwNf9s3-vB^#$x*<5@IrVFFz(vk&Wa9`u9BmNR;0<=L6vr@r6kyc6^(q zG{K0Nx@Hq0?PeerxK$ek(%thwY_`m>*1(4Xcr*ujTLrXnLmo)*;NgpmTi+@$o1lWa zCX-gSBgjVCeqsfhsvci5dNhMRA&O-*OCeLHYl3$kHTCm3M@G|+xLDsCKsLtAP-TFa z5MmP+x+O_8a!VsvbAwA`7V3hFN2g2?6jY1!hG-hxn1s5XN-Z*)p`-> z`}yL2tZi@Mh<9wa2adTBg{-)Y*tx9G_uuEzeeH>ULr?Xzl!*C+mJeJ!A`~O3&ok99 zedjtThO5-7HU(I#xi^8HUfuxZS*M!L8>V*W8_&h|vc8VvcLSY1NG~jE<`E9Ny_pUZ za}U^IgPbe{s;7zbw&ngitKw#qP%cw(R8Bqqeuc}tJsm12o^I68tWDQOVCl!`?Vte_ z7YM(-O6gu+gt^8G7|;nmh@KI+GqY39rW1JZtej-YZq`ybLKF>)jIH8(PJdFc*13tSOj^u#bfL`yLZRMUc84>IaZ1q&5O@CEDOmeW2Qbab2Wk!2NpiC+HlVs zZH0W6T>GgWf^f%9R}#gsAs2Bgsd3<~COPGC_La=(83fTzE6k+li#maU1w7bh?K{x} zj2s?&z?@)5i_z#womqlHQfcL_fJuC6jp)XDniL$WVU1#b;%NaXpe{z13`O=U{TgN% z+4QfTYtWU*;R&(vk1&OyFJ*v-r~*d1OmC{k-q;zxFRJWal)=X#i#&Q&^1Ap_=;2Cp*e4rz1 zQy}?VAD&H5p+=6V04UONqzy9<&ZJTDj(lqinM>|v(mqrkI0b(1K^gG}i&5JZ4s^jK zUO=sMH_j5&2A&iaLpch!{T>|36N+BU8kb&_acq`!@4+rTnwvl~WC%P;Ug+iUIgzwK zD9ph-*Pt#jP|@-CAZ!iZQg7nMu*E)!z6?8XOl|go1vAEOOWP+<;W(&;8u)(ZQaQq( zwB#XV#4QLwbI_cW+qX&3nkCO0fFT`)4N@e7AEZdt{qOb*fhlmUaarKfK`@7k^(tPY zYNU%Lm9bMXslt>Abm>AHZjiHH^ch0?(xHoxSEJvw=-N4rFPwgi`%a1MU_?tr~3bes?@MVT$2pGY)D zP{Rl3HZYEbCe_C5J1Zymi@fP@ieIu#njR{1$2YwTgeyo1FBDeKB_j$;IeB zGk84V35qNhm!Lz#GNVhQFajFi1tV4&bbo!$NNs$N49?cAX`x|UbeZnU%~$6zE7{ib zC{%!yH@F1PE?4O$h&i}|PY3{-Xx$&_Zvd^JjQYT}%~MiGhM-?`2GMj*8*iH3XZ@&z zaVhPjHca{yZJNG@^QMK4W<)xrY5tBV`bm!c@0*zIKf6--hnGQL!zb?rZ(l9=?{Bc? zbf5q2Z&4k_)!+U+NbC;^;eUQEr{F&@4F5r>KPW-|K^}jw-|MRXj$;`5xSM}|^RNH< z+lZpjpTGU>>bxo1qAuEW`nP|0<6j?tHU5vE*1sD6SMUGNzfAM^Kcc#etAB(3{6ph^ zhyUpvQ=0$V-^Mig+n>J)=|%D9A`bsv1_>pR$AA2XKOg_{fBmbuL~$|3OELfJfBS#` U+rRw%^JgOdKlUEAZ(iC307&@O4FCWD diff --git a/scconfig/src/tmpasm/regression/Makefile b/scconfig/src/tmpasm/regression/Makefile deleted file mode 100644 index 607db5da..00000000 --- a/scconfig/src/tmpasm/regression/Makefile +++ /dev/null @@ -1,163 +0,0 @@ -### PLEASE DO NOT EDIT THIS FILE, it has been generated from Makefile.in. ### - -TESTER=../tester - -all: Tutor01_hello.diff Tutor02_vars.diff Tutor03_blocks.diff Tutor04_if.diff Tutor05_switch.diff Tutor06_foreach.diff Tutor07_sub.diff Tutor08_uniq.diff Tutor09_ui.diff Tutor10_include_redir.diff Tutor11_missing.diff Tutor12_halt.diff comment.diff foreach.diff if.diff switch.diff test.diff then.diff append.diff order.diff err_if_end.diff err_if_else.diff err_excess_end.diff err_switch_end.diff err_switch_nocond.diff err_no_end.diff - -# Explicit test rules - -Tutor01_hello.out: Tutor01_hello.gasm $(TESTER) Makefile - $(TESTER) < Tutor01_hello.gasm > Tutor01_hello.out 2>&1 - -Tutor01_hello.diff: Tutor01_hello.ref Tutor01_hello.out - diff -u Tutor01_hello.ref Tutor01_hello.out - -Tutor02_vars.out: Tutor02_vars.gasm $(TESTER) Makefile - $(TESTER) < Tutor02_vars.gasm > Tutor02_vars.out 2>&1 - -Tutor02_vars.diff: Tutor02_vars.ref Tutor02_vars.out - diff -u Tutor02_vars.ref Tutor02_vars.out - -Tutor03_blocks.out: Tutor03_blocks.gasm $(TESTER) Makefile - $(TESTER) < Tutor03_blocks.gasm > Tutor03_blocks.out 2>&1 - -Tutor03_blocks.diff: Tutor03_blocks.ref Tutor03_blocks.out - diff -u Tutor03_blocks.ref Tutor03_blocks.out - -Tutor04_if.out: Tutor04_if.gasm $(TESTER) Makefile - $(TESTER) < Tutor04_if.gasm > Tutor04_if.out 2>&1 - -Tutor04_if.diff: Tutor04_if.ref Tutor04_if.out - diff -u Tutor04_if.ref Tutor04_if.out - -Tutor05_switch.out: Tutor05_switch.gasm $(TESTER) Makefile - $(TESTER) < Tutor05_switch.gasm > Tutor05_switch.out 2>&1 - -Tutor05_switch.diff: Tutor05_switch.ref Tutor05_switch.out - diff -u Tutor05_switch.ref Tutor05_switch.out - -Tutor06_foreach.out: Tutor06_foreach.gasm $(TESTER) Makefile - $(TESTER) < Tutor06_foreach.gasm > Tutor06_foreach.out 2>&1 - -Tutor06_foreach.diff: Tutor06_foreach.ref Tutor06_foreach.out - diff -u Tutor06_foreach.ref Tutor06_foreach.out - -Tutor07_sub.out: Tutor07_sub.gasm $(TESTER) Makefile - $(TESTER) < Tutor07_sub.gasm > Tutor07_sub.out 2>&1 - -Tutor07_sub.diff: Tutor07_sub.ref Tutor07_sub.out - diff -u Tutor07_sub.ref Tutor07_sub.out - -Tutor08_uniq.out: Tutor08_uniq.gasm $(TESTER) Makefile - $(TESTER) < Tutor08_uniq.gasm > Tutor08_uniq.out 2>&1 - -Tutor08_uniq.diff: Tutor08_uniq.ref Tutor08_uniq.out - diff -u Tutor08_uniq.ref Tutor08_uniq.out - -Tutor09_ui.out: Tutor09_ui.gasm $(TESTER) Makefile - $(TESTER) < Tutor09_ui.gasm > Tutor09_ui.out 2>&1 - -Tutor09_ui.diff: Tutor09_ui.ref Tutor09_ui.out - diff -u Tutor09_ui.ref Tutor09_ui.out - -Tutor10_include_redir.out: Tutor10_include_redir.gasm $(TESTER) Makefile - $(TESTER) < Tutor10_include_redir.gasm > Tutor10_include_redir.out 2>&1 - -Tutor10_include_redir.diff: Tutor10_include_redir.ref Tutor10_include_redir.out - diff -u Tutor10_include_redir.ref Tutor10_include_redir.out - -Tutor11_missing.out: Tutor11_missing.gasm $(TESTER) Makefile - $(TESTER) < Tutor11_missing.gasm > Tutor11_missing.out 2>&1 - -Tutor11_missing.diff: Tutor11_missing.ref Tutor11_missing.out - diff -u Tutor11_missing.ref Tutor11_missing.out - -Tutor12_halt.out: Tutor12_halt.gasm $(TESTER) Makefile - $(TESTER) < Tutor12_halt.gasm > Tutor12_halt.out 2>&1 - -Tutor12_halt.diff: Tutor12_halt.ref Tutor12_halt.out - diff -u Tutor12_halt.ref Tutor12_halt.out - -comment.out: comment.gasm $(TESTER) Makefile - $(TESTER) < comment.gasm > comment.out 2>&1 - -comment.diff: comment.ref comment.out - diff -u comment.ref comment.out - -foreach.out: foreach.gasm $(TESTER) Makefile - $(TESTER) < foreach.gasm > foreach.out 2>&1 - -foreach.diff: foreach.ref foreach.out - diff -u foreach.ref foreach.out - -if.out: if.gasm $(TESTER) Makefile - $(TESTER) < if.gasm > if.out 2>&1 - -if.diff: if.ref if.out - diff -u if.ref if.out - -switch.out: switch.gasm $(TESTER) Makefile - $(TESTER) < switch.gasm > switch.out 2>&1 - -switch.diff: switch.ref switch.out - diff -u switch.ref switch.out - -test.out: test.gasm $(TESTER) Makefile - $(TESTER) < test.gasm > test.out 2>&1 - -test.diff: test.ref test.out - diff -u test.ref test.out - -then.out: then.gasm $(TESTER) Makefile - $(TESTER) < then.gasm > then.out 2>&1 - -then.diff: then.ref then.out - diff -u then.ref then.out - -append.out: append.gasm $(TESTER) Makefile - $(TESTER) < append.gasm > append.out 2>&1 - -append.diff: append.ref append.out - diff -u append.ref append.out - -order.out: order.gasm $(TESTER) Makefile - $(TESTER) < order.gasm > order.out 2>&1 - -order.diff: order.ref order.out - diff -u order.ref order.out - -err_if_end.out: err_if_end.gasm $(TESTER) Makefile - $(TESTER) < err_if_end.gasm > err_if_end.out 2>&1 - -err_if_end.diff: err_if_end.ref err_if_end.out - diff -u err_if_end.ref err_if_end.out - -err_if_else.out: err_if_else.gasm $(TESTER) Makefile - $(TESTER) < err_if_else.gasm > err_if_else.out 2>&1 - -err_if_else.diff: err_if_else.ref err_if_else.out - diff -u err_if_else.ref err_if_else.out - -err_excess_end.out: err_excess_end.gasm $(TESTER) Makefile - $(TESTER) < err_excess_end.gasm > err_excess_end.out 2>&1 - -err_excess_end.diff: err_excess_end.ref err_excess_end.out - diff -u err_excess_end.ref err_excess_end.out - -err_switch_end.out: err_switch_end.gasm $(TESTER) Makefile - $(TESTER) < err_switch_end.gasm > err_switch_end.out 2>&1 - -err_switch_end.diff: err_switch_end.ref err_switch_end.out - diff -u err_switch_end.ref err_switch_end.out - -err_switch_nocond.out: err_switch_nocond.gasm $(TESTER) Makefile - $(TESTER) < err_switch_nocond.gasm > err_switch_nocond.out 2>&1 - -err_switch_nocond.diff: err_switch_nocond.ref err_switch_nocond.out - diff -u err_switch_nocond.ref err_switch_nocond.out - -err_no_end.out: err_no_end.gasm $(TESTER) Makefile - $(TESTER) < err_no_end.gasm > err_no_end.out 2>&1 - -err_no_end.diff: err_no_end.ref err_no_end.out - diff -u err_no_end.ref err_no_end.out diff --git a/scconfig/src/tmpasm/regression/Tutor01_hello.out b/scconfig/src/tmpasm/regression/Tutor01_hello.out deleted file mode 100644 index bfb644bb..00000000 --- a/scconfig/src/tmpasm/regression/Tutor01_hello.out +++ /dev/null @@ -1,16 +0,0 @@ -print [at 7:1] - arg: {hello world! -} -print [at 11:1] - arg: {hello} - arg: {world!} - arg: { -} -print [at 14:1] -print [at 17:1] - arg: {HELLO} -print [at 17:16] - arg: { WORLD!} -print [at 17:36] - arg: { -} diff --git a/scconfig/src/tmpasm/regression/Tutor02_vars.out b/scconfig/src/tmpasm/regression/Tutor02_vars.out deleted file mode 100644 index 9f19f02a..00000000 --- a/scconfig/src/tmpasm/regression/Tutor02_vars.out +++ /dev/null @@ -1,36 +0,0 @@ -put [at 4:1] - arg: myvar - arg: {Hello world! -} -print [at 7:1] - arg: myvar -print [at 11:1] - arg: {Hello universe! } - arg: myvar -put [at 15:1] - arg: str - arg: {cats raining from the sky} -put [at 16:1] - arg: tmp - arg: str -print [at 17:1] - arg: str - arg: {==} - arg: tmp - arg: { -} -print [at 21:1] - arg: {safe get: '} - arg: ?nonexist - arg: {' -} -print [at 25:1] - arg: {exists (no): } - arg: &nonexist - arg: { -} -print [at 26:1] - arg: {exists (yes): } - arg: &myvar - arg: { -} diff --git a/scconfig/src/tmpasm/regression/Tutor03_blocks.out b/scconfig/src/tmpasm/regression/Tutor03_blocks.out deleted file mode 100644 index e091176c..00000000 --- a/scconfig/src/tmpasm/regression/Tutor03_blocks.out +++ /dev/null @@ -1,35 +0,0 @@ -print [at 7:1] - arg: {this is a string} - arg: { -} -print [at 9:1] - arg: {-- -} -put [at 11:1] - arg: myblk - arg: {a block -of multiline -data. -} -print [at 16:1] - arg: myblk -put [at 24:1] - arg: myvar - arg: {world} -print [at 25:1] - arg: {-- -} -print [at 26:1] - arg: [~ hello ~myvar~! ~] - arg: { -} -print [at 27:1] - arg: {-- -} -print [at 28:1] - arg: { - hi @myvar@! -} -print [at 31:1] - arg: {-- -} diff --git a/scconfig/src/tmpasm/regression/Tutor04_if.out b/scconfig/src/tmpasm/regression/Tutor04_if.out deleted file mode 100644 index 56807613..00000000 --- a/scconfig/src/tmpasm/regression/Tutor04_if.out +++ /dev/null @@ -1,42 +0,0 @@ -put [at 1:1] - arg: myvar - arg: {true} -if myvar [at 6:10] -then: - print [at 7:2] - arg: {myvar is true (1) -} -else: - print [at 9:2] - arg: {myvar is false (1) -} -if myvar [at 14:10] -then: - print [at 15:2] - arg: {myvar is true (2) -} -else: - (NOP) -if myvar [at 19:10] -then: - (NOP) -else: - print [at 20:2] - arg: {myvar is false (3) -} -put [at 24:1] - arg: foo - arg: {false} -if myvar [at 25:10] -then: - if foo [at 26:9] - then: - print [at 27:3] - arg: {myvar and bar are true (4) -} - else: - print [at 29:3] - arg: {myvar is true, bar is false (4) -} -else: - (NOP) diff --git a/scconfig/src/tmpasm/regression/Tutor05_switch.out b/scconfig/src/tmpasm/regression/Tutor05_switch.out deleted file mode 100644 index 00ba084a..00000000 --- a/scconfig/src/tmpasm/regression/Tutor05_switch.out +++ /dev/null @@ -1,71 +0,0 @@ -put [at 15:1] - arg: myvar - arg: {foobar} -switch myvar [at 16:1] - case {baz} - put [at 17:14] - arg: res - arg: {1} - print [at 17:27] - arg: {this is baz. -} - case {^oob} - put [at 18:14] - arg: res - arg: {2} - print [at 18:27] - arg: {did you mean out-of-band? -} - case {^f} - put [at 19:14] - arg: res - arg: {3} - print [at 19:27] - arg: {starts with f. -} - case {oob} - put [at 20:14] - arg: res - arg: {4} - print [at 20:27] - arg: {OOB! -} - default - put [at 21:14] - arg: res - arg: {0} - print [at 21:27] - arg: {none. -} -print [at 24:1] - arg: {result is: } - arg: res - arg: { -} -put [at 28:1] - arg: patt - arg: {^number$} -put [at 29:1] - arg: REF - arg: {3} -switch [~num ~res~ ber~] [at 30:1] - case patt - print [at 31:23] - arg: {empty -} - case [~^num ~REF~~] - print [at 32:23] - arg: {reference -} -put [at 38:1] - arg: cond - arg: {blobb} -switch cond [at 39:1] - case {lob} - print [at 40:15] - arg: {"then" -} - default - print [at 41:15] - arg: {"else" -} diff --git a/scconfig/src/tmpasm/regression/Tutor06_foreach.out b/scconfig/src/tmpasm/regression/Tutor06_foreach.out deleted file mode 100644 index f8fccde3..00000000 --- a/scconfig/src/tmpasm/regression/Tutor06_foreach.out +++ /dev/null @@ -1,32 +0,0 @@ -foreach item in {this is a list of words} [at 7:1] - print [at 8:2] - arg: item - arg: { -} -put [at 15:1] - arg: nl - arg: { -} -foreach item in {foo bar baz} [at 16:1] - foreach w in [~next: ~item~~nl~~] [at 17:2] - print [at 18:3] - arg: w -put [at 27:1] - arg: libs - arg: {-lsdl -ltcl8.4} -foreach l in libs [at 28:1] - print [at 29:2] - arg: {l=} - arg: l - arg: { -} - switch l [at 30:2] - case {^-lsdl} - put [at 31:19] - arg: libs - arg: [~-lm ~libs~ -lsvga~] -print [at 34:1] - arg: {libs=} - arg: libs - arg: { -} diff --git a/scconfig/src/tmpasm/regression/Tutor07_sub.out b/scconfig/src/tmpasm/regression/Tutor07_sub.out deleted file mode 100644 index a59ad252..00000000 --- a/scconfig/src/tmpasm/regression/Tutor07_sub.out +++ /dev/null @@ -1,40 +0,0 @@ -put [at 6:1] - arg: myvar - arg: {Hello world! -} -sub [at 7:1] - arg: myvar - arg: {l} - arg: {2} -print [at 8:1] - arg: myvar -sub [at 13:1] - arg: {myvar} - arg: {l} - arg: {3} -print [at 14:1] - arg: myvar -put [at 19:1] - arg: pointer - arg: {myvar} -sub [at 20:1] - arg: [~~pointer~~] - arg: {l} - arg: {4} -print [at 21:1] - arg: myvar -put [at 25:1] - arg: punctuation - arg: {[!?.]} -sub [at 26:1] - arg: [~~pointer~~] - arg: punctuation - arg: [~ PUNCT:~punctuation~~] -print [at 27:1] - arg: myvar -gsub [at 30:1] - arg: [~~pointer~~] - arg: {o} - arg: {_0_} -print [at 31:1] - arg: myvar diff --git a/scconfig/src/tmpasm/regression/Tutor08_uniq.out b/scconfig/src/tmpasm/regression/Tutor08_uniq.out deleted file mode 100644 index 752ca42f..00000000 --- a/scconfig/src/tmpasm/regression/Tutor08_uniq.out +++ /dev/null @@ -1,89 +0,0 @@ -put [at 7:1] - arg: list - arg: {this -is -a -list -of -words, -a -list -of -words. -} -print [at 18:1] - arg: {original: -} - arg: list - arg: { -} -uniq [at 19:1] - arg: list -print [at 20:1] - arg: {uniq: -} - arg: list - arg: { -} -put [at 24:1] - arg: foo - arg: {this -foo -is -a -this -foo -} -uniq [at 31:1] - arg: tmp - arg: foo -print [at 32:1] - arg: {original: -} - arg: foo - arg: { -uniq: -} - arg: tmp - arg: { -} -sortuniq [at 39:1] - arg: tmp - arg: foo -print [at 40:1] - arg: { -sortuniq: -} - arg: tmp - arg: { -} -put [at 49:1] - arg: list - arg: {#define foo -#include "foo20.h" -#include "foo10.h" -/* misc1 */ -#define bar -#include "bar1.h" -#include "bar2.h" -/* misc2 */ -} -put [at 61:1] - arg: /tmpasm/IFS - arg: { -} -uniq [at 63:1] - arg: tmp - arg: list - arg: {^#define} - arg: {^#include} -print [at 64:1] - arg: {original: -} - arg: list - arg: { -grouped uniq: -} - arg: tmp - arg: { -} diff --git a/scconfig/src/tmpasm/regression/Tutor09_ui.out b/scconfig/src/tmpasm/regression/Tutor09_ui.out deleted file mode 100644 index 2873077c..00000000 --- a/scconfig/src/tmpasm/regression/Tutor09_ui.out +++ /dev/null @@ -1,11 +0,0 @@ -put [at 9:1] - arg: myvar - arg: {! -} -report [at 10:1] - arg: {hello } - arg: {world} - arg: myvar -report [at 11:1] - arg: [~hello world~myvar~~] -abort [at 15:1] diff --git a/scconfig/src/tmpasm/regression/Tutor10_include_redir.out b/scconfig/src/tmpasm/regression/Tutor10_include_redir.out deleted file mode 100644 index c1349f08..00000000 --- a/scconfig/src/tmpasm/regression/Tutor10_include_redir.out +++ /dev/null @@ -1,20 +0,0 @@ -print [at 14:1] - arg: {this goes to the default output -} -redir [at 18:1] - arg: {Tutor10.inc} -print [at 19:1] - arg: {# this is a generated file.} -print [at 20:1] - arg: { - print {hello world from my include!\n} -} -redir [at 25:1] -print [at 26:1] - arg: {back at default output. -} -print [at 45:1] - arg: {Include: -} -include [at 46:1] - arg: {Tutor10.inc} diff --git a/scconfig/src/tmpasm/regression/Tutor12_halt.out b/scconfig/src/tmpasm/regression/Tutor12_halt.out deleted file mode 100644 index 8f02c44b..00000000 --- a/scconfig/src/tmpasm/regression/Tutor12_halt.out +++ /dev/null @@ -1,17 +0,0 @@ -put [at 6:1] - arg: tmp - arg: {true} -if tmp [at 7:8] -then: - foreach item in {foo bar true baz} [at 8:2] - print [at 9:3] - arg: item - arg: { -} - if item [at 10:11] - then: - halt [at 11:4] - else: - (NOP) -else: - (NOP) diff --git a/scconfig/src/tmpasm/regression/append.out b/scconfig/src/tmpasm/regression/append.out deleted file mode 100644 index b7fea308..00000000 --- a/scconfig/src/tmpasm/regression/append.out +++ /dev/null @@ -1,15 +0,0 @@ -append [at 1:1] - arg: tmp - arg: {foo} -append [at 2:1] - arg: tmp - arg: {bar} -append [at 3:1] - arg: tmp - arg: {baz} -foreach n in tmp [at 4:1] - print [at 5:2] - arg: {-> } - arg: n - arg: { -} diff --git a/scconfig/src/tmpasm/regression/comment.out b/scconfig/src/tmpasm/regression/comment.out deleted file mode 100644 index e1d68d18..00000000 --- a/scconfig/src/tmpasm/regression/comment.out +++ /dev/null @@ -1,4 +0,0 @@ -print [at 1:1] - arg: data1 -print [at 2:1] - arg: data2 diff --git a/scconfig/src/tmpasm/regression/err_excess_end.out b/scconfig/src/tmpasm/regression/err_excess_end.out deleted file mode 100644 index 73807fb8..00000000 --- a/scconfig/src/tmpasm/regression/err_excess_end.out +++ /dev/null @@ -1,6 +0,0 @@ -error: Excess "end" at 3:4 -if {1} [at 1:8] -then: - (NOP) -else: - (NOP) diff --git a/scconfig/src/tmpasm/regression/err_if_else.out b/scconfig/src/tmpasm/regression/err_if_else.out deleted file mode 100644 index 6514b4a4..00000000 --- a/scconfig/src/tmpasm/regression/err_if_else.out +++ /dev/null @@ -1,6 +0,0 @@ -error: unexpected 'else' - must be in a 'then' block before an else at 2:5 -if *NULL - broken AST* [at 1:1] -then: - (NOP) -else: - (NOP) diff --git a/scconfig/src/tmpasm/regression/err_if_end.out b/scconfig/src/tmpasm/regression/err_if_end.out deleted file mode 100644 index 90b5430b..00000000 --- a/scconfig/src/tmpasm/regression/err_if_end.out +++ /dev/null @@ -1,6 +0,0 @@ -error: unexpected "end" in "if" - expected "then" at 2:4 -if v [at 2:1] -then: - (NOP) -else: - (NOP) diff --git a/scconfig/src/tmpasm/regression/err_no_end.out b/scconfig/src/tmpasm/regression/err_no_end.out deleted file mode 100644 index 34646b1e..00000000 --- a/scconfig/src/tmpasm/regression/err_no_end.out +++ /dev/null @@ -1,6 +0,0 @@ -pritn [at 1:1] - arg: {foo} -switch {cond} [at 2:1] - case {1} - print [at 3:11] - arg: {foo} diff --git a/scconfig/src/tmpasm/regression/err_switch_end.out b/scconfig/src/tmpasm/regression/err_switch_end.out deleted file mode 100644 index 77473862..00000000 --- a/scconfig/src/tmpasm/regression/err_switch_end.out +++ /dev/null @@ -1,2 +0,0 @@ -error: unexpected end of if switch statement; expected a data at 1:7 -(NOP) diff --git a/scconfig/src/tmpasm/regression/err_switch_nocond.out b/scconfig/src/tmpasm/regression/err_switch_nocond.out deleted file mode 100644 index 77473862..00000000 --- a/scconfig/src/tmpasm/regression/err_switch_nocond.out +++ /dev/null @@ -1,2 +0,0 @@ -error: unexpected end of if switch statement; expected a data at 1:7 -(NOP) diff --git a/scconfig/src/tmpasm/regression/foreach.out b/scconfig/src/tmpasm/regression/foreach.out deleted file mode 100644 index 6e6d67ca..00000000 --- a/scconfig/src/tmpasm/regression/foreach.out +++ /dev/null @@ -1,41 +0,0 @@ -put [at 1:1] - arg: a - arg: {1} -put [at 2:1] - arg: foo - arg: {example-FOO} -put [at 3:1] - arg: bar - arg: {example-BAR} -put [at 4:1] - arg: baz - arg: {example-BAZ} -put [at 5:1] - arg: hah - arg: {haha} -foreach n in [~foo ~bar~ baz~] [at 9:1] - print [at 10:2] - arg: {n=} - arg: n - arg: { -} - print [at 11:2] - arg: {a11} - arg: [~a12 ~hah~ a14~] - arg: { -} - print [at 12:2] - arg: {a21} - arg: {a22 a23} - arg: { -} - print [at 13:2] - arg: {a31 -} -print [at 15:1] - arg: {a41} - arg: {a42} - arg: {a43} - arg: { -} -print [at 16:1] diff --git a/scconfig/src/tmpasm/regression/if.out b/scconfig/src/tmpasm/regression/if.out deleted file mode 100644 index 7290a2be..00000000 --- a/scconfig/src/tmpasm/regression/if.out +++ /dev/null @@ -1,15 +0,0 @@ -put [at 1:1] - arg: a - arg: 1 -if a [at 2:6] -then: - if b [at 3:7] - then: - print [at 4:3] - arg: {then-then} - else: - print [at 6:3] - arg: {then-else} -else: - print [at 9:2] - arg: {else} diff --git a/scconfig/src/tmpasm/regression/order.out b/scconfig/src/tmpasm/regression/order.out deleted file mode 100644 index 47288690..00000000 --- a/scconfig/src/tmpasm/regression/order.out +++ /dev/null @@ -1,159 +0,0 @@ -put [at 2:1] - arg: list - arg: {one two three four} -print [at 4:1] - arg: list - arg: { -} -print [at 6:1] - arg: { -nothing: -} -order [at 9:1] - arg: out - arg: list - arg: {one} - arg: {before} - arg: {two} -print [at 10:1] - arg: out - arg: { -} -order [at 12:1] - arg: out - arg: list - arg: {one} - arg: {before} - arg: {one} -print [at 13:1] - arg: out - arg: { -} -order [at 15:1] - arg: out - arg: list - arg: {one} - arg: {after} - arg: {one} -print [at 16:1] - arg: out - arg: { -} -order [at 18:1] - arg: out - arg: list - arg: {two} - arg: {after} - arg: {one} -print [at 19:1] - arg: out - arg: { -} -order [at 22:1] - arg: out - arg: list - arg: {nine} - arg: {after} - arg: {one} -print [at 23:1] - arg: out - arg: { -} -order [at 24:1] - arg: out - arg: list - arg: {one} - arg: {after} - arg: {nine} -print [at 25:1] - arg: out - arg: { -} -print [at 27:1] - arg: { -before: -} -order [at 28:1] - arg: out - arg: list - arg: {two} - arg: {before} - arg: {one} -print [at 29:1] - arg: out - arg: { -} -order [at 31:1] - arg: out - arg: list - arg: {four} - arg: {before} - arg: {one} -print [at 32:1] - arg: out - arg: { -} -order [at 34:1] - arg: out - arg: list - arg: {four} - arg: {before} - arg: {three} -print [at 35:1] - arg: out - arg: { -} -order [at 37:1] - arg: out - arg: list - arg: {three} - arg: {before} - arg: {two} -print [at 38:1] - arg: out - arg: { -} -print [at 40:1] - arg: { -after: -} -order [at 41:1] - arg: out - arg: list - arg: {one} - arg: {after} - arg: {two} -print [at 42:1] - arg: out - arg: { -} -order [at 44:1] - arg: out - arg: list - arg: {one} - arg: {after} - arg: {four} -print [at 45:1] - arg: out - arg: { -} -order [at 47:1] - arg: out - arg: list - arg: {two} - arg: {after} - arg: {three} -print [at 48:1] - arg: out - arg: { -} -order [at 50:1] - arg: out - arg: list - arg: {two} - arg: {after} - arg: {four} -print [at 51:1] - arg: out - arg: { -} diff --git a/scconfig/src/tmpasm/regression/switch.out b/scconfig/src/tmpasm/regression/switch.out deleted file mode 100644 index db8c6e4a..00000000 --- a/scconfig/src/tmpasm/regression/switch.out +++ /dev/null @@ -1,47 +0,0 @@ -put [at 1:1] - arg: swdata - arg: {lol} -switch swdata [at 2:1] - case data1 - print [at 3:24] - arg: {1a} - arg: {11} - print [at 3:41] - arg: {1b} - arg: 12 - print [at 3:56] - arg: {1c} - arg: 13 - print [at 3:71] - arg: {1d} - arg: 14 - case [~data2 ~a~~] - print [at 4:24] - arg: {2a} - arg: 21 - print [at 4:41] - arg: {2b} - arg: 22 - print [at 4:56] - arg: {2c} - arg: 23 - print [at 4:71] - arg: {2d} - arg: 24 - default - print [at 5:24] - arg: {3a} - arg: 31 - print [at 5:41] - arg: {3b} - arg: 32 - print [at 5:56] - arg: {3c} - arg: 33 - print [at 5:71] - arg: {3d} - arg: 34 -print [at 7:1] - arg: {i1} -print [at 8:1] - arg: {i2} diff --git a/scconfig/src/tmpasm/regression/test.out b/scconfig/src/tmpasm/regression/test.out deleted file mode 100644 index 8cc2e5e6..00000000 --- a/scconfig/src/tmpasm/regression/test.out +++ /dev/null @@ -1,62 +0,0 @@ -put [at 2:1] - arg: /local/cflags - arg: {-std=c99 -Wall} -put [at 3:1] - arg: /local/ldflags - arg: {-lm} -put [at 4:1] - arg: /local/objs - arg: {main.o foo.o bar.o} -if /local/debug [at 7:17] -then: - append [at 8:2] - arg: /local/cflags - arg: {-g} -else: - append [at 10:2] - arg: /local/cflags - arg: {-O2} -isempty [at 14:1] - arg: /local/r - arg: /local/somelib -invert [at 15:1] - arg: /local/r -if /local/r [at 16:13] -then: - append [at 17:2] - arg: /local/cflags - arg: { -I/usr/include/somelib} - append [at 18:2] - arg: /local/ldflags - arg: { -lsomelib} -else: - (NOP) -print [at 22:1] - arg: [~ -# Makefile generated by scconfig - DO NOT EDIT - please edit Makefile.in -CFLAGS=~/local/cflags~ -LDFLAGS=~/local/ldflags~ -OBJS=~/local/objs~ - -all: main - -main: $(OBJS) - $(CC) $(LDFLAGS) - -~] -foreach /local/o in /local/objs [at 38:1] - put [at 39:2] - arg: /local/c - arg: /local/o - sub [at 40:2] - arg: /local/c - arg: {.o$} - arg: {.c} - print [at 41:2] - arg: [~ -~/local/o~: ~/local/c~ - $(CC) -c $(CFLAGS) ~/local/c~ -o ~/local/o~ - ~] -print [at 47:1] - arg: {#end -} diff --git a/scconfig/src/tmpasm/regression/then.out b/scconfig/src/tmpasm/regression/then.out deleted file mode 100644 index 680e6c10..00000000 --- a/scconfig/src/tmpasm/regression/then.out +++ /dev/null @@ -1,12 +0,0 @@ -if cnd [at 2:8] -then: - print [at 3:2] - arg: a1 - arg: a2 - arg: a3 -else: - (NOP) -print [at 6:1] - arg: a1 - arg: a2 - arg: a3 diff --git a/scconfig/src/tmpasm/tester b/scconfig/src/tmpasm/tester deleted file mode 100755 index a16bed3d8addf463f61f5e4860106b10d43dacc9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 132104 zcmeFa3w%`7^*?&@7zhYVe4tTKMg$4SODh%xbPz@d4H}UsRS-fRBsC;4nc<i8*$#bBWtTho+I@>0fNw`F1ftG=yzG# zcNcGNIK1)FpRTDYo1H&-$;^Y4n=+6earhy=E>7ZSB275!wKDA?BN)z3XWmf!4aZ;Z zscZVTjomu&wUSpBlok|b|MQzI6UY5K)c>>7i<|&=`WXU-$8`dkh`i47M|6>Y8Thz5 z;X@vs@p+_+{I)LgpY9@mc^CO_cacA{i~NmUHnlI$}Q~ze|{J3`&bwF!@Js{n8>_Tt%0{>X!WMgFp5b!bmg*!T#^fhsHMdoohw z(~!dF=g2?K=wr0stv9eFwIaH)^NiBc3V&^xQR}NI zs$OE$R@anQ`bv$`s_K$Tqok&$s)nFS!fHwiD=MmrjKXlHTERg1r9~B0wI#+vE#d-`Suw;kN*C3X`${NBQCW>~V=YCTGpA^A;hfU) z%EF5Bn@Y%LLDfQqgM#MFp%UiQ`U)ZMf~pQ?IU~mybEeLiHU}b<%rCF?mDJ3bHo2mzvSdc#yb6-eUr<%4iHL`~`Sin{l{9o(* z>XiIH=={Yg`H$)Rl_~j8>-^Oz`P+1ULrVT`oxdR^KSGCz&&HJe&vpLhl>F=$75>(g z{LwnUF(rSc&TmV}Z`iB&L{joM==}DS{1%i$i|54*Nq~u>%r100L^Ies~I>45HteJ^0V$095<+t1N2ix*HZ27sid~^JCAn#0DzBwK; zpYvn$V~%^wKg-NSn4>*lcf?I!NU+P6Pp@l!a&7sXr<bK@frLM z)7;XDwn+MQrn!|9ZItv&Omhn-x>?e@na*T-gQT}H&8?hhgQTBenp-&0m6HBF(_DT> zt0ny_rnzMkoh#{knC4bZG+)wpG0iQSs9VxMW13qt(b1B=m1%CtL|u};iD_=dM6)Gb z!!);GqK2e@$~3oLqV3-!WAHqtx#bdVlk~MrbL%bIBI)T&bL%DADCtX?=9Wuzv!pL# znp-W=4U#^eX>PGZ8zg-W)7)B#u9WmJrn#jOt(Nparn#jToh#{6nC8|>G+)xkG0iQN zs9VxKndVkXbhM`hUQ*i|IB= zKk=Snlr|tOpR+%i8hq_~Z}0=AEs4p>RXFX#{~o+V;<%o7ZTe(w#odv|?$p?6nDhBs}m z_qFeRCxAg;%^)k@Rx0I~^eZPm4m!g>s=ynV_~m{?y}=KCy}Y4`r=VVu5vXCL3}1U4 zLExp$HtEbTdNY6pph3sU_6C=A1lw184mo#DJA_iN0EstL&;jW--AB$%TTy@!`3j1P zyna{{hWd6FJcsu~;=Bd4$WO3W+A#YCVH|Rd0wCnhf&vDD>(^*7Z*bSP3&Cn9I~sJb z0PG{QhnN!>@dHv=H<>5poUnrhR)ueTyV;tVQNd$iT`)7K}HHz_NpB%k#V;Pn#DadxM^in8O?J zbfh7x!@0$nFv8caqt2a;fsSHd_drL9uTN~C(oK|=I8nvFW4xz|zlJ!fHVEZ~+~?Le zI_uW4)R5yiUD*pxr4Sx4cf|hzB<~c&zF;ihjo1sQ3EClauZXI6R-p+1Y5@|29ZL)A zjdY_<$GXD|Ye^95T}Seezug<$?G3)F$VV&ka)N?;pQn$B?E6+&E+Y$QWMe<%Eh{h# zq4<+(P^cM}67dF>MGSv;fy5x9CqjyTU=V0b3wjQRJcqsOnU%$IP%~x}wRl6*B0z>D zuMqgM6zFMB;T?9=yh{;09ZjA?Y@b*zweAg}76m9stZWWw>l^)!kmpeB6gE;;z;j3% zigW;2p3N6>!&nUEL7y2~`OnG6|$j-I~$ADs)-0+yc*^y=fiQJmpS?pd7R+UDx*-)imL7O)?tyQUF zF;(FpiKU7I!TjM$@-~qi6&BrigZb6Whj6Wkmmv-i>zth^L~O&RH_g=>5?VA}c3{~7 z!*{MCmS)P8c)0J6Pz$Rwwn&Gu3W%PZwBVcXrT901@3Me8P*sWW|Y!>UmZFIazmsgREO{GICAsoe||H6FsAd zkeftQpIp++WTIOY5psWsXl^pmG)075A%YPk=-rTXS6jL_t)dw}tIh zaA0+Q)_+9vHc>n@kXg?o*@b(hC%_5aTcz#xhSI!269*O(*qs*4cQ;@Hn{%BWO9Kbl zhg&&FzX4{gVo>ft1pfA*PQym#!kek;QoGW3i3!Ne&@@2)|%41wKl@iCTwX0bxX1`X$D zt5U`1fBOa74J8Jabr}BB69py-3|&&r^w=?obO3||x#&{zE#Xi(6SoU+i*kONa&C$O zz3ZJ@roFK`FTF>CJXQT{$5aO?gQt&PHloxBArXaP{`7NHkd^acDg@b)^#aD0SX$>U z*7BG8F)7pR&~L;f4hNPUHkJ&)Z1vIghOzB=8fbXTJ3nVIF@hixW?`ny!a52tLbIDt z`6<1UR+R)zviB5Pt0zMG9*1;`u}K1rJ(`RwuklPTZE|PMFgSLK{#74qPwhr-w3IH? zG()Q`MRD-=b_}yE6S~SaJvG!!y>z7csE|#+fI@f|0SfsO?yx~2?;(gR{kJFttzk5| z-MWfQiR-mGxz5Q?$g6W^rlQPM8Kyvb*dRm9PuQI>sdQ)6HTt@5F<8&?N(d2t4UL<$dyl5!>H*lGrWOFmhxWcDUrakHw60MKX9X~%tEBGtI^sKqkzosNJrE1uZbp9?n&7J79bm)e`2o z2?k}F;|9d-<`{BA4{4U|)nid^wdUP$IMLn8_NY_A%@B8LCDZ+!a7$whrJ*Q;63p)Y z6ID{RIq0X4#)LR_o=MO_+qDs7CA034VEsx0Q?;|5%;Buxg*tauR&*l(fn_a*&+J@p zV7tMp;M4Hh(c3KA9I~(`q7&)TgOg#qs2*F~>=0kkwFM`ewMqcqR{&v?G}ysZCIs_y zlOfyDisy^j)u z_%CW?Rnk-B6xRb(%$}Meg(TdDR8n)_Z|A-DUqJbV$vc$8B6gznh5m8?6V3fUREFhm zM9n5~X!`JA{%EcGCiid_6+Ou+Up1Y>(!<$ySx=#?F=&wF&w|iUX21e>UMY5_k%}yJ_m9{ zejK9^o`@P~9{h~vL*=iP ztzx~Mbr~u)-dXo`!eutObEUaW1#+^YwaK;)O~;1EgrtmK!Thn1!sW}scMsoj`0nZJ zh40?JZulPWpKC*ht%RoM24CMhB|DR{Cu4Shj>W|s&)G!40wj}Wdk4BOW=)VQ_>S6$ zETRr3B}CV@NdB)mw-mgwdPWxPQw$-LpDSx~7@tbJa|0bN=WQ7#b+~W;psm>*2f_Ly zI8caaQ}4@|1x8y`Hq^UY#h~7;DwbRut5rV2q$2ty>I7~#`_IraG#RF!v#hNe-6vYD zcwJ{hM2<9qg?I@OFHwl2mQ&5+mV5C%SK>D`85Eq}a*YjD=*kFA3jt#(fkJ!oho)tSD-#5p4<9k`GyK{@@jn$sdP~K;(kZR7U z^xtOnXr9pz@P&G~#5j7S)TRe(^Nhksw*7%g4f1zWYv{*sQ_lfK^S^DnCnRg{W|(WN zpUpTo)|{g^tJbBG)Q5y#9#Ch>^tb)Pvc z00S9{_Y0Bj@MAPbrs%yWfwE&@MFwXLs1Zhw?#{YzLCMIfBH#o%9L_p693!i)L=Hyi zp4@7wj!sVSkbzr|o*2c^ddu75@g~nT4TyAFO5^#sPUjGw&Ef6WDMYcqSx7>3NrH(Gy^GC>*p2pL z1}88;flboO0BwiPm}*mTF3M$ObN8WHi=5Kj~Xwd1hZe~5=zqzDxp3W_IYxkoZus~ADe0~ z!1&52Hop@&av+7%kr)@}uzok%jjfI76h@OJqeu}sXEhHfms>eYe#|JOL?LcDN@Bq} zBi}3WU{m~csJKII#CAhoM~w3n#d9(D4j2t|bX(X1hpgwo1I7C5Om3g)Q=71(7dBnJ z@Tk_wQ9kt6j3esCV8M29Z#4WvK-aAHqcDnyb*x8|VMp>`x1!Sr(dnbFsb18m8q8TY z3~AkQ%$@rtPdoJxjgd#l)2O!;`@Gz$h6o&1rIz|6cOojX0wto}PzEr$ zYlr5_60gIZ)n;qp;SGQowV<(tLK?T@2p8aVp=&37)nh6IVO3^37JP3w) ztAXN7S_iBhBsd|4vb{x+8Q?Td(ZF{v4y_{_z?w{H+9;SEiRD9w&ibC{%R;Bvrt$eg za)@G|*RGD61IxA>zCOZ0>gQ>TaZLUXDr!S9ZMbCt70tRuRMeJW(G>X*?uRGqb``y$ zb~M|l!WRLTb%UkUCy`^>gxj=TcQOPsf7<9`KXkDa6eISGQu`|JfF z@Pq&}-hlvHpaO+Q=E(xwuPzz13OxisgXb^F$dN%+7IbthiTLy5s z!*@Q=BUJyJt!Sg+DpQ;vGaHeq%2P4}+<~rmF}fmG#k%G00TvUey38U}kM*5`tY+n* zxxPkpG%v-((ToXKp3Ol9P^o>$T}^Z5g2GCx-Np{xRXUUx#X?4mb~^U?Om|3%5ITvU zU0)LJ;ks`qX0mPB1*0Bh^ef#EP43ZVn>4x4HKVAU40;>{zz_*2=)u2g1vRMIqhLBhoJMUakV9%15pPK;4T6d^d&Rk$UTry+(80^?*Ffmf4wEzlrpVe_K2{6 zoc2<`x*4SoWT_rosq{UmJnsk3m@5eo9`hW?Epd*4ILS)I;0+ltSh;gyPds2ol*WXn zLDb7G)vl*7s9cSm+YXk;)hKkUZ(LoAEL%H_hRO4e4(3&@QFB9qLqJb#0l~79mTTl~ zF4sy~4iD^bV*v$3RA2s-eOWs3u5DaknoSwK2`Y+LmAP3bY2G_Py$5(dh&1e|8^ z(E2+CLg;H>SZ-lyaA@hO=uVhJQVl**qcN(vi<|A19zI!uqQ8=QuxNeLL+RoJp-HOV zA(WoP_jVg!?gW_n{U!N6nBbdDU3j^EiKzXI-cVE$rzu@xfv_DLm$QaI)u+Db%ekx>1bvBg7iFriXm#}Ou_>#(AqRJL!bJ=ey z<)Ou>%Fhx7XW81-e`LX{ltz@*VKN@Q2fR{ks<$euLywOaE0)Q|em2WJPL&HpdwsuG zcjX|eHm8?gM-T0V$#*)5PDKhFL6n~*dQT^R)qI2{;uQjHz`RUB z$L|)Qh@1X$L?^mk)u|Pn;SQ{Y-oKG;^Au`uRL+P3=0LP|Yl-(LkuAsNqE~_AYNPo2 z{^?ps!X!tkUD!rJNnitT^%M!bZg0qu_Y{!|+SFad2kjR0uh&F35lAURUr%9%gxouA zAZn<0FQNCt-6igwZWThuzn-KyYPHWm-d1QXt}j4XlBd)XlR7TB%=t9DpphEdF)mw;f!#rD|f)?;;(RPS*Q)DqL0_k== zW@5IX1{COvy%?>IomK2|HbQR@{VkAexPXfx|EmdbU8A`PaFfmi%gFzL0^T6eNbQIQv87df^V{Glnj-B!6bq8D$4JRDNJp9@sL z6$;sDlnWG!NGSF9;qFqBzy)WD0YUzz$Zz+7p*E&_5VtClkBT&-p=I|YIBhdlm|#VdJ(3jdimUwAo&lb=;d*b=jP#OVV1kMxmfC zQUS;#eJw(-3&Q|oUi8P6ELKsH8lz@#qKYrPoT%b6i2qO()0THbMKhM$D!RdEtLXec z+ba5%Zn3r4R*b&WbwxLEbDi^G>cMKXq>2U=N`zWo&gCExvrVPhT6eBO?1<$63HoS? zoXx=v;kYrBQw9e56etCoW!Sot#uc?So=Bx6d96n!OZk#}UlKjZD07F3i-`mfw{*t- z4-9`>uwXyzyT#lSzD7MmU~Z?;IdDEm>x^u($-jYHuu2#30(AJ3YfXp$*NM_-$Zv}D zL80762HWwT{YxLRji#w0un3GPUa5R|;+Hihzg3DKRN%HYv{eEHj1a4Ee7Q+lH*%Uv zc7IeQok&LR`ICNbhD%#`{U9#924!K6t<2H%*XDyWfjujsm-Rqu2o|gX(#pWHHR&t* zLA3Q!`LC(P#z8}hEi^XW| zf*Mk&#DnQ*w1pZirGF0qF6huK(yhc~24Z(P=-C=rw$*UfJ)ydz81OlQjIIE-LG-Lp z-^R@p+wl_yLwAQf+p!ZoZnqC>P{(fsRInNOxTYu1$7~8L+hi=y4S6XlAYjvgPkGldRUGV`x=v#fZo& znt*E!pwfFckzD$>bZWGsWUqEf3kcQQdqpeKz<*ZpR_c0}ic2d!jkxN~lV{q#|op3VJ>Kk$X6unQiIyA}to#fOTBO+xh=+m6HzX?uF9&VM=O1g4> zYZOfcS~<(p7U*y;zd_hij-6lVf=997Gf*%L7>WsE)J*K&lef5=(Ch=@$+%+Ab#;=1 z&1D%yfANNUQ6>!eeJ4DEAeeb9N*(WMTd|SPx-7p?En0$Dm?yZ09ofVU_kOmLB)!tb z`HE(}r!l7~vV9ao*fEA}cDP#UaO|SZ1oN8d)ZiX7nTI3{Mz1{b!o4?dG>l2Xmf+iY zczhA~A73u)U~IByiK3*f?_+7Yw|%k)Jao_byNZiMZ!#X(xxoG39a88?p z1)JcUHo%@UeW&Bw;iHS%s$3L=k|uufLui_(+W>7)O}y3aqTc(6cpi*Zn=+Sk3uQxM zP*be5!9S%C`CJ$+AU431_c(cgt|@ZcNE8B-1_Fvh%O0|6oqVNDEeTEkr`WbBbk6@1 zqfQqj!xTxYNs|7bNxp&>ARC$htGe)*T@@6yDRMW|i#cl#oZ&!S@z_`m->BgW6L3~Z zEG0$1B?mxP%vzD58&_cIJVMJ_LetvO;BA0(ObvDrc!IKnW@O`!Zc^-EZGQ-(P3yzm zpchYwBX$)RZ~AA%tx?+z1Auk-TlB0H1h7rF7Zq$bNARmtNAN~V{jK&9{C$Ye<_8am zo3thIwXOVVh+6~rzNNpi4dD4HE=Q`OrJ>(Aat_x22GPEnHU9$-S(uyo)?_mqCza7H z?Ai1M+AkrAMib|on~)lsl*?$@dQ3qNrB>zC!<>3$b;9C99)@zn;we;D^Rq^cWYZ2> zQd;fZUD8t0I-^uv8u<*wlUfQGSpH*IkrS-Epr@IOTO)D@vrFC!&8icea2$qD20VJF{=v zn$Cr$gV6qVSpmyrXc-KalOoP5k)JT$Vdx);74Z)>x;DY+BysP27B$hMwPOe0B!52h z15pObOq$Q$s1`pEM^;-o1EA3JG9Gtou`7*znUUzpO-k4)D&5b zxZHDL@nSZ}?D4)Zqnc3N#n~$K=BTjR1@7ovo)+DQ&%o4=N%DJ@en=ILv{;1$OB{7Z zpk=}d24roDT%cqUx|jbZJfy*9DEY*UBCvn(7FqWrZgsfr&NWY>TxC`q=4Yl10ifEd zJ%CbH$eqnnPizF2Wt-A{S>7Ui!~4_TR}vC zOdD3mc2ETN%uIdaL~Si{qiLLyAo3}wFiv56)G-fzBKlXQR-&AuQC?1#@ ztko#}6DW_sVxo=&$`Xz8HPoXkJ&iZiK2WX9f@W)!w-P9i5=EZ0Q?j@<%99C{?}>6> zg3CaS(x6bdY4`-j@7PjZo$eZ5oq#XFv`P-KDa9wnO0W3|cn#?%X!^G_e6+xQJZdM| z3p)Gc1X(>KOWuIxx*Rr(E#t3RadU$Y6fVxfm>%fpy-+{;k3#{wa}7IYqHetNV$Bp` z6v;yB+IOoY1?yAxFjiEXKbPy>0_|V2VW5Y*F}X zX3&E~TB<)ktGB76ynScpK7(8kV}P*)xFA`8kLM`?W-9^o0+|BDL|GyLDq{+;z%IaQ zRNpKr&k{iIfr*07qX6NFbMA$H8*yNXs<&b8M_+uEQF;JOKt2#-cEC0IWeL0|{JGneCjl;cIz%o|!qR5Z^jrA^g5KZSG=&sGA~ z@R)_VaT-}qYEJI@sP}e6FH4tp76pw$ zDIt+9yckD$B5X)W9+GN+Co45c&9i$W8a)Q|B4{)Xu_n(!2x3Hkt;7)xpcSmgksUHS z=80Xs)Meam|02y49pnf+3_tZVS!du~(KVhs2fAa`b?Xg5J<#xYwoJr5f^+n07w zYjWp+463G2lQSt^ppiJx?zYf~q_FVz4=CEC&SpH}c1MfbH5UXj^YOQVVZ=H<(`)ml z$R&qqHz-OTxYs`44X@G)eA6G)Z(&K;LJFpvV!^=V@n%+orAsHk(V&vrTAH|Q1Atst z8*45dPp3Ref%*|}=^bFV>w7gRC4$)I;iZ4UHkZXS8$6*J$PDDQrV?mi&@UR1dZV>9 zMZSA7RV%Gr(4wxbhJOGyXU5OP6Kq4N)9{%5>lsYE4nbtbQ>ZYX4ic=s#2TishAFH` z#2QH~%*ABq+*$WBG4%YfxEJDB&?M!BDsWIOIj zU@TALh9deC^6zJXm|UXYO_9N;*{e#eehTGm^C*3NGYio0 z!~wIRukW7_eRX)x;tm%?wY_)=M{c|++i3Pt?#TL%LC#j4qrB{`g``}Fn| z;mdi)uO!vOw}kH+8Xnb43}J&9rRRP zEm$Y7WS(LAU&}?hp^w%eUMmqSXx8_&fZJ-wB{ptNzV;KTPUH_{ZuzOc7@A>T)U7w< zaNb26R3fmf*-$q+**v5C<*0%908yF$THyX#aGN6Mvg^P@sq6ImIxO6Les)M+asgAr zm;(o&MsMe+AQ_k6s$L#VjS_Ldv;z+VgeMM#q|(@t=Ugzz^B<$?9kqO6z&K9_--QPW z!SqG|;P@;R%(XkM;IyZv;1nk>IBlaIe{lJ>9o0-+!o`t;r0IjO>l59TPSHHAta493 zFR^Y68R~RpBVTfL7zajSwMrn4^l_iF?h`NxboiWi4CExMqsCcxkL1)i@8Fsu&@qdT z&Q$s?j`AlKz_r~w-V<3mz!GA+b$=yn_{z6Q(A zMqgWTHPmdnj(axIem!kbJQEL(wAtVbAeEKP;t9cF)WV2eguEX%jMvF0$uLs%0_C*Q zH&Y+<&7i zu3kln!&r&FqTNhW{dUly4o376^(Sei*Z zdCVVhHIsP!_+TQ*SD(Cxq+V=kw(PU4?aqlPn*QMSz6`~C;Z0J5Ln0T}9jp(A`S3&+ zYNQ;?y#8=3e}9CtPW67ly&$#CO(qQVbLX)`b#rs#g7T%UaAQIZVMF|E$`q_vckO6o zPl87U9XmM^QpDH^A|mIbco)b#z-W1~pLms~ptKHkqP*=ebvBfT%Tt59z2P0yFTH+ zQO@`orgA*}pqxD$#dw;j81ew$d9)IY1AC28BB*4`=rjy1sph6!M2G=R4PwHFNAw~4 zm~61-4w_be8^$u~CgI61L1C)7(Dj14-MAKMid3MHxZc;V>5;s}M7KHq#GEWV@rA1( zJfF8ldq2Fh%s)2u4<@oB@5-C|abXSl=9u?E%&)k#`xHb06Cg{TVsnN)U6fcRlfYV9G3e z1mxLv0SgF^IqOOW6E{tVV53B^zMDxH3QN)9`gTeX9lCZ5z*rNzGmd#Tcix=N+YNvhKI5O14TRcU6vROxNh zEHaCjp}y}0JE_u#th!mHpG)19L}tyz0{9l<76`)qmKD3uQ%wZL^0NF zAiSS9^M}%?DLqsF;se@;tlon+V>A_GG4SL?^ zcf7O;S@g52*VW>J_YaCSkYe4WYXAK-sr?gIpq%t%v1-Xy`HW^&sM>*%{UKBK%M(cF zr7X#nLg-uI`x8oe3Po+ZO_jb1hHmmeY?WN0ZM>Tn@Ry-ys9zDpz zt$4tjiDDin5Al3*R(>s&izXENGgLCNY&Ca1Ejn1J9TAV}uZO);~+MDX90F!*1>a!8c zLTfhFpMcnC8f(~tE>lmJ=MC$U{HahSS z^;fUNZ;JH&73L7KzpQtexf!GQaDMIz2rMnyO{C*~HAFI^Ur{i%!%S;)b7T&xEyuu) z(Zq7r{YBw0MVvpN;9&i53NG8@A&KL`TdBs1@yWRksv{^MUC)GI%GmCO3NzsN4a0mIb0X_>oGsTB>k0dc5aIez{wakx#c}Gs%PPbKPa&jOlwZVshRRu*x7mu znATne>{4xChPaQ69)oQLd>gwW=|gt~o5$^5B+vAjP2sRkW|0LezF;rXZ>YejR(^( z18dxwLnK(^jt8kXE;Qh(WoH8{IF3u#??l&X;OdZfCU6*&Em|&|RD$o2I18x=UX@|n zyM>0DB9k6KStcUVXETjSc@FWhv5+lv^1vJ%eGpfNO~vEKsR$4~)yIkkw@5bP~*pc#Pi%t|>}3K{62nS}0#s zskm0!x#pWY6ns|zX3`6aR`J zvH`Nd&d%^04054h(+ARO>i{<2iYWe6OANIVYzeM$6iD_y#fshqQLOBk$!1s&)#|HB&a zp$#Q7BxX*m!m9tA3 zEjZG*=)st+C|%#&`wJOocn-r!Uo;Pm68)^+>A@+smFIxU;%#>Lblz|{?Z$Iq5E>vDMzN!yzT=wIG_8ac!XBV zU$|ttsttk|yS~Oyhh8Y^gb1QZd4^N1DLYIn$N^84V2|W8cT8m`-`Y^G2?rZY|9In1 zi!qCZpaeMfEdeP%W0uq)rdPEou{IaZraKX<4Z|k&WvN(H)dw=?>M$8e81~ zu?=^rCOHxq!Bmr+2NOcMusLmox;Dsw+oX%tlcj0EKue+y91Gz*SO#(Po{A(%iu>LZd;MBVX9TjzhK+0n8SITL(^pX1OG`)S0UF|mZ^nGYXd-&cl zqb}d8jHU>u#kn5hpjOgin0AXoWpcu8J>~A80vt!w3^)1p_WjL__Vm4BMtk`FWJX=S zorosv49%1{oL|JL5*mGEVzlHr9e&>60Et8Z-dE#%d#vYx48t9GrG;(p6|?8}?fSOp z&GQ?RkDRw@KFS#B@sc_1M_KKbZbpkYk-GrLV*D{CVf@L3hu3>#^dopl=2}z%ZOHg( z)Snu7M0Rik4h-l*^5vRgmh7RTSaoJliT9D{bA*n)Mo)PSIPkJr<<;2=q$MOd>biv> zwaaSi^p=Bo`z5@=jt+6-|4WN!{%Vg}GWSBvwq(vm(rYc5bC?Q9(FQ6LcD!>TWvQnu zdIgUD+azl(M2vu3`?`>iBRW3w6Lr_N3CK6!5%6n}M@pg7$c=Y#^+qwwRUym5;v3(3 zRVcvSSQRoNt3oB5tO_L>%GPVX_1@sx7hPna)`081p*l%(vvz=)*;H5WLIYFKhQJQl zKk(0uU21|Y8npE}5HGmfgap?Lp_vRRK54Sj4oHb<(KHyjR8ws%-3bQKk@Vvs(H0Hp zy*t6Q{#+m`PWTxI=N}~vgHhb<`xB3W1$3RuUKCP+S_3!L=OK^Ph(3a^zz#_+KR>X8 zyp82&hlHs(n6Q|Qx$7VU<9VWbqGBH;O>Vq}grj%8u33HK^1PHR*(hK+pb4!}x=rHR zhRUVVnCt_>K23qgi^801JY=R=h{a0{t+8J9PU&HISV0Zt^p)&+bb{>&JLH*XS*_3V zU(K$gd!9Ot^$o;H?1~=;I%@IYQb+BI5j=f$;q+DBmfFr9$!k`K^pG=mL|ifY4n$@( zi0@Fp@ua$JyL4Gh3e7GpUDjE@MCw5zw6*C9c}LI>M|aq|Ju%jE*nXSAc5%Z0p54QR z9Is$?2fKCFJ&49dN7~7Bc+BVMNVKA_8lbnJ!(A)DaSZg*@J$?kZ99`h;W16b4p00G zf=K-x)U=}yQNiG1m7K5G?$T^GD7MiWAcY(sFwkt5lkHH&wpy`WO12}x$K1aTIW{W_ z*+PDpag?R1H$IcTnYX!?*Wblc{bQK)lWdo=Ek_`=C#}y zw}Mcq-de;P10sccg$tQ8tFZ!ICsGx?{;V6!yhCwR^%6!%-e+@+1srDruTfiS8E`Rb z1;F#7zjYJ==O3y*(!WZTejgMHv=^12?OG`T&Rjb6|JF_@MNrQCztO@qtWemLTL~g^ zKL$aY#2x(i%(jskreRk=A&)T*hmXB#%i))t4@2=Qh27p&!DAG>Qs}Wg7ykc}!c0wR z2P_N0b0Hxr{7EG%jQwCF|EG$(r7EE~KjTmwP7f``jT;BWO;F_&5N^s5wzb&dH6q6t zp>K+WkxW>c3#}O3agZwk9H_~Hz;TQ!)mfLXMkdL|j|PoZ6}gZ6WZuMm6b$0)h!Cn5 zCgCwp1I;`E%f(e5bw5Lrqv@792Wcks^x^K%n0cUh9%AX^RP_=f zjvP&qfe?o-RxUkLmVQ<_qip3|gmPjDF{ju-Lns2q3UWIX1e$q8HP`{Sk2fYC#*cgk z+MF0K!+k%8FK}+@mCfuxTX)I(3=b$ex11n(3bn^;0@w@W)xEN{Yn^n>q^l6uYU>JGfiD3kjcCdm?p6y&nVauvfU7KuF^T{D0M;W-GgX$aI199H9*KrjH zVNs5!evB!E6Io4;i9q8gFI3VP-Gv$F)ZqJ*oLe#n$yj>5uRPFkz4MOS0Kh2ftZT-y zn2|etl5?H2?vEf2bX@1WgEs>L9V2~sYx+p%9Wx{~$ah_!V~}&r#Y{zpVeREybw1+q zW-D(HSJGyt?KRTn4efd3OB!+E%FN7+4hN!@OoLD-4ARNf*-1#>-|0VdY+3jBbJGnp|VH#Psj_l<%Q?69KIF-*Pbzz zRKVHJ`a7w*6ok*dgH_yY($7jr>B~3c6K4CSuqdX#CujWVC>M-x*m&*4I~ZDG$7A;l zE7D-W8>;lXz+5)eT9$tpJIRJFviVqaT7#60?$+Cqvl2sv&h;O^*KsLqkcRNm7_>z< zsAQ7#m)OXuMNKf<32+xQQLV*u3Z7e?Jtf#Ng%3#3tosALH#6Iq6o@;Q?~4Xt6w-R& z-2PL=`6hDS1i-Z5_b9mAgkzj_*CNR{Y7*$k^!3Kq(td$RH-w8o`m`pUM$#LBtLib~ zEPubL!M|aurrH!7PF$TmHLy2r+PLp){%N&zqRnB$e~Y1%$??_r)vQ=r-nh>f;jIhW z+K$N!ej9B;x3L#&;#+m>%F|eABiEzV5MN+@!#Z zX;X_{w4pyy4to3~pjlYA;7j3)(6HNe4lH*pirDLD1|nOa`dn0&zc-9(b)V3Ujq|7m zl@nABkf;8W!8f!Xg6pE7RQ z_T@Pi%bq$mCHT$i?AWlq4w93g83eH<7G6r{jh%`|K~1qzVQCH1f?rup%ByeZz*AGy z?7fa_HUAalk6+o;ra=E!fgeyt;al+sKf1?-mmy4=J?Z*MbJT`G!U4BFN0hwq;67lc zZ-HSTz*c~xKbYX2#Mub=L3CsydR8Kun}`lhL~XAMe|4K>?6K_7Q`Tg4HzIJdlj z2ao=yGvHhG_SSVNEU#27z4h<$6Do?eBiCEYP;wY7uq8vJxUN4IMh5OEiZvb0ZdgigwI2i>g>>UE>=a|ZWiV4 zyr|nPX1-2}s!l+4QD=2h)YtT-sak0D7QFz=)M|5_2|4mYgVK|8t0`Tme|qdZYw+gY zgWb@^T9&z46=OGospIz7yck`g0B92KlFPG5;teuPCy?R1<8AE?@Irh1GSJINrFMIN z`*WxpD7mD31G?UoR#K-$gJc^alqIO^t+ zk@;wdtasOQ29KM95C)Gbw&Jo~V1cR|H^FQFEJHzTY!EUx3iGBBVE*VzlR1qh+5Ay2 zXZ>uoFu+yuJ)^BR>RbgchJ9gPbmdb`?N9?O+$Tdx>>^QxxmH*twLZb-8(y4a)5CG} z8LF@R8Tp)k1zt!Ce7j=#v$3IXwq?_4y#0jJyIu zg$t~jB*OEOB|wM}Aylv*zCR5w)r#1jcKajC#ne~*Y=Ae^duK6uLFMYSqzdrBvV(?i zAO{XU_O@R=_6Fa&OUWYFmo5PwIKzg41X;cUo6t1i{!9PbZ`vzj7}g89PGrF>C$ML% zO+AaDp7lZhT8u-h@sQCdVNoDdhsEzxW0Z$uVA`T(A zK?+t)k-PXJTZGT^btHJdjBJzw!iy?wcH9qn2bIyK!&+pcq@OAss^k@SvTsrBTUaeM zD5>|0H+eQu8L^RkWf}$@>zC2DVx;tj$k=3h@y%Sj`$__yO?cPertzN5OJ9N#$9tYy zIw;_IDmw&iCUa22JODZfx)r!7@Nj?n6Yy_}oOysq83E5`tpE6PKa`o(LlyXYEd8*6 z63wtOsCQH37uWzsybVZf`v{OGU}^Z@NYtxKiBRVz>H|%&Y^8x$)Z*2Dp@PkFtPU${ zikz-WZDhQ!if@I%E>1jq?1H^=Okg8*#CY(D^G$>JA1;Q(IC#o3^RUs|rZAf#%>bI4 zNP&ZjiMq-ljDXe#hV2OPmu+%T8r}p!l6LSTwukbjhyzjLL|3wER5>I$2j#VCU?oGtLSNt<+P@#`JhFk>RepIWqMX9w>+E*z)4uS%!v{Sj1L8D9Y00kJh+2dUE zIl2m;yMY$Rd+-XP$Jlk2K^N0dc0sd#Y*4>*;aA_;ZF8=SSNgeR+arFEc`MDl5`xG} z1;imXKg$-|$u9!WR7Xl}cy0-kc&y{v1){9GLw=ak>@H`L4+bY0p$^Fi#kXJa+1Hd# z^ip8iQ-<#}*1ib61I8Kd{p8_zd|Q?-^*KLvL*s=AuYfN-3m*epF(9<;AS%5Tm2Ok- z#A?-*eiRI1qo%;09El>2{rER}g1a>G1ZW6ufc+BPv?=n94`~>!b^gF12j>rHZIu0V zwFK+R5Cp@;_{K%-napeQG$UZxC}F6cIOLdvDnRP>IJy%|WI0q8&Q!_5dV9pf4sl=W z4PSS7u?9n)Mo|SfMRvZA{o`AS!C`c~r@@cO%R1p`mL(&|Prw`Q3GS=jBn^=C5+T)j zc*6Gp>lIoj6{4q84(VPcnVNaYPv`-H-UDu!oMNQNG z7LFW<9R_x{DXVjJPd1ADM$+myI*#NnrnVu9U1j<2L1ibv&~+0nk>w%eY+QQ0&RWjt zY%{Z#+bK^ZKpqtx})KY=zZ0@b2mX+Re}%oks)xnoRE%HBvGRa58S?oFzlb zM)r4*z`qV(Gn!$$DW0rK_Tj!dv7h zyd}*vfTiHE-dK+7lzeBC*BcTeP1C3RT}#mIzmTBo+Bd@j{!{JIq?CUHe?1?2eZ_1qU;5c~gr_#bE@0dcirMj-#J3=^C6Wt;j><>Z!rE zCKXH#elcmrq~PHKZ+JvCvaiY;`W=UyNG;A0yt}^3@bx?UUFQIteEd5v`0143$CKhG z{LPEysCV3Z{(oW|%DQg0xs8fKj2B8rnngJWOyNNEZ*TB>xiAymOfkWmR4<4$N5770 z;HU_@Unath~F zEi7>rRV}D4uPF4DS5+#GBYPU7DyoVKD~##>N?-Yc5|!;L^tlEXpO61879pPzMtP|L z=9NZCMQw>uQdw-2R@Iag7L^(0l}7ENavx$vg#ZK)>r5&Sx{2x8=oL5L^D(tm$MN_X`>rLc0*8rDK(0O;6utwhqww$sV_Ee z5_K{?TPBs>rMb>SJKq3RP&4Ezt(aVXLT*!ioywo2O1u06%PR+tch#0v`74S=>6Xk| z_tc?jG`4yt%2j66h1!Y>eT7N&9Y}K<=&Gu54b(Q5R6Mok(j0TkYejita3pgwf zYFF#4@fS(=sw_ljVxd)4u8OM4`F1^7_HVO_AvX`9YFPCeUwP$xitejn1etd0wdt)h zKCoJ!t%Jb~X)`w4{}^>!fTMzoaUydEj=3WE`y>9w;m9F?zgO^g8BP^90`CLF4|VbP zX&3pO;kN*nzkq4N-qP{?2y6XC(&-1+Cc5yD!L>tN#Z@J>+Ow6{`iznV)jqUS&3r%m zlPh;#P1Ox0m99xw%@_(&!#KjXozI@)tEsARDbt6Cf@S)wrXKIAt|?hi=<}mLXbV(+ zQ`i}WKJ;8TQ8-Ze#|oFf65gY#Vj=9s-p&-&D6d>tSW#ZAou_io;%FcjB%V`QvIu{R zeY6**Q7+uEVGO&xATJO7JyDlo#&ye$<%4VSHQTs(aP2a~b)D%s;qP-VzaoDqS->&F zy@)?Pzo&R)1ZJ^3u#>o z%d7mgu9~EwwW_GdUsEGigjOo5@E0RqT8@EBaZ;=`#6|OhAt#C>Ce0{S|I|A?g|Dop z1l?Wt5_R8JEET!Luc;AZsTzNnqckVn5~xsJSLh-w)^Q3gD1iBVd}xyaduIZv2+@l}Sa zM{mq(^u*x`Wb`x!7aLISq-Dbn4zj_NL7s*V-bj4xv*Yw z%wPbEhZTwwFbb>D_{BywT(nPp&!Mpv)+_-EYy>_*+7_v56l-7thVQaM%!{Z9L-c3V zB2$tudSgDnwBWb^KYjWY(_w`&0TL4sXDBwO=Xc^JAs~A@#FXja57NQ?p$=kHtXL8q z`gK)hY59C)N<}J^H#=XfoK{El((=l3%rR>))vRGFY0dP6u4KBVhv8Ah^DtM#$mlwK zqKiHbuDt@2Q8R^}U1lY;eUw%dFvn&7G}zp13EG`F<8rq+k7g(VeppwOYlsKK@P zKM}3M^5{>Nl=xibXhE4`l@yBtt|_dkgh@ejHHGCBG%g>9L}kA>`l}#*`TR;ug6PMg zHq3H+qOlDRXV~P1CK37tn5^Bta@`t+4qVHr1Oo|p24^1c+W;Jxg*-#}kY7CS1z$|*ms3FEM z3|5>`GhXJiT1nfx$WvQgQSO^VB7>r`Td;s)ip$u78`uH#2kDvx$!VzahGcsw^TA%q zd?POKaSAUnD7nHlVWNw<3kqv-XI_2EV4uwQ&X_Z8(#)%-Uh8q4d8SKpFK|^=6mvyD z%re+cRn-mtYLm`~VV9<*(`GO=#oVE>Ip_&R70zT)!9lcaD_ zxaaxs#sF|2;9mtIAjjoU^m zF_#4;HS)t*uS6}HO4d)W{~*6i`K%G+VItktk#ENr6vhgh?F(j@$LLM z;Y#pk%*01^=g`ZT?Q?mRZ6rNnm@ua4UOLL9kI!iFsjB8C(PsonlRxb%8N?Nl`^P=7w;Gr6n~b zl|>~WEG|(C3((rLEq=MI*HbUyA?BCk@#FV({r5Y-|I?pIU#WR5L#Qi!zH44#v8%GQ za3n^cIouqu<(&L_JpSgN2!|Aa55ij!9!B^_g#Ei2M$fD9Y%9WB5za#RF~X$?_gxc@--qxZ!tDqz zzBV3jLzp!S*Ri@A#-#{d2p7(d$K43KUmuUpMK}iGN`&L)#^Vno>|Gd-??L$Se5_3n z9*av~S-87)PGvkk9N{K}c?joY{a1nT6@+ULmSGLJ31PRIczhqiQ?O1ti10^PL-m4^ zDzT;+j_?75c?e&?TB-u!nKywRVg7Q^BV3AbAHwrifF9w`5%xkS$ibca;Rr86n1?Wk zuma&T2-hHtBHV0jkpF()zJ@I%e!Zipxn7%h2 z&*@_rKSeke;ja+RLip}|Xm^BP+>drgcF|+~doqK##E37SJPHfUp>0@iU-D_~2I1BlJ8AdW35c9zggt!p!3hqhK58 z5#Eh(3c~Ksfga&dgmnnZ5k8FY350tPUbh|e2=ku@{Rz-dBj^!chHwhPv|XS_$e&-W zLzuf8^ay|Q0_YLmwFmSFd+!B(U&EM%a1g?Ozz5|cyc+(+hj8HQ=>4I{)#Xc;cz`*u9O8sWxwV6O=GwZYyH4*z>Re!@wHF#+Ll zgb+bKX*W$b(iUf@_3PC=t0Ap>HuL-AFKb#newIR!@6PcJW01z*cq#k`w_f{XU)(3> zQs*&?vQ`=wo-%&ej|Rz3AL*yyZ$qAX5e1_1$-(z5{4GOyc%K0bs!#T9>63fIHHjRH z5#9rs3!w$O4#FZyIoIQl@QV>z@bpQ7K=@YtH3CjKE%+Qo??P59{`LSqAJ?=kc*eXm zg`vtg3{dwg&@T{LIO*3|W%LK_WWcXWf?sXHCjh=2@DX-+nJR%Y&H_C21N2J)-wQZ( zktn~`;(s6Dr{!b6EeSr?f^P@B2=EV+;B6LsKj67ncCKR=Xbx*QAIi4M_XILB^3yFI zZ2RjmM(qZ!g_E&E^vF88NsBS+A_NwEnUG66&INoR;QT!~3!X8=qF)L4$gAV=JMHx8 zYpn7&0RAB0Bkk~V#ovXzM!+8hJQJbCpLO^~H8o{C02uEUzJa)flm4nOaUm-+1KB@` z$KRoGns!PaE!`|Ra)I;JoOpZ~P%NC1gdASL)8@wGr>F8bDZ!%xINz7V<2TuPr2DNh z)&M@UG#-C0NmpAeU2OvVbBxdPlj!GJ^!ormALI5tN$_-rCuEQT;dy`$z&v4mlKkgc@>c+UewBJzk41lj z6fg8^0KXLQ!AbNki+&T}S5#w8mn8r7mi+qwzYFkT2rd2@gELL}4kG_P%uj~f>E|cP z?}hmm_j$M3;ptCX{D%X6ksoIyN${B#JP+^{fY&F%S6c82zz+c4nGI2YYXJWg@Jo{D zFS6)20p53E=Y4_n`v5-!@N?|+3xr(sdl2w3KLGCq+r0$vgLeA#kFD~D1AhD>%!%#r zss#T$z=r_-Cp$cSk40YrcnjeFNP@p_!Pfx(bIcRZO=|y9iS`HlcT2D@pG3c0=yQ;_ z5AdEhVVt$Y5vOh8Zy!ve zzsI8A2l#D(pKpgN_oCV#@C|@3PvXBs_`5*U3xn_Xm?yt&htm(fDk#EZG;p58+__g$ z9lKjTA|LPvSH#=~Z z0sLo4@OleA6YwtqpOFN=Lf|gsEe3p87;}3&Tn+uq5gG0S&IQ03msHntEqmAw_%&FM zoRS3ZYr*#ee&rqU_=QRE^DX#cz^fbZyFqpt(x+H;=#O>OF9GMzmsvWD#pBN>+0r)4mhJ<5@OrFY?D8!V_M)Hd zfKLK^njN0*waVWQcqQOBB*9As?n2&Sz@G(tw;c{U&@SH~jtgtSYknDzzn6;Bl8|Ex zaI){gxMjyt(=?H@81TM;KW&GnZ?@#D1N`@Z&rgESv)~T{{x86Pkp#cXg6{#m?B1^D z6$b#{4fy6H`af9onOLt*$GY;Cq;^J|Ai zvEKeM;G^vDpC;rV1o*{(|H*FW8GEdLFa_|>9*W1mwbQ46X~|a%_)EWv$4^Y6Kh~nJ z1HAFq@pxepy&9aP{(z@p9sgAl{NEP;J%G0Y-qpP80N|hhCLaH768%FKeJ1t`mi!iH z{7LXjE%+e7Hv(Rg1Xl)5`KAE=3E;Dm;E8oqG2laghqq7J;Y)?R=)Vr|O98*p4o|PJ z%6}N}djTJ4htE#X?*aUNz}C{9GpXJw5{b5j%bQ!&do&0I$M6$rnle zquuHsQvjcWeUT(TlD@;DFD8G$lh(n$g#2}Y#{u7xRQ}@@{lkE-+w=qV2mBX+JCf|n zut}cKZfE(I^^L2Y!YMwQp1rp~e;Jot;_CM_N ze1+4<$v$yffpaVNbT%Mvl{pE%T}_u{f8#K4z6Z_#ds&?Cs3DY;h6{g#urKw+j(GfW zd;1gbcVYr!!(QOr+K9JJk;t4=q`R#)ECc*^fRDGs7YbPDR|CEq@a=YZ`ZE^&M!>J% z1s`aaHDiO-2F-x~V|P40CJ8=T;4bit0N#PUs{WVV-#VN9;;I9|<&pQ63*EbjS z$-X0fYM-3jGdz7<;Y?4T+%=9VeMaBb&D&>ep!+3#+&}Fzc2b|wlltUd)W>yEpB&&{ z)F(^!pJsqh_Dh%tgQxj{s*MWdXCa^Qqo1Q1II4l88aS$fqZ+VlVDuR()h?4g%quj| z3^A2gAD0R+wUtlX<0?E^rz78~i0m^UiY32%PSIhzhI9VPj|u}{DPky-H%MX^2@@dclVyPt`?nm*1qn)IWCPSVg;FN-&91S=@B@JDifg>IHlwl6b zkD0%rhf14rH*+q8kIpvC^1qF%`T!)T-|KX>MvUvUso!>;&erg+b^0vf{{P-rhiF{nH{#J)ubhuZCZ|U$O9e%09Zl@daIZ=ma>hL@rUaZ5b zby%vyg*v=Vhj;7nw>sRS!@W9uONSrn@Jk(b)BWK@9iFMf^K_`B!#-tNni)+B8yZ#e zE*-AZ;XOKh@c*#)CUA09RsQ%}>b`ofx~jUWt9nf*=}xDU&eG|ugg^q71xPvs0*TUr zK-OLYS(tQp5xX)lJ0Z=lsU`{isiOy?2*$?z!ild+xdC-1o{{?={zto9lz-`YCfgY_3n4t7Sev z{G7fyZmyZn>-1!Ey~bR_`a`dKzo2stm@D5av68`hvL*KTqd>@O*uJ$fQqSr_=9i*Vm7k>tb`g|0_EGN9Jlf zF+WpRxJKvx?|<9T$KZ<6Z@OUx+j>)Wlu<;?Z(Znz8}T1MYySLG>Y5g`uibz%Ub7}m zoiwF+%B*qvu5Rk&DYK?bnLNvy2v|qpefgfzppo&1z)$qi^_!t2b^TS1y4Jl0ZYYed z|Eb|&eP@xbkq*`H5CC0&bW>PABU@7JZbNl=!umVrhxPC7)D`|ZHl(6SYWz3B5vJ)s zX`?PUD^%MSY<>iSQqA&5;< z)AP`#u>L)F=z`}{Z&iQA*06r>hjqR0Cr?~|97a~N&bMDdmE_~DWfgF9BEfL#QQP@? zCEX&fAMb?Xip3NU5WBJXMx`yU2w*NOiO^X^{{#h(h?K_4o`ro{`mSiuv>iJcVO zihDo!2cVD5ltdWj>=a2f1$1=APL;&uU?<3l&6dQ;!E~0) zkwhUVCF+7C76wPz{kf7@8a&Tx^CZz0+{?s*ip6Mdbx=!eXXejCqAPfnFbjq1%Y*4G zStN;`U>(V6E!hFk9l-@GSyHwRiEDzbZ1kMcOOel=Paii4XJqb$5AhlCAJCal0zu+{4?d71xbz@=}J*nSauo` zCG>T8{wCi3J6iMn9k`Xcw}o|cR{CPxd+$!~=S2oPO_l83EIH}1ynm0r|1$8!Ymxa$QvJ4!&2wpaPP;f{{#2_PjJ7~zu$iZv}ABv+5cGC zIC40+1YPtW@QByXtlP5D@;@$#WN-%IK3>9-Ou%2>_77%raae;v1DNC=l0;o*-CE0k zu)GDxnu3i`9sWZCKRNgi^n(AeB&G+)V@N#WQ*2KTW)kx!q@)m>%92k?VnOgCOCI$( zN((Kg9mM*<@F#iU3!^iBiT@g|!ANL0KbhgZ6-;G2DVaWg;1XLpPN50FQg+w*0KWXP zbPPq=5%?;TFOpJL;MZv6q3+KB;|YGhRk&52IgFAF&rSQ48BT1lj)|J!M@aa=&%h0T zxbK1;nP4V3;Me*5C5x)ylT0*Z_%^sYP3@S>8YG&6?JOBrb}bT+zgk;CE|yWk&nmDLL2_#bSYROHV9It#2F_d3}I)3@*@g0E#3~<+Z@ZjQ;gmPw z+bw?&s>aGoaIeb8qtq)eLr%Q>gQT^bdLSr&82Lbc5DCA-9R_sHhmhrO7;znk^cGY- z+3)tBLn3q8cFXUPCas_jSpE0JM5Of8d#_gjk|sSV-zzhJqL!swWa>?}oLkU0|I!iP zCFXe`rOMyvbBgL|u*po2iLA9*V0?{WOST%dG8ljz0QH2LiaLLrdoh96k(BLa978Lp zU}8r?uQzQ>T;Y?hOt6>Tx-!SvQ)N|D`R{VyM`)YGTwSK;=C{e2ojKCv2Ye~Gze^Gs z0$l5Uh5#QVdAp?rL--zn_Jgr3xo!a92AAy7E!=2YkePN#;I1+X*5u$`g8a4Us_DUO zVD|sUD*)u=0Ga@a6ce+9&l09zN(uo_6ZrjEmMqXr-H5*HZTF(dhzmoW7N{lzw}34U3$C%;{TiSvVMmj`flG7yK386dm2e}`ySpk z0`}i`9N8BFTMail_IDjI|+_Z+cLVei&#gOe5MQf)mh9I?T&Uv|>QkR3T0 z&5l^N&9Z;vBz288n6;l|KR@ckne#XEG?DT+hY)!R+;E!6k2-biln}Xw5YuSflF0hc zkc^KyrxXC<_8r_c>R%0>@y1xM29}xx8+yozvzF7hv4;B!r1Lu6H3D|;!;Ue_SCjNd z9AksGHF)y@gwM_;8HfTYt0>%O7hu=lF40^q+K!Y@TPPa%zWIVm#ZKj!LM z@>C0M?f$({H}7&L>#=KVILY*4Oo&r926u;8yRbp|AhF)$cxw&DzcE$q{+A<+H#v<) z8tQ3+p!%c&s==VIr(X=|f*zqZYuHP~*X?+3um(Pz`T`0w7+O6L-Oh+ex<<49A}3Wi zT~|C}7;g7p$@&ncMa~!slhe0w#AeH0?05y#1p6sjXNQutx@I``mPnU#$4*J2JIG$* z$oACfYqRQ}WyTywc4%KXO}BQXzJR(Xg}Sw@F`iCGfQ%5)KHW)%#MV7SVjr^=Dfr0A z8de^D!mZt3hs~t!;A6Jw;KEuE`Iz0L_dg37#>~+`JM;y@yv4Gsoj{l4H>-yKBdL7E zPF-ctk2f{#em+U7(LZ8`<6#ZI0Nu5l?bJO}bVYfd3%7PZPsr-}%>(4XHH6wN^cpyQ z3+jKs4mH~|3xL3M9Q|vyez6_y3{*wuYzCv zRQ?R|1NWuR>v~p_9&qM~=1o{}!ZrRosdzl~-HE!EJmx1@TS`N$kB`<^+s4w3Y(L&y zKn167Is5asra!BjHeerrIFx5;|8!u0`(RM}%#-$$R-7#~()(v0O!b@g%_K*!cA=CU zI5=8!psku7ZTmsfAQ*B98FE|dTSlzp2}dFQmjo4t+-4ZEa16%%wuxFa7c@Z~eZY{q zvSH=~0O1oev$gs~3eH_w!}w}C%t0pPU}aPP24+TKU*tV~@sR#$s9s{LS8du44nI&spq zvax_l938wo#Ii3DFP6y9zpz{9r78@r_6S!6!Zw;+=Y_b&aBiK~tf$uUF$jj(=N0JD zgEkr~dh@(xMv*n)1uK@lY@nS7Nb{o9zA?Ii9Z@^0qjnYzH$CLAEE=naW&M~<-JM8I z4p+m8wd~OB3>%tnuw4{kI}~A?J;rcAsGdF3P~8OFrx}&mWlj7!$!Zp46$V*zgzR65 zO!P^khF(eNmI%7}r(|ATo`pvmHP`?tGs^&tm#Uz~c(ZskU1r&%N?8EjQ{EcH)k(jR z=d;eFr-(5n9=On7v@)VcV@oxJ*s#EshI6ZFE8;75#+Vta@yfmggcqUE$Pd*Gh82Ko zXtaF~gysZ(C=;!X`EM1WRVK2lL%LzSb|YIc@mk({ejmQWXf5xfFzsk`ItuA_~)z zoG46t7GO>srX_7rn6?)%17X^`Q8Xk>don6`J`0i@Fw!L&nz{6zn=tJv-s&*zrMQ*4 z*N1fn!nE|Ndp-v{4AU|v4AaWJ3Dc6w9qVOl04&y&I=iNdr@gkjoxAdAAZ1c}15OhjQ?3QZKI zWl0pKWg-gGa+C(bv=4#iC`@|{2_0)lW_TZkX=UDoVOk0e!?f&f7^eL<+-EbG@MZEv zQp(tBSq1V?_n!dc`NSE8X%C_#4AXMs5`}5MjYJfteVw(!Fl}CuLncf+3yCO9y9|ja zOxuk_6sElni6~6VL=>jw4h~^j4lUc)lrsfNNnDnM4CI!XqAwsf*N$2wZJ-!H3`a-_ z=^Q8t0pDOTkxYw<<&Mk(G{?pBYfvVY*dvXDl~8gzOE%$){{z@j@-JYk=dVC^mK~Hb zWL$xJLB)=SnK$D;4Aa(vp!j}dAWU088wk^K$M|Oq(>`2;d`0>aBLDM+Y5C@lFig7* z_feSkb4ZvlEt`zOwEu)T<%eO~b`(TmS|*|}EvMcZVPY zmI)K4eS`oeOe-R$3ExvDvoH+PMgTXsWKS5T)h(DXE&CINX?5o$Oj`@{Hw@EeQ6~)3 zvZG;`mSl%vT2d5+X`28Xg=rNuTo|ThAEPiW3!*S>0R>^0mIE7wX_<(^wA58mn3mj( z!n908VOl1lFf9{Nn3hbB!n908VcK#~6NPD+h{CjFV-%*P@<*7Kgs_sVU3HKIw&MBZ zn&*F9AbzIu-gbaK6SWNgUSmk zaBKH}o}j2ZzDn0^tK|;M9zT+;!wRo~899Ci>BXLZ_&TH}W;v#4VmLei&7Q8e-Ws-> zO^ixiV47H`TS61RF-?pbuAAs0&QUEICurM1z#uhsk%nlDoDMT#7m~Ih0>pMIm_%V? zr$$^wz*s7@5+_G35-3(obMwq~HPEYhzW-l!P``)yb03#;Ten32m&ZQzW5{RduQ)w6UsYOF|o~ zYK|ndv8oD^(8j8oD+z6^s(F&o#;RISaTVy)#;Q6q&&R*Dv8syC6sqDgg{rk=FS?+O zRaJbZP!*pkROk9P0-H8g)p@0NBB6~{wIbCI1lm|t7gc@;CE8e3E30|#PaCW1Vj)Kx ztLl>Ae!ysBRTZBpRK;fs)ms0PKwykjFJAp^0Ew}xqBDitP{H$AkmPuhuE<#3jD#^( z@8+#GR`18H)cw1#t}#~Q?@oUeS)L5G7_0G{B}a_a_ADU|b`m_0C7e=U%Y zgM@g195Gh?VKp(_Cz-*cl*Z2?#%mC-o{cPRyaxCCKSzSbYw)qM4G>9fyavT*41?k` zhC%Te!{A_c8K$l_UV}rD5aTs?uzU-UY2!60K4TaZpD_#`@hQgIcnylr7zV{>41-5~ zj+!xEgJAf7vIBC)Feve-V$zB68YDBk*T!p*igQ@>8N(nQrx4M2Wp~AR4a(9BP&<1G zzRKi_q?8r-wVr6O=RjQ?^h81%uR(d{-;ogGHK@#_6>7;D!=NS@hlDm>gW>*sB((7w z)cI?X(8g=fkl_(JZM+6!GWQ^%jn`mY+5bX98?V8{;5j6;@fx&9LL0Ba^vpk_1jefj zHEuQKOo37omn9(sxn-t^mT9hlS|n|t7(gvy3F#at2>}X0yM|P^Si=I z?2*R7N+`L7B}eeZ{{ieMIT^%yK2H*6*;^??#usrf?Xja_=1aI2<29J!UJAJQPmp2G z7zT^e=R)QGxs2D~0{1sX$XBEf68WFWcnvz-i-1my*I>g4T8P?s4Z8g@Oe7kwL60=4 zjo08kvB_8)wDB6e*DHXj+IS6$&lm=`$ka2&YjEj^5kRJm*I=X1DJnK#u*pmi8n3}- zfzifmuqC^K?PCC*08yS$Q&AUebMGcFjn`m%8OKl?ufdLlUVmu32E}31;L03l4~^I0 zUGCo#nuc9)b(x-?)krl0LZz*``Gmpoh#H*Va^ra572>gg}s20bA^cn zfagG^{E6oZ3IC>Zg`_AvSICHKc&?BHh35*V1JLvN#Eh(rXW*vPO8*L7OUCl}nFlNV zRX`?{+}F%UerlvsdZ|D?06gg&>x@pz{f|(bo|-H$zn=}x)b}6Y{i$;A@4|gush4>f zaG4IPH12bqw=(P7w^^kL|7qO&nGKt_SfwTYQ5FP`mw={}tcq2Zb2H#e)9wL)`=w|v zQ<}-pj13qwEzNobz*uq+vou@EL@XGMYNcgq*7DI=w(NX^Xmak4ai7lNJ`>ZVw)0-; z`@iG8=?+hkW|Mg;*|ZCMWlDGXXS^@f*2vAg&q=<&757bcedZZ7n%U9;7xAd{SlnB| z^(e6$q}Q3?5=oSj1yvcmHQmAiO|C3;ma~IJjqZ&A8g>K|&K{euLrLJi9ERZ^0J=9& zEOJ?D)&4E$wa>EC|BAf8{j9t=3PH3BkhE7hslZ+B=Xl+~N$U8GwjbT`y>RzZ0;G0SnJ(&+0c5V7;RXxc*~1zVP93Uw-WVF$mI) zI*o0ucdFPex9_f9qaN28<8{W~U8DMS#vGk-)2=#cyTeK9wwK};E3g~ubcAQv9MuX7 zJ00OVX%nA&R~WB7yY@07+~5d_Zr_1jwdXP8Qb%Nn)HgiKj5a6rF+;P7LW1UY(5yS( z=8P`x{DlLZZ@3U*W1sJ&-e5`A#|A7ene5XN!cUhyKe$AdlNh2diacx7!tb%0p?;0hih+ngp zP}jPBdkw39VH;K#>N+rVzpzV*0h{bvPG+R@I<3X(b=!#5(#C7oq8>iC>5IkRg|KYp z$i|B2DR6$_Nsisu?bHuf=}Pj#J>1&;k0P|F$L{O4Fo6)d6JNI{>rOmuI`MV;)B=Fy z42E>#>-M?269;yQu>V6y)#^HQ;dxs$3r+(xR;~sdK68wmOBY|Vg+xfB7Pgmc(F2Ic zY3co@9dNRd1*Tk4_Q%>`^`w_UKu=-VEzV(G$%oII3s!*{}c8p8vjy_{|WmH z(}7WU2T3_k_KkX>wfHcQ|BU*Vxr)t`2UIr|ftEw{kqY;T3XWpPf2>F|zQH z*D~U2_g|C^`@GMdK$-?dai4wC;8EOX&n*CGU=;V+?+8b+_BRxi1EDCk)#2340XwTD zuS1sV}kd5tAn6A^Ku(b9Jios=eqfRfZ+dh@ai8@)RO|S zkDV}`ET9*n(SF7D7TKw*SFmGv6MeM!d@2`?!6JLqU@=-`H*0!AWw6LTxd7k+FWlBxRpdRXxV8I#o)3GG)(XJwyUD1uv}Tjrca2eLX??uL?fZ|NS}&*V#kv$e~QAw(V9>(Yek!}%R|Q09wY1Vit}(NWF#~8D4p)&&zP}%oCd%mdkhPcowdb& z!PwM^JeGy&w%R@-%xS)Gb*Wv=Ugzvo0X-$_Ywydi?QNjAopthacM8 z8aJ2tf1R3Y(Dy{>4+H&pF;#wTR{FLHvS9vN)=L0Skmd5%vRdNo-2_=@el2Sy1Z5&k zsy8BwYFrH)`bp}ccjy-OL=DZa2pjrIXp!iKe$w&)Dn$+b{6J?xUGt1X%%Nn zC!}ytb*G;bT4+KH;|||`-q;7Lb?eU?F>IUI-HsDD-v|x0#(jo{86mNvmA^Tll*cK` z%+b_craRv^7481JD?_dwjcfr;?9s>+(8L~%ECEgI(Z~>(xSoe=J{^)X>|QqZX|uAg zo`|2Jvh2?ofp2U4l%ZiTu}>8d+d|Ghkov08+0R78&Z-KDeZVa93pK3|gsM=J`oPQr zU^q84sSlV5{;=We!H`tV*Mo+ys~dl8nw)ByoG6TZ$j}BI)cg?pc8{2-;p;f2NA$fr zqVJw2V}?xNHs8KyI=xz$NE75h?LBj~M7CMY!#Gv17km$$rxE=)!uNWF@A|PC-$IS= z`e_hdlK-x3bs0-0D}I#*XV<_*-&>uiC_a={3*{T$C-#ezv; zXD8iDaP4de<6MU|7JzFVJu}={jo(1vnWu)twV3v9Hvkjm=<+E8#92vfjor-lh%X!2Ls2mV*Z)DEIe_tt6(f%8S)-=^=2V{La}%-uU`q|U3nzjN3u z*8d$bIA;~{9H==5b(HVaGWFnarkta^|75M)KSE5OtLHsDMX;~Jm>uuKm>t7T#W6eo zUSPN$4=2cZwu*n9n;^5<1N1&6b1?^LcY5p~HM$K@vL5 z=gpOb4)b~QB%#B6-hzrWcGo)0=bf3)Bca25UU8VuTNE##zxqs?*IM#fBy^b1D-QE{ z#bG}0T)zg`bePXOuapn$>oA|UBK2h;&|yCBqRJU4(P2JsWpx1w9p>{c7IJi$&$}cz z1{fXY^NPcKUU8VuTkD?*1SZTEk5_L7OC`+bMPWY99M5M#k|IF5WJ|O_|HG%ya|I~&ySNabq4z8sKLch{LR>rsD+cUfeF4g$9MQFDRT9nn0Ppn&c1Dl&`T8)HuXG>E!{sae1ah!^-Ab4= z-+YDTi-}B{Z@$9v#Y9z_Z@$9v#YA2D6TpV$i;1RmFTSySF)=y46C;o1i;0udwA8VD zF;PhKBm|Z(CKjgo<|`~;Oe{@5&uZq)SLu71SWxjKnp>Ubo3F5Z@fb!|`c=Z1_mEOum&GMB7W(&&~-|e&~%{O0R`QmB$o6>yq6_&5-P+@PH zZ@$9vbqpnUrFnn>%hyklIFQ~$1Q!cA_oum#VEH1iA5PC>!Yp5DzWFL#zS4a26_ziW z4Fk&;$FMX@Y!lKM{pKqf2A>7;_A=6C2Hx`@Mk1r%e8qQEW%MbPf5ENPRcKu5=J0AQ z47xwPio%uUTd=T{4JU0f@Y$~*8%r5;vSWGw9(`Z5l=&B<@O=E2S@E+3l789v1q9*o zYOHE3&GDrr`*D*l4ld@4Us{qr;Y&+46PPb8;npBM2dOZ)m@a;4NtzEAhA%BiPXY9mzFehu>AnvS;owgN*<`lGG>-6)%;-0 zESb~!jKih$I*?@?E~VFjEaPw~y{uK#1DRciV?71)L{LRJbLx?^(BxEJg^{s8r5~P~ zb`3g&PpiH_alV53RpcJ33@5YUv#-W>gr+I5BPpt9{r$7)-Jcb8Z8`NJ8L;ia2S_sm;*a&*Ahuz=n{K$XElC5 znHiM@H01X6@2tI1*QnJQ5AUpA1OLcvc7l=!&)-gK_$(+Td$;X5hR%lp$`72pr1PuP z^SkXD)6*(>AZWKeS*Mp)cVXXoo$Yg|u;`57qiMed93D;kvc`iK^x#JFs6*pcoOp*n zM8dY)-c9e))vh$P?f!RSC8&{z+je`HX;zxKVsJAZsD~%e1~nsjY{s|Z#54R2HUkIn z&#%(>?~I!HO4Q88!Od(An^|3ZCZRXmsp8)0W}gU~9ln=!*4y6AriIR^g$#J1JF?!c z(jCzZszX8GJ{Y1921y<%S5};W!}k&IdA9cr!&qJOwT=YJKc)}dG;j2xP4!{ zx*EU9jUBWdXLYyl<*Q}j`IEGP`#{t$E}nF-i^}`076hroe!5niWp#YJoPDw;Cu_)g zDk7%?C^b1J50IlJys7+o9^aV`(&M7 zszY((qKPe1IDUZ3_1`6zYjqPVOcP6@CTgK~H0`xf6B^}+!6-)#psf56sV>vXXShK* zJ3_fCLRl6npROvJk7asn7}FS)Csog>0-!G8l8?`4BIQF*RRbZO6Olwjefwy>=+J&X z&LY@;+Z#o@eZxG1ws5oz{x?L&Le?5LBTV#-3K|6P4Ue8n_3@2iOwye@`Z+fKf>)5@ z^`p;a@e4U7VMcCmgeCZ*&s7bU;FzQ_U%vyr#?R;kYronHEfX7HHg>jP=luS;a%hIC$)!~ z>6>6kVVn?fXjVbeAKo=iC^)o0rw{BJC*3)89x?MQf-v$>mlhYRaV?eseJ~T-fYDqR z?T6#U&Cc+AiT!X^C)*mug{9vI305=$@{w}Q(}h^`*x;yU5_hePWBp$t10UCL%I-fP zox?a@YgEg^ai5p^bp_PJ1Ign$$;@j{A#vLw2yJqMQ6_EHs5^*g zvoxH&oXukWjv7v&kX+rI)5F-N+udAKKs6kSAIDYS-aJX`%!Lgd8{v7|JXiCmz?Lo# z)s{6r#=2cefQd z)UnanZ$!v+Y@z_v=!lT|St0fPA*n*1xxMi9_99ai;I+X}xN?v) zFfWMAQndudFNh2Vy&y8k;AeVa851y57nB7L62lyR6~84iD1J+1Q2dt2ph70IeoJIf znI^nG%^3{5C6d@>!xdD!bfd-}#aG$bG!(@fDMB>eEYsF%*B2-n6~hjYdhm^U-eU33 z{{)lVeq##jLt8+LeU@1EGxs&Y^38W^a1#{)mc=2K*G6e9ZRLXH7eXhHS{1Jl{`>O6 zm}v(7zKDvy3E{tA!&_{(a-yrhZyv{As?og~q4Rupt>R>M?HNJ(s~a`8fj0;Z!)h#D zd&VGLsF6NnkQVZyQJi?(c)*L(Oj2$|8=_78>TXLupznEjWoU20({*JmVnijczMGOA+eR0Vzf-6 ztGtCBxhZ0^=bs0ms`-01)t)DbU)ZhNksqGKEf!`FEZk`y&E_aJTB_QoliRc-@*+m< zXOdk!-cZhIZg-kiSCXEtVtO`2^oUt?X~a62LYJB3!tx)Iu8kqJMXW1?tgEPChc1zU zz0;815^?I4kn~F`G^e_(VaJKH&AZ%G9vfB0FUjeCwi%(q6yC_nO9lG^128cHI0pdv zNl4mD^95XRP^OZ4<$OPEtmb~g&r37C|5^?B5q$w;-xoGGZ-fRgva-N*xXY>;$==Nr z_^AedQw0C_A^gl*)1ani=I8?I@IYbpEo^^E>d9*~kl8n(?KeW$DZ@izYkOIFY&i!p zv~~&_4gh+{$BxqztgRByWj2LF3fCe8FJ|?YMrPAW5Kgn{7NdU8$2~v4oB+!HTg|4> z+5I@E@#*aTEN+6Y;fwzX8iNDQ?i4l%f4Z~#c1(}|3C?bMfFox&pJ)8@IlKQB*dk{) zJ>MsGc0Z4j$k|OFbL8wMIe)CP`&GaUIJ-H9L!8}wz|!+sAg}%+U1s1t{{Rxk+0CQ7 z#@YQX+)70LdLe}Krl1o=+aozbW*1uMH+saOJ$1Y@f*pr=~_Nqk`-U4A|L_73UWnWk?a0|-BM{}dP@nsM(KK8SG_M2ti07essm z6Wh|d;%Y1R08zIJ>i>L?M!85|fcm`=>Q>{DYU2pE*69&mUI912*`}4Qs&4ehKHI#J z5vZ>w>Vlwt(x5IxsQHAXrn+D}GlIG>vIzCO5VckQe&RY+aDC9=8WrJ6K&fk7ry6{@{c*BkiN@RyAlXz#MDBhVUP0NzVcxOV#J9C#n2iwCDxqR3> zmzTSgIU|s>)WkA#+n6&FIZv26`JYf}tnjt!(<2Z2J6a-PT<@Ybv=0EjMe>{X4C^ynAr2ca(DpANj#_T`XnHPp-)KsSs}yx|ouA28?! zbbbDlEUEWGMfjL*4|JZ5hHAO1U5T5({ng)_l7O}h^JH~J=#mw!`_QYHbx~QQVSfX> z)UZQ;7tWuG@z6if=IR&uIr=Bs9P>Uu{S$4D{)sk6|3sUkf1=HCW`ex&!}l%jdJbPAty_%jdJbj*|P}WNc~dIh9LUoySSOgn#*gvA`-B z$Ff3-XKDj?wUJHlK_q{U|70`15Y0(##&d=C+|+AjM-Dm=MLamW8$AR>>CDIx{t2YJJvBiS%0h>X$vn&a;kW6tBF~K066dwLLLj7*kxtbu!)c0c@k;kWz$Ax6tibJhQQS(kdr-&+2=a( zjX?Fzdj+E28VvTZi}FL!G=9_-`C?tcf=MA=5n;ioib;mRuGlzz${!6HyzE|2s) zWskiGY3Ul@pZYo5G?0cN_c=SKTT4R`ea@~h3A}Cj^L8cMwU?#W0_Y1SwK7c;`ioK7 znysk%v~76PX2pC;UCbw*>}1kE&$gM^-j6SQy7jPv{;!1e&$G)lYZsED1$Krg&@Nj# zW1uCZ&a|`an!Pe3Jv+-bgRs)7s9{^@+hpX=C|LO1sPxtDzZOiZlq3A-OH=d|rGRg_ z?&8W6qwVj|xR<5K(|78`C8=&CF3^cpCG*(G3eCQYtL89up`=pa-b$Uns!Ci=7fUZv z>mVza7%CT})9}{M%6(AfCWGUskf49gO_dgKZfEy60+c4mSvRMF6Fem4<|PqJFc|EXOTw3zJi8Ub^<;v6J-0GV_)LO_q}(bg z;V(OpqT~4pWd0n2JCx68N&zimgn!4u@DwS?sCq~?^Ww$TW%9yGyOfY zzgn9AF;`GUh<8}K~rn5Q?$Ln>( z_D`n#rd|1Mk{+SUui2IV80r3}89IIcuKfRE`S(rz1H1A!OFE;=@7k5;`=IUb%R>}= zaNWvW%8W;awebf)1wJ#tetz(d`iQlU%GQ)5YSun_l4jMzyRyG!6OS&`>HBwOACvU? znzbL^m3>6gD|Pz7uB<#M`sfDY=4pi78b0j)Sim&a0doGaj81grWdHV9o&yg>oD;{w z<7VQo&p$)VkCzZL#wGtQN#=EOCB%zh<##dn_SD+@k-+C8QRiqhlt}}(Po=ql2C{sJF{VD%5P@vYf|@pl%24wqxym|nt{osyK#-_Mw{-& zHKrTObvLe&Zm^Tmi)*A85W%c;;u_P5rJBm!A(fhrJt37=#ka}MD}~I<3@z+FKk#^y zmNlzTVH^!itkh*|nVO|jOLMS^-epF*D+dGNUA3AytF6kP6Y*AWj6r&apd`{~1Sy4N z>o8rrjY85B;;}LplQ*M%7KXa}5oj)c?EYg=6k0uuHtOM~UD*i&(V|D{x?S1rl0HKZ z?5}oaQ<7dvShw$IJM;21^ccgGHmwlGlxneDnp=mrjgNuPMhod?riSTMA%82oH3m=N z>j|F!x}=+Qdhf2BIM2okW{I@O1BFe}H=gau%K@Bm!hg5#!@KfS$@Vx|6kR-6n!^$~ zu3Yz{e^*rn>0TY{xsQFv#}ku*4=6XLb0uziSUPK$#WR(lv;u3yDJ7?t%qm%w18t+N zl9JO<1De|0!eUl1QA>b@7uJf8v3T)wwwo>~(a<(Bf>aT*lL3(!z>Wnehtk>ASQKn~ zQI7Rf^}y4DdNAk)s5rfvl}hVDH%_9sen=e=X3Ood)da;)canr0(;8VmW}j9<`UdDJ zZvt9A*;SFVi|MSa9F(joCL23G5EC`3iK!W!D>F5q-u_?FYlB%I=?ls( z-ZmZ%SS0A70vj@dZ&qzX#biYBNJY*3(c?5QKyR-<#d=6DNyAK5G+S$n>u?edpKhJS z#SrR(NsNkFumD*ntf|Qv%BeLx)3eB&cHONK_W9k)q1~1&SmzNQ2NI6~mPV zX32n!OW93^rsAR;`H>nZ)%Ha?ET_T18l}Y+a3-{Xv7ZaI>!O_Ibs6p5++aI3Ka~Hl z@CR6`;owx1S&jiAkHNGP&2qzIJl84k(JT#Z-6(?#!C;FANUb;Pmch*o5(FAIktHy4 zl2uGkR57%5jKTCK0~*>Uq~lFxLk!;{(cloh$@m>z%xvSHXok8) z#C%8tt^S>ZQd3+K5@{ySfbIWgN-TyZ;da#Di59Yxjy-_}N z+Hg@FkbT z0O6+^nC5zc3kFtDiv57J7gwc(B}2jyZ5`Zcx|as+%;7gHHjOg|RkR9bBOZ(SIz$n` z_cRz?Zg6!yB;iCbn&Cuj|DArdfPWeuJcT)V4`0|q5@z*zr@FV`B6Z`*zY_Mwdr#{_>Zz1>?| zHf~tk(z$M3%Z`&zT6NO&=8YRR_wH!!+}ztTt7XIHbsKxvw_8%rB;H(a?S`K2KcGrW z&lQ)q53XoUn$)tsy<<)9#vZ($slB_Wee0xkpv~&;S&#flc-#^B1j8*H&NyP%yRK)| znTyUo0~v6$58MG_!xm&Bn9_Yk_bR-(c;kld9u0=at=DvK!aY9vepUCnbz3%fZ0OXP zU91SJYyDb%%2}*zf6wVESgh1OeDLGtNd*$cUXC|l|P5Wjkl-mQTF1Kl>Zr3aZouuD&C?zzfF}a zR+Gr|cdDtpw5fVr>{jLQ(xZZl!1t-jdrqx=K9(iAibk#Z*Il-sG2{)@^!bUJWcs1m@F+OM*GD%qp5dsG54h9d6=s`QXb z>{e>KigzlnN5xj4ey@rhA`xo0Dp{f2-747g`|lle^#~{cW&Wv)UdQ`4eRrIK`Hr3FhoKEIsT2-z`mA0w69@W^T zntIept!h?}n$f04fSq7Lk4mcHSRO0Otm&OYM-j>WfS9D zRdbIT*QOkFzelB8RklZ^+DPDt*~&Rh2$-$x&KtnJoJxW7v%A8;9rkTpSF+0)9|Mxszu`5L*22FD#!&VFW=qQWsq&FM-VRq<`gIi%DP z<@P?O>_!aA4^`aHtAq@N(@9di4iEAH$TFYy#2KPT@N)6|QRh0jvsb0E5h86>a z{0miZlnuTGVC+fGB7Z{&-ZuaqJ59yG$4+X63spJfA5wvSpo^1Q)x>>6?x}o$svd$2 zekuQ=;tl}8xOz2_eJA`>VNq-`VMZNMjm$q0Oi|g1NHI;8b&}N}Ff&`l<_L$OkuQwe zS22IPQWxN||HM54*(bt5^iem0TUF_cDz$G96r_we)QFFnvVx)serW9s$@1=D&e&GU zUX<${#{BfE5fp$b2U9%1y~9p+q0!JSfSt4oA?1k8nD0)5@M22`A{ZWrNrD6MkVR? zwiV|El6OTL-cUil;mg%V6mR7&&bxqL34WFEE6J}Ezta3Fy_h0frcEf`lZL_n(PR{%Pco`~F>6O5EcXCcAszE=Z00 zEvt@llA=~zf?aGJtgZ)!-NTm;{`>Lt z)V%U{t6?y_Vf(=9=}~y+AXjthT3A9JFHft9ynI|MU@u;ra3DpM(@umT5E^W?Ft-jHv#oB0f(98jn|6=Bv9DCaCLB`sgHQxq*PsVv zaUR2FTh(~oIy;V35~2hP5US@HRf6@livYA+|tm)qF@bfVl?&F_pU1 zii-bAl?@&H3K@IlzpkcTKq*i({x0-iRoUGt(W-*ipp2=tla~QgHlp_n&SUa%;0hgRUOv=uoxptrIKrhE8&b5Axz1Lr!3&L98zP7jXzuN7f zH=W_{30KfTz||1W0ItIhRwGdxw5yjW1&z^*iNwVYro^qd4e+DJyHzzdh`Uv#e=9HL zFPm9(C3bz^q_kHoR=pCDDv%S-`CTWo#e%a~3b|b{{(i+}VfSl7o|p4T|I}SUJMC!w~t| zRJT|b{5_5fIpZ%Qy4DkaFqGvnK)?%++^a+8gO8}mA52xoQQX%*mVXUiE*6J zTVfn1IAr>kjuY{c#f_H?*RAcH?K|Kw!)@Bjo3PhUW6myTOT3Yt*Zc2pLMhOv9 zHgbU_kQJjmZ4++{=K)p#82WIl0CJl0UYErUHur0s0*OB5-Nu}9c8kDj zvHccocz>Z9d~yE3lplj8Tv)Z78R{UuJS4d14P?&?7_86qs^qau|AHPSaR$9Pjc)lj zsQBw*7ega(g9smk4NDB}etFfX%JoEN`r33nIp%wP{dqxd0lMY)sI3>3qOAv678 zxHga%1E4ZZu~d+3v_dPu0p7C>M!h+N<&Q01@F0vV_#*u|xba)2VU66N+%9av0^HPd zS%W4(l3Hppv`LHZu<|d})qMZyO(B1)*q#6539sp>L=faT?rH9Rh2owy2(RaZDHlZ$iv>KW(Bbi z-^V>q9R9FQ)!ZR&I1CkRbad}QRS9MR1eS}?0q0E2-F{eAx+QfgfB{Mcdqj9N9h}2r zvCZ@a>j?%ezF#$N<4Vo0V9OL+fqu@oNKHPds*b6$*{W)wGV9H>uMMOc9@JqMRD5d}hJ#`xp^{V>qU_6{3WFidV@QU|f0L3KQ zKP7!If#eP=9vBbn-f0dSngS@e(4YK0phR9d+sfJ^! z{xE|IlMky>$kcIXY)%Ms^xSgc44{StWl%9NB>%9xqbI0$qP!E~gV)Nm7Tv}5T_dMx ztM;4eD#2}-t5a3#I%+An$fyCJK}3;&-z(Hejz#ZOun0mb8zo2Nbpcp0Uj^4;T(ASc zzuW7K&xv~!Zup}o$b?8>(k8$}qvU{xdGJk9y|dvt5Y9c64114QyYket_1^#MERTV8 z{ExFadNyoo@4mc!9hP;mHvS|-1kW)uNC$J`PqQ_&H4*-jLlvFQmCEgNM&*=u5kvuQ zIw)nRT{uTEr;^aA`(?H})8SS45T`TbDvL$+X*Cl29gTQDpoQU z?G?yGfM_)@2h}=Wo>ohFX;o+Q;@7Ft#SAZ%?31mabB$j1v7bV*y-%szX@{WwJnr|h z@+?qTdQervF?UdnGUOiQ!mEeOiWgM=7%L?9snjzne^3ScjQrk1 zEd<4YkReQa>{{cPv{M_v3?lh*r9l-2h#WX(dE}%YeXCq7na5*nzIapKW>5#&M8U z{NEnPX6X2>W(BO{HCt)eVkbO%ZSRJSJy z-+=2jAd3Afrk8E4_D(;^VgA<=Pyq$2JIHR%ZBj^Yd2eW0j8U?=K8@qOKSluQrJjdM@ z`ULzA6}ZWR(wGP1QSG32h{gmqDe9gFW!nH{W48Aal-7Pg=$w+XVGK+XDli?nl5&RY z3%3-AWsc+JRP9UP7;IJUbg;)?DLMcKIM&cPZYkmk7$vj}R~lpi0s~AmF-*&?+NIS& zhhY+1f_*CMOw*#kt(oh;pdAXdb~03qw)elCUrO%22@l8`rBd)4LuK|2svsTrFyzwp zgF5)uDkc_GxC~Xqx#bv^4wb}c-Kr~?kwv^0I3X?x@AAaI;O z*xS%6SK9`!hCc?&4Q$_Zksj9{e+v^XIlKO7`TW&szleKs#p zvJl^1ZXn`3InaxVS&E1mHX**Ne3uUG3_>WDr5~y zNz$2<2QC`z=1b-9Q`N(o9gK74M{@yE93ffJUzTgK? zLx-Z+I5eJ7l~@)_uq>i)jGP~p<}uNv6z6lmITr@M?SHAL6faYN0f|za0&xA0>lN>H z11g1%uRB8B3>Ehb!*Mo(eV((TTseqGVlSjE*8PY;A_jeohd#>CrxIxDD^&8G3ZXEJ zw1=Tjyr}$R+=9kmP&Ip0=?fH+7|$VPU~%AtQ3|^mEVns;C+En?7u==YZtOXoRvcw; zvo9bl(WU}8KCrTvVP%F9%!OZQrklm3%LD4s_Vg(uzDv%T%P^by* zT1HzkF<;PDF`U|{?Hr?Cz_FSMy9Ks`c^wj&WQV!F$GCmAdGtjlRRt6mmMeyR4i)vW z$yh%cK8dg+G(A^nG|NRFU8Hl~K1PMfqg5u|p-OwzF!u_r>sC)yv0tjvK2?Wc7((JW z8TK^SM|8pPk`8R(LT|YS)3}JW$X*qUWehxqpd~Ll3LSe|_#5lijUU!4vToXiV+b{& z`n@jNVBUUwQ^`=Z{YaH#y@fw&1q>S0vc0{!ZYf!d+B29mp7Pl$g}o{~oaOLBbaaYz z;D8VYaF7_pUc`YKfI0pIJLn@k27^2^2` zQ?S1Rn4NEG1|q1{d+xZ(9zLK-;br;K?`}4SW?!Rw=MQXFb0JPHylJ$tu)-w>uEPid zSGk&EQZ800oT<|kr?G5b$J{iWK8Gb73pF5-z_xJEnSxwU3?VEy1;M!mjXh|PN}%S~ zs|uWpgMk3=4(H+qFh#r&PhzX=T4nzO^fAtK{vL(=XWl#MjZydtPq)^7%M+l}RD6%< zAIxFejSzU5-`Xedwjv9`Z+yqGrG^UQNTr`-2p_&h=$(lu3MMJ4Y(}?m0S3`$IN*yE zM1`ps5qW|Lp{@{-C{8$-;C(ey6js~{trgeHqyRF#vr)kknGo@3DRfb7Z0adY@GNjo zKDah0g=ZA&dJYCZ1N5&MP=p&Xe?$r5U?<@aeSAWi z2WL~l#W~VA9S~5-I3Bf^z7Cw!O~RoH1CLs6A9rBV!@XQ#JUVs~KTzH=22EoyhOqg1 z4a;9W6jGVyyL$zUZ}tuAotwbIh(p(6VbYiJq)PunwzHUh!i*HqyZ}Z)dtqYfgCyHOgr)DS$TM2;&xFUSK%9tl4=&vE z=F)!#3H}wV?_o=Wr4oVvSLs88AwhQ>9c{8Jg!croAf8Ew3oqsWKvm=PGV}{i#$tYK zLvI;)qIe+=a{#*?gh}1b7qIKBsgniaJ~7Zwf+_mezBCipl>rSyyb-JKF>l{rca`rY=x(&YdEA?cAwxaw)@5y$$Wbpyazsa0w*g<2);@}UA znwYZ+CJcfV=wj?%rQQJ@@sdg+c!6HQ3dYn6bK#uAi9d84rYcaP?;DhVHj^0n63k@8 zt$+$im^qTfRu3~6{GqJ{OIp-foAyG^)?rZXyTD|XO*}S1Fn@s!%PGuD?4J>46J=A5 zeehnDyj%G@v0F-G^CW60;r`WOh1gr7O@|;_Rhmr!+=cZCT5w{j1igm6$0H1I#K?g( z|NZ(j1@@t={`3YFUrCh~r-K5Ux>m*slm5++3mjd>u^;IpI!L<`NN7U3U(}}}aE$UI zbbCJ;iryyLRqR$KV{>qZ7pGjElgjxtiRbTuspwaz)CG_wsGwG|jSnh#D^TE!mJ;Pp z0ncz?R7&9@L&`q_gF&N5l5Fplq1wzjLxpl`>iG#-(Xl z`Paj)hHDYx1e*(;MxXG21bJx=yWoKurXUg$-i9@wc5(124qMc^Y&eq2coE zlnHnFPiynLRXg|Kz>Cn}Fg>uE%Hoqk<+1Ent6rswD@PQ^=g@(Gf2>VlC!Y5xJIr8o zYB7ZC6%|8F6HcZ*&iHcrPLmgKcJTB7d`u8;7}5xgLFi}GFA-IsfrCL2BKEO*8si2% zhvP@xDvK>C^u+8z6Vw?GrgfQmqcAw&4~>HDDzQ!GGjt_*i6ga%<*MYvDh3fjb7k&5 zyWkkZ2}BG!*zdk#A(*h(&BL*In?A&f$xLbx&w=@kIf}{VjFl0Bp2@Un+vW>e>qGb8 z%=rxXSulsbuYx)*(e~LFtK^sIpn-LPKoMg1>S=TqdiwB%sti6eWbB*626xy8Yzr|wmS-D~x1cHV(R%AVYbLp%Q%P6yX|=kM3l@HwnPIP90*~KmZcYtPYjq5elqI2dTnK$R1eZIGd08#sq9y%sZL}DF)0T z_&6+$i*mQ`6{kE}7hiO%rX@TL<5s|j=_9n4^#74M25XMzf7y%}`X&czT100fOu&r` zNRrG$noSD_vq`B{lx`n5xWvE=c?ot=OGW57h&#>YbjQH|1a6H1HOG`%!uY1bfq9M- z(8GTMJ73lq{fvSQhdO4ekCXL?XFx&Ip@7IoaJWl(N42^)KKXr-TBGL|hki9q@gxl{ z`vK=|fJt{c2gmI;3z0sSi(c}K7avh_5%3kIWhgscFz9t5QrGKk@f50)&@hhA}$ z1o954sy(U>C!+Cu){Cm-1y#LA)gR=7TJ@r;gF6-yaL*r9P2CU%lSPMOUWh`+@PZXM z`oPYCZznDsuM5TS0ijI7ICJhh&R%Y<+O%ftW$jzL!!wJQZ3k@~>sD>(2y<{iK?nwweAh0~cdyx|v7dF$ zJL=|7nOQe$($q;)>ZVSfGHc3|$+PO3H}&*xZlBZHzPWwthIL4;*}AT44xYnqK52Sg zb7x(1$C~adHm~d2x@Gg0-tOk!&D%F@Uf9ID*hStnn;o4Yr3Zf;*+w*l1&zp8y>ce}N5O*fBh;#qHVD6@S>`#K;2 z3+B&Vc7}!Eb@!?@8!;&D>x)l`>Ty8NdoN$Lb`22m1Sz_+)!MdZV{f}|p{EN!tiGxP zM?g1k3Gqkt$q>&w``r19mz}Yc?VWk<`De_XztCET=2mT9v#H&>e9aXbx2##u!ZXg+ z#BkU#v^-kEXT7&<#lRWC+1kEly`}|3uancQn*|wVY3ccAESS4|uJjjdTh*~Xc*Mk>fz3v zduJ{)_rbk)CK)0%0v3rCB1MT{0b!9A>VnV;(pr}hDkNAyD{0YHTUgQ(u#~V|Jd{_z z|Np=L%(-XIU5mB4S2FvY@BI7UuYdo0pL4%+_R8fOwYJe{12xT;p=z{P4E<`3@{v^O zSThDa)ZA(?NGMs}+q-Gi1s4RETa@n)&`^&Ca`*uMgso{Cp&or}R;^F;igbpgDpg7e z(^28-$Uro_Me4qGwf%&jV@s)-AM&O~GfbF+oFI!a zwW6q6EXpQMPQ(Srrhu!E8FQ>ukv~f*kjGX8>Nunk zidFOtT44b85F%+t)>bl=-*m!I6Jx(wA4ipA6Y#3$Vaz&ksw7~1V|Z(97p()WSjOibm?6qMU+e?_^KdV*_fR{=_sPfL0Lo=Fa)biZo1r$^ z7($WJM^$)?&<}qG7m7l{N)q29ppV9yRvJaDJ;)zxI)O@XMgs$}T}~=sfZ3s@l54Xd z`M>))BGl01I`H$&tzOTT3>>sRDTLudq1IBI!74@{tb~n(6}k*-eB7d3s-Qe@E8=%$ zTWP5aVr%XE&@eg$b6jym+DKeq!$fnK*U&rRFj1QizuWxg-`oi@q`_^t) zA4LJh5YHj0sGwGdHAVp9mtN2T(mHklKF-Umqfrl(pF&@-MB@qQ$8Yfcokd$!C zauQHy_;6T=POa+Y8WHB@0Z$4ZzeH3c?<7}A3()bY&;_ybJKqXSYSy=KZ|BiGBt3QThlm*G1?S-yRa zL^Y(d25PA(3L#glDE_4sDQ~Tc51Ggyw@aEd(6qfMAevw#nt$h#vECWk7jj#Uo!gqM8EIdSrGgjX&CTA{%lB`a4ajnj~d zAhE7T^6-i14y5wDoY#rwjt`qsR{WDlW^)YATo@dw_UA@4Z4tSlHF58Weik#WR~wC} zACvGvC}_1@75X~a5E0Z>a`o|y;hub_1=)(3dM#>LA8NibTd`Tu_EL)yy4a9hzew@e zMvMr+4JCSGD2H#&V66kw!5lXhRT}6h#1eUt&ErH-S%#@JfxW*H!NoNSaM9=s^oXuc z8nUQ@3^a;DL>08bupIP{3^z)biseUx*qR}-D<+%A!UY%5`}M*|LDx-9>0g|}X*Wu+ zW1Z6HBBGvTL8L^M1s2J$<7QMgg;9?2C~^d>1&AVDCc))LgLpuo9bc%A!4DP1|B5L_ z{o}UON;+Y$cLRP{Gzfg5% zXw~7HEBPFH96A~;Z>)v)*sHfPk#4TgHP z+LTp@HU?&`@gYeCK$;D+kS+<~UkdqQ*u+S{l1UfAkg(y71GWT?FwP1xDCG-6-a*Q& z!8K0rP+&R%4HQN=hs%mfa@A=)59`PDsH%X$mB^48l0_3v<4!iBer+he*sRz^)U zo!p^d0acSZOis~@jpneR>d{uNS-wdy4A0zPNnoh}R@OB%fV2z{4AL#q9C#Hl)NICp zZrM;|%Y2>Ra8D!gmU;3Qd&sB>HN>XPy<=vJa*alzjJwWguwEUl!Pe{If5h8vfr-zP zG3S;CcUD$2)nPHALYosck;!oaRU3d)t-)>Ur^XsGbj)~jBjO^)qblZPxhXH17zPBU zMAc(OO&Vb915p&SG?ACoStl&15sDL_FG5kVhCo*u6km^PT#-&1(R$7gO=+VrUFclc zh>GzmjAvl4xvWr`Y3TG>7SCaPI^%SjD)!Ehu#54HjBjFWSKsB|4tz^Xb|Fp&JP!>2 zl!Ed0pOm5Cn}dNMkqBXow1nfTG+>3g&Eqj&aZ;nTzQKh46A39}Dm;qMOz z-^#*25DsPH9}KT&;xocivguVSbOh}3Am3L@g^qA+=+f^3wqEE6$A#_OZZCPIBOISR zjgLFR3Gs3Apd*}^JdKY#!b$OQ@}MJpIC&Z$cZ83`$H{|^j82zYP7N z&IirqBK|z_i)I_pMf^qL+lhbX zFuU*y@n6od^9Q|S5KF$_I>Yc47Aa?0@c$rw2IXfUo`T)#_WjrdvDKW0GpR>+q66|Z z;>#%i6y@QGG{_d3qW`9DJ7*Lk;`<3qW%&n*KQ`CopR_1!CI0Ps2K*B3E8z>ow|(5s zS?%yu;yvdW{(YQFxB;>B_;-kVd(I*Luf)%#{9@uK{gDCQzdgjyBaV$`HLM|i zSqk4s{2t=}L;20bZ`x#l?6M^xPy7ku`wb3d;=3*|;Mc^r5#QElfV@^G;mgGDxzNt9 zuqenDU(#;#<(Fs#e4|x^>})FdZsIeEe~0)Z#P7$s1c}8@?xmmHt|+EU%3rqGfah4A zpAa9QBbh=y|3DZ^{(|_) z#Lp`jzLI**Bz_HXpZU%rekbt-l$Ui2u@FU`<)D2&Ta|w?S-%JO;&fxGk z@!iCSi5~zi<=@TnaJYy6C4N^5KO6!ge>ZWuz0gJc0pdqc|5D=nQurp~PZ7rlVl)f` z&+3;NaIq7AgQJJ?vU#cCKiF=-DDlgQ&;OzU?1r#|_$|cmp#1g3AHLjx{cQi6flGaR z9y1(nS;O}zf9;ia{swWkhm9%uhajI-ul;TCCxA=7zO%Ns=fO7ehgAN!;9F928V;`! z_dTdl2n}yI&i$YXhj)nkzSGlKulM|cl;>FZ32PYducLrV`#TqrZarWV2gt+EP`}H6 zi1O)8mOo7WzRUD>=6fn}-)7p&a|ZCN_FP8&>3t~ABc9$XbAb4ytw51~mj25iKP4O! zo_vl4Ohj4oZ&LqH?=?X$|5t!xiir?<6f4N<{w@r?zGN9aepqKn*J}#&A48dB^nT#eVGq9lIH&D!oXU5G z8pj=%n_*@fJqszH-V$^!3{1-JZ&)v71y(R$-{jo$UDHPYXMuMm3(n(l>hb$8x96{_ zp3ZRE*G+I6^ZgA3x-eeS_i;B+&&H`H=z4BZJ(DxO4--mOd;VP;`G;AaJ(rrUQ>pTC z=DU;gzUTW4<@=YL;Ej}jmH4fHW5xLex9(ZjsDHlvwNp0SV}#4;xBJA;0EF&ZS=@<87b$DD@^bMSSLximiPho z<9!B)Z?@6%-8T3m)N>N&{j;g(=ft1A)_@0zKSTWWs|+}c?RHRc3MHa9DWBeSeku%1 z>h&h=>Sm@niFg+mAUlYkPJAW%h0D#b1i1K(p450bOXU*<;ouMEjgY`Jp!Q z+uGnaQqT07DVWCgxvh=-{cZ65)bp@@cOnFKb2!jO{(0bH|7m~nD)oF}(8}XaY7WDK zAF-u~CQd{>z`GLXez*bn zbZo{kJN3NlPgGB5c$;>@+v#v|8~vA2{+zsNK9b|;YSE9D3wsUsb$ zrr7OU#MctPlk&a~g^%}p6{k=ldYJMHt~PKtuRTWm&^HXY3Vuhz0pf>UX6Jh>3cn)$ zx5U55dc8pWBF@*m-3q@~oQV@rCl;<*{WVMR&hR`3B8O`@gZLxoniBT|{jis57>_&U zCdOrpFhYnFVSxOx$f!2H@4AJV0l*mxe4A$`6}_Rf6ahd#4jb@d$|E; z5Wj->9W*FeXGpkCaXU`_{VU2JewU$(Snclumv%_cM|&y%`pG6Q&yOVhnD~w_*||K= zlJErayLtb+%;4}eaPfOh0ytj=7*hOelP9k1jQ2yc-T$( zXV)9x?Y4~gg6)QXg7T}0ztLj=-B9RjqyJ*c&)#A3bVH#|e9BdZzh+VRJaAbjJdnEY zxPtPZ;|<>rS+5<$@4DC&j8V^Z)Uzk0=bI`|p+t0d8~j1h1Amq7_s4;ECDQxhH7J+( zlNbNO5MP%bRQ;Xd2HNMDOz<-CPu_08M`$N+5#Paeg|~CZk*5D8j*C~=4xPYfc_9+< zLpYZ5_zSoGJb4cFeEIVx?DNqA;xoCR^X1nv;@{)A!^@f)dV!1G-kMrxf|Sy1G{N4) z53u-=uP}&T5%F7Jvn)go`*|F6JR`M41I=n3q_WYi!dSyEqM&JYFIl*734TM*5W)}Q zl@e$N_1w5o2=UuCSWZG}ERQ2e;!J-gZV`<)I&v1IlLD2_qEs>4ut>< za_N+;hCeObumoL9e_$1gM9ebFV2X7wn7?q5YG}_U6Pcwd#ZDlD`~R6Fv{TA6LK6v-sf7uw474o5ma>7I8YZL%0pxdbiBTG)Qkz_JL+|r+*l~ZMy zoRidKd^dxQRhBrOAXcGtNseB`)cl2=XZ31B2lz@)ADtzBCv@; zMHTWQxLEEm!G`PIyngw*wJTe%7)dya@V-Q}Y7I54>D?5fbI;$fV)^;ehSjStSk)Kx zEnji|D$%NRHc%_oA5V~Sz|RwIo%o!do^#J%yJBTDAFp04BI6RBV17|XVXHt~9~Cer zBO0NSuZ_0~Mr-@lfz;%)O5eJbGN<&(yBTPGc?G0ZeuDOcBV~VzZ%=EzL`XHQ37shh zrKL*ir7TY>7AC_*$;%Ujs8*Vb4DWn@hzd$PFDkFGRdvizMkzshNnMQDRWW@{Dl=4X z6=U(>z?CBoS+uSUf=CHPAOgzU7p-ZE@THAbC`lS@rEa0B;=Rj-GNRT*PLaxLB4s7J zQ;U>KsnzAQ*}I|puT>i5uJzZ4CxN65COCd5vym*R z)?Wy~gf_WgvCDyeG;%+9)Y|0nTO%Yz%Aln_W#cPN6>ox|@#PhO)?&r|6LXg1Y=j3_ zlNPk&c^a@Wa%jC%XqD2iX!+` zpF+gpX1ZJCYD7`kNKAl)x5*0yIwo;OQGRPq=84=0D5kCXK-d_)Bp0JAt%%~vu|8^* z4&9CMvd}TRq2A&lKQdlv=&pdr+YP31xA2+=J_a_nLCaUH#S46cL9Z$QwtHZ_0)0Va zSb$yuxmiiz$&(1z(2zM1tH9ej6Th<7AIcxNU) zv!pgDTM9o$4!LqEkFr#oq8Wvg*VwvYo1vTQdSJ3~3Xh9@}#j%7>;(rJJd;an}fdr3trW!1D8a@ZvcM|1yo~Os3QEm7vF=Nli<6+=$C(=6~ z)Z@8Kmo7hHeV#2`oa@A;?ee12B6-Ntb$ z!_;Z`VJf}zb3NXZdYGNge?QW9;y=wK=J6hU5gp7R{TT2) of rreal; - function resolved_real( r: rreal_vector ) return rreal; - procedure print(s : in string); - subtype rrreal is resolved_real rreal; - type rrreal_vector is array (natural range <>) of rrreal; - - CONSTANT RREAL_X : rreal := rreal'(value=> 0.0, cap=>0.0, conduct=>-1.0); - CONSTANT RREAL_Z : rreal := rreal'(value=> 0.0, cap=>0.0, conduct=>0.0); - CONSTANT RREAL_0 : rreal := rreal'(value=> 0.0, cap=>0.0, conduct=>10.0); - CONSTANT REAL_Z : real := 20.0; - CONSTANT REAL_X : real := 20.0; - - - procedure transition( - signal sig: INOUT rreal; - constant endval: IN real; - constant del: IN time - ); - - procedure glitch( - signal sig: INOUT rreal; - constant lowval: IN real; - constant endval: IN real; - constant del: IN time - ); - - end rrreal; -- end package declaration - - - package body rrreal is - -procedure print(s : in string) is -variable outbuf: line; -begin - write(outbuf, s); - writeline(output, outbuf); -end procedure; - - --- function resolved_real( r:rreal_vector) return rreal is --- VARIABLE result : rreal := RREAL_Z; --- begin --- IF (r'LENGTH = 1) THEN RETURN r(r'LOW); --- ELSE --- FOR i IN r'RANGE LOOP --- result.cap := result.cap + r(i).cap ; --- IF r(i).value /=REAL_Z THEN --- IF result.value /=REAL_Z THEN --- result.value := REAL_X ; --- ELSE --- result.value := r(i).value ; --- END IF; --- END IF ; --- END LOOP; --- END IF; --- RETURN result; --- end resolved_real; - - function resolved_real( r:rreal_vector) return rreal is - VARIABLE result : rreal := RREAL_Z; - variable vcapshare : real := 0.0; - begin - IF (r'LENGTH = 1) THEN RETURN r(r'LOW); - ELSE - FOR i IN r'RANGE LOOP - if r(i).conduct = -1.0 then - result := RREAL_X; - exit; - end if; - - -- only process initialized (valid) data - if r(i).value > -30.0 and r(i).value < 30.0 then - if r(i).cap > -1.0e12 and r(i).cap < 1.0e12 then - if r(i).conduct > -1.0e12 and r(i).conduct < 1.0e12 then - vcapshare := vcapshare + r(i).value * r(i).cap; - result.value := result.value + r(i).value * r(i).conduct; - result.cap := result.cap + r(i).cap ; - if(r(i).conduct > 0.0 ) then - -- result.conduct := result.conduct + 1.0/r(i).conduct ; - result.conduct := result.conduct + r(i).conduct ; - end if; - end if; - end if; - end if; - - END LOOP; - END IF; - - if result.conduct /= 0.0 then - result.value := result.value / result.conduct ; -- conductance - -- result.value := result.value * result.conduct ; -- resistance - -- result.conduct := 1.0 / result.conduct; - elsif result.cap >0.0 then - result.value := vcapshare / result.cap; - else - result.value:=0.0; - end if; - - RETURN result; - end resolved_real; - - procedure transition( - signal sig: INOUT rreal; - constant endval: IN real; - constant del: IN time) is - - variable step: real; - variable startval: real; - variable del2: time; - begin - del2 := del; - if del2 = 0 fs then - del2 := 1 ns; - end if; - startval := sig.value; - step := (endval-startval); - if abs(endval-startval) < 0.01 then --do not propagate events if endval very close to startval - return; - end if; --- sig.value <= endval after del; - sig.value <= startval, - startval+0.25*step after del2*0.1, - startval+0.45*step after del2*0.2, - startval+0.60*step after del2*0.3, - startval+0.72*step after del2*0.4, - startval+0.80*step after del2*0.5, - startval+0.86*step after del2*0.6, - startval+0.90*step after del2*0.7, - startval+0.94*step after del2*0.8, - startval+0.97*step after del2*0.9, - endval after del2; - end transition; - - - procedure glitch( - signal sig: INOUT rreal; - constant lowval: IN real; - constant endval: IN real; - constant del: IN time) is - - variable step: real; - variable step2: real; - variable startval: real; - variable del2 : time; - begin - del2 := del; - if del2 = 0 fs then - del2 := 1 ns; - end if; - startval := sig.value; - step := (lowval-startval); - step2 := (lowval-endval); - if abs(lowval-startval) < 0.01 then --do not propagate events if endval very close to startval - return; - end if; - sig.value <= --- startval, --- startval+0.25*step after del*0.05, --- startval+0.45*step after del*0.1, --- startval+0.60*step after del*0.15, --- startval+0.72*step after del*0.2, --- startval+0.80*step after del*0.25, --- startval+0.86*step after del*0.3, --- startval+0.90*step after del*0.35, --- startval+0.94*step after del*0.4, --- startval+0.97*step after del*0.45, --- lowval after del*0.5, --- lowval-0.25*step2 after del*0.55, --- lowval-0.45*step2 after del*0.6, --- lowval-0.60*step2 after del*0.65, --- lowval-0.72*step2 after del*0.7, --- lowval-0.80*step2 after del*0.75, --- lowval-0.86*step2 after del*0.8, --- lowval-0.90*step2 after del*0.85, --- lowval-0.94*step2 after del*0.9, --- lowval-0.97*step2 after del*0.95, --- endval after del; - lowval, - lowval-0.25*step2 after del2*0.1, - lowval-0.45*step2 after del2*0.2, - lowval-0.60*step2 after del2*0.3, - lowval-0.72*step2 after del2*0.4, - lowval-0.80*step2 after del2*0.5, - lowval-0.86*step2 after del2*0.6, - lowval-0.90*step2 after del2*0.7, - lowval-0.94*step2 after del2*0.8, - lowval-0.97*step2 after del2*0.9, - endval after del2; - - - end glitch; - - - end rrreal; -- end package body -} -C {title.sym} 160 -40 0 0 {name=l9 author="Stefan Schippers"} -C {arch_declarations.sym} 830 -280 0 0 { -signal V_VX, V_VX2, V_VXS, V_SP: real; - -} -C {lab_wire.sym} 430 -680 0 1 {name=l1 lab=VX sig_type=rrreal } diff --git a/xschem_library/examples/loading_embedded.sch b/xschem_library/examples/loading_embedded.sch deleted file mode 100644 index 7143c29a..00000000 --- a/xschem_library/examples/loading_embedded.sch +++ /dev/null @@ -1,619 +0,0 @@ -v {xschem version=2.9.7 file_version=1.2} -G {} -V {// test} -S {* test} -E {} -T {rrreal type: ------------ - -rreal is a record type containing voltage value, drive strength and -capacitive loading of an electrical node. - -rrreal is a resolved subtype of rreal. - -The resolution function invoked by the simulator updates -voltages, strengths and capacitive loading of all nodes. - -this allows to simulate voltage transients, charge sharing, -floating conditions and more. -the example uses bidirectional analog switches -and simulates charge pumps which have a finite -driving capability (output impedance)} 10 -410 0 0 0.3 0.3 {} -T {VHDL DESIGN EXAMPLE} 140 -1290 0 0 1 1 {} -T {set netlist mode to VHDL -- create netlist -- simulate with ghdl -- view waveforms} 110 -1200 0 0 0.6 0.6 {} -N 830 -680 900 -680 {lab=VXS} -N 450 -680 510 -680 {lab=VX} -N 450 -680 450 -570 {lab=VX} -N 1230 -680 1240 -680 {lab=SP} -N 340 -680 450 -680 {lab=VX} -N 830 -680 830 -570 {lab=VXS} -N 1230 -680 1230 -570 {lab=SP} -N 470 -800 480 -800 {lab=VX2} -N 810 -800 810 -680 {lab=VXS} -N 780 -800 810 -800 {lab=VXS} -N 350 -910 470 -910 {lab=VX2} -N 470 -910 470 -800 {lab=VX2} -N 810 -680 830 -680 {lab=VXS} -N 1200 -680 1230 -680 {lab=SP} -N 340 -800 470 -800 {lab=VX2} -C {code.sym} 600 -200 0 0 {name=CODE -vhdl_ignore=false -value=" --- these assignments are done to have the voltage values available --- in the waveform file -V_VX <= VX.value; -V_VX2 <= VX2.value; -V_VXS <= VXS.value; -V_SP <= SP.value; - - -process -begin -ING<='0'; -ING1<='0'; -SW <= '0'; -SW1<='0'; -SW2<='0'; ---VX <= rreal'(4.5,10.0,0.0); ---VX2 <= rreal'(3.0, 5.0, 0.0); -wait for 200 ns; -ING1<='1'; -wait for 200 ns; -ING<='1'; -wait for 200 ns; -SW<='1'; -wait for 200 ns; -SW2<='1'; -wait for 200 ns; -SW1<='1'; -wait for 200 ns; -SW1<='0'; -wait for 200 ns; -SW2<='0'; -wait for 200 ns; -SW1<='1'; -wait for 200 ns; -SW<='1'; -wait for 200 ns; -ING <='0'; -wait for 200 ns; -SW1<= '0'; -wait for 200 ns; -SW<='1'; -wait for 200 ns; -ING<='1'; -wait for 200 ns; -SW <= '0'; -wait for 200 ns; -SW1<= '1'; -wait for 200 ns; -ING<='1'; -wait for 200 ns; -SW<='0'; -wait for 200 ns; -SW1<='0'; -wait for 200 ns; -SW<='1'; -wait for 200 ns; -SW1<='1'; -wait for 200 ns; -SW1<='0'; -wait for 200 ns; -SW1<='1'; -wait for 200 ns; - - - -wait; -end process; - - -" - embed=true} -[ -v {xschem version=2.9.7 file_version=1.2} -G {type=netlist_commands -template="name=s1 only_toplevel=false value=blabla" -format=" -@value -"} -V {} -S {} -E {} -L 4 20 30 60 30 {} -L 4 20 40 40 40 {} -L 4 20 50 60 50 {} -L 4 20 60 50 60 {} -L 4 20 70 50 70 {} -L 4 20 80 90 80 {} -L 4 20 90 40 90 {} -L 4 20 20 70 20 {} -L 4 20 10 40 10 {} -L 4 100 10 110 10 {} -L 4 110 10 110 110 {} -L 4 20 110 110 110 {} -L 4 20 100 20 110 {} -L 4 100 0 100 100 {} -L 4 10 100 100 100 {} -L 4 10 0 10 100 {} -L 4 10 0 100 0 {} -T {@name} 15 -25 0 0 0.3 0.3 {} -] -C {use.sym} 840 -220 0 0 {library ieee; -use std.TEXTIO.all; -use ieee.std_logic_1164.all; - -library work; -use work.rrreal.all; - - -- embed=true} -[ -v {xschem version=2.9.7 file_version=1.2} -G {type=use -template=" - library ieee; - use ieee.std_logic_1164.all; - use ieee.std_logic_arith.all; - use ieee.std_logic_unsigned.all; - -"} -V {} -S {} -E {} -L 4 -0 -10 355 -10 {} -T {VHDL USE} 5 -25 0 0 0.3 0.3 {} -T {@prop_ptr} 45 5 0 0 0.2 0.2 {} -] -C {pump.sym} 250 -680 0 0 {name=x4 conduct="1.0/20000.0" val=4.5 embed=true} -[ -v {xschem version=2.9.7 file_version=1.2} -G {type=subcircuit -format="@name @pinlist @symname" -template="name=x1 val=4.5 conduct=10.0" -generic_type="conduct=real val=real"} -V {} -S {} -E {} -L 4 -80 -10 70 -10 {} -L 4 -80 10 70 10 {} -L 4 -80 -10 -80 10 {} -L 4 70 -10 70 10 {} -L 4 70 0 90 0 {} -L 4 -100 0 -80 0 {} -B 5 87.5 -2.5 92.5 2.5 {name=USC sig_type=rreal verilog_type=wire dir=inout } -B 5 -102.5 -2.5 -97.5 2.5 {name=ING sig_type=std_logic verilog_type=wire dir=in } -T {@symname} -45 -6 0 0 0.3 0.3 {} -T {@name} 75 -22 0 0 0.2 0.2 {} -T {USC} 65 -4 0 1 0.2 0.2 {} -T {ING} -75 -4 0 0 0.2 0.2 {} -T {conduct=@conduct -val=@val} -65 -36 0 0 0.2 0.2 {} -] -C {lab_pin.sym} 150 -680 0 0 {name=l4 lab=ING embed=true} -[ -v {xschem version=2.9.7 file_version=1.2} -G {type=label -format="*.alias @lab" -template="name=l1 sig_type=std_logic lab=xxx"} -V {} -S {} -E {} -B 5 -1.25 -1.25 1.25 1.25 {name=p dir=in} -T {@lab} -7.5 -8.125 0 1 0.33 0.33 {} -] -C {switch_rreal.sch} 660 -670 0 0 {name=x5 del="2 ns" embed=true} -[ -v {xschem version=2.9.7 file_version=1.2} -G {type=subcircuit -format="@name @pinlist @symname" -template="name=x1 del=\\"2 ns\\"" -generic_type="del=time"} -V {} -S {} -E {} -L 4 -110 -20 110 -20 {} -L 4 -110 20 110 20 {} -L 4 -110 -20 -110 20 {} -L 4 110 -20 110 20 {} -L 4 110 -10 150 -10 {} -L 4 -150 -10 -110 -10 {} -L 4 -150 10 -110 10 {} -L 7 -140 7.5 -137.5 10 {} -L 7 -140 12.5 -137.5 10 {} -L 7 -142.5 -10 -140 -12.5 {} -L 7 -142.5 -10 -140 -7.5 {} -L 7 -140 -12.5 -137.5 -10 {} -L 7 -140 -7.5 -137.5 -10 {} -L 7 140 -12.5 142.5 -10 {} -L 7 140 -7.5 142.5 -10 {} -L 7 137.5 -10 140 -12.5 {} -L 7 137.5 -10 140 -7.5 {} -B 5 -152.5 7.5 -147.5 12.5 {name=ENAB dir=in } -B 5 147.5 -12.5 152.5 -7.5 {name=B dir=inout sig_type=rreal} -B 5 -152.5 -12.5 -147.5 -7.5 {name=A dir=inout sig_type=rreal} -T {@symname} -49.5 -6 0 0 0.3 0.3 {} -T {@name} 65 -32 0 0 0.2 0.2 {} -T {$ENAB} -146.25 -6.25 0 0 0.2 0.2 {} -T {$A} -146.25 -26.25 0 0 0.2 0.2 {} -T {$B} 146.25 -26.25 0 1 0.2 0.2 {} -] -C {lab_pin.sym} 510 -660 0 0 {name=l5 lab=SW embed=true} -C {real_capa.sym} 450 -540 0 0 {name=x3 cap=30.0 embed=true} -[ -v {xschem version=2.9.7 file_version=1.2} -G {type=subcircuit -format="@name @pinlist @symname" -template="name=x1 cap=10.0" -generic_type="cap=real" -} -V {} -S {} -E {} -L 4 0 5 0 30 {} -L 4 0 -30 0 -5 {} -L 4 -10 -5 10 -5 {} -L 4 -10 5 10 5 {} -L 4 2.5 -22.5 7.5 -22.5 {} -L 4 5 -25 5 -20 {} -L 4 -5 30 5 30 {} -L 4 0 35 5 30 {} -L 4 -5 30 0 35 {} -B 5 -2.5 -32.5 2.5 -27.5 {name=USC sig_type=rreal verilog_type=wire dir=inout } -T {@symname} 14.5 -6 0 0 0.3 0.3 {} -T {@name} 15 -17 0 0 0.2 0.2 {} -T {USC} -5 -24 0 1 0.2 0.2 {} -T {@cap pF} 14.5 14 0 0 0.3 0.3 {} -] -C {real_capa.sym} 830 -540 0 0 {name=x1 cap=100.0 embed=true} -C {switch_rreal.sch} 1050 -670 0 0 {name=x2 del="2 ns" embed=true} -C {lab_pin.sym} 900 -660 0 0 {name=l2 lab=SW1 embed=true} -C {lab_pin.sym} 1240 -680 0 1 {name=l3 lab=SP sig_type=rrreal embed=true} -C {real_capa.sym} 1230 -540 0 0 {name=x6 cap=20.0 embed=true} -C {lab_wire.sym} 860 -680 0 1 {name=l6 lab=VXS sig_type=rrreal - embed=true} -[ -v {xschem version=2.9.7 file_version=1.2} -G {type=label -format="*.alias @lab" -template="name=l1 sig_type=std_logic lab=xxx"} -V {} -S {} -E {} -B 5 -1.25 -1.25 1.25 1.25 {name=p dir=in} -T {@lab} -2.5 -1.25 2 0 0.27 0.27 {} -] -C {pump.sym} 250 -800 0 0 {name=x7 conduct="1.0/40000.0" val=3.0 embed=true} -C {lab_pin.sym} 150 -800 0 0 {name=l7 lab=ING1 embed=true} -C {switch_rreal.sym} 630 -790 0 0 {name=x8 del="2 ns" embed=true} -[ -v {xschem version=2.9.7 file_version=1.2} -G {type=subcircuit -format="@name @pinlist @symname" -template="name=x1 del=\\"2 ns\\"" -generic_type="del=time"} -V {} -S {} -E {} -L 4 -130 -20 130 -20 {} -L 4 -130 20 130 20 {} -L 4 -130 -20 -130 20 {} -L 4 130 -20 130 20 {} -L 4 -150 10 -130 10 {} -L 4 130 -10 150 -10 {} -L 4 -150 -10 -130 -10 {} -B 5 -152.5 7.5 -147.5 12.5 {name=ENAB dir=in } -B 5 147.5 -12.5 152.5 -7.5 {name=B sig_type=rreal dir=inout } -B 5 -152.5 -12.5 -147.5 -7.5 {name=A sig_type=rreal dir=inout } -T {@symname} -49.5 -6 0 0 0.3 0.3 {} -T {@name} 135 -32 0 0 0.2 0.2 {} -T {ENAB} -125 6 0 0 0.2 0.2 {} -T {B} 125 -14 0 1 0.2 0.2 {} -T {A} -125 -14 0 0 0.2 0.2 {} -] -C {lab_pin.sym} 480 -780 0 0 {name=l0 lab=SW2 embed=true} -C {lab_wire.sym} 400 -800 0 1 {name=l8 lab=VX2 sig_type=rrreal - embed=true} -C {real_capa.sym} 350 -880 0 0 {name=x9 cap=40.0 embed=true} -C {package_not_shown.sym} 830 -340 0 0 { - library ieee, std; - use std.textio.all; - - package rrreal is - - - - type rreal is - record - value : real; - conduct : real; - cap : real; - end record; - - - - type rreal_vector is array (natural range <>) of rreal; - function resolved_real( r: rreal_vector ) return rreal; - procedure print(s : in string); - subtype rrreal is resolved_real rreal; - type rrreal_vector is array (natural range <>) of rrreal; - - CONSTANT RREAL_X : rreal := rreal'(value=> 0.0, cap=>0.0, conduct=>-1.0); - CONSTANT RREAL_Z : rreal := rreal'(value=> 0.0, cap=>0.0, conduct=>0.0); - CONSTANT RREAL_0 : rreal := rreal'(value=> 0.0, cap=>0.0, conduct=>10.0); - CONSTANT REAL_Z : real := 20.0; - CONSTANT REAL_X : real := 20.0; - - - procedure transition( - signal sig: INOUT rreal; - constant endval: IN real; - constant del: IN time - ); - - procedure glitch( - signal sig: INOUT rreal; - constant lowval: IN real; - constant endval: IN real; - constant del: IN time - ); - - end rrreal; -- end package declaration - - - package body rrreal is - -procedure print(s : in string) is -variable outbuf: line; -begin - write(outbuf, s); - writeline(output, outbuf); -end procedure; - - --- function resolved_real( r:rreal_vector) return rreal is --- VARIABLE result : rreal := RREAL_Z; --- begin --- IF (r'LENGTH = 1) THEN RETURN r(r'LOW); --- ELSE --- FOR i IN r'RANGE LOOP --- result.cap := result.cap + r(i).cap ; --- IF r(i).value /=REAL_Z THEN --- IF result.value /=REAL_Z THEN --- result.value := REAL_X ; --- ELSE --- result.value := r(i).value ; --- END IF; --- END IF ; --- END LOOP; --- END IF; --- RETURN result; --- end resolved_real; - - function resolved_real( r:rreal_vector) return rreal is - VARIABLE result : rreal := RREAL_Z; - variable vcapshare : real := 0.0; - begin - IF (r'LENGTH = 1) THEN RETURN r(r'LOW); - ELSE - FOR i IN r'RANGE LOOP - if r(i).conduct = -1.0 then - result := RREAL_X; - exit; - end if; - - -- only process initialized (valid) data - if r(i).value > -30.0 and r(i).value < 30.0 then - if r(i).cap > -1.0e12 and r(i).cap < 1.0e12 then - if r(i).conduct > -1.0e12 and r(i).conduct < 1.0e12 then - vcapshare := vcapshare + r(i).value * r(i).cap; - result.value := result.value + r(i).value * r(i).conduct; - result.cap := result.cap + r(i).cap ; - if(r(i).conduct > 0.0 ) then - -- result.conduct := result.conduct + 1.0/r(i).conduct ; - result.conduct := result.conduct + r(i).conduct ; - end if; - end if; - end if; - end if; - - END LOOP; - END IF; - - if result.conduct /= 0.0 then - result.value := result.value / result.conduct ; -- conductance - -- result.value := result.value * result.conduct ; -- resistance - -- result.conduct := 1.0 / result.conduct; - elsif result.cap >0.0 then - result.value := vcapshare / result.cap; - else - result.value:=0.0; - end if; - - RETURN result; - end resolved_real; - - procedure transition( - signal sig: INOUT rreal; - constant endval: IN real; - constant del: IN time) is - - variable step: real; - variable startval: real; - variable del2: time; - begin - del2 := del; - if del2 = 0 fs then - del2 := 1 ns; - end if; - startval := sig.value; - step := (endval-startval); - if abs(endval-startval) < 0.01 then --do not propagate events if endval very close to startval - return; - end if; --- sig.value <= endval after del; - sig.value <= startval, - startval+0.25*step after del2*0.1, - startval+0.45*step after del2*0.2, - startval+0.60*step after del2*0.3, - startval+0.72*step after del2*0.4, - startval+0.80*step after del2*0.5, - startval+0.86*step after del2*0.6, - startval+0.90*step after del2*0.7, - startval+0.94*step after del2*0.8, - startval+0.97*step after del2*0.9, - endval after del2; - end transition; - - - procedure glitch( - signal sig: INOUT rreal; - constant lowval: IN real; - constant endval: IN real; - constant del: IN time) is - - variable step: real; - variable step2: real; - variable startval: real; - variable del2 : time; - begin - del2 := del; - if del2 = 0 fs then - del2 := 1 ns; - end if; - startval := sig.value; - step := (lowval-startval); - step2 := (lowval-endval); - if abs(lowval-startval) < 0.01 then --do not propagate events if endval very close to startval - return; - end if; - sig.value <= --- startval, --- startval+0.25*step after del*0.05, --- startval+0.45*step after del*0.1, --- startval+0.60*step after del*0.15, --- startval+0.72*step after del*0.2, --- startval+0.80*step after del*0.25, --- startval+0.86*step after del*0.3, --- startval+0.90*step after del*0.35, --- startval+0.94*step after del*0.4, --- startval+0.97*step after del*0.45, --- lowval after del*0.5, --- lowval-0.25*step2 after del*0.55, --- lowval-0.45*step2 after del*0.6, --- lowval-0.60*step2 after del*0.65, --- lowval-0.72*step2 after del*0.7, --- lowval-0.80*step2 after del*0.75, --- lowval-0.86*step2 after del*0.8, --- lowval-0.90*step2 after del*0.85, --- lowval-0.94*step2 after del*0.9, --- lowval-0.97*step2 after del*0.95, --- endval after del; - lowval, - lowval-0.25*step2 after del2*0.1, - lowval-0.45*step2 after del2*0.2, - lowval-0.60*step2 after del2*0.3, - lowval-0.72*step2 after del2*0.4, - lowval-0.80*step2 after del2*0.5, - lowval-0.86*step2 after del2*0.6, - lowval-0.90*step2 after del2*0.7, - lowval-0.94*step2 after del2*0.8, - lowval-0.97*step2 after del2*0.9, - endval after del2; - - - end glitch; - - - end rrreal; -- end package body - -- embed=true} -[ -v {xschem version=2.9.7 file_version=1.2} -G {type=package -template=" - --- THIS IS A TEMPLATE, REPLACE WITH ACTUAL CODE OR REMOVE INSTANCE!! - library ieee; - use ieee.std_logic_1164.all; - use ieee.std_logic_arith.all; - use ieee.std_logic_unsigned.all; - - package aaa is - type real_vector is array(natural range <>) of real; - constant dx : real := 0.001 ; - - procedure assegna( - signal A : inout real; - signal A_OLD : in real; - A_VAL : in real - ); - - end aaa; -- end package declaration - - - package body aaa is - - - procedure assegna( - signal A : inout real; - signal A_OLD : in real; - A_VAL : in real ) is - constant tdelay: time := 0.01 ns; - begin - if (A /= A_VAL) then - A <= A_OLD+dx, A_VAL after tdelay; - end if; - end assegna; - - - end aaa; -- end package body -"} -V {} -S {} -E {} -L 4 0 -10 355 -10 {} -T {PACKAGE} 5 -25 0 0 0.3 0.3 {} -T {HIDDEN} 135 -5 0 0 0.3 0.3 {} -] -C {title.sym} 160 -40 0 0 {name=l9 author="Stefan Schippers" embed=true} -[ -v {xschem version=2.9.7 file_version=1.2} -G {type=logo -template="name=l1 author=\\"Stefan Schippers\\"" -verilog_ignore=true -vhdl_ignore=true -spice_ignore=true -tedax_ignore=true} -V {} -S {} -E {} -L 6 225 0 1020 0 {} -L 6 -160 0 -95 0 {} -T {@schname} 235 5 0 0 0.4 0.4 {} -T {@author} 235 -25 0 0 0.4 0.4 {} -T {@time_last_modified} 1020 -20 0 1 0.4 0.3 {} -T {SCHEM} 5 -25 0 0 1 1 {} -P 5 13 5 -30 -25 0 5 30 -15 30 -35 10 -55 30 -75 30 -45 0 -75 -30 -55 -30 -35 -10 -15 -30 5 -30 {fill=true} -] -C {arch_declarations.sym} 830 -280 0 0 { -signal V_VX, V_VX2, V_VXS, V_SP: real; - - -- embed=true} -[ -v {xschem version=2.9.7 file_version=1.2} -G {type=arch_declarations -template=" - -signal AAA: std_logic; - -"} -V {} -S {} -E {} -L 4 -0 -10 355 -10 {} -T {ARCHITECTURE DECLARATIONS} 5 -25 0 0 0.3 0.3 {} -T {HIDDEN} 45 5 0 0 0.2 0.2 {} -] -C {lab_wire.sym} 430 -680 0 1 {name=l1 lab=VX sig_type=rrreal embed=true} diff --git a/xschem_library/examples/model_lm324.txt b/xschem_library/examples/model_lm324.txt deleted file mode 100644 index 04e7a577..00000000 --- a/xschem_library/examples/model_lm324.txt +++ /dev/null @@ -1,44 +0,0 @@ -* LM324 OPERATIONAL AMPLIFIER "MACROMODEL" SUBCIRCUIT -* CREATED USING PARTS RELEASE 4.01 ON 09/08/89 AT 10:54 -* (REV N/A) SUPPLY VOLTAGE: 5V -* CONNECTIONS: NON-INVERTING INPUT -* | INVERTING INPUT -* | | POSITIVE POWER SUPPLY -* | | | NEGATIVE POWER SUPPLY -* | | | | OUTPUT -* | | | | | -.SUBCKT LM324 1 2 3 4 5 -* - C1 11 12 5.544E-12 - C2 6 7 20.00E-12 - DC 5 53 DX - DE 54 5 DX - DLP 90 91 DX - DLN 92 90 DX - DP 4 3 DX - EGND 99 0 POLY(2) (3,0) (4,0) 0 .5 .5 - FB 7 99 POLY(5) VB VC VE VLP VLN 0 15.91E6 -20E6 20E6 20E6 -20E6 - GA 6 0 11 12 125.7E-6 - GCM 0 6 10 99 7.067E-9 - IEE 3 10 DC 10.04E-6 - HLIM 90 0 VLIM 1K - Q1 11 2 13 QX - Q2 12 1 14 QX - R2 6 9 100.0E3 - RC1 4 11 7.957E3 - RC2 4 12 7.957E3 - RE1 13 10 2.773E3 - RE2 14 10 2.773E3 - REE 10 99 19.92E6 - RO1 8 5 50 - RO2 7 99 50 - RP 3 4 30.31E3 - VB 9 0 DC 0 - VC 3 53 DC 2.100 - VE 54 4 DC .6 - VLIM 7 8 DC 0 - VLP 91 0 DC 40 - VLN 0 92 DC 40 -.MODEL DX D(IS=800.0E-18) -.MODEL QX PNP(IS=800.0E-18 BF=250) -.ENDS diff --git a/xschem_library/examples/model_test_ne555.txt b/xschem_library/examples/model_test_ne555.txt deleted file mode 100644 index c688e248..00000000 --- a/xschem_library/examples/model_test_ne555.txt +++ /dev/null @@ -1,61 +0,0 @@ -** node order -* 1: gnd -* 2: trig -* 3: out -* 4: reset# -* 5: ctrl -* 6: thres -* 7: dis -* 8: vcc -.SUBCKT ne555 34 32 30 19 23 33 1 21 -* G TR O R F TH D V -Q4 25 2 3 QP -Q5 34 6 3 QP -Q6 6 6 8 QP -R1 9 21 4.7K -R2 3 21 830 -R3 8 21 4.7K -Q7 2 33 5 QN -Q8 2 5 17 QN -Q9 6 4 17 QN -Q10 6 23 4 QN -Q11 12 20 10 QP -R4 10 21 1K -Q12 22 11 12 QP -Q13 14 13 12 QP -Q14 34 32 11 QP -Q15 14 18 13 QP -R5 14 34 100K -R6 22 34 100K -R7 17 34 10K -Q16 1 15 34 QN -Q17 15 19 31 QP -R8 18 23 5K -R9 18 34 5K -R10 21 23 5K -Q18 27 20 21 QP -Q19 20 20 21 QP -R11 20 31 5K -D1 31 24 DA -Q20 24 25 34 QN -Q21 25 22 34 QN -Q22 27 24 34 QN -R12 25 27 4.7K -R13 21 29 6.8K -Q23 21 29 28 QN -Q24 29 27 16 QN -Q25 30 26 34 QN -Q26 21 28 30 QN -D2 30 29 DA -R14 16 15 100 -R15 16 26 220 -R16 16 34 4.7K -R17 28 30 3.9K -Q3 2 2 9 QP -.MODEL DA D (RS=40 IS=1.0E-14 CJO=1PF) -.MODEL QP PNP (level=1 BF=20 BR=0.02 RC=4 RB=25 IS=1.0E-14 VA=50 NE=2) -+ CJE=12.4P VJE=1.1 MJE=.5 CJC=4.02P VJC=.3 MJC=.3 TF=229P TR=159N) -.MODEL QN NPN (level=1 IS=5.07F NF=1 BF=100 VAF=161 IKF=30M ISE=3.9P NE=2 -+ BR=4 NR=1 VAR=16 IKR=45M RE=1.03 RB=4.12 RC=.412 XTB=1.5 -+ CJE=12.4P VJE=1.1 MJE=.5 CJC=4.02P VJC=.3 MJC=.3 TF=229P TR=959P) -.ENDS diff --git a/xschem_library/examples/models_cmos_example.txt b/xschem_library/examples/models_cmos_example.txt deleted file mode 100644 index 927e095f..00000000 --- a/xschem_library/examples/models_cmos_example.txt +++ /dev/null @@ -1,73 +0,0 @@ - - -* hdif = 0.5e-6 -.MODEL CMOSN NMOS ( -+LEVEL = 49 acm = 3 -+VERSION = 3.1 TNOM = 27 TOX = 7.7E-9 -+XJ = 1E-7 NCH = 2.3579E17 VTH0 = 0.5048265 -+K1 = 0.5542796 K2 = 0.0155863 K3 = 2.3475646 -+K3B = -3.3142916 W0 = 4.145888E-5 NLX = 1.430868E-7 -+DVT0W = 0 DVT1W = 0 DVT2W = 0 -+DVT0 = -0.0150839 DVT1 = 1.51022E-3 DVT2 = 0.170688 -+U0 = 415.8570638 UA = 5.057324E-11 UB = 1.496793E-18 -+UC = 2.986268E-11 VSAT = 1.237033E5 A0 = 0.9098788 -+AGS = 0.2120181 B0 = 1.683612E-6 B1 = 5E-6 -+KETA = -4.011887E-4 A1 = 0 A2 = 1 -+RDSW = 1.156967E3 PRWG = -8.468558E-3 PRWB = -7.678669E-3 -+WR = 1 WINT = 5.621821E-8 LINT = 1.606205E-8 -+XL = -2E-8 XW = 0 DWG = -6.450939E-9 -+DWB = 6.530228E-9 VOFF = -0.1259348 NFACTOR = 0.3344887 -+CIT = 0 CDSC = 1.527511E-3 CDSCD = 0 -+CDSCB = 0 ETA0 = 1.21138E-3 ETAB = -1.520242E-4 -+DSUB = 0.1259886 PCLM = 0.8254768 PDIBLC1 = 0.4211084 -+PDIBLC2 = 6.081164E-3 PDIBLCB = -5.865856E-6 DROUT = 0.7022263 -+PSCBE1 = 7.238634E9 PSCBE2 = 5E-10 PVAG = 0.6261655 -+DELTA = 0.01 MOBMOD = 1 PRT = 0 -+UTE = -1.5 KT1 = -0.11 KT1L = 0 -+KT2 = 0.022 UA1 = 4.31E-9 UB1 = -7.61E-18 -+UC1 = -5.6E-11 AT = 3.3E4 WL = 0 -+WLN = 1 WW = -1.22182E-15 WWN = 1.137 -+WWL = 0 LL = 0 LLN = 1 -+LW = 0 LWN = 1 LWL = 0 -+CAPMOD = 2 XPART = 0.4 CGDO = 1.96E-10 -+CGSO = 1.96E-10 CGBO = 0 CJ = 8.829973E-4 -+PB = 0.7946332 MJ = 0.3539285 CJSW = 2.992362E-10 -+PBSW = 0.9890846 MJSW = 0.1871372 PVTH0 = -0.0148617 -+PRDSW = -114.7860236 PK2 = -5.151187E-3 WKETA = 5.687313E-3 -+LKETA = -0.018518 ) -* -* hdif = 0.5e-6 -.MODEL CMOSP PMOS ( -+LEVEL = 49 acm = 3 -+VERSION = 3.1 TNOM = 27 TOX = 7.7E-9 -+XJ = 1E-7 NCH = 8.52E16 VTH0 = -0.6897992 -+K1 = 0.4134289 K2 = -5.342989E-3 K3 = 24.8361788 -+K3B = -1.4390847 W0 = 2.467689E-6 NLX = 3.096223E-7 -+DVT0W = 0 DVT1W = 0 DVT2W = 0 -+DVT0 = 1.3209807 DVT1 = 0.4695965 DVT2 = -8.790762E-4 -+U0 = 150.6275733 UA = 2.016943E-10 UB = 1.714919E-18 -+UC = -1.36948E-11 VSAT = 9.559222E4 A0 = 0.9871247 -+AGS = 0.3541967 B0 = 3.188091E-6 B1 = 5E-6 -+KETA = -0.0169877 A1 = 0 A2 = 1 -+RDSW = 2.443009E3 PRWG = 0.0260616 PRWB = 0.141561 -+WR = 1 WINT = 5.038936E-8 LINT = 1.650588E-9 -+XL = -2E-8 XW = 0 DWG = -1.535456E-8 -+DWB = 1.256904E-8 VOFF = -0.15 NFACTOR = 1.5460516 -+CIT = 0 CDSC = 1.413317E-4 CDSCD = 0 -+CDSCB = 0 ETA0 = 0.3751392 ETAB = 2.343374E-3 -+DSUB = 0.8877574 PCLM = 5.8638076 PDIBLC1 = 1.05224E-3 -+PDIBLC2 = 3.481753E-5 PDIBLCB = 2.37525E-3 DROUT = 0.0277454 -+PSCBE1 = 3.013379E10 PSCBE2 = 3.608179E-8 PVAG = 3.9564294 -+DELTA = 0.01 MOBMOD = 1 PRT = 0 -+UTE = -1.5 KT1 = -0.11 KT1L = 0 -+KT2 = 0.022 UA1 = 4.31E-9 UB1 = -7.61E-18 -+UC1 = -5.6E-11 AT = 3.3E4 WL = 0 -+WLN = 1 WW = -5.22182E-16 WWN = 1.125 -+WWL = 0 LL = 0 LLN = 1 -+LW = 0 LWN = 1 LWL = 0 -+CAPMOD = 2 XPART = 0.4 CGDO = 2.307E-10 -+CGSO = 2.307E-10 CGBO = 0 CJ = 1.397645E-3 -+PB = 0.99 MJ = 0.5574537 CJSW = 3.665392E-10 -+PBSW = 0.99 MJSW = 0.3399328 PVTH0 = 0.0114364 -+PRDSW = 52.7951169 PK2 = 9.714153E-4 WKETA = 0.0109418 -+LKETA = 7.702974E-3 ) diff --git a/xschem_library/examples/models_lightning.txt b/xschem_library/examples/models_lightning.txt deleted file mode 100644 index 5859ac09..00000000 --- a/xschem_library/examples/models_lightning.txt +++ /dev/null @@ -1,50 +0,0 @@ -*2N4401 -*Si 310mW 40V 600mA 250MHz pkg:TO-92 1,2,3 -.MODEL Q2N4401 NPN(IS=9.09E-15 BF=300 VAF=113 IKF=0.36 ISE=1.06E-11 -+ NE=2 BR=4 VAR=24 IKR=0.54 RB=1.27 RE=0.319 RC=0.127 CJE=2.34E-11 TF=5.12E-10 -+ CJC=1.02E-11 TR=1.51E-7 XTB=1.5 ) - -****** -*SRC=2N4403;2N4403;BJTs PNP; Si; 40.0V 0.60A 200MHz Central Semi Central Semi -.MODEL Q2N4403 PNP ( -+ IS=16.832E-15 -+ BF=255.25 -+ VAF=100 -+ IKF=.77357 -+ ISE=16.832E-15 -+ NE=2 -+ BR=14.207 -+ VAR=100 -+ IKR=53.456E-3 -+ ISC=1.0152E-12 -+ NC=1.7291 -+ NK=.81917 -+ RB=3.9257 -+ RC=.51317 -+ CJE=28.204E-12 -+ VJE=.94511 -+ MJE=.4306 -+ CJC=25.033E-12 -+ VJC=.51188 -+ MJC=.4892 -+ TF=550.52E-12 -+ XTF=3.9312 -+ VTF=4.0632 -+ ITF=40.353E-3 -+ TR=10.000E-9) -****** - -*SRC=1N914;1N914;Diodes;Si;100V 150mA 4.0ns Central Semi Central Semi -.MODEL D1N914 D ( IS=6.2229E-9 -+ N=1.9224 -+ RS=.33636 -+ IKF=42.843E-3 -+ CJO=764.38E-15 -+ M=.1001 -+ VJ=9.9900 -+ ISR=11.526E-9 -+ NR=4.9950 -+ BV=100.14 -+ IBV=.25951 -+ TT=2.8854E-9 ) -****** diff --git a/xschem_library/examples/models_osc.txt b/xschem_library/examples/models_osc.txt deleted file mode 100644 index 6bbf9e14..00000000 --- a/xschem_library/examples/models_osc.txt +++ /dev/null @@ -1,7 +0,0 @@ -.MODEL Q2N2222A NPN IS =3.0611E-14 NF =1.00124 BF =220 IKF=0.52 -+ VAF=104 ISE=7.5E-15 NE =1.41 NR =1.005 BR =4 IKR=0.24 -+ VAR=28 ISC=1.06525E-11 NC =1.3728 RB =0.13 RE =0.22 -+ RC =0.12 CJC=9.12E-12 MJC=0.3508 VJC=0.4089 -+ CJE=27.01E-12 TF =0.325E-9 TR =100E-9 -+ vce_max=45 vbe_max=6 - diff --git a/xschem_library/examples/models_poweramp.txt b/xschem_library/examples/models_poweramp.txt deleted file mode 100644 index c550e971..00000000 --- a/xschem_library/examples/models_poweramp.txt +++ /dev/null @@ -1,759 +0,0 @@ -.MODEL bd139_2 npn -+IS=1e-09 BF=222.664 NF=0.85 VAF=36.4079 -+IKF=0.166126 ISE=5.03418e-09 NE=1.45313 BR=1.35467 -+NR=1.33751 VAR=142.931 IKR=1.66126 ISC=5.02557e-09 -+NC=3.10227 RB=26.9143 IRB=0.1 RBM=0.1 -+RE=0.000472454 RC=1.04109 XTB=0.727762 XTI=1.04311 -+EG=1.05 CJE=1e-11 VJE=0.75 MJE=0.33 -+TF=1e-09 XTF=1 VTF=10 ITF=0.01 -+CJC=1e-11 VJC=0.75 MJC=0.33 XCJC=0.9 -+FC=0.5 CJS=0 VJS=0.75 MJS=0.5 -+TR=1e-07 PTF=0 KF=0 AF=1 - - -.MODEL BD139 NPN ( -+IS=2.3985E-13 Vceo=80 Icrating=1500m -+BF=244.9 NF=1.0 BR=78.11 NR=1.007 ISE=1.0471E-14 -+NE=1.2 ISC=1.9314E-11 NC=1.45 VAF=98.5 VAR=7.46 -+IKF=1.1863 IKR=0.1445 RB=2.14 RBM=0.001 IRB=0.031 -+RE=0.0832 RC=0.01 CJE=2.92702E-10 VJE=0.67412 -+MJE=0.3300 FC=0.5 CJC=4.8831E-11 VJC=0.5258 -+MJC=0.3928 XCJC=0.5287 XTB=1.1398 EG=1.2105 XTI=3.0) - - -.MODEL BD140 PNP(IS=1e-09 BF=650.842 NF=0.85 VAF=10 -+IKF=0.0950125 ISE=1e-08 NE=1.54571 BR=56.177 -+NR=1.5 VAR=2.11267 IKR=0.950125 ISC=1e-08 -+NC=3.58527 RB=41.7566 IRB=0.1 RBM=0.108893 -+RE=0.000347052 RC=1.32566 XTB=19.5239 XTI=1 -+EG=1.05 CJE=1e-11 VJE=0.75 MJE=0.33 -+TF=1e-09 XTF=1 VTF=10 ITF=0.01 -+CJC=1e-11 VJC=0.75 MJC=0.33 XCJC=0.9 -+FC=0.5 CJS=0 VJS=0.75 MJS=0.5 TR=1e-07 PTF=0 KF=0 AF=10 -+ VCEO=80 ICRATING=1A ) - - -.MODEL Q2N2222A NPN IS =3.0611E-14 NF =1.00124 BF =220 IKF=0.52 -+ VAF=104 ISE=7.5E-15 NE =1.41 NR =1.005 BR =4 IKR=0.24 -+ VAR=28 ISC=1.06525E-11 NC =1.3728 RB =0.13 RE =0.22 -+ RC =0.12 CJC=9.12E-12 MJC=0.3508 VJC=0.4089 -+ CJE=27.01E-12 TF =0.325E-9 TR =100E-9 -+ vce_max=45 vbe_max=6 - -.MODEL Q2N2222 NPN ( -+ IS = 3.97589E-14 BF = 195.3412 NF = 1.0040078 VAF = 53.081 IKF = 0.976 ISE = 1.60241E-14 -+ NE = 1.4791931 BR = 1.1107942 NR = 0.9928261 VAR = 11.3571702 IKR = 2.4993953 ISC = 1.88505E-12 -+ NC = 1.1838278 RB = 56.5826472 IRB = 1.50459E-4 RBM = 5.2592283 RE = 0.0402974 RC = 0.4208 -+ CJE = 2.56E-11 VJE = 0.682256 MJE = 0.3358856 TF = 3.3E-10 XTF = 6 VTF = 0.574 -+ ITF = 0.32 PTF = 25.832 CJC = 1.40625E-11 VJC = 0.5417393 MJC = 0.4547893 XCJC = 1 -+ TR = 3.2E-7 CJS = 0 VJS = .75 MJS = 0 XTB = 1.6486 EG = 1.11 -+ XTI = 5.8315 KF = 0 AF = 1 FC = 0.83 -+ vce_max=45 vbe_max=6 ) - - -* 2n2222 p complementary -.MODEL Q2N2907P PNP(IS=650.6E-18 ISE=54.81E-15 ISC=0 XTI=3 -+ BF=231.7 BR=3.563 IKF=1.079 IKR=0 XTB=1.5 -+ VAF=115.7 VAR=35 VJE=0.65 VJC=0.65 -+ RE=0.15 RC=0.715 RB=10 -+ CJE=19.82E-12 CJC=14.76E-12 XCJC=0.75 FC=0.5 -+ NF=1 NR=1 NE=1.829 NC=2 MJE=0.3357 MJC=0.5383 -+ TF=603.7E-12 TR=111.3E-9 ITF=0.65 VTF=5 XTF=1.7 -+ EG=1.11 KF=1E-9 AF=1 -+ VCEO=45 ICRATING=100M -+ vce_max=45 vbe_max=6 ) - -.MODEL Q2N2907S PNP(IS=2.32E-13 ISE=6.69E-16 ISC=1.65E-13 XTI=3.00 -+ BF=3.08E2 BR=2.18E1 IKF=8.42E-1 IKR=1.00 XTB=1.5 -+ VAF=1.41E2 VAR=1.35E1 VJE=3.49E-1 VJC=3.00E-1 -+ RE=1.00E-2 RC=8.46E-1 RB=4.02E1 RBM=1.00E-2 IRB=1.25E-2 -+ CJE=2.66E-11 CJC=1.93E-11 XCJC=1.00 FC=5.00E-1 -+ NF=1.04 NR=1.12 NE=1.09 NC=1.13 MJE=4.60E-1 MJC=4.65E-1 -+ TF=4.95E-10 TR=0 PTF=0 ITF=3.36E-1 VTF=6.54 XTF=1.87E1 -+ EG=1.11 KF=1E-9 AF=1 -+ VCEO=40 ICRATING=500M -+ vce_max=45 vbe_max=6 ) - -*MM3725 MCE 5/13/95 -*Si 1W 40V 500mA 307MHz pkg:TO-39 3,2,1 -.MODEL QMM3725 NPN (IS=50.7F NF=1 BF=162 VAF=113 IKF=.45 ISE=38.2P NE=2 -+ BR=4 NR=1 VAR=24 IKR=.675 RE=.263 RB=1.05 RC=.105 XTB=1.5 -+ CJE=62.2P VJE=1.1 MJE=.5 CJC=14.6P VJC=.3 MJC=.3 TF=518P TR=45.9N) - -*MPS651 MCE 5/12/95 -*Si 625mW 60V 2A 110MHz pkg:TO-92 1,2,3 -.MODEL QMPS651 NPN (IS=72.1F NF=1 BF=260 VAF=139 IKF=2.5 ISE=67.1P NE=2 -+ BR=4 NR=1 VAR=20 IKR=3.75 RE=28.2M RB=.113 RC=11.3M XTB=1.5 -+ CJE=212P VJE=1.1 MJE=.5 CJC=68.6P VJC=.3 MJC=.3 TF=1.44N TR=1U) - -*FCX649 ZETEX Spice model Last revision 17/7/90 Medium Power -*ZTX Si 1.5W 25V 2A 240MHz pkg:SOT-89 2,1,3 -.MODEL QFCX649 NPN(IS=3E-13 BF=225 VAF=80 IKF=2.8 ISE=1.1E-13 NE=1.37 -+ BR=110 NR=0.972 VAR=28 IKR=0.8 ISC=6.5E-13 NC=1.372 RB=0.3 RE=0.063 -+ RC=0.07 CJE=3.25E-10 TF=1E-9 CJC=7E-11 TR=1E-8 ) - -*MPSW01A MCE 5/12/95 -*Si 1W 40V 1A 210MHz pkg:TO-92 1,2,3 -.MODEL QMPSW01A NPN (IS=18.1F NF=1 BF=273 VAF=113 IKF=.6 ISE=15.7P NE=2 -+ BR=4 NR=1 VAR=20 IKR=.9 RE=96.5M RB=.386 RC=38.6M XTB=1.5 -+ CJE=78.7P VJE=1.1 MJE=.5 CJC=17.3P VJC=.3 MJC=.3 TF=757P TR=526N) - -*BC546 ZETEX Spice model Last revision 4/90 General Purpose -*ZTX Si 500mW 65V 200mA 300MHz pkg:TO-92 1,2,3 -.MODEL BC546 NPN(IS=1.8E-14 BF=400 NF=0.9955 VAF=80 IKF=0.14 ISE=5E-14 -+ NE=1.46 BR=35.5 NR=1.005 VAR=12.5 IKR=0.03 ISC=1.72E-13 NC=1.27 RB=0.56 -+ RE=0.6 RC=0.25 CJE=1.3E-11 TF=6.4E-10 CJC=4E-12 VJC=0.54 TR=5.072E-8 -+ vce_max=65 vbe_max=6 ) - - -.MODEL BC556 PNP( -+ IS=2.059E-14 -+ NF=1.003 -+ ISE=2.971E-15 -+ NE=1.316 -+ BF=227.3 -+ IKF=0.08719 -+ VAF=37.2 -+ NR=1.007 -+ ISC=1.339E-14 -+ NC=1.15 -+ BR=7.69 -+ IKR=0.07646 -+ VAR=11.42 -+ RB=1 -+ IRB=1E-06 -+ RBM=1 -+ RE=0.688 -+ RC=0.6437 -+ XTB=0 -+ EG=1.11 -+ XTI=3 -+ CJE=1.4E-11 -+ VJE=0.5912 -+ MJE=0.3572 -+ TF=7.046E-10 -+ XTF=4.217 -+ VTF=5.367 -+ ITF=0.1947 -+ PTF=0 -+ CJC=1.113E-11 -+ VJC=0.1 -+ MJC=0.3414 -+ XCJC=0.6288 -+ TR=1E-32 -+ CJS=0 -+ VJS=0.75 -+ MJS=0.333 -+ FC=0.7947 -+ vce_max=65 vbe_max=6 ) -* - -* NXP Semiconductors -* -* Medium power NPN transistor -* IC = 1 A -* VCEO = 20 V -* hFE = 85 - 375 @ 2V/500mA -* -* -* -* -* Package pinning does not match Spice model pinning. -* Package: SOT 223 -* -* Package Pin 1: Base -* Package Pin 2: Collector -* Package Pin 3: Emitter -* Package Pin 4: Collector -* -* Extraction date (week/year): 13/2008 -* Simulator: Spice 3 -* -********************************************************** -*# -.SUBCKT BCP68 1 2 3 -* -Q1 1 2 3 BCP68 -D1 2 1 DIODE -* -*The diode does not reflect a -*physical device but improves -*only modeling in the reverse -*mode of operation. -* -.MODEL BCP68 NPN -+ IS = 2.312E-013 -+ NF = 0.988 -+ ISE = 8.851E-014 -+ NE = 2.191 -+ BF = 273 -+ IKF = 5.5 -+ VAF = 50 -+ NR = 0.9885 -+ ISC = 6.808E-014 -+ NC = 3 -+ BR = 155.6 -+ IKR = 4 -+ VAR = 17 -+ RB = 15 -+ IRB = 2E-006 -+ RBM = 0.65 -+ RE = 0.073 -+ RC = 0.073 -+ XTB = 0 -+ EG = 1.11 -+ XTI = 3 -+ CJE = 2.678E-010 -+ VJE = 0.732 -+ MJE = 0.3484 -+ TF = 5.8E-010 -+ XTF = 1.5 -+ VTF = 2.5 -+ ITF = 1 -+ PTF = 0 -+ CJC = 3.406E-011 -+ VJC = 2 -+ MJC = 0.3142 -+ XCJC = 1 -+ TR = 6.5E-009 -+ CJS = 0 -+ VJS = 0.75 -+ MJS = 0.333 -+ FC = 0.95 -.MODEL DIODE D -+ IS = 2.702E-015 -+ N = 1.2 -+ BV = 1000 -+ IBV = 0.001 -+ RS = 0.1 -+ CJO = 0 -+ VJ = 1 -+ M = 0.5 -+ FC = 0 -+ TT = 0 -+ EG = 1.11 -+ XTI = 3 -.ENDS - -.model D1N4007 D(IS=7.02767n RS=0.0341512 N=1.80803 EG=1.05743 XTI=5 BV=1000 IBV=5e-08 CJO=1e-11 VJ=0.7 M=0.5 FC=0.5 TT=1e-07 ) - -.MODEL D1N4148 D -+ IS = 4.352E-9 -+ N = 1.906 -+ BV = 110 -+ IBV = 0.0001 -+ RS = 0.6458 -+ CJO = 7.048E-13 -+ VJ = 0.869 -+ M = 0.03 -+ FC = 0.5 -+ TT = 3.48E-9 - -.MODEL D1n5400 d -+IS=2.61339e-12 RS=0.0110501 N=1.20576 EG=0.6 -+XTI=3.1271 BV=50 IBV=1e-05 CJO=1e-11 -+VJ=0.7 M=0.5 FC=0.5 TT=1e-09 -+KF=0 AF=1 -* Model generated on October 12, 2003 -* Model format: PSpice - -*1N758 -*Ref: Motorola -*10V 500mW Si Zener pkg:DIODE0.4 1,2 -.MODEL D1N758 D(IS=1E-11 RS=8.483 N=1.27 TT=5E-8 CJO=2.334E-10 VJ=0.75 -+ M=0.33 BV=9.83 IBV=0.01 ) - -*1N4744 -*Ref: Motorola -*15V 1W Si Zener pkg:DIODE0.4 1,2 -.MODEL D1N4744 D(IS=5.32E-14 RS=6.47 TT=5.01E-8 CJO=7.83E-11 M=0.33 -+ BV=14.89 IBV=0.017 ) - -*1N755 -*Ref: Motorola -*7.5V 500mW Si Zener pkg:DIODE0.4 1,2 -.MODEL D1N755 D(IS=1E-11 RS=3.359 N=1.27 TT=5E-8 CJO=2.959E-10 VJ=0.75 -+ M=0.33 BV=7.433 IBV=0.01 ) - -** node order -* 1: gnd -* 2: trig -* 3: out -* 4: reset# -* 5: ctrl -* 6: thres -* 7: dis -* 8: vcc -.SUBCKT ne555 34 32 30 19 23 33 1 21 -* G TR O R F TH D V -Q4 25 2 3 QP -Q5 34 6 3 QP -Q6 6 6 8 QP -R1 9 21 4.7K -R2 3 21 830 -R3 8 21 4.7K -Q7 2 33 5 QN -Q8 2 5 17 QN -Q9 6 4 17 QN -Q10 6 23 4 QN -Q11 12 20 10 QP -R4 10 21 1K -Q12 22 11 12 QP -Q13 14 13 12 QP -Q14 34 32 11 QP -Q15 14 18 13 QP -R5 14 34 100K -R6 22 34 100K -R7 17 34 10K -Q16 1 15 34 QN -Q17 15 19 31 QP -R8 18 23 5K -R9 18 34 5K -R10 21 23 5K -Q18 27 20 21 QP -Q19 20 20 21 QP -R11 20 31 5K -D1 31 24 DA -Q20 24 25 34 QN -Q21 25 22 34 QN -Q22 27 24 34 QN -R12 25 27 4.7K -R13 21 29 6.8K -Q23 21 29 28 QN -Q24 29 27 16 QN -Q25 30 26 34 QN -Q26 21 28 30 QN -D2 30 29 DA -R14 16 15 100 -R15 16 26 220 -R16 16 34 4.7K -R17 28 30 3.9K -Q3 2 2 9 QP -.MODEL DA D RS=40 IS=1.0E-14 CJO=1PF -.MODEL QP PNP level=1 BF=20 BR=0.02 RC=4 RB=25 IS=1.0E-14 VA=50 NE=2 -+ CJE=12.4P VJE=1.1 MJE=.5 CJC=4.02P VJC=.3 MJC=.3 TF=229P TR=159N -.MODEL QN NPN (level=1 IS=5.07F NF=1 BF=100 VAF=161 IKF=30M ISE=3.9P NE=2 -+ BR=4 NR=1 VAR=16 IKR=45M RE=1.03 RB=4.12 RC=.412 XTB=1.5 -+ CJE=12.4P VJE=1.1 MJE=.5 CJC=4.02P VJC=.3 MJC=.3 TF=229P TR=959P) -.ENDS - -.SUBCKT BS250P 3 4 5 -* D G S -M1 3 2 5 5 MBS250 -RG 4 2 160 -RL 3 5 1.2E8 -C1 2 5 47E-12 -C2 3 2 10E-12 -D1 3 5 DBS250 -* -.MODEL MBS250 PMOS VTO=-3.193 RS=2.041 RD=0.697 IS=1E-15 KP=0.277 -+CBD=105E-12 PB=1 LAMBDA=1.2E-2 -.MODEL DBS250 D IS=2E-13 RS=0.309 -.ENDS BS250P - -.SUBCKT BS170 3 4 5 -* D G S -M1 3 2 5 5 N3306M -RG 4 2 270 -RL 3 5 1.2E8 -C1 2 5 28E-12 -C2 3 2 3E-12 -D1 5 3 N3306D -* -.MODEL N3306M NMOS VTO=1.824 RS=1.572 RD=1.436 IS=1E-15 KP=.1233 -+CBD=35E-12 PB=1 -.MODEL N3306D D IS=5E-12 RS=.768 -.ENDS BS170 -* - -.SUBCKT irf540 1 2 3 -M1 9 7 8 8 MM L=100u W=100u -* Default values used in MM: -* The voltage-dependent capacitances are -* not included. Other default values are: -* RS=0 RD=0 LD=0 CBD=0 CBS=0 CGBO=0 -.MODEL MM NMOS LEVEL=1 IS=1e-32 -+VTO=3.56362 LAMBDA=0.00291031 KP=25.0081 -+CGSO=1.60584e-05 CGDO=4.25919e-07 -RS 8 3 0.0317085 -D1 3 1 MD -.MODEL MD D IS=1.02194e-10 RS=0.00968022 N=1.21527 BV=100 -+IBV=0.00025 EG=1.2 XTI=3.03885 TT=1e-07 -+CJO=1.81859e-09 VJ=1.1279 M=0.449161 FC=0.5 -RDS 3 1 4e+06 -RD 9 1 0.0135649 -RG 2 7 5.11362 -D2 4 5 MD1 -* Default values used in MD1: -* RS=0 EG=1.11 XTI=3.0 TT=0 -* BV=infinite IBV=1mA -.MODEL MD1 D IS=1e-32 N=50 -+CJO=2.49697e-09 VJ=0.5 M=0.9 FC=1e-08 -D3 0 5 MD2 -* Default values used in MD2: -* EG=1.11 XTI=3.0 TT=0 CJO=0 -* BV=infinite IBV=1mA -.MODEL MD2 D IS=1e-10 N=0.4 RS=3e-06 -RL 5 10 1 -FI2 7 9 VFI2 -1 -VFI2 4 0 0 -EV16 10 0 9 7 1 -CAP 11 10 2.49697e-09 -FI1 7 9 VFI1 -1 -VFI1 11 6 0 -RCAP 6 10 1 -D4 0 6 MD3 -* Default values used in MD3: -* EG=1.11 XTI=3.0 TT=0 CJO=0 -* RS=0 BV=infinite IBV=1mA -.MODEL MD3 D IS=1e-10 N=0.4 -.ENDS - - - - -.SUBCKT LM5134A VDD PILOT OUT IN INB VSS -E_E2 INB_INT 0 INB VSS 1 -C_U3_C1 U3_N16789866 U3_N16789873 5p -X_U3_U5 VDD U3_N16789873 U3_N16790218 0 RVAR RREF=1 -C_U3_C3 OUT U3_N16789866 1p - -* E_U3_E1 U3_N16790231 OUT vol=' V(MGATE, 0) > 0.5? 5: -5' -E_U3_E1 U3_N16790231 OUT pwl(1) MGATE 0 0.49 -5 0.51 5 - -X_U3_U6 U3_N24836 VSS U3_N31827 0 RVAR RREF=1 -R_U3_R1 U3_N16790231 U3_N16789866 20 -M_U3_M2 U3_N24836 U3_N16789871 OUT OUT PMOS01 -E_U3_E4 U3_N16790218 0 pwl(1) VDD_INT 0 -+ 0 0, 4.5 0.9, 10 0.09, 12.6 0.08 -X_U3_U10 VSS OUT d_d1 -R_U3_R2 U3_N16789868 U3_N16789871 20 -C_U3_C2 U3_N24836 U3_N16789871 5p -X_U3_U9 OUT VDD d_d1 -C_U3_C5 OUT VDD 10p -C_U3_C6 OUT U3_N16789871 10p -C_U3_C4 VSS OUT 10p -M_U3_M1 U3_N16789873 U3_N16789866 OUT OUT NMOS01 -E_U3_E3 U3_N31827 0 pwl(1) VDD_INT 0 -+ 0 0, 4.5 0.26, 10 0.01, 12.6 0.01 -E_U3_E2 OUT U3_N16789868 vol= 'V(MGATE, 0) > 0.5? -5: 5' -E_U4_ABM4 U4_N14683241 0 vol= 'V(U4_ON_INT) >=0.5?V(VDD_INT):0' -C_U4_C3 U4_N14683221 0 1n -V_U4_V6 U4_N155225261 0 80m -R_U4_R2 U4_N14683241 U4_N14683221 1 -X_U4_U47 U4_N14683247 U4_N14683251 d_d1 -E_U4_ABM5 U4_N14683301 0 vol= 'V(U4_ON_INT)<0.5? V(VDD_INT):0' -C_U4_C1 U4_N14683247 0 1n -X_U4_S1 U4_N14683159 0 U4_N14683247 0 PTON_TOFF_U4_S1 -X_U4_U44 U4_ON_INT PGATE U4_N14683147 AND2_BASIC_GEN VDD=1 -+ VSS=0 VTHRESH=500E-3 -X_U4_U43 U4_N14683247 PGATE BUF_BASIC_GEN VDD=1 VSS=0 -+ VTHRESH=0.5 -R_U4_R3 U4_N14683301 U4_N14683281 1 -X_U4_U7 N18232068 U4_N15541612 INV_BASIC_GEN VDD=1 VSS=0 -+ VTHRESH=500E-3 -G_U4_G1 U4_N14683251 U4_N14683247 pwl(1) U4_N14683221 0 -+ 0 0, 4.5 140m, 10 500m, 12.6 600m -G_U4_G2 U4_N14683247 0 pwl(1) U4_N14683281 0 -+ 0 0, 4.5 70m, 10 800m, 12.6 900m -X_U4_U8 MGATE U4_N15541600 INV_BASIC_GEN VDD=1 VSS=0 -+ VTHRESH=500E-3 -C_U4_C4 U4_N14683281 0 1n -X_U4_S2 U4_N14683147 0 U4_N14683251 U4_N14683247 PTON_TOFF_U4_S2 -X_U4_U48 U4_N155225261 U4_N14683247 d_d1 -X_U4_U45 U4_N15541600 U4_N15541612 U4_ON_INT AND2_BASIC_GEN -+ VDD=1 VSS=0 VTHRESH=500E-3 -V_U4_V5 U4_N14683251 0 1V -X_U4_U46 PGATE U4_ON_INT U4_N14683159 NOR2_BASIC_GEN VDD=1 -+ VSS=0 VTHRESH=500E-3 -E_E1 IN_INT 0 IN VSS 1 -E_E3 VDD_INT 0 VDD VSS 1 -C_U2_C1 U2_N14683247 0 1n -X_U2_U46 MGATE U2_ON_INT U2_N14683159 NOR2_BASIC_GEN VDD=1 -+ VSS=0 VTHRESH=500E-3 -X_U2_U7 PGATE U2_N15532894 INV_BASIC_GEN VDD=1 VSS=0 -+ VTHRESH=500E-3 -G_U2_G1 U2_N14683251 U2_N14683247 pwl(1) U2_N14683221 0 -+ 0 0, 4.5 58m, 10 140m, 12.6 230m - -E_U2_ABM5 U2_N14683301 0 vol= 'V(U2_ON_INT) <0.5? V(VDD_INT):0' - -X_U2_U43 U2_N14683247 MGATE BUF_BASIC_GEN VDD=1 VSS=0 -+ VTHRESH=0.5 -X_U2_U48 U2_N147032561 U2_N14683247 d_d1 -V_U2_V6 U2_N147032561 0 80m -X_U2_S2 U2_N14683147 0 U2_N14683251 U2_N14683247 MTON_TOFF_U2_S2 - -E_U2_ABM4 U2_N14683241 0 vol='V(U2_ON_INT) >=0.5? V(VDD_INT):0 ' - -R_U2_R2 U2_N14683241 U2_N14683221 1 -G_U2_G2 U2_N14683247 0 PWL(1) U2_N14683281 0 -+ 0 0, 4.5 32m, 10 90m, 12.6 160m -V_U2_V5 U2_N14683251 0 1V -X_U2_U44 U2_ON_INT MGATE U2_N14683147 AND2_BASIC_GEN VDD=1 -+ VSS=0 VTHRESH=500E-3 -C_U2_C3 U2_N14683221 0 1n -X_U2_U45 N18232068 U2_N15532894 U2_ON_INT AND2_BASIC_GEN VDD=1 -+ VSS=0 VTHRESH=500E-3 -X_U2_S1 U2_N14683159 0 U2_N14683247 0 MTON_TOFF_U2_S1 -R_U2_R3 U2_N14683301 U2_N14683281 1 -C_U2_C4 U2_N14683281 0 1n -X_U2_U47 U2_N14683247 U2_N14683251 d_d1 -X_U1_U6 INB_INT U1_VIH U1_VHYS U1_N15517298 COMPHYS_BASIC_GEN -+ VDD=1 VSS=0 VTHRESH=0.5 -R_U1_R1 U1_N15521766 U1_VDD_UVLO 721.5 -X_U1_U7 U1_N15517298 U1_INB_OUT INV_BASIC_GEN VDD=1 VSS=0 -+ VTHRESH=500E-3 -X_U1_U9 U1_IN_OUT U1_INB_OUT U1_VDD_UVLO N18232068 AND3_BASIC_GEN -+ VDD=1 VSS=0 VTHRESH=500E-3 -C_U1_C1 U1_VDD_UVLO 0 1n -X_U1_U5 IN_INT U1_VIH U1_VHYS U1_IN_OUT COMPHYS_BASIC_GEN VDD=1 -+ VSS=0 VTHRESH=0.5 -X_U1_U8 VDD_INT U1_N15521824 U1_N15521760 U1_N15521766 -+ COMPHYS_BASIC_GEN VDD=1 VSS=0 VTHRESH=0.5 -V_U1_V1 U1_N15521824 0 3.6 -E_U1_ABM3 U1_VHYS 0 vol= '0.34*V(VDD_INT)' -E_U1_ABM1 U1_VIH 0 vol='0.67*V(VDD_INT)' -V_U1_V2 U1_N15521760 0 0.36 -X_U1_U35 U1_VDD_UVLO U1_N15521766 d_d1 -M_U5_M2 U5_N16789896 U5_N23038 PILOT PILOT PMOS02 -R_U5_R1 U5_N16790231 U5_N16789866 20 -X_U5_U6 U5_N16789896 VSS U5_N16802670 0 RVAR RREF=1 -M_U5_M1 U5_N08221 U5_N16789866 PILOT PILOT NMOS02 -C_U5_C4 VSS PILOT 10p -C_U5_C2 U5_N16789896 U5_N23038 5p -R_U5_R2 U5_N16789868 U5_N23038 20 -E_U5_E3 U5_N16802670 0 pwl(1) VDD_INT 0 -+ 0 0, 4.5 2.8, 10 1.9, 12.6 1.6 -X_U5_U5 VDD U5_N08221 U5_N26349 0 RVAR RREF=1 -C_U5_C1 U5_N08221 U5_N16789866 5p -C_U5_C5 PILOT VDD 10p -E_U5_E4 U5_N26349 0 pwl(1) VDD_INT 0 -+ 0 0, 4.5 9.5, 10 2.88, 12.6 2.8 -X_U5_U9 PILOT VDD d_d1 -C_U5_C3 PILOT U5_N16789866 10p -X_U5_U10 VSS PILOT d_d1 -E_U5_E2 PILOT U5_N16789868 vol= ' V(PGATE, 0) > 0.5? -5: 5' -E_U5_E1 U5_N16790231 PILOT vol= ' V(PGATE, 0) > 0.5? 5: -5' -C_U5_C6 PILOT U5_N23038 10p -.ENDS LM5134A -*$ -.SUBCKT PTON_TOFF_U4_S1 1 2 3 4 -G_Switch 3 4 VCR PWL(1) 1 2 0.2 100e6 0.8 1m -RS_U4_S1 1 2 1G -.ENDS PTON_TOFF_U4_S1 -*$ -.SUBCKT PTON_TOFF_U4_S2 1 2 3 4 -G_Switch 3 4 VCR PWL(1) 1 2 0.2 100e6 0.8 1m -RS_U4_S2 1 2 1G -.ENDS PTON_TOFF_U4_S2 -*$ -.SUBCKT MTON_TOFF_U2_S2 1 2 3 4 -G_Switch 3 4 VCR PWL(1) 1 2 0.2 100e6 0.8 1m -RS_U2_S2 1 2 1G -.ENDS MTON_TOFF_U2_S2 -*$ -.SUBCKT MTON_TOFF_U2_S1 1 2 3 4 -G_Switch 3 4 VCR PWL(1) 1 2 0.2 100e6 0.8 1m -RS_U2_S1 1 2 1G -.ENDS MTON_TOFF_U2_S1 -*$ -****************************** Basic Components ************************** -.SUBCKT D_D1 1 2 -D1 1 2 DD1 -.MODEL DD1 D (IS=1e-15 Rs=0.001 N=0.1 TT=10p) -.ENDS D_D1 -*$ -.SUBCKT BUF_BASIC_GEN A Y VDD=1 VSS=0 VTHRESH=0.5 -* E_ABMGATE YINT 0 vol='V(A) > VTHRESH? VDD:VSS' -E_ABMGATE YINT 0 pwl(1) A 0 'VTHRESH-0.01' VSS 'VTHRESH+0.01' VDD -RINT YINT Y 1 -CINT Y 0 1n -.ENDS BUF_BASIC_GEN -*$ -.MODEL NMOS01 NMOS (VTO = 2 KP = 1.005 LAMBDA = 0.001) -*$ -.MODEL PMOS01 PMOS (VTO = -2 KP = 1.77 LAMBDA = 0.001) -*$ -.MODEL NMOS02 NMOS (VTO = 2 KP = 0.1814 LAMBDA = 0.001) -*$ -.MODEL PMOS02 PMOS (VTO = -2 KP = 0.2497 LAMBDA = 0.001) -*$ -.SUBCKT COMPHYS_BASIC_GEN INP INM HYS OUT VDD=1 VSS=0 VTHRESH=0.5 -EIN INP1 INM1 INP INM 1 -* EHYS INP1 INP2 vol='V(1) > VTHRESH? -V(HYS):0' -EHYS INP1 INP2 pwl(1) 1 0 'VTHRESH-0.01' 0 'VTHRESH+0.01' '-V(HYS)' - -EOUT OUT 0 vol='V(INP2)>V(INM1)? VDD : VSS' -R1 OUT 1 1 -C1 1 0 5n -RINP1 INP1 0 1K -.ENDS COMPHYS_BASIC_GEN -*$ -.SUBCKT AND2_BASIC_GEN A B Y VDD=1 VSS=0 VTHRESH=0.5 -E_ABMGATE YINT 0 vol= 'V(A) > VTHRESH && V(B) > VTHRESH ? VDD:VSS' -RINT YINT Y 1 -CINT Y 0 1n -.ENDS AND2_BASIC_GEN -*$ -.SUBCKT NOR2_BASIC_GEN A B Y VDD=1 VSS=0 VTHRESH=0.5 -E_ABMGATE YINT 0 vol= 'V(A) > VTHRESH || V(B) > VTHRESH ? VSS:VDD' -RINT YINT Y 1 -CINT Y 0 1n -.ENDS NOR2_BASIC_GEN -*$ -.SUBCKT AND3_BASIC_GEN A B C Y VDD=1 VSS=0 VTHRESH=0.5 -E_ABMGATE YINT 0 vol= 'V(A) > VTHRESH && V(B) > VTHRESH && V(C) > VTHRESH ? VDD:VSS' -RINT YINT Y 1 -CINT Y 0 1n -.ENDS AND3_BASIC_GEN -*$ -.SUBCKT INV_BASIC_GEN A Y VDD=1 VSS=0 VTHRESH=0.5 -* E_ABMGATE YINT 0 vol='V(A) > VTHRESH ? VSS : VDD' -E_ABMGATE YINT 0 pwl(1) A 0 'VTHRESH-0.01' VDD 'VTHRESH+0.01' VSS -RINT YINT Y 1 -CINT Y 0 1n -.ENDS INV_BASIC_GEN -*$ -.SUBCKT RVAR 101 102 201 202 RREF=1 -* nodes : 101 102 : nodes between which variable resistance is placed -* 201 202 : nodes to whose voltage the resistance is proportional -* parameters : rref : reference value of the resistance -rin 201 202 1G $$ input resistance -r 301 0 rref -fcopy 0 301 vsense 1 $$ copy output current thru Z -eout 101 106 poly(2) 201 202 301 0 0 0 0 0 1 $$ multiply VoverZ with Vctrl -vsense 106 102 0 $$ sense iout -.ENDS RVAR -*$ - - -*LM317 TI voltage regulator - pin order: In, Adj, Out -*TI adjustable voltage regulator pkg:TO-3 -.SUBCKT LM317 1 2 3 **Changes my be required on this line** -J1 1 3 4 JN -Q2 5 5 6 QPL .1 -Q3 5 8 9 QNL .2 -Q4 8 5 7 QPL .1 -Q5 81 8 3 QNL .2 -Q6 3 81 10 QPL .2 -Q7 12 81 13 QNL .2 -Q8 10 5 11 QPL .2 -Q9 14 12 10 QPL .2 -Q10 16 5 17 QPL .2 -Q11 16 14 15 QNL .2 -Q12 3 20 16 QPL .2 -Q13 1 19 20 QNL .2 -Q14 19 5 18 QPL .2 -Q15 3 21 19 QPL .2 -Q16 21 22 16 QPL .2 -Q17 21 3 24 QNL .2 -Q18 22 22 16 QPL .2 -Q19 22 3 241 QNL 2 -Q20 3 25 16 QPL .2 -Q21 25 26 3 QNL .2 -Q22A 35 35 1 QPL 2 -Q22B 16 35 1 QPL 2 -Q23 35 16 30 QNL 2 -Q24A 27 40 29 QNL .2 -Q24B 27 40 28 QNL .2 -Q25 1 31 41 QNL 5 -Q26 1 41 32 QNL 50 -D1 3 4 DZ -D2 33 1 DZ -D3 29 34 DZ -R1 1 6 310 -R2 1 7 310 -R3 1 11 190 -R4 1 17 82 -R5 1 18 5.6K -R6 4 8 100K -R7 8 81 130 -R8 10 12 12.4K -R9 9 3 180 -R10 13 3 4.1K -R11 14 3 5.8K -R12 15 3 72 -R13 20 3 5.1K -R14 2 24 12K -R15 24 241 2.4K -R16 16 25 6.7K -R17 16 40 12K -R18 30 41 130 -R19 16 31 370 -R20 26 27 13K -R21 27 40 400 -R22 3 41 160 -R23 33 34 18K -R24 28 29 160 -R25 28 32 3 -R26 32 3 .1 -C1 21 3 30PF -C2 21 2 30PF -C3 25 26 5PF -CBS1 5 3 2PF -CBS2 35 3 1PF -CBS3 22 3 1PF -.MODEL JN NJF(BETA=1E-4 VTO=-7) -.MODEL DZ D(BV=6.3) -.MODEL QNL NPN(EG=1.22 BF=80 RB=100 CCS=1.5PF TF=.3NS TR=6NS CJE=2PF -+ CJC=1PF VAF=100) -.MODEL QPL PNP(BF=40 RB=20 TF=.6NS TR=10NS CJE=1.5PF CJC=1PF VAF=50) -.ENDS - -.SUBCKT xxxxLM317 1 3 2 -* IN ADJ OUT -IADJ 1 4 50U -VREF 4 3 1.25 -RC 1 14 0.742 -DBK 14 13 D1 -CBC 13 15 2.479N -RBC 15 5 247 -QP 13 5 2 Q1 -RB2 6 5 124 -DSC 6 11 D1 -ESC 11 2 POLY(2) (13,5) (6,5) 2.85 -+ 0 0 0 -70.1M -DFB 6 12 D1 -EFB 12 2 POLY(2) (13,5) (6,5) 3.92 -+ -135M 0 1.21M -70.1M -RB1 7 6 1 -EB 7 2 8 2 2.56 -CPZ 10 2 0.796U -DPU 10 2 D1 -RZ 8 10 0.104 -RP 9 8 100 -EP 9 2 4 2 103.6 -RI 2 4 100MEG -.MODEL Q1 NPN (IS=30F BF=100 -+ VAF=14.27 NF=1.604) -.MODEL D1 D (IS=30F N=1.604) -.ENDS - - - -.SUBCKT LM337 8 1 19 -*Connections Input Adj. Output -*LM337 negative voltage regulator -.MODEL QN NPN (BF=50 TF=1N CJC=1P) -.MODEL QPOUT PNP (BF=50 TF=1N RE=.2 CJC=1P) -.MODEL QP PNP CJC=1P TF=2N -.MODEL DN D -.MODEL D2 D BV=12 IBV=100U -R10 25 6 1K -Q3 8 17 16 QPOUT -Q4 8 25 17 QP -R18 19 17 250 -R19 19 16 .3 -G1 8 6 1 18 .1 -C7 6 2 .04U -R24 2 8 100 -I_ADJ 0 1 65U -R26 8 25 200K -Q5 25 4 19 QP -R27 16 4 200 -R28 7 4 7K -D1 8 7 D2 -D2 8 6 DN -V1 18 19 1.25 -.ENDS diff --git a/xschem_library/examples/models_tesla.txt b/xschem_library/examples/models_tesla.txt deleted file mode 100644 index 52b798d3..00000000 --- a/xschem_library/examples/models_tesla.txt +++ /dev/null @@ -1,27 +0,0 @@ -.MODEL D1N4148 D -+ IS = 4.352E-9 -+ N = 1.906 -+ BV = 110 -+ IBV = 0.0001 -+ RS = 0.6458 -+ CJO = 7.048E-13 -+ VJ = 0.869 -+ M = 0.03 -+ FC = 0.5 -+ TT = 3.48E-9 - -.MODEL D1n5400 d -+IS=2.61339e-12 RS=0.0110501 N=1.20576 EG=0.6 -+XTI=3.1271 BV=50 IBV=1e-05 CJO=1e-11 -+VJ=0.7 M=0.5 FC=0.5 TT=1e-09 -+KF=0 AF=1 - -.MODEL BD139 NPN ( -+IS=2.3985E-13 Vceo=80 Icrating=1500m -+BF=244.9 NF=1.0 BR=78.11 NR=1.007 ISE=1.0471E-14 -+NE=1.2 ISC=1.9314E-11 NC=1.45 VAF=98.5 VAR=7.46 -+IKF=1.1863 IKR=0.1445 RB=2.14 RBM=0.001 IRB=0.031 -+RE=0.0832 RC=0.01 CJE=2.92702E-10 VJE=0.67412 -+MJE=0.3300 FC=0.5 CJC=4.8831E-11 VJC=0.5258 -+MJC=0.3928 XCJC=0.5287 XTB=1.1398 EG=1.2105 XTI=3.0) - diff --git a/xschem_library/ngspice/models_autozero_comp.txt b/xschem_library/ngspice/models_autozero_comp.txt deleted file mode 100644 index 528171a7..00000000 --- a/xschem_library/ngspice/models_autozero_comp.txt +++ /dev/null @@ -1,121 +0,0 @@ -* Beta Version released on 2/22/06 -* PTM 130nm NMOS -.model nmos nmos level = 54 -+version = 4.5.0 binunit = 1 paramchk= 1 mobmod = 0 -+capmod = 2 igcmod = 1 igbmod = 1 geomod = 1 -+diomod = 1 rdsmod = 0 rbodymod= 1 rgatemod= 1 -+permod = 1 acnqsmod= 0 trnqsmod= 0 -+tnom = 27 toxe = 2.25e-9 toxp = 1.6e-9 toxm = 2.25e-9 -+dtox = 0.65e-9 epsrox = 3.9 wint = 5e-009 lint = 10.5e-009 -+ll = 0 wl = 0 lln = 1 wln = 1 -+lw = 0 ww = 0 lwn = 1 wwn = 1 -+lwl = 0 wwl = 0 xpart = 0 toxref = 2.25e-9 -+xl = -60e-9 -+vth0 = 0.3782 k1 = 0.4 k2 = 0.01 k3 = 0 -+k3b = 0 w0 = 2.5e-006 dvt0 = 1 dvt1 = 2 -+dvt2 = -0.032 dvt0w = 0 dvt1w = 0 dvt2w = 0 -+dsub = 0.1 minv = 0.05 voffl = 0 dvtp0 = 1.2e-010 -+dvtp1 = 0.1 lpe0 = 0 lpeb = 0 xj = 3.92e-008 -+ngate = 2e+020 ndep = 1.54e+018 nsd = 2e+020 phin = 0 -+cdsc = 0.0002 cdscb = 0 cdscd = 0 cit = 0 -+voff = -0.13 nfactor = 1.5 eta0 = 0.0092 etab = 0 -+vfb = -0.55 u0 = 0.05928 ua = 6e-010 ub = 1.2e-018 -+uc = 0 vsat = 100370 a0 = 1 ags = 1e-020 -+a1 = 0 a2 = 1 b0 = 0 b1 = 0 -+keta = 0.04 dwg = 0 dwb = 0 pclm = 0.06 -+pdiblc1 = 0.001 pdiblc2 = 0.001 pdiblcb = -0.005 drout = 0.5 -+pvag = 1e-020 delta = 0.01 pscbe1 = 8.14e+008 pscbe2 = 1e-007 -+fprout = 0.2 pdits = 0.08 pditsd = 0.23 pditsl = 2.3e+006 -+rsh = 5 rdsw = 200 rsw = 100 rdw = 100 -+rdswmin = 0 rdwmin = 0 rswmin = 0 prwg = 0 -+prwb = 6.8e-011 wr = 1 alpha0 = 0.074 alpha1 = 0.005 -+beta0 = 30 agidl = 0.0002 bgidl = 2.1e+009 cgidl = 0.0002 -+egidl = 0.8 -+aigbacc = 0.012 bigbacc = 0.0028 cigbacc = 0.002 -+nigbacc = 1 aigbinv = 0.014 bigbinv = 0.004 cigbinv = 0.004 -+eigbinv = 1.1 nigbinv = 3 aigc = 0.012 bigc = 0.0028 -+cigc = 0.002 aigsd = 0.012 bigsd = 0.0028 cigsd = 0.002 -+nigc = 1 poxedge = 1 pigcd = 1 ntox = 1 -+xrcrg1 = 12 xrcrg2 = 5 -+cgso = 2.4e-010 cgdo = 2.4e-010 cgbo = 2.56e-011 cgdl = 2.653e-10 -+cgsl = 2.653e-10 ckappas = 0.03 ckappad = 0.03 acde = 1 -+moin = 15 noff = 0.9 voffcv = 0.02 -+kt1 = -0.11 kt1l = 0 kt2 = 0.022 ute = -1.5 -+ua1 = 4.31e-009 ub1 = 7.61e-018 uc1 = -5.6e-011 prt = 0 -+at = 33000 -+fnoimod = 1 tnoimod = 0 -+jss = 0.0001 jsws = 1e-011 jswgs = 1e-010 njs = 1 -+ijthsfwd= 0.01 ijthsrev= 0.001 bvs = 10 xjbvs = 1 -+jsd = 0.0001 jswd = 1e-011 jswgd = 1e-010 njd = 1 -+ijthdfwd= 0.01 ijthdrev= 0.001 bvd = 10 xjbvd = 1 -+pbs = 1 cjs = 0.0005 mjs = 0.5 pbsws = 1 -+cjsws = 5e-010 mjsws = 0.33 pbswgs = 1 cjswgs = 3e-010 -+mjswgs = 0.33 pbd = 1 cjd = 0.0005 mjd = 0.5 -+pbswd = 1 cjswd = 5e-010 mjswd = 0.33 pbswgd = 1 -+cjswgd = 5e-010 mjswgd = 0.33 tpb = 0.005 tcj = 0.001 -+tpbsw = 0.005 tcjsw = 0.001 tpbswg = 0.005 tcjswg = 0.001 -+xtis = 3 xtid = 3 -+dmcg = 0e-006 dmci = 0e-006 dmdg = 0e-006 dmcgt = 0e-007 -+dwj = 0.0e-008 xgw = 0e-007 xgl = 0e-008 -+rshg = 0.4 gbmin = 1e-010 rbpb = 5 rbpd = 15 -+rbps = 15 rbdb = 15 rbsb = 15 ngcon = 1 -* PTM 130nm PMOS -.model pmos pmos level = 54 -+version = 4.5.0 binunit = 1 paramchk= 1 mobmod = 0 -+capmod = 2 igcmod = 1 igbmod = 1 geomod = 1 -+diomod = 1 rdsmod = 0 rbodymod= 1 rgatemod= 1 -+permod = 1 acnqsmod= 0 trnqsmod= 0 -+tnom = 27 toxe = 2.35e-009 toxp = 1.6e-009 toxm = 2.35e-009 -+dtox = 0.75e-9 epsrox = 3.9 wint = 5e-009 lint = 10.5e-009 -+ll = 0 wl = 0 lln = 1 wln = 1 -+lw = 0 ww = 0 lwn = 1 wwn = 1 -+lwl = 0 wwl = 0 xpart = 0 toxref = 2.35e-009 -+xl = -60e-9 -+vth0 = -0.321 k1 = 0.4 k2 = -0.01 k3 = 0 -+k3b = 0 w0 = 2.5e-006 dvt0 = 1 dvt1 = 2 -+dvt2 = -0.032 dvt0w = 0 dvt1w = 0 dvt2w = 0 -+dsub = 0.1 minv = 0.05 voffl = 0 dvtp0 = 1e-009 -+dvtp1 = 0.05 lpe0 = 0 lpeb = 0 xj = 3.92e-008 -+ngate = 2e+020 ndep = 1.14e+018 nsd = 2e+020 phin = 0 -+cdsc = 0.000258 cdscb = 0 cdscd = 6.1e-008 cit = 0 -+voff = -0.126 nfactor = 1.5 eta0 = 0.0092 etab = 0 -+vfb = 0.55 u0 = 0.00835 ua = 2.0e-009 ub = 0.5e-018 -+uc = -3e-011 vsat = 70000 a0 = 1.0 ags = 1e-020 -+a1 = 0 a2 = 1 b0 = -1e-020 b1 = 0 -+keta = -0.047 dwg = 0 dwb = 0 pclm = 0.12 -+pdiblc1 = 0.001 pdiblc2 = 0.001 pdiblcb = 3.4e-008 drout = 0.56 -+pvag = 1e-020 delta = 0.01 pscbe1 = 8.14e+008 pscbe2 = 9.58e-007 -+fprout = 0.2 pdits = 0.08 pditsd = 0.23 pditsl = 2.3e+006 -+rsh = 5 rdsw = 240 rsw = 120 rdw = 120 -+rdswmin = 0 rdwmin = 0 rswmin = 0 prwg = 3.22e-008 -+prwb = 6.8e-011 wr = 1 alpha0 = 0.074 alpha1 = 0.005 -+beta0 = 30 agidl = 0.0002 bgidl = 2.1e+009 cgidl = 0.0002 -+egidl = 0.8 -+aigbacc = 0.012 bigbacc = 0.0028 cigbacc = 0.002 -+nigbacc = 1 aigbinv = 0.014 bigbinv = 0.004 cigbinv = 0.004 -+eigbinv = 1.1 nigbinv = 3 aigc = 0.69 bigc = 0.0012 -+cigc = 0.0008 aigsd = 0.0087 bigsd = 0.0012 cigsd = 0.0008 -+nigc = 1 poxedge = 1 pigcd = 1 ntox = 1 -+xrcrg1 = 12 xrcrg2 = 5 -+cgso = 2.4e-010 cgdo = 2.4e-010 cgbo = 2.56e-011 cgdl = 2.653e-10 -+cgsl = 2.653e-10 ckappas = 0.03 ckappad = 0.03 acde = 1 -+moin = 15 noff = 0.9 voffcv = 0.02 -+kt1 = -0.11 kt1l = 0 kt2 = 0.022 ute = -1.5 -+ua1 = 4.31e-009 ub1 = 7.61e-018 uc1 = -5.6e-011 prt = 0 -+at = 33000 -+fnoimod = 1 tnoimod = 0 -+jss = 0.0001 jsws = 1e-011 jswgs = 1e-010 njs = 1 -+ijthsfwd= 0.01 ijthsrev= 0.001 bvs = 10 xjbvs = 1 -+jsd = 0.0001 jswd = 1e-011 jswgd = 1e-010 njd = 1 -+ijthdfwd= 0.01 ijthdrev= 0.001 bvd = 10 xjbvd = 1 -+pbs = 1 cjs = 0.0005 mjs = 0.5 pbsws = 1 -+cjsws = 5e-010 mjsws = 0.33 pbswgs = 1 cjswgs = 3e-010 -+mjswgs = 0.33 pbd = 1 cjd = 0.0005 mjd = 0.5 -+pbswd = 1 cjswd = 5e-010 mjswd = 0.33 pbswgd = 1 -+cjswgd = 5e-010 mjswgd = 0.33 tpb = 0.005 tcj = 0.001 -+tpbsw = 0.005 tcjsw = 0.001 tpbswg = 0.005 tcjswg = 0.001 -+xtis = 3 xtid = 3 -+dmcg = 0e-006 dmci = 0e-006 dmdg = 0e-006 dmcgt = 0e-007 -+dwj = 0.0e-008 xgw = 0e-007 xgl = 0e-008 -+rshg = 0.4 gbmin = 1e-010 rbpb = 5 rbpd = 15 -+rbps = 15 rbdb = 15 rbsb = 15 ngcon = 1 diff --git a/xschem_library/pcb/models_pcb_current_protection.txt b/xschem_library/pcb/models_pcb_current_protection.txt deleted file mode 100644 index 37e8c6ee..00000000 --- a/xschem_library/pcb/models_pcb_current_protection.txt +++ /dev/null @@ -1,101 +0,0 @@ - - -.SUBCKT M2N7002 20 10 30 -Rg 10 1 1 -M1 2 1 3 3 DMOS L=1u W=1u -.MODEL DMOS NMOS (VTO='2.1*(-0.0016*TEMPER+1.04)' KP=0.35 THETA=0.086 VMAX=2.2E5 LEVEL=3) -Cgs 1 3 60p -Rd 20 4 0.3 TC=0.0075 -Dds 3 4 DDS -.MODEL DDS D(BV='60*(0.00072*TEMPER+0.982)' M=0.36 CJO=23p VJ=0.8) -Dbody 3 20 DBODY -.MODEL DBODY D(IS=1.4E-13 N=1 RS=40m TT=100n) -Ra 4 2 0.4 TC=0.0075 -Rs 3 5 10m -Ls 5 30 .5n -M2 1 8 6 6 INTER -E2 8 6 4 1 2 -.MODEL INTER NMOS(VTO=0 KP=10 LEVEL=1) -Cgdmax 7 4 85p -Rcgd 7 4 10meg -Dgd 6 4 DGD -Rdgd 4 6 10meg -.MODEL DGD D(M=0.53 CJO=85p VJ=0.12) -M3 7 9 1 1 INTER -E3 9 1 4 1 -2 -.ENDS M2N7002 - - - -* PINOUT ORDER +IN -IN +V -V OUT -* PINOUT ORDER 1 2 3 4 5 -.SUBCKT LM358 1 2 3 4 5 -R44 4 6 4E4 -I1 4 7 0.5E-6 -Q1 4 8 9 QPI -Q2 4 2 10 QPA -Q3 9 9 11 QPI -Q4 10 10 11 QPI -Q5 12 13 4 QNQ -Q6 13 13 4 QNQ -Q7 4 12 14 QPQ -Q8 3 14 6 QNQ -Q9 15 6 4 QNQ -Q10 3 15 16 QNQ -Q11 3 16 17 QNQ -R67 17 16 4E4 -R68 5 17 18 -Q12 4 15 5 QPQ -Q13 15 17 5 QNQ -I2 18 3 120E-9 -I3 19 3 60E-9 -I4 20 3 1E-6 -Q14 11 18 3 QPQ -Q15 14 19 3 QPQ -Q16 5 7 4 QNQ -Q17 15 20 3 QPQ -C15 21 22 4.8E-12 -R69 12 21 3 -R70 12 15 3E9 -E2 23 8 3 0 -10E-6 -V51 23 1 1.56E-3 -I6 3 4 5E-6 -R71 4 3 4.5E5 -Q18 12 9 11 QPQ -Q19 13 10 11 QPQ -C17 12 13 8E-12 -C18 6 15 1E-12 -C21 3 24 100E-15 -R78 11 24 3E5 -C22 1 2 0.23E-12 -C23 2 0 0.79E-12 -C24 1 0 0.79E-12 -E3 22 0 15 0 2 -C25 5 0 50E-15 -Q20 25 25 0 QNQ -G1 3 4 VT 0 3E-4 -I7 0 25 1E-3 -V53 25 26 0.25 -R79 0 26 1E6 -E4 VT 0 27 26 1 -R80 0 VT 1E6 -V54 27 0 0.55 -R81 0 27 1E6 -.MODEL QPQ PNP IKF=3E-3 RC=300 KF=4.8E-17 BR=1 -.MODEL QPA PNP IKF=3E-3 RC=380 IS=1.01E-16 VAF=245 RE=5 RB=1700 BF=300 KF=4.8E-17 BR=1 -.MODEL QPI PNP IKF=3E-3 RC=380 IS=1.01E-16 VAF=290 RE=5 RB=1700 BF=306 KF=4.8E-17 BR=1 -.MODEL QNQ NPN IKF=5E-3 RC=25 KF=4.8E-17 BR=1 -.ENDS -* END SPICE MODEL LM358 - - -.MODEL Q2N2222 NPN ( -+ IS = 3.97589E-14 BF = 195.3412 NF = 1.0040078 VAF = 53.081 IKF = 0.976 ISE = 1.60241E-14 -+ NE = 1.4791931 BR = 1.1107942 NR = 0.9928261 VAR = 11.3571702 IKR = 2.4993953 ISC = 1.88505E-12 -+ NC = 1.1838278 RB = 56.5826472 IRB = 1.50459E-4 RBM = 5.2592283 RE = 0.0402974 RC = 0.4208 -+ CJE = 2.56E-11 VJE = 0.682256 MJE = 0.3358856 TF = 3.3E-10 XTF = 6 VTF = 0.574 -+ ITF = 0.32 PTF = 25.832 CJC = 1.40625E-11 VJC = 0.5417393 MJC = 0.4547893 XCJC = 1 -+ TR = 3.2E-7 CJS = 0 VJS = .75 MJS = 0 XTB = 1.6486 EG = 1.11 -+ XTI = 5.8315 KF = 0 AF = 1 FC = 0.83 -+ vce_max=45 vbe_max=6 ) - diff --git a/xschem_library/pcb/models_pcb_voltage_protection.txt b/xschem_library/pcb/models_pcb_voltage_protection.txt deleted file mode 100644 index 1bb0bd1d..00000000 --- a/xschem_library/pcb/models_pcb_voltage_protection.txt +++ /dev/null @@ -1,122 +0,0 @@ - -.MODEL BC857 PNP( -+ AF= 1.00E+00 BF= 1.96E+02 BR= 3.38E+00 CJC= 9.80E-12 -+ CJE= 1.56E-11 CJS= 0.00E+00 EG= 1.11E+00 FC= 8.28E-01 -+ IKF= 8.35E-01 IKR= 1.60E-02 IRB= 1.31E-06 IS= 1.32E-14 -+ ISC= 7.71E-14 ISE= 8.44E-13 ITF= 2.14E-01 KF= 0.00E+00 -+ MJC= 3.49E-01 MJE= 4.18E-01 MJS= 3.30E-01 NC= 1.19E+00 -+ NE= 1.83E+00 NF= 1.00E+00 NR= 1.00E+00 PTF= 0.00E+00 -+ RB= 1.00E-02 RBM= 1.00E-02 RC= 1.52E+00 RE= 3.00E-02 -+ TF= 6.05E-10 TR= 0.00E+00 VAF= 5.90E+01 VAR= 1.74E+01 -+ VJC= 3.00E-01 VJE= 8.00E-01 VJS= 7.50E-01 VTF= 4.39E+00 -+ XCJC= 1.00E+00 XTB= 0.00E+00 XTF= 5.81E+00 XTI= 3.00E+00) - -.SUBCKT irlml6402 1 2 3 -* Node 1 -> Drain -* Node 2 -> Gate -* Node 3 -> Source -M1 9 7 8 8 MM L=100u W=100u -.MODEL MM PMOS LEVEL=1 IS=1e-32 -+VTO=-1 LAMBDA=0.0111358 KP=12.788 -+CGSO=5.36099e-06 CGDO=5.54234e-08 -RS 8 3 0.0246704 -D1 1 3 MD -.MODEL MD D IS=2.03395e-08 RS=0.0432758 N=1.5 BV=20 -+IBV=0.00025 EG=1 XTI=4 TT=1e-07 -+CJO=1.11974e-10 VJ=0.5 M=0.3 FC=0.5 -RDS 3 1 5e+07 -RD 9 1 0.0001 -RG 2 7 29.2227 -D2 5 4 MD1 -* Default values used in MD1: -* RS=0 EG=1.11 XTI=3.0 TT=0 -* BV=infinite IBV=1mA -.MODEL MD1 D IS=1e-32 N=50 -+CJO=1.68841e-10 VJ=1.50027 M=0.3 FC=1e-08 -D3 5 0 MD2 -* Default values used in MD2: -* EG=1.11 XTI=3.0 TT=0 CJO=0 -* BV=infinite IBV=1mA -.MODEL MD2 D IS=1e-10 N=0.4 RS=3e-06 -RL 5 10 1 -FI2 7 9 VFI2 -1 -VFI2 4 0 0 -EV16 10 0 9 7 1 -CAP 11 10 9.68769e-10 -FI1 7 9 VFI1 -1 -VFI1 11 6 0 -RCAP 6 10 1 -D4 6 0 MD3 -* Default values used in MD3: -* EG=1.11 XTI=3.0 TT=0 CJO=0 -* RS=0 BV=infinite IBV=1mA -.MODEL MD3 D IS=1e-10 N=0.4 -.ENDS irlml6402 - -.SUBCKT D1N5765 2 99 -* | | -* | | -* | CATHODE -* ANODE -I1 99 4 7.0 -D1 2 99 DLOW -D2 2 4 DHIGH -R1 4 99 .1 TC=-6.27E-3,-2.33E-7 -* -.MODEL DLOW D ( -+ IS = 1.0E-15 -+ RS = 100 -+ N = 2.15 -+ TT = 10.0E-09 -+ CJO = 8.285237E-11 -+ VJ = 1.2076937 -+ M = 0.4053107 -+ EG = 1.664 -+ XTI = 10.78 -+ KF = 0 -+ AF = 1 -+ FC = 0.4340008 -+ BV = 5.0 -+ IBV = 1E-4 -+ ) -.MODEL DHIGH D ( -+ IS = 9.0E-15 -+ RS = 0.30 -+ N = 1.2 -+ TT = 0 -+ CJO = 0 -+ VJ = 1 -+ M = .5 -+ EG = 0.1 -+ XTI = -3.84 -+ KF = 0 -+ AF = 1 -+ FC = .5 -+ BV = 9.9999E+13 -+ IBV = .001 -+ ) -.ENDS - -.SUBCKT BZX5V1 a c - - DF a c DFOR - DR c a DREV - DB b a DBRE - EB c b POLY(1) d 0 3.6 1 - IB 0 d 1m - RB 0 d 1k TC=3m - -.MODEL DFOR D - + IS = 1p RS = 3.5 N = 1.4 CJO= 178p - + VJ = 610m M = 335m FC = 700m XTI= 3 - + EG =1.186 - -.MODEL DREV D - + IS = 100f N = 30 XTI= 3 EG =1.186 - -.MODEL DBRE D - + IS = 10f RS = 6 N = 1 XTI= 0 - + EG =1.186 - -.ENDS BZX5V1 - diff --git a/xschem_library/rom8k/models_rom8k.txt b/xschem_library/rom8k/models_rom8k.txt deleted file mode 100644 index 9ed2f393..00000000 --- a/xschem_library/rom8k/models_rom8k.txt +++ /dev/null @@ -1,95 +0,0 @@ -.model cmosn NMOS -+ Level = 49 -+ Lint = 4.e-08 Tox = 4.e-09 -+ Vth0 = 0.3999 Rdsw = 250 -+ lmin=1.8e-7 lmax=1.8e-7 wmin=1.8e-7 wmax=1.0e-4 Tref=27.0 version =3.1 -+ Xj= 6.0000000E-08 Nch= 5.9500000E+17 -+ lln= 1.0000000 lwn= 1.0000000 wln= 0.00 -+ wwn= 0.00 ll= 0.00 -+ lw= 0.00 lwl= 0.00 wint= 0.00 -+ wl= 0.00 ww= 0.00 wwl= 0.00 -+ Mobmod= 1 binunit= 2 xl= 0 -+ xw= 0 binflag= 0 -+ Dwg= 0.00 Dwb= 0.00 -+ K1= 0.5613000 K2= 1.0000000E-02 -+ K3= 0.00 Dvt0= 8.0000000 Dvt1= 0.7500000 -+ Dvt2= 8.0000000E-03 Dvt0w= 0.00 Dvt1w= 0.00 -+ Dvt2w= 0.00 Nlx= 1.6500000E-07 W0= 0.00 -+ K3b= 0.00 Ngate= 5.0000000E+20 -+ Vsat= 1.3800000E+05 Ua= -7.0000000E-10 Ub= 3.5000000E-18 -+ Uc= -5.2500000E-11 Prwb= 0.00 -+ Prwg= 0.00 Wr= 1.0000000 U0= 3.5000000E-02 -+ A0= 1.1000000 Keta= 4.0000000E-02 A1= 0.00 -+ A2= 1.0000000 Ags= -1.0000000E-02 B0= 0.00 -+ B1= 0.00 -+ Voff= -0.12350000 NFactor= 0.9000000 Cit= 0.00 -+ Cdsc= 0.00 Cdscb= 0.00 Cdscd= 0.00 -+ Eta0= 0.2200000 Etab= 0.00 Dsub= 0.8000000 -+ Pclm= 5.0000000E-02 Pdiblc1= 1.2000000E-02 Pdiblc2= 7.5000000E-03 -+ Pdiblcb= -1.3500000E-02 Drout= 1.7999999E-02 Pscbe1= 8.6600000E+08 -+ Pscbe2= 1.0000000E-20 Pvag= -0.2800000 Delta= 1.0000000E-02 -+ Alpha0= 0.00 Beta0= 30.0000000 -+ kt1= -0.3700000 kt2= -4.0000000E-02 At= 5.5000000E+04 -+ Ute= -1.4800000 Ua1= 9.5829000E-10 Ub1= -3.3473000E-19 -+ Uc1= 0.00 Kt1l= 4.0000000E-09 Prt= 0.00 -+ Cj= 0.00365 Mj= 0.54 Pb= 0.982 -+ Cjsw= 7.9E-10 Mjsw= 0.31 Php= 0.841 -+ Cta= 0 Ctp= 0 Pta= 0 -+ Ptp= 0 JS=1.50E-08 JSW=2.50E-13 -+ N=1.0 Xti=3.0 Cgdo=2.786E-10 -+ Cgso=2.786E-10 Cgbo=0.0E+00 Capmod= 2 -+ NQSMOD= 0 Elm= 5 Xpart= 1 -+ Cgsl= 1.6E-10 Cgdl= 1.6E-10 Ckappa= 2.886 -+ Cf= 1.069e-10 Clc= 0.0000001 Cle= 0.6 -+ Dlc= 4E-08 Dwc= 0 Vfbcv= -1 -* -* Predictive Technology Model Beta Version -* 180nm PMOS SPICE Parametersv (normal one) -* -.model cmosp PMOS -+ Level = 49 -+ Lint = 3.e-08 Tox = 4.2e-09 -+ Vth0 = -0.42 Rdsw = 450 -+ lmin=1.8e-7 lmax=1.8e-7 wmin=1.8e-7 wmax=1.0e-4 Tref=27.0 version =3.1 -+ Xj= 7.0000000E-08 Nch= 5.9200000E+17 -+ lln= 1.0000000 lwn= 1.0000000 wln= 0.00 -+ wwn= 0.00 ll= 0.00 -+ lw= 0.00 lwl= 0.00 wint= 0.00 -+ wl= 0.00 ww= 0.00 wwl= 0.00 -+ Mobmod= 1 binunit= 2 xl= 0.00 -+ xw= 0.00 -+ binflag= 0 Dwg= 0.00 Dwb= 0.00 -+ ACM= 0 ldif=0.00 hdif=0.00 -+ rsh= 0 rd= 0 rs= 0 -+ rsc= 0 rdc= 0 -+ K1= 0.5560000 K2= 0.00 -+ K3= 0.00 Dvt0= 11.2000000 Dvt1= 0.7200000 -+ Dvt2= -1.0000000E-02 Dvt0w= 0.00 Dvt1w= 0.00 -+ Dvt2w= 0.00 Nlx= 9.5000000E-08 W0= 0.00 -+ K3b= 0.00 Ngate= 5.0000000E+20 -+ Vsat= 1.0500000E+05 Ua= -1.2000000E-10 Ub= 1.0000000E-18 -+ Uc= -2.9999999E-11 Prwb= 0.00 -+ Prwg= 0.00 Wr= 1.0000000 U0= 8.0000000E-03 -+ A0= 2.1199999 Keta= 2.9999999E-02 A1= 0.00 -+ A2= 0.4000000 Ags= -0.1000000 B0= 0.00 -+ B1= 0.00 -+ Voff= -6.40000000E-02 NFactor= 1.4000000 Cit= 0.00 -+ Cdsc= 0.00 Cdscb= 0.00 Cdscd= 0.00 -+ Eta0= 8.5000000 Etab= 0.00 Dsub= 2.8000000 -+ Pclm= 2.0000000 Pdiblc1= 0.1200000 Pdiblc2= 8.0000000E-05 -+ Pdiblcb= 0.1450000 Drout= 5.0000000E-02 Pscbe1= 1.0000000E-20 -+ Pscbe2= 1.0000000E-20 Pvag= -6.0000000E-02 Delta= 1.0000000E-02 -+ Alpha0= 0.00 Beta0= 30.0000000 -+ kt1= -0.3700000 kt2= -4.0000000E-02 At= 5.5000000E+04 -+ Ute= -1.4800000 Ua1= 9.5829000E-10 Ub1= -3.3473000E-19 -+ Uc1= 0.00 Kt1l= 4.0000000E-09 Prt= 0.00 -+ Cj= 0.00138 Mj= 1.05 Pb= 1.24 -+ Cjsw= 1.44E-09 Mjsw= 0.43 Php= 0.841 -+ Cta= 0.00093 Ctp= 0 Pta= 0.00153 -+ Ptp= 0 JS=1.50E-08 JSW=2.50E-13 -+ N=1.0 Xti=3.0 Cgdo=2.786E-10 -+ Cgso=2.786E-10 Cgbo=0.0E+00 Capmod= 2 -+ NQSMOD= 0 Elm= 5 Xpart= 1 -+ Cgsl= 1.6E-10 Cgdl= 1.6E-10 Ckappa= 2.886 -+ Cf= 1.058e-10 Clc= 0.0000001 Cle= 0.6 -+ Dlc= 3E-08 Dwc= 0 Vfbcv= -1 diff --git a/xschem_library/symgen/djboxsym b/xschem_library/symgen/djboxsym deleted file mode 100755 index 7080447e..00000000 --- a/xschem_library/symgen/djboxsym +++ /dev/null @@ -1,473 +0,0 @@ -#!/usr/bin/perl -# -*- perl -*- - -# Copyright (C) 2006 DJ Delorie dj@delorie.com -# Released under the terms of the GNU General Public License, version 2 - -# Usage: djboxsym sample.symdef > sample.sym - -$y{left} = 400; -$y{right} = 400; -$y{labels} = 600; -$labelpin = 0; - -$busspace = 200; -$groupspace = 400; -$skipspace = 800; -$yinvert = 300; -$minwidth = 0; - -# If set, top and bottom labels are vertical. -$vmode = 0; - -# Read in the file, storing information about each pin. - -while (<>) { - next if /^#/; - s/^\s+//; - s/\s+$//; - s/[\s\t]+/ /g; - s/[\r\n]+$//; - - # options - if (/^--(\S*)\s*(.*)/) { - $opt = $1; - @args = split(' ', $2); - ($opt, $value) = split(' ', $opt, 2); - if ($opt eq "vmode") { - $vmode = 1; -# $y{left} = $y{right} = 0; - } - if ($opt eq "square") { - $square = 1; - } - if ($opt eq "minwidth") { - $minwidth = $args[0]; - } - if ($opt eq "compact") { - $groupspace = 200; - $skipspace = 400; - $yinvert = 400; - $compactmode = 1; - } - next; - } - - # Note change of section. - if (/^\[(.*)\]/) { - $side = $1; - $space = 0; - next; - } - - # Start a bus - if (/^\.bus/) { - $busmode = 1; - next; - } - - # blank lines - cancel bus, add gap. - if (! /\S/) { - if ($busmode) { - $y{$side} += $busspace; - } - $busmode = 0; - if ($space) { - if ($side =~ /left|right/) { - $y{$side} += $groupspace; - } - if ($side =~ /top|bottom/) { - $x{$side} += 400; - } - $space = 0; - } - next; - } - - if (/^\.skip (\d+)/) { - $skip = $1; - $space = 0; - if ($side =~ /left|right/) { - $y{$side} += $skip; - } - next; - } - - # Hidden labels are stored separately, because we don't care how - # big they are. - if (/! (\S.*)/ && $side eq "labels") { - push(@attrs, $1); - next; - } - - # Visible labels are stored as pins because their size affects the - # size of the symbols' box. - if (/\S/ && $side eq "labels") { - $labelpin --; - $pinside{$labelpin} = $side; - $piny{$labelpin} = $y{labels}; - $pinlabel{$labelpin} = $_; - $y{labels} += $groupspace; - $rlen{$labelpin} = &textlen($_); - next; - } - - # Regular pins are handled here. - if (/^(\S+)\s*(.*)/) { - $space = 1; - ($pin, $rest) = ($1,$2); - - if ($pin =~ /^\d+$/) { - $pinseq_used[$pin] = 1; - } - - if ($saw_pin{$pin}) { - print STDERR "DUPLICATE PIN $pin (was $pinlabel{$pin}, now $rest)\n"; - $errors ++; - } - $saw_pin{$pin} = 1; - $maxpin = $pin if $maxpin < $pin; - - $pinside{$pin} = $side; - $pintype{$pin} = "pas"; - next if $side eq "nc"; - if ($rest =~ /^([!>iop]+) (.*)/) { - $flags = $1; - $pinlabel{$pin} = $2; - $bubble{$pin} = 1 if $flags =~ /!/; - $edge{$pin} = 1 if $flags =~ />/; - $pintype{$pin} = "in" if $flags =~ /i/; - $pintype{$pin} = "out" if $flags =~ /o/; - $pintype{$pin} = "pwr" if $flags =~ /p/; - $pintype{$pin} = "inout" if $flags =~ /io/; - } else { - $pinlabel{$pin} = $rest; - } - $rlen{$pin} = &textlen($pinlabel{$pin}); - - if ($side =~ /left|right/) { - $y = $piny{$pin} = $y{$side}; - $y{$side} += ($busmode ? $busspace : $groupspace); - } - if ($side =~ /top|bottom/) { - $tw = &alignpin((200 + $rlen{$pin}) / 2); - if ($vmode) { - $pinx{$pin} = $w{$side}; - $w{$side} += (($busmode || $compactmode) ? 200 : 400); - } else { - $pinx{$pin} = $w{$side} + $tw; - $w{$side} += $tw + $tw; - } - } - - } - -} - -$pinseq = 1; - -$minpin = $labelpin; -$boxwidth = $minwidth; -%bw = (); - -# for each horizontal slice of the symbol, keep track of how much -# width is used up by the left, middle, and right labels. -for $lp (keys %pinside) { - next unless $pinside{$lp} =~ /left|right|label/; - $yb = &alignpin($piny{$lp}); - for ($y=$yb-300; $y<=$yb+300; $y+=100) { - if ($bw{$y}{$pinside{$lp}} < $rlen{$lp}) { - $bw{$y}{$pinside{$lp}} = $rlen{$lp}; - } - } -} - -# Compute the height of the box. -for $p (keys %pinside) { - next unless $pinside{$p} =~ /left|right/; - if ($maxy < $piny{$p}) { - $maxy = $piny{$p}; - } -} -if (! $vmode) { - $maxy += $groupspace; -} - -# Now, use the slice widths to compute the minimum width of the box. -for ($i=0; $i<$maxy; $i+=100) { - $w = $bw{$i}{left} + $bw{$i}{labels} + $bw{$i}{right}; - if ($bw{$i}{labels}) { - $wl = ($bw{$i}{left} + $bw{$i}{labels}/2) * 2; - $w = $wl if $w < $wl; - $wl = ($bw{$i}{right} + $bw{$i}{labels}/2) * 2; - $w = $wl if $w < $wl; - } - if ($bw{$i}{left} && $bw{$i}{labels}) { - $w += 100; - } elsif ($bw{$i}{left} && $bw{$i}{right}) { - $w += 200; - } - if ($bw{$i}{right} && $bw{$i}{labels}) { - $w += 100; - } - if ($boxwidth < $w) { - $boxwidth = $w; - } -} - -$boxwidth = $w{top} if $boxwidth < $w{top}; -$boxwidth = $w{bottom} if $boxwidth < $w{bottom}; - -# Flip Y coordinates (we count from the top, but symbols coordinates -# are from the bottom). -for $p (keys %pinside) { - next unless $pinside{$p} =~ /left|right|labels/; - $piny{$p} = $maxy - $piny{$p} + $yinvert; -} - -$boxwidth = &alignpin($boxwidth); -$boxwidth += 200; - -# Adjust the position of the top/bottom pins so that, as a group, -# they're centered. -%maxh = (); -for $p (keys %pinside) { - next unless $pinside{$p} =~ /top|bottom/; - $pinx{$p} += &alignpin(($boxwidth - $w{$pinside{$p}})/2) + 300; - if ($vmode) { - $pinx{$p} += 200; - $h = &textlen($pinlabel{$p}); - $maxh{$pinside{$p}} = $h if $maxh{$pinside{$p}} < $h; - } -} - -if ($vmode) { - $dy = &alignpin($maxh{bottom}); - for $lp ($minpin..-1) { - $piny{$lp} += $dy; - } - for $p (keys %pinside) { - if ($pinside{$p} =~ /left|right|bottom/) { - $piny{$p} += $dy; - } - } - $maxy += $dy + &alignpin ($maxh{top}); -} - -if ($square) { - if ($boxwidth < $maxy) { - $delta = $maxy - $boxwidth; - $delta = &alignpin($delta / 2); - $boxwidth = $maxy; - for $p (keys %pinside) { - if ($pinside{$p} =~ /top|bottom/) { - $pinx{$p} += $delta; - } - } - } - if ($maxy < $boxwidth) { - $maxy = $boxwidth; - } -} - -# Labels are centered in the box. -for $lp ($minpin..-1) { - $pinx{$lp} = &alignpin($boxwidth/2) + 300; -} - -# Version. -print "v 20060123 1\n"; - -# Symbol box. -printf("B %d %d %d %d 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1\n", - 300, 300, $boxwidth, $maxy); - -# These are the hidden labels. -$ax = 300 + $boxwidth; -$ay = 400 + $maxy; -for $a (reverse @attrs) { - printf("T %d %d 9 10 0 0 0 0 1\n%s\n", - $ax, $ay, $a); - $ay += 200; -} - -sub pinsort { - my ($a, $b) = @_; - $a =~ tr/a-z/A-Z/; - $b =~ tr/a-z/A-Z/; - $a =~ s/(\d+)/sprintf("%06d", $1);/ge; - $b =~ s/(\d+)/sprintf("%06d", $1);/ge; - return $a cmp $b; -} - -# Now print all the pins. -for $p (sort {&pinsort($a,$b)} keys %pinside) { - next unless $pinside{$p}; - if ($pinside{$p} eq "left") { - $pinx{$p} = 300; - } - if ($pinside{$p} eq "right") { - $pinx{$p} = 300 + $boxwidth; - } - if ($p > 0 && !$saw_pin{$p}) { - print STDERR "MISSING PIN $p\n"; - $errors++; - } else { - printf STDERR ("%3s %-6s %4d %4d %s\n", - $p, $pinside{$p}, $pinx{$p}, $piny{$p}, $pinlabel{$p}); - } - - eval "&drawpin_$pinside{\"$p\"} (\"$p\")"; -} - -# what remains are helper functions; for drawing each type of pin, -# each type of label, etc. - -sub drawpin_nc { -} - -sub drawpin_top { - my($pin) = @_; - $y = $maxy + 300; - printf("P %d %d %d %d 1 0 0\n", - $pinx{$pin}, $y+300, $pinx{$pin}, $y); - print "{\n"; - if ($vmode) { - &pvltext($pinx{$pin}, $y-50, 7, $pinlabel{$pin}); - } else { - &pltext($pinx{$pin}, $y-50, 5, $pinlabel{$pin}); - } - &ntext($pinx{$pin}+50, $y+50, 0, $pin); - &pttext($pinx{$pin} -100, $piny{$pin} + 50, 6, $pintype{$pin}); - print "}\n"; -} - -sub drawpin_bottom { - my($pin) = @_; - printf("P %d %d %d %d 1 0 0\n", - $pinx{$pin}, 0, $pinx{$pin}, 300); - print "{\n"; - if ($vmode) { - &pvltext($pinx{$pin}, 350, 1, $pinlabel{$pin}); - } else { - &pltext($pinx{$pin}, 350, 3, $pinlabel{$pin}); - } - &ntext($pinx{$pin}+50, 250, 2, $pin); - &pttext($pinx{$pin} -100, $piny{$pin} + 50, 6, $pintype{$pin}); - print "}\n"; -} - -sub drawpin_labels { - my($pin) = @_; - <ext($pinx{$pin}, $piny{$pin}, 3, $pinlabel{$pin}); -} - -sub circle { - my ($x, $y) = @_; - print "V $x $y 50 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1\n"; -} - -sub drawpin_left { - my($pin) = @_; - $x = $pinx{$pin}; - $px = 50; - if ($bubble{$pin}) { - $x -= 100; - &circle($x+50, $piny{$pin}); - } - if ($edge{$pin}) { - $px += 100; - printf("L %d %d %d %d 3 0 0 0 0 0\n", - $pinx{$pin}, $piny{$pin}-50, - $pinx{$pin}+100, $piny{$pin}); - printf("L %d %d %d %d 3 0 0 0 0 0\n", - $pinx{$pin}+100, $piny{$pin}, - $pinx{$pin}, $piny{$pin}+50); - - } - printf("P %d %d %d %d 1 0 0\n", - $pinx{$pin} - 300, $piny{$pin}, $x, $piny{$pin}); - print "{\n"; - &pltext($pinx{$pin} + $px, $piny{$pin}, 1, $pinlabel{$pin}); - &ntext($pinx{$pin} -100, $piny{$pin} + 50, 6, $pin); - &pttext($pinx{$pin} -100, $piny{$pin} + 50, 6, $pintype{$pin}); - print "}\n"; -} - -sub drawpin_right { - my($pin) = @_; - $x = $pinx{$pin}; - $px = 50; - if ($bubble{$pin}) { - $x += 100; - &circle($x-50, $piny{$pin}); - } - if ($edge{$pin}) { - $px += 100; - printf("L %d %d %d %d 3 0 0 0 0 0\n", - $pinx{$pin}, $piny{$pin}-50, - $pinx{$pin}-100, $piny{$pin}); - printf("L %d %d %d %d 3 0 0 0 0 0\n", - $pinx{$pin}-100, $piny{$pin}, - $pinx{$pin}, $piny{$pin}+50); - - } - printf("P %d %d %d %d 1 0 0\n", - $pinx{$pin} + 300, $piny{$pin}, $x, $piny{$pin}); - print "{\n"; - &pltext($pinx{$pin} - $px, $piny{$pin}, 7, $pinlabel{$pin}); - &ntext($pinx{$pin} +100, $piny{$pin} + 50, 0, $pin); - &pttext($pinx{$pin} -100, $piny{$pin} + 50, 6, $pintype{$pin}); - print "}\n"; -} - -sub ntext { - my ($x, $y, $a, $s) = @_; - printf("T %d %d 5 8 1 1 0 %s 1\npinnumber=%s\n", $x, $y, $a, $s); - if ($s =~ /^\d+$/) { - $my_pinseq = $s; - } else { - while ($pinseq_used[$pinseq]) { - $pinseq ++; - } - $pinseq_used[$pinseq] = 1; - $my_pinseq = $pinseq; - } - printf("T %d %d 5 8 0 1 0 %s 1\npinseq=%d\n", $x, $y, $a, $my_pinseq); -} - -sub pttext { - my ($x, $y, $a, $s) = @_; - printf("T %d %d 9 10 0 1 0 %s 1\npintype=%s\n", $x, $y, $a, $s); -} - -sub pltext { - my ($x, $y, $a, $s) = @_; - $s = "pinlabel=$s" unless $s =~ /=/; - printf("T %d %d 9 10 1 1 0 %s 1\n%s\n", $x, $y, $a, $s); -} - -sub pvltext { - my ($x, $y, $a, $s) = @_; - $s = "pinlabel=$s" unless $s =~ /=/; - printf("T %d %d 9 10 1 1 90 %s 1\n%s\n", $x, $y, $a, $s); -} - -sub ltext { - my ($x, $y, $a, $s) = @_; - printf("T %d %d 9 10 1 1 0 %s 1\n%s\n", $x, $y, $a, $s); -} - -sub textlen { - my($t) = @_; - $t =~ s/^[^=]*=//; - $t =~ s@\\_@@g; - return length($t) * 110; -} - -sub alignpin { - my($v) = @_; - return int(($v + 99) / 100) * 100; -} - -exit $errors; diff --git a/xschem_library/symgen/nand2.sym b/xschem_library/symgen/nand2.sym deleted file mode 100644 index fa9e9e2b..00000000 --- a/xschem_library/symgen/nand2.sym +++ /dev/null @@ -1,16 +0,0 @@ -v {xschem version=2.9.2 file_version=1.1} -G {type=subcircuit -format="@name @pinlist @symname" -template="name=X1"} -B 5 137.5 -22.5 142.5 -17.5 {name=Z dir=out pinnumber=1} -L 2 100 -20 140 -20 {} -T {Z} 89 -29.6 0 1 0.4 0.4 {} -B 5 -142.5 -22.5 -137.5 -17.5 {name=A dir=in pinnumber=2} -L 4 -140 -20 -100 -20 {} -T {A} -89 -29.6 0 0 0.4 0.4 {} -B 5 -142.5 17.5 -137.5 22.5 {name=B dir=in pinnumber=3} -L 4 -140 20 -100 20 {} -T {B} -89 10.4 0 0 0.4 0.4 {} -P 4 5 -100 -80 100 -80 100 80 -100 80 -100 -80 {} -T {@name} -45 -28 0 0 0.6 0.6 {} -T {@symname} -72 12 0 0 0.6 0.6 {} diff --git a/xschem_library/symgen/nand2.symdef b/xschem_library/symgen/nand2.symdef deleted file mode 100644 index 98b741fe..00000000 --- a/xschem_library/symgen/nand2.symdef +++ /dev/null @@ -1,13 +0,0 @@ -[labels] ---hide-pinnumber ---auto-pinnumber -@name -@symname -! type=subcircuit -! format="@name @pinlist @symname" -! template="name=X1" -[right] - o Z -[left] - i A - i B diff --git a/xschem_library/symgen/sample4.sym b/xschem_library/symgen/sample4.sym deleted file mode 100644 index 6997bc17..00000000 --- a/xschem_library/symgen/sample4.sym +++ /dev/null @@ -1,28 +0,0 @@ -v {xschem version=2.9.2 file_version=1.1} -G {type=subcircuit -format="@name @pinlist @model" -template="name=X1"} -B 5 -242.5 -42.5 -237.5 -37.5 {name=D dir=in pinnumber=1} -L 4 -240 -40 -200 -40 {} -T {D} -189 -49.6 0 0 0.4 0.4 {} -B 5 -242.5 -2.5 -237.5 2.5 {name=RST dir=in pinnumber=2} -L 4 -240 0 -200 0 {} -T {RST} -189 -9.6 0 0 0.4 0.4 {} -B 5 -242.5 37.5 -237.5 42.5 {name=CLK dir=in pinnumber=3} -L 4 -240 40 -210 40 {} -T {CLK} -189 30.4 0 0 0.4 0.4 {} -A 4 -205 40 5 0 360 {} -L 4 -200 32 -192 40 {} -L 4 -200 48 -192 40 {} -B 5 237.5 -42.5 242.5 -37.5 {name=QB dir=out pinnumber=4} -L 2 200 -40 240 -40 {} -T {QB} 189 -49.6 0 1 0.4 0.4 {} -B 5 -162.5 -142.5 -157.5 -137.5 {name=VCC dir=inout pinnumber=5} -L 3 -160 -140 -160 -100 {} -T {VCC} -181 -89 0 0 0.4 0.4 {} -B 5 -162.5 137.5 -157.5 142.5 {name=VSS dir=inout pinnumber=6} -L 3 -160 100 -160 140 {} -T {VSS} -181 89 2 1 0.4 0.4 {} -P 4 5 -200 -100 200 -100 200 100 -200 100 -200 -100 {} -T {TEST SYMBOL} -99 -28 0 0 0.6 0.6 {} -T {STEFAN SCHIPPERS} -144 12 0 0 0.6 0.6 {}