// DESCRIPTION: Verilator: Test of select from constant // // This file ONLY is placed under the Creative Commons Public Domain, for // any use, without warranty, 2024 by Wilson Snyder. // SPDX-License-Identifier: CC0-1.0 `define stop $stop `define checkh(gotv,expv) do if ((gotv) !== (expv)) begin $write("%%Error: %s:%0d: got='h%x exp='h%x\n", `__FILE__,`__LINE__, (gotv), (expv)); `stop; end while(0) module t; reg clk = 0; always #50 clk = ~clk; initial begin #1000; $write("*-* All Finished *-*\n"); $finish; end int cyc = 0; always @(posedge clk) cyc <= cyc + 1; localparam SIZE = 65536; // Case 1: Array NBA inside suspendable int array1 [SIZE]; always @ (posedge clk) begin #1; for (int i=0; i 1) begin for (int i=0; i