diff --git a/src/V3Active.cpp b/src/V3Active.cpp index fe79918b6..0e75d2461 100644 --- a/src/V3Active.cpp +++ b/src/V3Active.cpp @@ -506,12 +506,15 @@ class ActiveVisitor final : public VNVisitor { moveUnderSpecial(nodep); } void visit(AstCoverToggle* nodep) override { moveUnderSpecial(nodep); } - void visit(AstAssignW* nodep) override { moveUnderSpecial(nodep); } void visit(AstAlways* nodep) override { if (!nodep->stmtsp()) { // Empty always. Remove it now. VL_DO_DANGLING(nodep->unlinkFrBack()->deleteTree(), nodep); return; } + if (nodep->keyword() == VAlwaysKwd::CONT_ASSIGN) { + moveUnderSpecial(nodep); + return; + } visitSenItems(nodep); visitAlways(nodep, nodep->sentreep(), nodep->keyword()); } diff --git a/src/V3ActiveTop.cpp b/src/V3ActiveTop.cpp index 99af994d3..89ec7c50d 100644 --- a/src/V3ActiveTop.cpp +++ b/src/V3ActiveTop.cpp @@ -131,9 +131,6 @@ class ActiveTopVisitor final : public VNVisitor { void visit(AstNodeProcedure* nodep) override { // LCOV_EXCL_LINE nodep->v3fatalSrc("Node should have been under ACTIVE"); } - void visit(AstAssignW* nodep) override { // LCOV_EXCL_LINE - nodep->v3fatalSrc("Node should have been under ACTIVE"); - } //-------------------- void visit(AstNodeExpr*) override {} // Accelerate void visit(AstVarScope*) override {} // Accelerate diff --git a/src/V3AssertPre.cpp b/src/V3AssertPre.cpp index 2db7d53b4..d697767c5 100644 --- a/src/V3AssertPre.cpp +++ b/src/V3AssertPre.cpp @@ -273,7 +273,8 @@ private: // Assign the sampled expression to the clockvar (IEEE 1800-2023 14.13) AstSampled* const sampledp = new AstSampled{flp, exprp->cloneTreePure(false)}; sampledp->dtypeFrom(exprp); - m_clockingp->addNextHere(new AstAssignW{flp, refp, sampledp}); + AstAssignW* const ap = new AstAssignW{flp, refp, sampledp}; + m_clockingp->addNextHere(new AstAlways{ap}); } else if (skewp->isZero()) { // #0 means the var has to be sampled in Observed (IEEE 1800-2023 14.13) AstAssign* const assignp = new AstAssign{flp, refp, exprp->cloneTreePure(false)}; diff --git a/src/V3AstAttr.h b/src/V3AstAttr.h index c2388248f..e868fa8e8 100644 --- a/src/V3AstAttr.h +++ b/src/V3AstAttr.h @@ -84,7 +84,7 @@ inline std::ostream& operator<<(std::ostream& os, const VAccess& rhs) { return o class VAlwaysKwd final { public: - enum en : uint8_t { ALWAYS, ALWAYS_FF, ALWAYS_LATCH, ALWAYS_COMB }; + enum en : uint8_t { ALWAYS, ALWAYS_FF, ALWAYS_LATCH, ALWAYS_COMB, CONT_ASSIGN }; enum en m_e; VAlwaysKwd() : m_e{ALWAYS} {} @@ -95,7 +95,8 @@ public: : m_e(static_cast(_e)) {} // Need () or GCC 4.8 false warning constexpr operator en() const { return m_e; } const char* ascii() const { - static const char* const names[] = {"always", "always_ff", "always_latch", "always_comb"}; + static const char* const names[] + = {"always", "always_ff", "always_latch", "always_comb", "cont_assign"}; return names[m_e]; } }; diff --git a/src/V3AstInlines.h b/src/V3AstInlines.h index f04f31002..ed0e40f56 100644 --- a/src/V3AstInlines.h +++ b/src/V3AstInlines.h @@ -144,6 +144,10 @@ bool AstBasicDType::ascending() const { bool AstActive::hasClocked() const { return m_sentreep->hasClocked(); } bool AstActive::hasCombo() const { return m_sentreep->hasCombo(); } +AstAlways::AstAlways(AstAssignW* assignp) + : ASTGEN_SUPER_Always(assignp->fileline(), assignp) + , m_keyword{VAlwaysKwd::CONT_ASSIGN} {} + AstElabDisplay::AstElabDisplay(FileLine* fl, VDisplayType dispType, AstNodeExpr* exprsp) : ASTGEN_SUPER_ElabDisplay(fl) { addFmtp(new AstSFormatF{fl, AstSFormatF::NoFormat{}, exprsp}); diff --git a/src/V3AstNodeOther.h b/src/V3AstNodeOther.h index f0c515efe..260bee235 100644 --- a/src/V3AstNodeOther.h +++ b/src/V3AstNodeOther.h @@ -2649,6 +2649,7 @@ public: , m_keyword{keyword} { this->sentreep(sentreep); } + inline AstAlways(AstAssignW* assignp); ASTGEN_MEMBERS_AstAlways; // void dump(std::ostream& str) const override; diff --git a/src/V3AstNodeStmt.h b/src/V3AstNodeStmt.h index 810de333e..ef96db6f3 100644 --- a/src/V3AstNodeStmt.h +++ b/src/V3AstNodeStmt.h @@ -1278,7 +1278,6 @@ public: }); } AstDelay* getLhsNetDelay() const; - AstAlways* convertToAlways(); }; // === AstNodeBlock === diff --git a/src/V3AstNodes.cpp b/src/V3AstNodes.cpp index 250fb1600..fa23447de 100644 --- a/src/V3AstNodes.cpp +++ b/src/V3AstNodes.cpp @@ -3188,25 +3188,6 @@ static AstDelay* getLhsNetDelayRecurse(const AstNodeExpr* const nodep) { return nullptr; } AstDelay* AstAssignW::getLhsNetDelay() const { return getLhsNetDelayRecurse(lhsp()); } -AstAlways* AstAssignW::convertToAlways() { - const bool hasTimingControl = isTimingControl(); - AstNodeExpr* const lhs1p = lhsp()->unlinkFrBack(); - AstNodeExpr* const rhs1p = rhsp()->unlinkFrBack(); - AstNode* const controlp = timingControlp() ? timingControlp()->unlinkFrBack() : nullptr; - FileLine* const flp = fileline(); - AstNode* bodysp = new AstAssign{flp, lhs1p, rhs1p, controlp}; - if (hasTimingControl) { - // If there's a timing control, put the assignment in a fork..join_none. This process won't - // get marked as suspendable and thus will be scheduled normally - AstBegin* const beginp = new AstBegin{flp, "", bodysp, false}; - AstFork* const forkp = new AstFork{flp, "", beginp}; - forkp->joinType(VJoinType::JOIN_NONE); - bodysp = forkp; - } - AstAlways* const newp = new AstAlways{flp, VAlwaysKwd::ALWAYS, nullptr, bodysp}; - replaceWith(newp); // User expected to then deleteTree(); - return newp; -} string AstCase::pragmaString() const { if (fullPragma() && parallelPragma()) diff --git a/src/V3CfgBuilder.cpp b/src/V3CfgBuilder.cpp index 3be38f0fa..4373a305a 100644 --- a/src/V3CfgBuilder.cpp +++ b/src/V3CfgBuilder.cpp @@ -86,6 +86,7 @@ class CfgBuilder final : public VNVisitorConst { // Representable non control-flow statements void visit(AstAssign* nodep) override { simpleStatement(nodep, !nodep->timingControlp()); } + void visit(AstAssignW* nodep) override { simpleStatement(nodep, !nodep->timingControlp()); } void visit(AstComment*) override {} // ignore entirely void visit(AstDisplay* nodep) override { simpleStatement(nodep); } void visit(AstFinish* nodep) override { simpleStatement(nodep); } diff --git a/src/V3CfgLiveVariables.cpp b/src/V3CfgLiveVariables.cpp index 75bfeaf77..cf0d8a5a9 100644 --- a/src/V3CfgLiveVariables.cpp +++ b/src/V3CfgLiveVariables.cpp @@ -162,6 +162,7 @@ class CfgLiveVariables final : VNVisitorConst { } void visit(AstAssign* nodep) override { single(nodep); } + void visit(AstAssignW* nodep) override { single(nodep); } void visit(AstDisplay* nodep) override { single(nodep); } void visit(AstFinish* nodep) override { single(nodep); } void visit(AstStmtExpr* nodep) override { single(nodep); } diff --git a/src/V3Const.cpp b/src/V3Const.cpp index 75c5c632a..f00476ff6 100644 --- a/src/V3Const.cpp +++ b/src/V3Const.cpp @@ -3250,9 +3250,11 @@ class ConstVisitor final : public VNVisitor { void visit(AstAssignW* nodep) override { iterateChildren(nodep); if (m_doNConst && replaceNodeAssign(nodep)) return; - AstNodeVarRef* const varrefp = VN_CAST( - nodep->lhsp(), - VarRef); // Not VarXRef, as different refs may set different values to each hierarchy + // Process containing this AssignW as single body statement + AstAlways* const procp = VN_CAST(nodep->backp(), Always); + if (!procp || procp->stmtsp() != nodep || nodep->nextp()) return; + // Not VarXRef, as different refs may set different values to each hierarchy + AstNodeVarRef* const varrefp = VN_CAST(nodep->lhsp(), VarRef); if (m_wremove && !m_params && m_doNConst && m_modp && operandConst(nodep->rhsp()) && !VN_AS(nodep->rhsp(), Const)->num().isFourState() && varrefp // Don't do messes with BITREFs/ARRAYREFs @@ -3266,10 +3268,10 @@ class ConstVisitor final : public VNVisitor { // Make a initial assignment AstNodeExpr* const exprp = nodep->rhsp()->unlinkFrBack(); varrefp->unlinkFrBack(); - AstInitial* const newinitp = new AstInitial{ - nodep->fileline(), new AstAssign{nodep->fileline(), varrefp, exprp}}; - nodep->replaceWith(newinitp); - VL_DO_DANGLING(pushDeletep(nodep), nodep); + FileLine* const flp = nodep->fileline(); + AstInitial* const newinitp = new AstInitial{flp, new AstAssign{flp, varrefp, exprp}}; + procp->replaceWith(newinitp); + VL_DO_DANGLING(pushDeletep(procp), procp); // Set the initial value right in the variable so we can constant propagate AstNode* const initvaluep = exprp->cloneTree(false); varrefp->varp()->valuep(initvaluep); diff --git a/src/V3Coverage.cpp b/src/V3Coverage.cpp index 50cf31344..beacc4f76 100644 --- a/src/V3Coverage.cpp +++ b/src/V3Coverage.cpp @@ -282,7 +282,14 @@ class CoverageVisitor final : public VNVisitor { } iterateChildren(nodep); } - + void visit(AstAlways* nodep) override { + if (nodep->keyword() == VAlwaysKwd::CONT_ASSIGN) { + // Don't want line coverage for it, iterate for expression/toggle coverage only + iterateChildren(nodep); + return; + } + iterateProcedure(nodep); + } void visit(AstNodeProcedure* nodep) override { iterateProcedure(nodep); } void visit(AstLoop* nodep) override { UASSERT_OBJ(!nodep->contsp(), nodep, "'contsp' only used before LinkJump"); diff --git a/src/V3DfgAstToDfg.cpp b/src/V3DfgAstToDfg.cpp index 2914234d8..ba56509a3 100644 --- a/src/V3DfgAstToDfg.cpp +++ b/src/V3DfgAstToDfg.cpp @@ -176,33 +176,35 @@ class AstToDfgVisitor final : public VNVisitor { } } - // Convert AstAssignW to DfgLogic, return true if successful. - bool convert(AstAssignW* nodep) { - // Cannot handle assignment with timing control - if (nodep->timingControlp()) return false; - - // Potentially convertible block - ++m_ctx.m_inputs; - // Gather written variables, give up if any are not supported - const std::unique_ptr> oVarpsp = gatherWritten(nodep); - if (!oVarpsp) return false; - // Gather read variables, give up if any are not supported - const std::unique_ptr> iVarpsp = gatherRead(nodep); - if (!iVarpsp) return false; - // Create the DfgLogic - DfgLogic* const logicp = new DfgLogic{m_dfg, nodep, m_scopep}; - // Connect it up - connect(*logicp, *iVarpsp, *oVarpsp); - // Done - ++m_ctx.m_representable; - return true; - } - // Convert AstAlways to DfgLogic, return true if successful. bool convert(AstAlways* nodep) { + const VAlwaysKwd kwd = nodep->keyword(); + if (kwd == VAlwaysKwd::CONT_ASSIGN) { + // TODO: simplify once CFG analysis can handle arrays + if (AstAssignW* const ap = VN_CAST(nodep->stmtsp(), AssignW)) { + if (ap->nextp()) return false; + // Cannot handle assignment with timing control + if (ap->timingControlp()) return false; + // Potentially convertible block + ++m_ctx.m_inputs; + // Gather written variables, give up if any are not supported + const std::unique_ptr> oVarpsp = gatherWritten(ap); + if (!oVarpsp) return false; + // Gather read variables, give up if any are not supported + const std::unique_ptr> iVarpsp = gatherRead(ap); + if (!iVarpsp) return false; + // Create the DfgLogic + DfgLogic* const logicp = new DfgLogic{m_dfg, nodep, m_scopep, nullptr}; + // Connect it up + connect(*logicp, *iVarpsp, *oVarpsp); + // Done + ++m_ctx.m_representable; + return true; + } + } + // Can only handle combinational logic if (nodep->sentreep()) return false; - const VAlwaysKwd kwd = nodep->keyword(); if (kwd != VAlwaysKwd::ALWAYS && kwd != VAlwaysKwd::ALWAYS_COMB) return false; // Potentially convertible block @@ -261,9 +263,6 @@ class AstToDfgVisitor final : public VNVisitor { void visit(AstNodeProcedure* nodep) override { markReferenced(nodep); } // Potentially representable constructs - void visit(AstAssignW* nodep) override { - if (!convert(nodep)) markReferenced(nodep); - } void visit(AstAlways* nodep) override { if (!convert(nodep)) markReferenced(nodep); } diff --git a/src/V3DfgDfgToAst.cpp b/src/V3DfgDfgToAst.cpp index 379a08d72..19ea477a4 100644 --- a/src/V3DfgDfgToAst.cpp +++ b/src/V3DfgDfgToAst.cpp @@ -164,7 +164,8 @@ class DfgToAstVisitor final : DfgVisitor { } // Otherwise create an AssignW - m_containerp->addStmtsp(new AstAssignW{flp, lhsp, rhsp}); + AstAssignW* const ap = new AstAssignW{flp, lhsp, rhsp}; + m_containerp->addStmtsp(new AstAlways{ap}); } void convertDriver(FileLine* flp, AstNodeExpr* lhsp, DfgVertex* driverp) { diff --git a/src/V3DfgOptimizer.cpp b/src/V3DfgOptimizer.cpp index c4bdb6d38..04cb69fa3 100644 --- a/src/V3DfgOptimizer.cpp +++ b/src/V3DfgOptimizer.cpp @@ -138,8 +138,9 @@ class DataflowExtractVisitor final : public VNVisitor { cnodep->replaceWith(new AstVarRef{flp, varp, VAccess::READ}); // Add assignment driving temporary variable - modp->addStmtsp( - new AstAssignW{flp, new AstVarRef{flp, varp, VAccess::WRITE}, cnodep}); + AstAssignW* const ap + = new AstAssignW{flp, new AstVarRef{flp, varp, VAccess::WRITE}, cnodep}; + modp->addStmtsp(new AstAlways{ap}); } } } diff --git a/src/V3DfgSynthesize.cpp b/src/V3DfgSynthesize.cpp index ae5c12ed5..cae9778ff 100644 --- a/src/V3DfgSynthesize.cpp +++ b/src/V3DfgSynthesize.cpp @@ -1482,7 +1482,7 @@ class AstToDfgSynthesize final { } // TODO: computePropagatedDrivers cannot handle arrays, should - // never happen with AssignW + // never happen with simple continous assignments if (!resp->isPacked()) { ++m_ctx.m_synt.nonSynArray; return true; // Not OK, give up @@ -1597,8 +1597,9 @@ class AstToDfgSynthesize final { VL_RESTORER(m_logicp); m_logicp = &vtx; - if (AstAssignW* const nodep = VN_CAST(vtx.nodep(), AssignW)) { - if (!synthesizeAssignW(nodep)) return false; + if (AstAssignW* const ap = VN_CAST(vtx.nodep()->stmtsp(), AssignW)) { + if (ap->nextp()) return false; + if (!synthesizeAssignW(ap)) return false; ++m_ctx.m_synt.synthAssign; return true; } @@ -1908,12 +1909,12 @@ static void dfgSelectLogicForSynthesis(DfgGraph& dfg) { }); } - // Synthesize all AssignW and simple blocks driving exactly one variable - // This is approximately the old default behaviour of Dfg + // Synthesize all continuous assignments and simple blocks driving exactly + // one variable. This is approximately the old default behaviour of Dfg. for (DfgVertex& vtx : dfg.opVertices()) { DfgLogic* const logicp = vtx.cast(); if (!logicp) continue; - if (VN_IS(logicp->nodep(), AssignW)) { + if (logicp->nodep()->keyword() == VAlwaysKwd::CONT_ASSIGN) { worklist.push_front(*logicp); continue; } diff --git a/src/V3DfgVertices.h b/src/V3DfgVertices.h index d114282cb..a802a3a81 100644 --- a/src/V3DfgVertices.h +++ b/src/V3DfgVertices.h @@ -457,7 +457,7 @@ public: class DfgLogic final : public DfgVertexVariadic { // Generic vertex representing a whole combinational process - AstNode* const m_nodep; // The Ast logic represented by this vertex + AstAlways* const m_nodep; // The Ast logic represented by this vertex AstScope* const m_scopep; // The AstScope m_nodep is under, iff scoped const std::unique_ptr m_cfgp; std::vector m_synth; // Vertices this logic was synthesized into @@ -466,12 +466,6 @@ class DfgLogic final : public DfgVertexVariadic { bool m_reverted = false; // Logic was synthesized (in part if non synthesizable) then reverted public: - DfgLogic(DfgGraph& dfg, AstAssignW* nodep, AstScope* scopep) - : DfgVertexVariadic{dfg, dfgType(), nodep->fileline(), DfgDataType::null()} - , m_nodep{nodep} - , m_scopep{scopep} - , m_cfgp{nullptr} {} - DfgLogic(DfgGraph& dfg, AstAlways* nodep, AstScope* scopep, std::unique_ptr cfgp) : DfgVertexVariadic{dfg, dfgType(), nodep->fileline(), DfgDataType::null()} , m_nodep{nodep} @@ -486,7 +480,7 @@ public: void addInput(DfgVertexVar* varp) { newInput()->relinkSrcp(varp); } // Accessors - AstNode* nodep() const { return m_nodep; } + AstAlways* nodep() const { return m_nodep; } AstScope* scopep() const { return m_scopep; } CfgGraph& cfg() { return *m_cfgp; } const CfgGraph& cfg() const { return *m_cfgp; } diff --git a/src/V3EmitV.cpp b/src/V3EmitV.cpp index 63bed1b20..0f7602bd3 100644 --- a/src/V3EmitV.cpp +++ b/src/V3EmitV.cpp @@ -170,6 +170,20 @@ class EmitVBaseVisitorConst VL_NOT_FINAL : public VNVisitorConst { void visit(AstInitialAutomatic* nodep) override { iterateChildrenConst(nodep); } void visit(AstInitialStatic* nodep) override { iterateChildrenConst(nodep); } void visit(AstAlways* nodep) override { + if (const AstAssignW* const ap = VN_CAST(nodep->stmtsp(), AssignW)) { + if (!ap->nextp()) { + putfs(nodep, "assign "); + if (AstNode* const tcp = ap->timingControlp()) { + iterateAndNextConstNull(tcp); + putbs(" "); + } + iterateAndNextConstNull(ap->lhsp()); + putbs(" = "); + iterateAndNextConstNull(ap->rhsp()); + if (!m_suppressSemi) puts(";\n"); + return; + } + } putfs(nodep, "always "); if (m_sentreep) { iterateAndNextConstNull(m_sentreep); @@ -214,7 +228,7 @@ class EmitVBaseVisitorConst VL_NOT_FINAL : public VNVisitorConst { if (!m_suppressSemi) puts(";\n"); } void visit(AstAssignW* nodep) override { - putfs(nodep, "assign "); + putfs(nodep, "continuous assign "); iterateAndNextConstNull(nodep->lhsp()); putbs(" = "); iterateAndNextConstNull(nodep->rhsp()); diff --git a/src/V3Force.cpp b/src/V3Force.cpp index 56b5b0673..6ffd17425 100644 --- a/src/V3Force.cpp +++ b/src/V3Force.cpp @@ -363,6 +363,15 @@ class ForceReplaceVisitor final : public VNVisitor { void visit(AstCFunc* nodep) override { iterateLogic(nodep); } void visit(AstCoverToggle* nodep) override { iterateLogic(nodep); } void visit(AstNodeProcedure* nodep) override { iterateLogic(nodep); } + void visit(AstAlways* nodep) override { + // TODO: this is the old behavioud prior to moving AssignW under Always. + // Review if this is appropriate or if we are missing something... + if (nodep->keyword() == VAlwaysKwd::CONT_ASSIGN) { + iterateChildren(nodep); + return; + } + iterateLogic(nodep); + } void visit(AstSenItem* nodep) override { iterateLogic(nodep); } void visit(AstVarRef* nodep) override { if (ForceState::isNotReplaceable(nodep)) return; diff --git a/src/V3Gate.cpp b/src/V3Gate.cpp index d641d5c1b..4cf573f0a 100644 --- a/src/V3Gate.cpp +++ b/src/V3Gate.cpp @@ -257,9 +257,6 @@ class GateBuildVisitor final : public VNVisitorConst { const bool slow = VN_IS(nodep, Initial) || VN_IS(nodep, Final); iterateLogic(nodep, slow, nodep->isJustOneBodyStmt() ? nullptr : "Multiple Stmts"); } - void visit(AstAssignW* nodep) override { // - iterateLogic(nodep); - } void visit(AstCoverToggle* nodep) override { iterateLogic(nodep, false, "CoverToggle", "CoverToggle"); } @@ -1121,13 +1118,15 @@ class GateMergeAssignments final { void process(GateVarVertex* vVtxp) { GateLogicVertex* prevLVtxp = nullptr; - AstNodeAssign* prevAssignp = nullptr; + AstAssignW* prevAssignp = nullptr; for (V3GraphEdge* const edgep : vVtxp->inEdges().unlinkable()) { GateLogicVertex* const lVtxp = edgep->fromp()->as(); if (!lVtxp->outSize1()) continue; - AstNodeAssign* const assignp = VN_CAST(lVtxp->nodep(), NodeAssign); + AstAlways* const alwaysp = VN_CAST(lVtxp->nodep(), Always); + if (!alwaysp || !alwaysp->stmtsp() || alwaysp->stmtsp()->nextp()) return; + AstAssignW* const assignp = VN_CAST(alwaysp->stmtsp(), AssignW); if (!assignp) continue; if (!VN_IS(assignp->lhsp(), Sel)) continue; @@ -1139,8 +1138,6 @@ class GateMergeAssignments final { continue; } - UASSERT_OBJ(prevAssignp->type() == assignp->type(), assignp, "Mismatched types"); - AstSel* const prevSelp = VN_AS(prevAssignp->lhsp(), Sel); AstSel* const currSelp = VN_AS(assignp->lhsp(), Sel); diff --git a/src/V3Inline.cpp b/src/V3Inline.cpp index d4caac315..7eb1d1f9d 100644 --- a/src/V3Inline.cpp +++ b/src/V3Inline.cpp @@ -171,7 +171,7 @@ class InlineMarkVisitor final : public VNVisitor { iterateChildren(nodep); } void visit(AstAlways* nodep) override { - m_modp->user4Inc(); // statement count + if (nodep->keyword() != VAlwaysKwd::CONT_ASSIGN) nodep->user4Inc(); // statement count iterateChildren(nodep); } void visit(AstNodeAssign* nodep) override { @@ -480,7 +480,9 @@ void connectPort(AstNodeModule* modp, AstVar* nodep, AstNodeExpr* pinExprp) { // the port variable. The constant can still be inlined, in which case // this is needed for tracing the inlined port variable. if (AstConst* const pinp = VN_CAST(pinExprp, Const)) { - modp->addStmtsp(new AstAssignW{flp, portRef(VAccess::WRITE), pinp->cloneTree(false)}); + AstAssignW* const ap + = new AstAssignW{flp, portRef(VAccess::WRITE), pinp->cloneTree(false)}; + modp->addStmtsp(new AstAlways{ap}); return; } @@ -516,9 +518,11 @@ void connectPort(AstNodeModule* modp, AstVar* nodep, AstNodeExpr* pinExprp) { // Otherwise create the continuous assignment between the port var and the pin expression UINFO(6, "Not inlning port variable: " << nodep); if (nodep->direction() == VDirection::INPUT) { - modp->addStmtsp(new AstAssignW{flp, portRef(VAccess::WRITE), pinRef(VAccess::READ)}); + AstAssignW* const ap = new AstAssignW{flp, portRef(VAccess::WRITE), pinRef(VAccess::READ)}; + modp->addStmtsp(new AstAlways{ap}); } else if (nodep->direction() == VDirection::OUTPUT) { - modp->addStmtsp(new AstAssignW{flp, pinRef(VAccess::WRITE), portRef(VAccess::READ)}); + AstAssignW* const ap = new AstAssignW{flp, pinRef(VAccess::WRITE), portRef(VAccess::READ)}; + modp->addStmtsp(new AstAlways{ap}); } else { pinExprp->v3fatalSrc("V3Tristate left INOUT port"); } diff --git a/src/V3Inst.cpp b/src/V3Inst.cpp index b43a418f7..c57dd1f84 100644 --- a/src/V3Inst.cpp +++ b/src/V3Inst.cpp @@ -73,16 +73,16 @@ class InstVisitor final : public VNVisitor { AstNodeExpr* const rhsp = new AstVarXRef{exprp->fileline(), nodep->modVarp(), m_cellp->name(), VAccess::READ}; AstAssignW* const assp = new AstAssignW{exprp->fileline(), exprp, rhsp}; - m_cellp->addNextHere(assp); + m_cellp->addNextHere(new AstAlways{assp}); } else if (nodep->modVarp()->isNonOutput()) { // Don't bother moving constants now, // we'll be pushing the const down to the cell soon enough. - AstNode* const assp + AstAssignW* const assp = new AstAssignW{exprp->fileline(), new AstVarXRef{exprp->fileline(), nodep->modVarp(), m_cellp->name(), VAccess::WRITE}, exprp}; - m_cellp->addNextHere(assp); + m_cellp->addNextHere(new AstAlways{assp}); UINFOTREE(9, assp, "", "_new"); } else if (nodep->modVarp()->isIfaceRef() || (VN_IS(nodep->modVarp()->dtypep()->skipRefp(), UnpackArrayDType) @@ -676,7 +676,7 @@ public: pinexprp}; pinp->exprp(new AstVarRef{pinexprp->fileline(), newvarp, VAccess::READ}); } - if (assignp) cellp->addNextHere(assignp); + if (assignp) cellp->addNextHere(new AstAlways{assignp}); // UINFOTREE(1, pinp, "", "out"); // UINFOTREE(1, assignp, "", "aout"); } diff --git a/src/V3LinkDot.cpp b/src/V3LinkDot.cpp index 91b6a9a9c..33232de65 100644 --- a/src/V3LinkDot.cpp +++ b/src/V3LinkDot.cpp @@ -4220,7 +4220,7 @@ class LinkDotResolveVisitor final : public VNVisitor { nodep->fileline(), new AstVarRef{nodep->fileline(), nodep, VAccess::WRITE}, new AstVarRef{nodep->fileline(), aliasp, VAccess::READ}}; assignp->user2(true); - nodep->addNextHere(assignp); + nodep->addNextHere(new AstAlways{assignp}); // Propagate attributes of the replaced variable, // because all references to it are replaced with references to the alias variable aliasp->varp()->propagateAttrFrom(nodep->varp()); diff --git a/src/V3LinkResolve.cpp b/src/V3LinkResolve.cpp index 83377eb1f..9ccf1d49e 100644 --- a/src/V3LinkResolve.cpp +++ b/src/V3LinkResolve.cpp @@ -454,10 +454,11 @@ class LinkResolveVisitor final : public VNVisitor { } varoutp = varp; // Tie off - m_modp->addStmtsp( - new AstAssignW{varp->fileline(), - new AstVarRef{varp->fileline(), varp, VAccess::WRITE}, - new AstConst{varp->fileline(), AstConst::BitFalse{}}}); + AstAssignW* const ap + = new AstAssignW{varp->fileline(), + new AstVarRef{varp->fileline(), varp, VAccess::WRITE}, + new AstConst{varp->fileline(), AstConst::BitFalse{}}}; + m_modp->addStmtsp(new AstAlways{ap}); } else { varp->v3error("Only inputs and outputs are allowed in udp modules"); } diff --git a/src/V3OrderGraphBuilder.cpp b/src/V3OrderGraphBuilder.cpp index 190e0a45e..a202af931 100644 --- a/src/V3OrderGraphBuilder.cpp +++ b/src/V3OrderGraphBuilder.cpp @@ -327,9 +327,6 @@ class OrderGraphBuilder final : public VNVisitor { nodep->v3fatalSrc("AstFinal should not need ordering"); } // LCOV_EXCL_STOP - //--- SystemVerilog continuous assignments - void visit(AstAssignW* nodep) override { iterateLogic(nodep); } - //--- Verilator concoctions void visit(AstCoverToggle* nodep) override { // iterateLogic(nodep); diff --git a/src/V3ParseGrammar.cpp b/src/V3ParseGrammar.cpp index d6582fc8d..b5b97e3c4 100644 --- a/src/V3ParseGrammar.cpp +++ b/src/V3ParseGrammar.cpp @@ -96,7 +96,7 @@ AstArg* V3ParseGrammar::argWrapList(AstNodeExpr* nodep) { return outp; } -AstNode* V3ParseGrammar::createSupplyExpr(FileLine* fileline, const string& name, int value) { +AstAssignW* V3ParseGrammar::createSupplyExpr(FileLine* fileline, const string& name, int value) { AstAssignW* assignp = new AstAssignW{fileline, new AstParseRef{fileline, name}, value ? new AstConst{fileline, AstConst::All1{}} : new AstConst{fileline, AstConst::All0{}}}; @@ -253,12 +253,12 @@ AstVar* V3ParseGrammar::createVariable(FileLine* fileline, const string& name, } if (GRAMMARP->m_varDecl == VVarType::SUPPLY0) { - AstNode::addNext( - nodep, V3ParseGrammar::createSupplyExpr(fileline, nodep->name(), 0)); + AstAssignW* const ap = V3ParseGrammar::createSupplyExpr(fileline, nodep->name(), 0); + AstNode::addNext(nodep, new AstAlways{ap}); } if (GRAMMARP->m_varDecl == VVarType::SUPPLY1) { - AstNode::addNext( - nodep, V3ParseGrammar::createSupplyExpr(fileline, nodep->name(), 1)); + AstAssignW* const ap = V3ParseGrammar::createSupplyExpr(fileline, nodep->name(), 1); + AstNode::addNext(nodep, new AstAlways{ap}); } if (VN_IS(dtypep, ParseTypeDType)) { // Parser needs to know what is a type diff --git a/src/V3ParseGrammar.h b/src/V3ParseGrammar.h index 3f55f8c67..ed0663bc3 100644 --- a/src/V3ParseGrammar.h +++ b/src/V3ParseGrammar.h @@ -73,7 +73,7 @@ public: bool isPacked) VL_MT_DISABLED; AstVar* createVariable(FileLine* fileline, const string& name, AstNodeRange* arrayp, AstNode* attrsp) VL_MT_DISABLED; - AstNode* createSupplyExpr(FileLine* fileline, const string& name, int value) VL_MT_DISABLED; + AstAssignW* createSupplyExpr(FileLine* fileline, const string& name, int value) VL_MT_DISABLED; AstText* createTextQuoted(FileLine* fileline, const string& text) { string newtext = singletonp()->unquoteString(fileline, text); return new AstText{fileline, newtext}; diff --git a/src/V3SchedAcyclic.cpp b/src/V3SchedAcyclic.cpp index 46c91aa9e..d46db4ee8 100644 --- a/src/V3SchedAcyclic.cpp +++ b/src/V3SchedAcyclic.cpp @@ -103,8 +103,13 @@ class Graph final : public V3Graph { string loopsVertexCb(V3GraphVertex* vtxp) override { if (SchedAcyclicLogicVertex* const lvtxp = vtxp->cast()) { AstNode* const logicp = lvtxp->logicp(); - return logicp->fileline()->warnOther() - + " Example path: " + logicp->prettyTypeName() + "\n"; + std::string logicName = logicp->prettyTypeName(); + if (const AstAlways* const alwaysp = VN_CAST(logicp, Always)) { + if (alwaysp->keyword() == VAlwaysKwd::CONT_ASSIGN) { + logicName = "ASSIGNW"; // Keep using historiacl name until we have better + } + } + return logicp->fileline()->warnOther() + " Example path: " + logicName + "\n"; } else { SchedAcyclicVarVertex* const vvtxp = vtxp->as(); AstVarScope* const vscp = vvtxp->vscp(); diff --git a/src/V3SchedVirtIface.cpp b/src/V3SchedVirtIface.cpp index afc7e0b13..3cfada110 100644 --- a/src/V3SchedVirtIface.cpp +++ b/src/V3SchedVirtIface.cpp @@ -19,9 +19,6 @@ // Each interface type written to via virtual interface, or written to normally but read via // virtual interface: // Create a trigger var for it -// Each AssignW: -// If it writes to a virtual interface, or to a variable read via virtual interface: -// Convert to an always // Each statement: // If it writes to a virtual interface, or to a variable read via virtual interface: // Set the corresponding trigger to 1 @@ -166,13 +163,6 @@ private: m_trigAssignMemberVarp = nullptr; iterateChildren(nodep); } - void visit(AstAssignW* nodep) override { - if (writesToVirtIface(nodep)) { - // Convert to always, as we have to assign the trigger var - nodep->convertToAlways(); - VL_DO_DANGLING(pushDeletep(nodep), nodep); - } - } void visit(AstNodeIf* nodep) override { unsupportedWriteToVirtIface(nodep->condp(), "if condition"); { diff --git a/src/V3Scope.cpp b/src/V3Scope.cpp index bc825cda0..b866fe8b2 100644 --- a/src/V3Scope.cpp +++ b/src/V3Scope.cpp @@ -224,14 +224,6 @@ class ScopeVisitor final : public VNVisitor { m_scopep->addBlocksp(clonep); iterateChildren(clonep); // We iterate under the *clone* } - void visit(AstAssignW* nodep) override { - // Add to list of blocks under this scope - UINFO(4, " Move " << nodep); - AstNode* const clonep = nodep->cloneTree(false); - nodep->user2p(clonep); - m_scopep->addBlocksp(clonep); - iterateChildren(clonep); // We iterate under the *clone* - } void visit(AstCoverToggle* nodep) override { // Add to list of blocks under this scope UINFO(4, " Move " << nodep); @@ -355,7 +347,6 @@ class ScopeCleanupVisitor final : public VNVisitor { void visit(AstNodeProcedure* nodep) override { movedDeleteOrIterate(nodep); } void visit(AstAlias* nodep) override { movedDeleteOrIterate(nodep); } void visit(AstAliasScope* nodep) override { movedDeleteOrIterate(nodep); } - void visit(AstAssignW* nodep) override { movedDeleteOrIterate(nodep); } void visit(AstCoverToggle* nodep) override { movedDeleteOrIterate(nodep); } void visit(AstNodeFTask* nodep) override { movedDeleteOrIterate(nodep); } void visit(AstCFunc* nodep) override { movedDeleteOrIterate(nodep); } diff --git a/src/V3SplitVar.cpp b/src/V3SplitVar.cpp index cc2f96920..77f62d461 100644 --- a/src/V3SplitVar.cpp +++ b/src/V3SplitVar.cpp @@ -128,15 +128,6 @@ struct SplitVarImpl VL_NOT_FINAL { // AstNodeModule::user1() -> Block number counter for generating unique names const VNUser1InUse m_user1InUse; // Only used in SplitUnpackedVarVisitor - static AstNodeAssign* newAssign(FileLine* fileline, AstNodeExpr* lhsp, AstNodeExpr* rhsp, - const AstVar* varp) { - if (varp->isFuncLocal() || varp->isFuncReturn()) { - return new AstAssign{fileline, lhsp, rhsp}; - } else { - return new AstAssignW{fileline, lhsp, rhsp}; - } - } - // These check functions return valid pointer to the reason text if a variable cannot be split. // Check if a var type can be split @@ -622,25 +613,25 @@ class SplitUnpackedVarVisitor final : public VNVisitor, public SplitVarImpl { AstNode* const refp = lhsp; UINFO(9, "Creating assign idx:" << i << " + " << start_idx); if (!lvalue) std::swap(lhsp, rhsp); - AstNode* newassignp; if (use_simple_assign) { - AstNode* const insertp = context; - newassignp = new AstAssign{fl, lhsp, rhsp}; + AstAssign* const ap = new AstAssign{fl, lhsp, rhsp}; if (lvalue) { // If varp is LHS, this assignment must appear after the original // assignment(context). - insertp->addNextHere(newassignp); + context->addNextHere(ap); } else { // If varp is RHS, this assignment comes just before the original assignment - insertp->addHereThisAsNext(newassignp); + context->addHereThisAsNext(ap); } + UASSERT_OBJ(!m_contextp, m_contextp, "must be null"); + setContextAndIterate(ap, refp); } else { - newassignp = new AstAssignW{fl, lhsp, rhsp}; + AstAssignW* const ap = new AstAssignW{fl, lhsp, rhsp}; // Continuous assignment must be in module context. - varp->addNextHere(newassignp); + varp->addNextHere(new AstAlways{ap}); + UASSERT_OBJ(!m_contextp, m_contextp, "must be null"); + setContextAndIterate(ap, refp); } - UASSERT_OBJ(!m_contextp, m_contextp, "must be null"); - setContextAndIterate(newassignp, refp); } return newVarRef(fl, varp, lvalue ? VAccess::WRITE : VAccess::READ); } @@ -655,18 +646,19 @@ class SplitUnpackedVarVisitor final : public VNVisitor, public SplitVarImpl { newVarRef(fl, vars.at(i), !lvalue ? VAccess::WRITE : VAccess::READ)}; AstNodeExpr* const lhsp = nodes[lvalue ? 0 : 1]; AstNodeExpr* const rhsp = nodes[lvalue ? 1 : 0]; - AstNodeAssign* const assignp = newAssign(fl, lhsp, rhsp, varp); if (insertp) { + AstAssign* const ap = new AstAssign{fl, lhsp, rhsp}; if (lvalue) { // Just after writing to the temporary variable - insertp->addNextHere(assignp); + insertp->addNextHere(ap); } else { // Just before reading the temporary variable - insertp->addHereThisAsNext(assignp); + insertp->addHereThisAsNext(ap); } + setContextAndIterate(ap, nodes[1]); } else { - UASSERT_OBJ(VN_IS(assignp, AssignW), varp, "must be AssginW"); - vars.at(i)->addNextHere(assignp); + AstAssignW* const ap = new AstAssignW{fl, lhsp, rhsp}; + vars.at(i)->addNextHere(new AstAlways{ap}); + setContextAndIterate(ap, nodes[1]); } - setContextAndIterate(assignp, nodes[1]); } } // cppcheck-has-bug-suppress constParameter @@ -1051,15 +1043,16 @@ class SplitPackedVarVisitor final : public VNVisitor, public SplitVarImpl { var.lsb() - portLsb, var.bitwidth()}; AstNodeExpr* lhsp = new AstVarRef{fl, var.varp(), in ? VAccess::WRITE : VAccess::READ}; if (!in) std::swap(lhsp, rhsp); - AstNodeAssign* const assignp = newAssign(fl, lhsp, rhsp, portp); if (insertp) { + AstAssign* const ap = new AstAssign{fl, lhsp, rhsp}; if (in) { - insertp->addHereThisAsNext(assignp); + insertp->addHereThisAsNext(ap); } else { - insertp->addNextHere(assignp); + insertp->addNextHere(ap); } } else { - var.varp()->addNextHere(assignp); + AstAssignW* const ap = new AstAssignW{fl, lhsp, rhsp}; + var.varp()->addNextHere(new AstAlways{ap}); } } } @@ -1184,8 +1177,15 @@ class SplitPackedVarVisitor final : public VNVisitor, public SplitVarImpl { rhsp = new AstConcat{fl, new AstVarRef{fl, vars[i].varp(), VAccess::READ}, rhsp}; } - varp->addNextHere( - newAssign(fl, new AstVarRef{fl, varp, VAccess::WRITE}, rhsp, varp)); + if (varp->isFuncLocal() || varp->isFuncReturn()) { + AstAssign* const ap + = new AstAssign{fl, new AstVarRef{fl, varp, VAccess::WRITE}, rhsp}; + varp->addNextHere(ap); + } else { + AstAssignW* const ap + = new AstAssignW{fl, new AstVarRef{fl, varp, VAccess::WRITE}, rhsp}; + varp->addNextHere(new AstAlways{ap}); + } } else { // the original variable is not used anymore. VL_DO_DANGLING(varp->unlinkFrBack()->deleteTree(), varp); } diff --git a/src/V3Task.cpp b/src/V3Task.cpp index 416fd81f5..307220cf4 100644 --- a/src/V3Task.cpp +++ b/src/V3Task.cpp @@ -107,7 +107,6 @@ class TaskStateVisitor final : public VNVisitor { // MEMBERS VarToScopeMap m_varToScopeMap; // Map for Var -> VarScope mappings FuncToClassMap m_funcToClassMap; // Map for ctor func -> class - AstAssignW* m_assignwp = nullptr; // Current assignment AstNodeFTask* m_ctorp = nullptr; // Class constructor AstClass* m_classp = nullptr; // Current class V3Graph m_callGraph; // Task call graph @@ -144,13 +143,6 @@ public: void checkPurity(AstNodeFTask* nodep) { checkPurity(nodep, getFTaskVertex(nodep)); } private: - void convertAssignWToAlways() { - // Wire assigns must become always statements to deal with insertion - // of multiple statements. Perhaps someday make all wassigns into always's? - UINFO(5, " IM_WireRep " << m_assignwp); - m_assignwp->convertToAlways(); - VL_DO_CLEAR(pushDeletep(m_assignwp), m_assignwp = nullptr); - } void checkPurity(AstNodeFTask* nodep, TaskBaseVertex* vxp) { if (nodep->recursive()) return; // Impure, but no warning if (!vxp->pure()) { @@ -193,18 +185,7 @@ private: } iterateChildren(nodep); } - void visit(AstAssignW* nodep) override { - VL_RESTORER(m_assignwp); - m_assignwp = nodep; - VL_DO_DANGLING(iterateChildren(nodep), nodep); // May delete nodep. - } - void visit(AstExprStmt* nodep) override { - if (m_assignwp) convertAssignWToAlways(); - iterateChildren(nodep); - } void visit(AstNodeFTaskRef* nodep) override { - // Includes handling AstMethodCall, AstNew - if (m_assignwp) convertAssignWToAlways(); // We make multiple edges if a task is called multiple times from another task. UASSERT_OBJ(nodep->taskp(), nodep, "Unlinked task"); TaskFTaskVertex* const taskVtxp = getFTaskVertex(nodep->taskp()); diff --git a/src/V3Timing.cpp b/src/V3Timing.cpp index b532cc5be..78224cdbf 100644 --- a/src/V3Timing.cpp +++ b/src/V3Timing.cpp @@ -381,6 +381,10 @@ class TimingSuspendableVisitor final : public VNVisitor { if (!VN_IS(m_procp, NodeProcedure)) v3Global.setUsesTiming(); visit(static_cast(nodep)); } + void visit(AstAssignW* nodep) override { + if (nodep->timingControlp()) v3Global.setUsesTiming(); + // Containing process will not suspend, don't mark it + } void visit(AstNode* nodep) override { if (nodep->isTimingControl()) { v3Global.setUsesTiming(); @@ -1096,24 +1100,30 @@ class TimingControlVisitor final : public VNVisitor { refp->varp()->fileline()->modifyWarnOff(V3ErrorCode::UNOPTFLAT, true); } }); - // Convert it to an always; the new assign with intra delay will be handled by + // Should be under an always + AstAlways* const alwaysp = VN_AS(m_procp, Always); + // Convert it to an Assign; the new assign with intra delay will be handled by // visit(AstNodeAssign*) - AstAlways* const alwaysp = nodep->convertToAlways(); - visit(alwaysp); // Visit now as we need to do some post-processing - VL_DO_DANGLING(nodep->deleteTree(), nodep); + AstNodeExpr* const lhs1p = nodep->lhsp()->unlinkFrBack(); + AstNodeExpr* const rhs1p = nodep->rhsp()->unlinkFrBack(); + AstNode* const controlp = nodep->timingControlp()->unlinkFrBack(); + AstAssign* const assignp = new AstAssign{nodep->fileline(), lhs1p, rhs1p, controlp}; + // Put the assignment in a fork..join_none. + AstBegin* const beginp = new AstBegin{flp, "", assignp, false}; + AstFork* const forkp = new AstFork{flp, "", beginp}; + forkp->joinType(VJoinType::JOIN_NONE); + nodep->replaceWith(forkp); + VL_DO_DANGLING(pushDeletep(nodep), nodep); + visit(forkp); // Visit now as we need to do some post-processing // IEEE 1800-2023 10.3.3 - if the RHS value differs from the currently scheduled value to // be assigned, the currently scheduled assignment is descheduled. To keep track if an // assignment should be descheduled, each scheduled assignment event has a 'generation', // and if at assignment time its generation differs from the current generation, it won't // be performed - AstFork* const forkp = VN_AS(alwaysp->stmtsp(), Fork); - UASSERT_OBJ(forkp, alwaysp, "Fork should be there from convertToAlways()"); - AstBegin* const beginp = VN_AS(forkp->stmtsp(), Begin); - UASSERT_OBJ(beginp, alwaysp, "Begin should be there from convertToAlways()"); AstAssign* const preAssignp = VN_AS(beginp->stmtsp(), Assign); - UASSERT_OBJ(preAssignp, alwaysp, "Pre-assign should be there from convertToAlways()"); + UASSERT_OBJ(preAssignp, alwaysp, "Pre-assign should be there from visit(AstFork)"); AstAssign* const postAssignp = VN_AS(preAssignp->nextp()->nextp(), Assign); - UASSERT_OBJ(postAssignp, alwaysp, "Post-assign should be there from convertToAlways()"); + UASSERT_OBJ(postAssignp, alwaysp, "Post-assign should be there from visit(AstFork)"); // Increment generation and copy it to a local AstVarScope* const generationVarp = createTemp(flp, m_contAsgnGenNames.get(alwaysp), alwaysp->findUInt64DType()); diff --git a/src/V3Tristate.cpp b/src/V3Tristate.cpp index a5c3c4295..0ad4d3eb0 100644 --- a/src/V3Tristate.cpp +++ b/src/V3Tristate.cpp @@ -625,10 +625,10 @@ class TristateVisitor final : public TristateBaseVisitor { AstConst* const constp = newAllZerosOrOnes(varp, false); AstVarRef* const varrefp = new AstVarRef{varp->fileline(), varp, VAccess::WRITE}; - AstNode* const newp = new AstAssignW{varp->fileline(), varrefp, constp}; + AstAssignW* const newp = new AstAssignW{varp->fileline(), varrefp, constp}; UINFO(9, " newoev " << newp); varrefp->user1p(newAllZerosOrOnes(varp, false)); - nodep->addStmtsp(newp); + nodep->addStmtsp(new AstAlways{newp}); mapInsertLhsVarRef(varrefp); // insertTristates will convert // // to a varref to the __out# variable } @@ -682,11 +682,11 @@ class TristateVisitor final : public TristateBaseVisitor { UINFO(9, " newenlhsp " << newEnLhsp); nodep->addStmtsp(newEnLhsp); - AstNode* const enLhspAssignp = new AstAssignW{ + AstAssignW* const enLhspAssignp = new AstAssignW{ refp->fileline(), new AstVarRef{refp->fileline(), newEnLhsp, VAccess::WRITE}, getEnp(refp)}; UINFO(9, " newenlhspAssignp " << enLhspAssignp); - nodep->addStmtsp(enLhspAssignp); + nodep->addStmtsp(new AstAlways{enLhspAssignp}); // now append this driver to the driver logic. AstNodeExpr* const ref1p = new AstVarRef{refp->fileline(), newLhsp, VAccess::READ}; @@ -699,15 +699,15 @@ class TristateVisitor final : public TristateBaseVisitor { AstNodeExpr* const ref3p = new AstVarRef{refp->fileline(), newEnLhsp, VAccess::READ}; enp = (!enp) ? ref3p : new AstOr{ref3p->fileline(), enp, ref3p}; } - AstNode* const assp = new AstAssignW{ + AstAssignW* const assp = new AstAssignW{ varp->fileline(), new AstVarRef{varp->fileline(), varp, VAccess::WRITE}, orp}; UINFO(9, " newassp " << assp); - nodep->addStmtsp(assp); + nodep->addStmtsp(new AstAlways{assp}); - AstNode* const enAssp = new AstAssignW{ + AstAssignW* const enAssp = new AstAssignW{ envarp->fileline(), new AstVarRef{envarp->fileline(), envarp, VAccess::WRITE}, enp}; UINFO(9, " newenassp " << enAssp); - nodep->addStmtsp(enAssp); + nodep->addStmtsp(new AstAlways{enAssp}); } void insertTristatesSignal(AstNodeModule* nodep, AstVar* const invarp, RefStrengthVec* refsp) { @@ -825,15 +825,15 @@ class TristateVisitor final : public TristateBaseVisitor { AstAssignW* const enAssp = new AstAssignW{ enp->fileline(), new AstVarRef{envarp->fileline(), envarp, VAccess::WRITE}, enp}; UINFOTREE(9, enAssp, "", "enAssp"); - nodep->addStmtsp(enAssp); + nodep->addStmtsp(new AstAlways{enAssp}); } // __out (child) or (parent) = drive-value expression - AstNode* const assp = new AstAssignW{ + AstAssignW* const assp = new AstAssignW{ lhsp->fileline(), new AstVarRef{lhsp->fileline(), lhsp, VAccess::WRITE}, orp}; assp->user2(U2_BOTH); // Don't process further; already resolved UINFOTREE(9, assp, "", "lhsp-eqn"); - nodep->addStmtsp(assp); + nodep->addStmtsp(new AstAlways{assp}); // If this is a top-level inout, make sure that the INOUT pins get __en and __out if (v3Global.opt.pinsInoutEnables() && isTopInout) { @@ -848,8 +848,8 @@ class TristateVisitor final : public TristateBaseVisitor { } } - bool isOnlyAssignmentIsToLhsVar(AstAssignW* const nodep) { - if (AstVarRef* const varRefp = VN_CAST(nodep->lhsp(), VarRef)) { + bool isOnlyAssignmentIsToLhsVar(const AstAssignW* const nodep) { + if (const AstVarRef* const varRefp = VN_CAST(nodep->lhsp(), VarRef)) { auto foundIt = m_assigns.find(varRefp->varp()); if (foundIt != m_assigns.end()) { auto const& assignsToVar = foundIt->second; @@ -873,15 +873,15 @@ class TristateVisitor final : public TristateBaseVisitor { } } - uint8_t getStrength(AstAssignW* const nodep, bool value) { + uint8_t getStrength(const AstAssignW* const nodep, bool value) { if (AstStrengthSpec* const strengthSpec = nodep->strengthSpecp()) { return value ? strengthSpec->strength1() : strengthSpec->strength0(); } return VStrength::STRONG; // default strength is strong } - bool assignmentOfValueOnAllBits(AstAssignW* const nodep, bool value) { - if (AstConst* const constp = VN_CAST(nodep->rhsp(), Const)) { + bool assignmentOfValueOnAllBits(const AstAssignW* const nodep, bool value) { + if (const AstConst* const constp = VN_CAST(nodep->rhsp(), Const)) { const V3Number num = constp->num(); return value ? num.isEqAllOnes() : num.isEqZero(); } @@ -890,7 +890,7 @@ class TristateVisitor final : public TristateBaseVisitor { AstAssignW* getStrongestAssignmentOfValue(const Assigns& assigns, bool value) { auto maxIt = std::max_element( - assigns.begin(), assigns.end(), [&](AstAssignW* ap, AstAssignW* bp) { + assigns.begin(), assigns.end(), [&](const AstAssignW* ap, const AstAssignW* bp) { bool valuesOnRhsA = assignmentOfValueOnAllBits(ap, value); bool valuesOnRhsB = assignmentOfValueOnAllBits(bp, value); if (!valuesOnRhsA) return valuesOnRhsB; @@ -903,7 +903,7 @@ class TristateVisitor final : public TristateBaseVisitor { return assignmentOfValueOnAllBits(*maxIt, value) ? *maxIt : nullptr; } - bool isAssignmentNotStrongerThanStrength(AstAssignW* assignp, uint8_t strength) { + bool isAssignmentNotStrongerThanStrength(const AstAssignW* assignp, uint8_t strength) { // If the value of the RHS is known and has all bits equal, only strength corresponding to // its value is taken into account. In opposite case, both strengths are compared. const uint8_t strength0 = getStrength(assignp, 0); @@ -958,7 +958,7 @@ class TristateVisitor final : public TristateBaseVisitor { } } - void removeNotStrongerAssignments(Assigns& assigns, AstAssignW* strongestp, + void removeNotStrongerAssignments(Assigns& assigns, const AstAssignW* strongestp, uint8_t greatestKnownStrength) { // Weaker assignments are these assignments that can't change the final value of the net. // They can be safely removed. Assignments of the same strength are also removed, because @@ -985,12 +985,12 @@ class TristateVisitor final : public TristateBaseVisitor { for (auto& varpAssigns : m_assigns) { Assigns& assigns = varpAssigns.second; if (assigns.size() > 1) { - AstAssignW* const strongest0p = getStrongestAssignmentOfValue(assigns, 0); - AstAssignW* const strongest1p = getStrongestAssignmentOfValue(assigns, 1); - AstAssignW* strongestp = nullptr; + const AstAssignW* const strongest0p = getStrongestAssignmentOfValue(assigns, 0); + const AstAssignW* const strongest1p = getStrongestAssignmentOfValue(assigns, 1); + const AstAssignW* strongestp = nullptr; uint8_t greatestKnownStrength = 0; const auto getIfStrongest - = [&](AstAssignW* const strongestCandidatep, bool value) { + = [&](const AstAssignW* const strongestCandidatep, bool value) { if (!strongestCandidatep) return; uint8_t strength = getStrength(strongestCandidatep, value); if (strength >= greatestKnownStrength) { @@ -1017,19 +1017,22 @@ class TristateVisitor final : public TristateBaseVisitor { for (auto& varpAssigns : m_assigns) { Assigns& assigns = varpAssigns.second; if (assigns.size() > 1) { - auto maxIt = std::max_element( - assigns.begin(), assigns.end(), [&](AstAssignW* ap, AstAssignW* bp) { - if (m_tgraph.isTristate(ap)) return !m_tgraph.isTristate(bp); - if (m_tgraph.isTristate(bp)) return false; - const uint8_t minStrengthA - = std::min(getStrength(ap, 0), getStrength(ap, 1)); - const uint8_t minStrengthB - = std::min(getStrength(bp, 0), getStrength(bp, 1)); - return minStrengthA < minStrengthB; - }); + auto maxIt + = std::max_element(assigns.begin(), assigns.end(), + [&](const AstAssignW* ap, const AstAssignW* bp) { + if (m_tgraph.isTristate(ap)) + return !m_tgraph.isTristate(bp); + if (m_tgraph.isTristate(bp)) return false; + const uint8_t minStrengthA + = std::min(getStrength(ap, 0), getStrength(ap, 1)); + const uint8_t minStrengthB + = std::min(getStrength(bp, 0), getStrength(bp, 1)); + return minStrengthA < minStrengthB; + }); // If RHSs of all assignments are tristate, 1st element is returned, so it is // needed to check if it is non-tristate. - AstAssignW* const strongestp = m_tgraph.isTristate(*maxIt) ? nullptr : *maxIt; + const AstAssignW* const strongestp + = m_tgraph.isTristate(*maxIt) ? nullptr : *maxIt; if (strongestp) { uint8_t greatestKnownStrength = std::min(getStrength(strongestp, 0), getStrength(strongestp, 1)); diff --git a/src/V3Unknown.cpp b/src/V3Unknown.cpp index bfc06199d..5a8c6a172 100644 --- a/src/V3Unknown.cpp +++ b/src/V3Unknown.cpp @@ -58,7 +58,6 @@ class UnknownVisitor final : public VNVisitor { // STATE - for current visit position (use VL_RESTORER) AstNodeModule* m_modp = nullptr; // Current module AstNodeFTask* m_ftaskp = nullptr; // Current function/task - AstAssignW* m_assignwp = nullptr; // Current assignment AstAssignDly* m_assigndlyp = nullptr; // Current assignment AstNode* m_timingControlp = nullptr; // Current assignment's intra timing control bool m_constXCvt = false; // Convert X's @@ -82,13 +81,6 @@ class UnknownVisitor final : public VNVisitor { // but makes a mess in the emitter as lvalue switching is needed. So 4. // SEL(...) -> temp // if (COND(LTE(bit<=maxlsb))) ASSIGN(SEL(...)),temp) - if (m_assignwp) { - // Wire assigns must become always statements to deal with insertion - // of multiple statements. Perhaps someday make all wassigns into always's? - UINFO(5, " IM_WireRep " << m_assignwp); - m_assignwp->convertToAlways(); - VL_DO_CLEAR(pushDeletep(m_assignwp), m_assignwp = nullptr); - } const bool needDly = (m_assigndlyp != nullptr); if (m_assigndlyp) { // Delayed assignments become normal assignments, @@ -212,9 +204,7 @@ class UnknownVisitor final : public VNVisitor { VL_DO_DANGLING(iterateChildren(nodep), nodep); // May delete nodep. } void visit(AstAssignW* nodep) override { - VL_RESTORER(m_assignwp); VL_RESTORER(m_timingControlp); - m_assignwp = nodep; m_timingControlp = nodep->timingControlp(); VL_DO_DANGLING(iterateChildren(nodep), nodep); // May delete nodep. } diff --git a/src/V3Width.cpp b/src/V3Width.cpp index 0cc6fd188..b8e1fc171 100644 --- a/src/V3Width.cpp +++ b/src/V3Width.cpp @@ -1483,7 +1483,7 @@ class WidthVisitor final : public VNVisitor { pushDeletep(nodep->unlinkFrBack()); return; } - nodep->replaceWith(newp); + nodep->replaceWith(new AstAlways{newp}); VL_DO_DANGLING(pushDeletep(nodep), nodep); } diff --git a/src/verilog.y b/src/verilog.y index 112b05de4..2f31eed05 100644 --- a/src/verilog.y +++ b/src/verilog.y @@ -46,23 +46,31 @@ MINTYPMAXDLY, \ "Unsupported: minimum/typical/maximum delay expressions. Using the typical delay"); \ } -// Given a list of assignments, if there is a delay add it to each assignment -#define DELAY_LIST(delayp, assignsp) \ - if (delayp) { \ - for (auto* nodep = assignsp; nodep; nodep = nodep->nextp()) { \ - if (VN_IS(nodep, Implicit)) continue; \ - auto* const assignp = VN_AS(nodep, NodeAssign); \ - assignp->timingControlp(nodep == assignsp ? delayp : delayp->cloneTree(false)); \ - } \ - if (!delayp->backp()) delayp->deleteTree(); \ +// Apply a delay to all continuous assignments under listp +static void DELAY_LIST(AstNode* listp, AstDelay* delayp) { + if (!delayp) return; + for (AstNode* nodep = listp; nodep; nodep = nodep->nextp()) { + if (VN_IS(nodep, Implicit)) continue; + AstAlways* const alwaysp = VN_AS(nodep, Always); + AstAssignW* const assignp = VN_AS(alwaysp->stmtsp(), AssignW); + assignp->timingControlp(delayp->backp() ? delayp->cloneTree(false) : delayp); } -#define STRENGTHUNSUP(nodep) \ - { \ - if (nodep) { \ - BBUNSUP((nodep->fileline()), "Unsupported: Strength specifier on this gate type"); \ - nodep->deleteTree(); \ - } \ +} +// Apply a strength to all continuous assignments under listp +static void STRENGTH_LIST(AstNode* listp, AstStrengthSpec* specp) { + if (!specp) return; + for (AstNode* nodep = listp; nodep; nodep = nodep->nextp()) { + if (VN_IS(nodep, Implicit)) continue; + AstAlways* const alwaysp = VN_AS(nodep, Always); + AstAssignW* const assignp = VN_AS(alwaysp->stmtsp(), AssignW); + assignp->strengthSpecp(specp->backp() ? specp->cloneTree(false) : specp); } +} +static void STRENGTHUNSUP(AstStrengthSpec* nodep) { + if (!nodep) return; + BBUNSUP((nodep->fileline()), "Unsupported: Strength specifier on this gate type"); + nodep->deleteTree(); +} //====================================================================== // Statics (for here only) @@ -133,19 +141,6 @@ const VBasicDTypeKwd LOGIC_IMPLICIT = VBasicDTypeKwd::LOGIC_IMPLICIT; if (nodep) nodep->deleteTree(); \ } -// Apply a strength to a list of nodes under beginp -#define STRENGTH_LIST(beginp, strengthSpecNodep, typeToCast) \ - { \ - if (AstStrengthSpec* const specp = VN_CAST(strengthSpecNodep, StrengthSpec)) { \ - for (auto* nodep = beginp; nodep; nodep = nodep->nextp()) { \ - if (VN_IS(nodep, Implicit)) continue; \ - auto* const assignp = VN_AS(nodep, typeToCast); \ - assignp->strengthSpecp(nodep == beginp ? specp : specp->cloneTree(false)); \ - } \ - if (!strengthSpecNodep->backp()) strengthSpecNodep->deleteTree(); \ - } \ - } - static void ERRSVKWD(FileLine* fileline, const string& tokname) { static int toldonce = 0; fileline->v3error( @@ -1802,8 +1797,7 @@ net_declaration: // IEEE: net_declaration - excluding implict net_declarationFront: // IEEE: beginning of net_declaration net_declRESET net_type driveStrengthE net_scalaredE net_dataTypeE { VARDTYPE_NDECL($5); - GRAMMARP->setNetStrength(VN_CAST($3, StrengthSpec)); - } + GRAMMARP->setNetStrength($3); } | net_declRESET yINTERCONNECT signingE rangeListE { BBUNSUP($2, "Unsupported: interconnect"); VARDECL(WIRE); @@ -2634,8 +2628,8 @@ always_construct: // IEEE: == always_construct continuous_assign: // IEEE: continuous_assign yASSIGN driveStrengthE delay_controlE assignList ';' { $$ = $4; - STRENGTH_LIST($4, $2, AssignW); - DELAY_LIST($3, $4); } + STRENGTH_LIST($4, $2); + DELAY_LIST($4, $3); } ; initial_construct: // IEEE: initial_construct @@ -2913,13 +2907,14 @@ c_case_generate_item: // IEEE: case_generate_item (for checkers) //************************************************ // Assignments and register declarations -assignList: +assignList: assignOne { $$ = $1; } | assignList ',' assignOne { $$ = $1->addNext($3); } ; -assignOne: - variable_lvalue '=' expr { $$ = new AstAssignW{$2, $1, $3}; } +assignOne: + variable_lvalue '=' expr { AstAssignW* const ap = new AstAssignW{$2, $1, $3}; + $$ = new AstAlways{ap}; } ; delay_or_event_controlE: // IEEE: delay_or_event_control plus empty @@ -2981,7 +2976,7 @@ netSig: // IEEE: net_decl_assignment - one element from AstDelay* const delayp = $$->delayp() ? $$->delayp()->unlinkFrBack() : nullptr; AstAssignW* const assignp = new AstAssignW{$4, new AstParseRef{$1, *$1}, $5, delayp}; if (GRAMMARP->m_netStrengthp) assignp->strengthSpecp(GRAMMARP->m_netStrengthp->cloneTree(false)); - AstNode::addNext($$, assignp); } + AstNode::addNext($$, new AstAlways{assignp}); } ; netId: @@ -5409,22 +5404,22 @@ let_port_item: // IEEE: let_port_Item // Gate declarations gateDecl: - yBUF driveStrengthE delay_controlE gateBufList ';' { $$ = $4; STRENGTHUNSUP($2); DELAY_LIST($3, $4); } - | yBUFIF0 driveStrengthE delay_controlE gateBufif0List ';' { $$ = $4; STRENGTHUNSUP($2); DELAY_LIST($3, $4); } - | yBUFIF1 driveStrengthE delay_controlE gateBufif1List ';' { $$ = $4; STRENGTHUNSUP($2); DELAY_LIST($3, $4); } - | yNOT driveStrengthE delay_controlE gateNotList ';' { $$ = $4; STRENGTH_LIST($4, $2, AssignW); DELAY_LIST($3, $4); } - | yNOTIF0 driveStrengthE delay_controlE gateNotif0List ';' { $$ = $4; STRENGTHUNSUP($2); DELAY_LIST($3, $4); } - | yNOTIF1 driveStrengthE delay_controlE gateNotif1List ';' { $$ = $4; STRENGTHUNSUP($2); DELAY_LIST($3, $4); } - | yAND driveStrengthE delay_controlE gateAndList ';' { $$ = $4; STRENGTH_LIST($4, $2, AssignW); DELAY_LIST($3, $4); } - | yNAND driveStrengthE delay_controlE gateNandList ';' { $$ = $4; STRENGTH_LIST($4, $2, AssignW); DELAY_LIST($3, $4); } - | yOR driveStrengthE delay_controlE gateOrList ';' { $$ = $4; STRENGTH_LIST($4, $2, AssignW); DELAY_LIST($3, $4); } - | yNOR driveStrengthE delay_controlE gateNorList ';' { $$ = $4; STRENGTH_LIST($4, $2, AssignW); DELAY_LIST($3, $4); } - | yXOR driveStrengthE delay_controlE gateXorList ';' { $$ = $4; STRENGTH_LIST($4, $2, AssignW); DELAY_LIST($3, $4); } - | yXNOR driveStrengthE delay_controlE gateXnorList ';' { $$ = $4; STRENGTH_LIST($4, $2, AssignW); DELAY_LIST($3, $4); } - | yPULLDOWN pulldown_strengthE delay_controlE gatePulldownList ';' { $$ = $4; DELAY_LIST($3, $4); } - | yPULLUP pullup_strengthE delay_controlE gatePullupList ';' { $$ = $4; DELAY_LIST($3, $4); } - | yNMOS delay_controlE gateBufif1List ';' { $$ = $3; DELAY_LIST($2, $3); } - | yPMOS delay_controlE gateBufif0List ';' { $$ = $3; DELAY_LIST($2, $3); } + yBUF driveStrengthE delay_controlE gateBufList ';' { $$ = $4; STRENGTHUNSUP($2); DELAY_LIST($4, $3); } + | yBUFIF0 driveStrengthE delay_controlE gateBufif0List ';' { $$ = $4; STRENGTHUNSUP($2); DELAY_LIST($4, $3); } + | yBUFIF1 driveStrengthE delay_controlE gateBufif1List ';' { $$ = $4; STRENGTHUNSUP($2); DELAY_LIST($4, $3); } + | yNOT driveStrengthE delay_controlE gateNotList ';' { $$ = $4; STRENGTH_LIST($4, $2); DELAY_LIST($4, $3); } + | yNOTIF0 driveStrengthE delay_controlE gateNotif0List ';' { $$ = $4; STRENGTHUNSUP($2); DELAY_LIST($4, $3); } + | yNOTIF1 driveStrengthE delay_controlE gateNotif1List ';' { $$ = $4; STRENGTHUNSUP($2); DELAY_LIST($4, $3); } + | yAND driveStrengthE delay_controlE gateAndList ';' { $$ = $4; STRENGTH_LIST($4, $2); DELAY_LIST($4, $3); } + | yNAND driveStrengthE delay_controlE gateNandList ';' { $$ = $4; STRENGTH_LIST($4, $2); DELAY_LIST($4, $3); } + | yOR driveStrengthE delay_controlE gateOrList ';' { $$ = $4; STRENGTH_LIST($4, $2); DELAY_LIST($4, $3); } + | yNOR driveStrengthE delay_controlE gateNorList ';' { $$ = $4; STRENGTH_LIST($4, $2); DELAY_LIST($4, $3); } + | yXOR driveStrengthE delay_controlE gateXorList ';' { $$ = $4; STRENGTH_LIST($4, $2); DELAY_LIST($4, $3); } + | yXNOR driveStrengthE delay_controlE gateXnorList ';' { $$ = $4; STRENGTH_LIST($4, $2); DELAY_LIST($4, $3); } + | yPULLDOWN pulldown_strengthE delay_controlE gatePulldownList ';' { $$ = $4; DELAY_LIST($4, $3); } + | yPULLUP pullup_strengthE delay_controlE gatePullupList ';' { $$ = $4; DELAY_LIST($4, $3); } + | yNMOS delay_controlE gateBufif1List ';' { $$ = $3; DELAY_LIST($3, $2); } + | yPMOS delay_controlE gateBufif0List ';' { $$ = $3; DELAY_LIST($3, $2); } // | yTRAN delay_controlE gateUnsupList ';' { $$ = $3; GATEUNSUP($3, "tran"); } | yRCMOS delay_controlE gateUnsupList ';' { $$ = $3; GATEUNSUP($3, "rcmos"); } @@ -5508,10 +5503,13 @@ gateBuf: { AstNodeExpr* inp = $4; while (inp->nextp()) inp = VN_AS(inp->nextp(), NodeExpr); $$ = new AstImplicit{$1, inp->cloneTree(false)}; - $$->addNext(new AstAssignW{$1, $2, GRAMMARP->createGatePin(inp->cloneTree(false))}); + AstNodeExpr* const rhsp = GRAMMARP->createGatePin(inp->cloneTree(false)); + AstAssignW* const ap = new AstAssignW{$1, $2, rhsp}; + $$->addNext(new AstAlways{ap}); for (AstNodeExpr* outp = $4; outp->nextp(); outp = VN_CAST(outp->nextp(), NodeExpr)) { - $$->addNext(new AstAssignW{$1, outp->cloneTree(false), - GRAMMARP->createGatePin(inp->cloneTree(false))}); + AstNodeExpr* const rhsp = GRAMMARP->createGatePin(inp->cloneTree(false)); + AstAssignW* const ap = new AstAssignW{$1, outp->cloneTree(false), rhsp}; + $$->addNext(new AstAlways{ap}); } DEL($1); DEL($4); } ; @@ -5520,69 +5518,99 @@ gateNot: { AstNodeExpr* inp = $4; while (inp->nextp()) inp = VN_AS(inp->nextp(), NodeExpr); $$ = new AstImplicit{$1, inp->cloneTree(false)}; - $$->addNext(new AstAssignW{$1, $2, new AstNot{$1, - GRAMMARP->createGatePin(inp->cloneTree(false))}}); + AstNodeExpr* const rhsp = new AstNot{$1, GRAMMARP->createGatePin(inp->cloneTree(false))}; + AstAssignW* const ap = new AstAssignW{$1, $2, rhsp}; + $$->addNext(new AstAlways{ap}); for (AstNodeExpr* outp = $4; outp->nextp(); outp = VN_CAST(outp->nextp(), NodeExpr)) { - $$->addNext(new AstAssignW{$1, outp->cloneTree(false), - new AstNot{$1, - GRAMMARP->createGatePin(inp->cloneTree(false))}}); + AstNodeExpr* const rhsp = new AstNot{$1, GRAMMARP->createGatePin(inp->cloneTree(false))}; + AstAssignW* const ap = new AstAssignW{$1, outp->cloneTree(false), rhsp}; + $$->addNext(new AstAlways{ap}); } - DEL($1); DEL($4); } + DEL($1, $4); } ; gateBufif0: gateFront variable_lvalue ',' gatePinExpr ',' gatePinExpr ')' { $$ = new AstImplicit{$1, $6->cloneTree(false)}; $$->addExprsp($4->cloneTree(false)); - $$->addNext(new AstAssignW{$1, $2, new AstBufIf1{$1, new AstNot{$1, $6}, $4}}); DEL($1); } + AstNodeExpr* const rhsp = new AstBufIf1{$1, new AstNot{$1, $6}, $4}; + AstAssignW* const ap = new AstAssignW{$1, $2, rhsp}; + $$->addNext(new AstAlways{ap}); + DEL($1); } ; gateBufif1: gateFront variable_lvalue ',' gatePinExpr ',' gatePinExpr ')' { $$ = new AstImplicit{$1, $6->cloneTree(false)}; $$->addExprsp($4->cloneTree(false)); - $$->addNext(new AstAssignW{$1, $2, new AstBufIf1{$1, $6, $4}}); DEL($1); } + AstNodeExpr* const rhsp = new AstBufIf1{$1, $6, $4}; + AstAssignW* const ap = new AstAssignW{$1, $2, rhsp}; + $$->addNext(new AstAlways{ap}); + DEL($1); } ; gateNotif0: gateFront variable_lvalue ',' gatePinExpr ',' gatePinExpr ')' { $$ = new AstImplicit{$1, $6->cloneTree(false)}; $$->addExprsp($4->cloneTree(false)); - $$->addNext(new AstAssignW{$1, $2, new AstBufIf1{$1, new AstNot{$1, $6}, - new AstNot{$1, $4}}}); DEL($1); } + AstNodeExpr* const rhsp = new AstBufIf1{$1, new AstNot{$1, $6}, new AstNot{$1, $4}}; + AstAssignW* const ap = new AstAssignW{$1, $2, rhsp}; + $$->addNext(new AstAlways{ap}); + DEL($1); } ; gateNotif1: gateFront variable_lvalue ',' gatePinExpr ',' gatePinExpr ')' { $$ = new AstImplicit{$1, $6->cloneTree(false)}; $$->addExprsp($4->cloneTree(false)); - $$->addNext(new AstAssignW{$1, $2, new AstBufIf1{$1, $6, new AstNot{$1, $4}}}); DEL($1); } + AstNodeExpr* const rhsp = new AstBufIf1{$1, $6, new AstNot{$1, $4}}; + AstAssignW* const ap = new AstAssignW{$1, $2, rhsp}; + $$->addNext(new AstAlways{ap}); + DEL($1); } ; gateAnd: gateFront variable_lvalue ',' gateAndPinList ')' { $$ = new AstImplicit{$1, $4->cloneTree(false)}; - $$->addNext(new AstAssignW{$1, $2, $4}); DEL($1); } + AstNodeExpr* const rhsp = $4; + AstAssignW* const ap = new AstAssignW{$1, $2, rhsp}; + $$->addNext(new AstAlways{ap}); + DEL($1); } ; gateNand: gateFront variable_lvalue ',' gateAndPinList ')' { $$ = new AstImplicit{$1, $4->cloneTree(false)}; - $$->addNext(new AstAssignW{$1, $2, new AstNot{$1, $4}}); DEL($1); } + AstNodeExpr* const rhsp = new AstNot{$1, $4}; + AstAssignW* const ap = new AstAssignW{$1, $2, rhsp}; + $$->addNext(new AstAlways{ap}); + DEL($1); } ; gateOr: gateFront variable_lvalue ',' gateOrPinList ')' { $$ = new AstImplicit{$1, $4->cloneTree(false)}; - $$->addNext(new AstAssignW{$1, $2, $4}); DEL($1); } + AstNodeExpr* const rhsp = $4; + AstAssignW* const ap = new AstAssignW{$1, $2, rhsp}; + $$->addNext(new AstAlways{ap}); + DEL($1); } ; gateNor: gateFront variable_lvalue ',' gateOrPinList ')' { $$ = new AstImplicit{$1, $4->cloneTree(false)}; - $$->addNext(new AstAssignW{$1, $2, new AstNot{$1, $4}}); DEL($1); } + AstNodeExpr* const rhsp = new AstNot{$1, $4}; + AstAssignW* const ap = new AstAssignW{$1, $2, rhsp}; + $$->addNext(new AstAlways{ap}); + DEL($1); } ; gateXor: gateFront variable_lvalue ',' gateXorPinList ')' { $$ = new AstImplicit{$1, $4->cloneTree(false)}; - $$->addNext(new AstAssignW{$1, $2, $4}); DEL($1); } + AstNodeExpr* const rhsp = $4; + AstAssignW* const ap = new AstAssignW{$1, $2, rhsp}; + $$->addNext(new AstAlways{ap}); + DEL($1); } ; gateXnor: gateFront variable_lvalue ',' gateXorPinList ')' { $$ = new AstImplicit{$1, $4->cloneTree(false)}; - $$->addNext(new AstAssignW{$1, $2, new AstNot{$1, $4}}); DEL($1); } + AstNodeExpr* const rhsp = new AstNot{$1, $4}; + AstAssignW* const ap = new AstAssignW{$1, $2, rhsp}; + $$->addNext(new AstAlways{ap}); + DEL($1); } ; gatePullup: gateFront variable_lvalue ')' { $$ = new AstPull{$1, $2, true}; DEL($1); } @@ -5634,12 +5662,12 @@ strength1: | yWEAK1 { $$ = VStrength::WEAK; } ; -driveStrengthE: +driveStrengthE: /* empty */ { $$ = nullptr; } | driveStrength { $$ = $1; } ; -driveStrength: +driveStrength: yP_PAR__STRENGTH strength0 ',' strength1 ')' { $$ = new AstStrengthSpec{$1, $2, $4}; } | yP_PAR__STRENGTH strength1 ',' strength0 ')' { $$ = new AstStrengthSpec{$1, $4, $2}; } | yP_PAR__STRENGTH strength0 ',' yHIGHZ1 ')' { $$ = nullptr; BBUNSUP($4, "Unsupported: highz strength"); } diff --git a/test_regress/t/t_dump_json.out b/test_regress/t/t_dump_json.out index 33bac1528..bbd02f1fa 100644 --- a/test_regress/t/t_dump_json.out +++ b/test_regress/t/t_dump_json.out @@ -53,375 +53,381 @@ ]} ]} ],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"ASSIGNW","name":"","addr":"(FB)","loc":"e,18:19,18:20","dtypep":"UNLINKED", - "rhsp": [ - {"type":"SELEXTRACT","name":"","addr":"(GB)","loc":"e,18:24,18:25","dtypep":"UNLINKED", - "fromp": [ - {"type":"PARSEREF","name":"crc","addr":"(HB)","loc":"e,18:21,18:24","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} - ], - "leftp": [ - {"type":"CONST","name":"?32?sh1f","addr":"(IB)","loc":"e,18:25,18:27","dtypep":"(DB)"} - ], - "rightp": [ - {"type":"CONST","name":"?32?sh0","addr":"(JB)","loc":"e,18:28,18:29","dtypep":"(N)"} - ],"attrp": []} - ], - "lhsp": [ - {"type":"PARSEREF","name":"in","addr":"(KB)","loc":"e,18:16,18:18","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} - ],"timingControlp": [],"strengthSpecp": []}, - {"type":"VAR","name":"out","addr":"(LB)","loc":"e,22:25,22:28","dtypep":"UNLINKED","origName":"out","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"WIRE","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", - "childDTypep": [ - {"type":"BASICDTYPE","name":"logic","addr":"(MB)","loc":"e,22:9,22:10","dtypep":"(MB)","keyword":"logic","generic":false, - "rangep": [ - {"type":"RANGE","name":"","addr":"(NB)","loc":"e,22:9,22:10","ascending":false,"fromBracket":false, + {"type":"ALWAYS","name":"","addr":"(FB)","loc":"e,18:19,18:20","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(GB)","loc":"e,18:19,18:20","dtypep":"UNLINKED", + "rhsp": [ + {"type":"SELEXTRACT","name":"","addr":"(HB)","loc":"e,18:24,18:25","dtypep":"UNLINKED", + "fromp": [ + {"type":"PARSEREF","name":"crc","addr":"(IB)","loc":"e,18:21,18:24","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + ], "leftp": [ - {"type":"CONST","name":"?32?sh1f","addr":"(OB)","loc":"e,22:10,22:12","dtypep":"(DB)"} + {"type":"CONST","name":"?32?sh1f","addr":"(JB)","loc":"e,18:25,18:27","dtypep":"(DB)"} ], "rightp": [ - {"type":"CONST","name":"?32?sh0","addr":"(PB)","loc":"e,22:13,22:14","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(KB)","loc":"e,18:28,18:29","dtypep":"(N)"} + ],"attrp": []} + ], + "lhsp": [ + {"type":"PARSEREF","name":"in","addr":"(LB)","loc":"e,18:16,18:18","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + ],"timingControlp": [],"strengthSpecp": []} + ]}, + {"type":"VAR","name":"out","addr":"(MB)","loc":"e,22:25,22:28","dtypep":"UNLINKED","origName":"out","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"WIRE","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + "childDTypep": [ + {"type":"BASICDTYPE","name":"logic","addr":"(NB)","loc":"e,22:9,22:10","dtypep":"(NB)","keyword":"logic","generic":false, + "rangep": [ + {"type":"RANGE","name":"","addr":"(OB)","loc":"e,22:9,22:10","ascending":false,"fromBracket":false, + "leftp": [ + {"type":"CONST","name":"?32?sh1f","addr":"(PB)","loc":"e,22:10,22:12","dtypep":"(DB)"} + ], + "rightp": [ + {"type":"CONST","name":"?32?sh0","addr":"(QB)","loc":"e,22:13,22:14","dtypep":"(N)"} ]} ]} ],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"CELL","name":"test","addr":"(QB)","loc":"e,25:9,25:13","origName":"test","recursive":false,"modp":"(RB)", + {"type":"CELL","name":"test","addr":"(RB)","loc":"e,25:9,25:13","origName":"test","recursive":false,"modp":"(SB)", "pinsp": [ - {"type":"PIN","name":"out","addr":"(SB)","loc":"e,27:15,27:18","svDotName":true,"svImplicit":false,"modVarp":"UNLINKED","modPTypep":"UNLINKED", + {"type":"PIN","name":"out","addr":"(TB)","loc":"e,27:15,27:18","svDotName":true,"svImplicit":false,"modVarp":"UNLINKED","modPTypep":"UNLINKED", "exprp": [ - {"type":"SELEXTRACT","name":"","addr":"(TB)","loc":"e,27:45,27:46","dtypep":"UNLINKED", + {"type":"SELEXTRACT","name":"","addr":"(UB)","loc":"e,27:45,27:46","dtypep":"UNLINKED", "fromp": [ - {"type":"PARSEREF","name":"out","addr":"(UB)","loc":"e,27:42,27:45","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"out","addr":"(VB)","loc":"e,27:42,27:45","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "leftp": [ - {"type":"CONST","name":"?32?sh1f","addr":"(VB)","loc":"e,27:46,27:48","dtypep":"(DB)"} + {"type":"CONST","name":"?32?sh1f","addr":"(WB)","loc":"e,27:46,27:48","dtypep":"(DB)"} ], "rightp": [ - {"type":"CONST","name":"?32?sh0","addr":"(WB)","loc":"e,27:49,27:50","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(XB)","loc":"e,27:49,27:50","dtypep":"(N)"} ],"attrp": []} ]}, - {"type":"PIN","name":"clk","addr":"(XB)","loc":"e,29:15,29:18","svDotName":true,"svImplicit":false,"modVarp":"UNLINKED","modPTypep":"UNLINKED", + {"type":"PIN","name":"clk","addr":"(YB)","loc":"e,29:15,29:18","svDotName":true,"svImplicit":false,"modVarp":"UNLINKED","modPTypep":"UNLINKED", "exprp": [ - {"type":"PARSEREF","name":"clk","addr":"(YB)","loc":"e,29:42,29:45","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"clk","addr":"(ZB)","loc":"e,29:42,29:45","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]}, - {"type":"PIN","name":"in","addr":"(ZB)","loc":"e,30:15,30:17","svDotName":true,"svImplicit":false,"modVarp":"UNLINKED","modPTypep":"UNLINKED", + {"type":"PIN","name":"in","addr":"(AC)","loc":"e,30:15,30:17","svDotName":true,"svImplicit":false,"modVarp":"UNLINKED","modPTypep":"UNLINKED", "exprp": [ - {"type":"SELEXTRACT","name":"","addr":"(AC)","loc":"e,30:44,30:45","dtypep":"UNLINKED", + {"type":"SELEXTRACT","name":"","addr":"(BC)","loc":"e,30:44,30:45","dtypep":"UNLINKED", "fromp": [ - {"type":"PARSEREF","name":"in","addr":"(BC)","loc":"e,30:42,30:44","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"in","addr":"(CC)","loc":"e,30:42,30:44","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "leftp": [ - {"type":"CONST","name":"?32?sh1f","addr":"(CC)","loc":"e,30:45,30:47","dtypep":"(DB)"} + {"type":"CONST","name":"?32?sh1f","addr":"(DC)","loc":"e,30:45,30:47","dtypep":"(DB)"} ], "rightp": [ - {"type":"CONST","name":"?32?sh0","addr":"(DC)","loc":"e,30:48,30:49","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(EC)","loc":"e,30:48,30:49","dtypep":"(N)"} ],"attrp": []} ]} ],"paramsp": [],"rangep": [],"intfRefsp": []}, - {"type":"VAR","name":"result","addr":"(EC)","loc":"e,33:16,33:22","dtypep":"UNLINKED","origName":"result","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"WIRE","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"result","addr":"(FC)","loc":"e,33:16,33:22","dtypep":"UNLINKED","origName":"result","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"WIRE","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"BASICDTYPE","name":"logic","addr":"(FC)","loc":"e,33:9,33:10","dtypep":"(FC)","keyword":"logic","generic":false, + {"type":"BASICDTYPE","name":"logic","addr":"(GC)","loc":"e,33:9,33:10","dtypep":"(GC)","keyword":"logic","generic":false, "rangep": [ - {"type":"RANGE","name":"","addr":"(GC)","loc":"e,33:9,33:10","ascending":false,"fromBracket":false, + {"type":"RANGE","name":"","addr":"(HC)","loc":"e,33:9,33:10","ascending":false,"fromBracket":false, "leftp": [ - {"type":"CONST","name":"?32?sh3f","addr":"(HC)","loc":"e,33:10,33:12","dtypep":"(S)"} + {"type":"CONST","name":"?32?sh3f","addr":"(IC)","loc":"e,33:10,33:12","dtypep":"(S)"} ], "rightp": [ - {"type":"CONST","name":"?32?sh0","addr":"(IC)","loc":"e,33:13,33:14","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(JC)","loc":"e,33:13,33:14","dtypep":"(N)"} ]} ]} ],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"ASSIGNW","name":"","addr":"(JC)","loc":"e,33:23,33:24","dtypep":"UNLINKED", - "rhsp": [ - {"type":"REPLICATE","name":"","addr":"(KC)","loc":"e,33:25,33:26","dtypep":"(LC)", - "srcp": [ - {"type":"CONCAT","name":"","addr":"(MC)","loc":"e,33:31,33:32","dtypep":"UNLINKED", - "lhsp": [ - {"type":"CONST","name":"32'h0","addr":"(NC)","loc":"e,33:26,33:31","dtypep":"(OC)"} + {"type":"ALWAYS","name":"","addr":"(KC)","loc":"e,33:23,33:24","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(LC)","loc":"e,33:23,33:24","dtypep":"UNLINKED", + "rhsp": [ + {"type":"REPLICATE","name":"","addr":"(MC)","loc":"e,33:25,33:26","dtypep":"(NC)", + "srcp": [ + {"type":"CONCAT","name":"","addr":"(OC)","loc":"e,33:31,33:32","dtypep":"UNLINKED", + "lhsp": [ + {"type":"CONST","name":"32'h0","addr":"(PC)","loc":"e,33:26,33:31","dtypep":"(QC)"} + ], + "rhsp": [ + {"type":"PARSEREF","name":"out","addr":"(RC)","loc":"e,33:33,33:36","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + ]} ], - "rhsp": [ - {"type":"PARSEREF","name":"out","addr":"(PC)","loc":"e,33:33,33:36","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + "countp": [ + {"type":"CONST","name":"32'h1","addr":"(SC)","loc":"e,33:25,33:26","dtypep":"(QC)"} ]} ], - "countp": [ - {"type":"CONST","name":"32'h1","addr":"(QC)","loc":"e,33:25,33:26","dtypep":"(OC)"} - ]} - ], - "lhsp": [ - {"type":"PARSEREF","name":"result","addr":"(RC)","loc":"e,33:16,33:22","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} - ],"timingControlp": [],"strengthSpecp": []}, - {"type":"ALWAYS","name":"","addr":"(SC)","loc":"e,36:4,36:10","keyword":"always","isSuspendable":false,"needProcess":false,"sentreep": [], + "lhsp": [ + {"type":"PARSEREF","name":"result","addr":"(TC)","loc":"e,33:16,33:22","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + ],"timingControlp": [],"strengthSpecp": []} + ]}, + {"type":"ALWAYS","name":"","addr":"(UC)","loc":"e,36:4,36:10","keyword":"always","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"EVENTCONTROL","name":"","addr":"(TC)","loc":"e,36:11,36:12", + {"type":"EVENTCONTROL","name":"","addr":"(VC)","loc":"e,36:11,36:12", "sentreep": [ - {"type":"SENTREE","name":"","addr":"(UC)","loc":"e,36:11,36:12","isMulti":false, + {"type":"SENTREE","name":"","addr":"(WC)","loc":"e,36:11,36:12","isMulti":false, "sensesp": [ - {"type":"SENITEM","name":"","addr":"(VC)","loc":"e,36:14,36:21","edgeType":"POS", + {"type":"SENITEM","name":"","addr":"(XC)","loc":"e,36:14,36:21","edgeType":"POS", "sensp": [ - {"type":"PARSEREF","name":"clk","addr":"(WC)","loc":"e,36:22,36:25","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"clk","addr":"(YC)","loc":"e,36:22,36:25","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ],"condp": []} ]} ], "stmtsp": [ - {"type":"BEGIN","name":"","addr":"(XC)","loc":"e,36:27,36:32","implied":false,"needProcess":false,"unnamed":true, + {"type":"BEGIN","name":"","addr":"(ZC)","loc":"e,36:27,36:32","implied":false,"needProcess":false,"unnamed":true, "stmtsp": [ - {"type":"ASSIGNDLY","name":"","addr":"(YC)","loc":"e,40:11,40:13","dtypep":"UNLINKED", + {"type":"ASSIGNDLY","name":"","addr":"(AD)","loc":"e,40:11,40:13","dtypep":"UNLINKED", "rhsp": [ - {"type":"ADD","name":"","addr":"(ZC)","loc":"e,40:18,40:19","dtypep":"UNLINKED", + {"type":"ADD","name":"","addr":"(BD)","loc":"e,40:18,40:19","dtypep":"UNLINKED", "lhsp": [ - {"type":"PARSEREF","name":"cyc","addr":"(AD)","loc":"e,40:14,40:17","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"cyc","addr":"(CD)","loc":"e,40:14,40:17","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "rhsp": [ - {"type":"CONST","name":"?32?sh1","addr":"(BD)","loc":"e,40:20,40:21","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh1","addr":"(DD)","loc":"e,40:20,40:21","dtypep":"(N)"} ]} ], "lhsp": [ - {"type":"PARSEREF","name":"cyc","addr":"(CD)","loc":"e,40:7,40:10","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"cyc","addr":"(ED)","loc":"e,40:7,40:10","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ],"timingControlp": []}, - {"type":"ASSIGNDLY","name":"","addr":"(DD)","loc":"e,41:11,41:13","dtypep":"UNLINKED", + {"type":"ASSIGNDLY","name":"","addr":"(FD)","loc":"e,41:11,41:13","dtypep":"UNLINKED", "rhsp": [ - {"type":"REPLICATE","name":"","addr":"(ED)","loc":"e,41:14,41:15","dtypep":"(LC)", + {"type":"REPLICATE","name":"","addr":"(GD)","loc":"e,41:14,41:15","dtypep":"(NC)", "srcp": [ - {"type":"CONCAT","name":"","addr":"(FD)","loc":"e,41:24,41:25","dtypep":"UNLINKED", + {"type":"CONCAT","name":"","addr":"(HD)","loc":"e,41:24,41:25","dtypep":"UNLINKED", "lhsp": [ - {"type":"SELEXTRACT","name":"","addr":"(GD)","loc":"e,41:18,41:19","dtypep":"UNLINKED", + {"type":"SELEXTRACT","name":"","addr":"(ID)","loc":"e,41:18,41:19","dtypep":"UNLINKED", "fromp": [ - {"type":"PARSEREF","name":"crc","addr":"(HD)","loc":"e,41:15,41:18","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"crc","addr":"(JD)","loc":"e,41:15,41:18","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "leftp": [ - {"type":"CONST","name":"?32?sh3e","addr":"(ID)","loc":"e,41:19,41:21","dtypep":"(S)"} + {"type":"CONST","name":"?32?sh3e","addr":"(KD)","loc":"e,41:19,41:21","dtypep":"(S)"} ], "rightp": [ - {"type":"CONST","name":"?32?sh0","addr":"(JD)","loc":"e,41:22,41:23","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(LD)","loc":"e,41:22,41:23","dtypep":"(N)"} ],"attrp": []} ], "rhsp": [ - {"type":"XOR","name":"","addr":"(KD)","loc":"e,41:43,41:44","dtypep":"UNLINKED", + {"type":"XOR","name":"","addr":"(MD)","loc":"e,41:43,41:44","dtypep":"UNLINKED", "lhsp": [ - {"type":"XOR","name":"","addr":"(LD)","loc":"e,41:34,41:35","dtypep":"UNLINKED", + {"type":"XOR","name":"","addr":"(ND)","loc":"e,41:34,41:35","dtypep":"UNLINKED", "lhsp": [ - {"type":"SELBIT","name":"","addr":"(MD)","loc":"e,41:29,41:30","dtypep":"UNLINKED", + {"type":"SELBIT","name":"","addr":"(OD)","loc":"e,41:29,41:30","dtypep":"UNLINKED", "fromp": [ - {"type":"PARSEREF","name":"crc","addr":"(ND)","loc":"e,41:26,41:29","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"crc","addr":"(PD)","loc":"e,41:26,41:29","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "bitp": [ - {"type":"CONST","name":"?32?sh3f","addr":"(OD)","loc":"e,41:30,41:32","dtypep":"(S)"} + {"type":"CONST","name":"?32?sh3f","addr":"(QD)","loc":"e,41:30,41:32","dtypep":"(S)"} ],"thsp": [],"attrp": []} ], "rhsp": [ - {"type":"SELBIT","name":"","addr":"(PD)","loc":"e,41:39,41:40","dtypep":"UNLINKED", + {"type":"SELBIT","name":"","addr":"(RD)","loc":"e,41:39,41:40","dtypep":"UNLINKED", "fromp": [ - {"type":"PARSEREF","name":"crc","addr":"(QD)","loc":"e,41:36,41:39","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"crc","addr":"(SD)","loc":"e,41:36,41:39","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "bitp": [ - {"type":"CONST","name":"?32?sh2","addr":"(RD)","loc":"e,41:40,41:41","dtypep":"(SD)"} + {"type":"CONST","name":"?32?sh2","addr":"(TD)","loc":"e,41:40,41:41","dtypep":"(UD)"} ],"thsp": [],"attrp": []} ]} ], "rhsp": [ - {"type":"SELBIT","name":"","addr":"(TD)","loc":"e,41:48,41:49","dtypep":"UNLINKED", + {"type":"SELBIT","name":"","addr":"(VD)","loc":"e,41:48,41:49","dtypep":"UNLINKED", "fromp": [ - {"type":"PARSEREF","name":"crc","addr":"(UD)","loc":"e,41:45,41:48","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"crc","addr":"(WD)","loc":"e,41:45,41:48","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "bitp": [ - {"type":"CONST","name":"?32?sh0","addr":"(VD)","loc":"e,41:49,41:50","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(XD)","loc":"e,41:49,41:50","dtypep":"(N)"} ],"thsp": [],"attrp": []} ]} ]} ], "countp": [ - {"type":"CONST","name":"32'h1","addr":"(WD)","loc":"e,41:14,41:15","dtypep":"(OC)"} + {"type":"CONST","name":"32'h1","addr":"(YD)","loc":"e,41:14,41:15","dtypep":"(QC)"} ]} ], "lhsp": [ - {"type":"PARSEREF","name":"crc","addr":"(XD)","loc":"e,41:7,41:10","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"crc","addr":"(ZD)","loc":"e,41:7,41:10","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ],"timingControlp": []}, - {"type":"ASSIGNDLY","name":"","addr":"(YD)","loc":"e,42:11,42:13","dtypep":"UNLINKED", + {"type":"ASSIGNDLY","name":"","addr":"(AE)","loc":"e,42:11,42:13","dtypep":"UNLINKED", "rhsp": [ - {"type":"XOR","name":"","addr":"(ZD)","loc":"e,42:21,42:22","dtypep":"UNLINKED", + {"type":"XOR","name":"","addr":"(BE)","loc":"e,42:21,42:22","dtypep":"UNLINKED", "lhsp": [ - {"type":"PARSEREF","name":"result","addr":"(AE)","loc":"e,42:14,42:20","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"result","addr":"(CE)","loc":"e,42:14,42:20","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "rhsp": [ - {"type":"REPLICATE","name":"","addr":"(BE)","loc":"e,42:23,42:24","dtypep":"(LC)", + {"type":"REPLICATE","name":"","addr":"(DE)","loc":"e,42:23,42:24","dtypep":"(NC)", "srcp": [ - {"type":"CONCAT","name":"","addr":"(CE)","loc":"e,42:33,42:34","dtypep":"UNLINKED", + {"type":"CONCAT","name":"","addr":"(EE)","loc":"e,42:33,42:34","dtypep":"UNLINKED", "lhsp": [ - {"type":"SELEXTRACT","name":"","addr":"(DE)","loc":"e,42:27,42:28","dtypep":"UNLINKED", + {"type":"SELEXTRACT","name":"","addr":"(FE)","loc":"e,42:27,42:28","dtypep":"UNLINKED", "fromp": [ - {"type":"PARSEREF","name":"sum","addr":"(EE)","loc":"e,42:24,42:27","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"sum","addr":"(GE)","loc":"e,42:24,42:27","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "leftp": [ - {"type":"CONST","name":"?32?sh3e","addr":"(FE)","loc":"e,42:28,42:30","dtypep":"(S)"} + {"type":"CONST","name":"?32?sh3e","addr":"(HE)","loc":"e,42:28,42:30","dtypep":"(S)"} ], "rightp": [ - {"type":"CONST","name":"?32?sh0","addr":"(GE)","loc":"e,42:31,42:32","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(IE)","loc":"e,42:31,42:32","dtypep":"(N)"} ],"attrp": []} ], "rhsp": [ - {"type":"XOR","name":"","addr":"(HE)","loc":"e,42:52,42:53","dtypep":"UNLINKED", + {"type":"XOR","name":"","addr":"(JE)","loc":"e,42:52,42:53","dtypep":"UNLINKED", "lhsp": [ - {"type":"XOR","name":"","addr":"(IE)","loc":"e,42:43,42:44","dtypep":"UNLINKED", + {"type":"XOR","name":"","addr":"(KE)","loc":"e,42:43,42:44","dtypep":"UNLINKED", "lhsp": [ - {"type":"SELBIT","name":"","addr":"(JE)","loc":"e,42:38,42:39","dtypep":"UNLINKED", + {"type":"SELBIT","name":"","addr":"(LE)","loc":"e,42:38,42:39","dtypep":"UNLINKED", "fromp": [ - {"type":"PARSEREF","name":"sum","addr":"(KE)","loc":"e,42:35,42:38","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"sum","addr":"(ME)","loc":"e,42:35,42:38","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "bitp": [ - {"type":"CONST","name":"?32?sh3f","addr":"(LE)","loc":"e,42:39,42:41","dtypep":"(S)"} + {"type":"CONST","name":"?32?sh3f","addr":"(NE)","loc":"e,42:39,42:41","dtypep":"(S)"} ],"thsp": [],"attrp": []} ], "rhsp": [ - {"type":"SELBIT","name":"","addr":"(ME)","loc":"e,42:48,42:49","dtypep":"UNLINKED", + {"type":"SELBIT","name":"","addr":"(OE)","loc":"e,42:48,42:49","dtypep":"UNLINKED", "fromp": [ - {"type":"PARSEREF","name":"sum","addr":"(NE)","loc":"e,42:45,42:48","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"sum","addr":"(PE)","loc":"e,42:45,42:48","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "bitp": [ - {"type":"CONST","name":"?32?sh2","addr":"(OE)","loc":"e,42:49,42:50","dtypep":"(SD)"} + {"type":"CONST","name":"?32?sh2","addr":"(QE)","loc":"e,42:49,42:50","dtypep":"(UD)"} ],"thsp": [],"attrp": []} ]} ], "rhsp": [ - {"type":"SELBIT","name":"","addr":"(PE)","loc":"e,42:57,42:58","dtypep":"UNLINKED", + {"type":"SELBIT","name":"","addr":"(RE)","loc":"e,42:57,42:58","dtypep":"UNLINKED", "fromp": [ - {"type":"PARSEREF","name":"sum","addr":"(QE)","loc":"e,42:54,42:57","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"sum","addr":"(SE)","loc":"e,42:54,42:57","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "bitp": [ - {"type":"CONST","name":"?32?sh0","addr":"(RE)","loc":"e,42:58,42:59","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(TE)","loc":"e,42:58,42:59","dtypep":"(N)"} ],"thsp": [],"attrp": []} ]} ]} ], "countp": [ - {"type":"CONST","name":"32'h1","addr":"(SE)","loc":"e,42:23,42:24","dtypep":"(OC)"} + {"type":"CONST","name":"32'h1","addr":"(UE)","loc":"e,42:23,42:24","dtypep":"(QC)"} ]} ]} ], "lhsp": [ - {"type":"PARSEREF","name":"sum","addr":"(TE)","loc":"e,42:7,42:10","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"sum","addr":"(VE)","loc":"e,42:7,42:10","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ],"timingControlp": []}, - {"type":"IF","name":"","addr":"(UE)","loc":"e,43:7,43:9", + {"type":"IF","name":"","addr":"(WE)","loc":"e,43:7,43:9", "condp": [ - {"type":"EQ","name":"","addr":"(VE)","loc":"e,43:15,43:17","dtypep":"(WE)", + {"type":"EQ","name":"","addr":"(XE)","loc":"e,43:15,43:17","dtypep":"(YE)", "lhsp": [ - {"type":"PARSEREF","name":"cyc","addr":"(XE)","loc":"e,43:11,43:14","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"cyc","addr":"(ZE)","loc":"e,43:11,43:14","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "rhsp": [ - {"type":"CONST","name":"?32?sh0","addr":"(YE)","loc":"e,43:18,43:19","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(AF)","loc":"e,43:18,43:19","dtypep":"(N)"} ]} ], "thensp": [ - {"type":"BEGIN","name":"","addr":"(ZE)","loc":"e,43:21,43:26","implied":false,"needProcess":false,"unnamed":true, + {"type":"BEGIN","name":"","addr":"(BF)","loc":"e,43:21,43:26","implied":false,"needProcess":false,"unnamed":true, "stmtsp": [ - {"type":"ASSIGNDLY","name":"","addr":"(AF)","loc":"e,45:14,45:16","dtypep":"UNLINKED", + {"type":"ASSIGNDLY","name":"","addr":"(CF)","loc":"e,45:14,45:16","dtypep":"UNLINKED", "rhsp": [ - {"type":"CONST","name":"64'h5aef0c8dd70a4497","addr":"(BF)","loc":"e,45:17,45:38","dtypep":"(CF)"} + {"type":"CONST","name":"64'h5aef0c8dd70a4497","addr":"(DF)","loc":"e,45:17,45:38","dtypep":"(EF)"} ], "lhsp": [ - {"type":"PARSEREF","name":"crc","addr":"(DF)","loc":"e,45:10,45:13","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"crc","addr":"(FF)","loc":"e,45:10,45:13","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ],"timingControlp": []}, - {"type":"ASSIGNDLY","name":"","addr":"(EF)","loc":"e,46:14,46:16","dtypep":"UNLINKED", + {"type":"ASSIGNDLY","name":"","addr":"(GF)","loc":"e,46:14,46:16","dtypep":"UNLINKED", "rhsp": [ - {"type":"CONST","name":"'0","addr":"(FF)","loc":"e,46:17,46:19","dtypep":"(WE)"} + {"type":"CONST","name":"'0","addr":"(HF)","loc":"e,46:17,46:19","dtypep":"(YE)"} ], "lhsp": [ - {"type":"PARSEREF","name":"sum","addr":"(GF)","loc":"e,46:10,46:13","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"sum","addr":"(IF)","loc":"e,46:10,46:13","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ],"timingControlp": []} ]} ], "elsesp": [ - {"type":"IF","name":"","addr":"(HF)","loc":"e,48:12,48:14", + {"type":"IF","name":"","addr":"(JF)","loc":"e,48:12,48:14", "condp": [ - {"type":"LT","name":"","addr":"(IF)","loc":"e,48:20,48:21","dtypep":"(WE)", + {"type":"LT","name":"","addr":"(KF)","loc":"e,48:20,48:21","dtypep":"(YE)", "lhsp": [ - {"type":"PARSEREF","name":"cyc","addr":"(JF)","loc":"e,48:16,48:19","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"cyc","addr":"(LF)","loc":"e,48:16,48:19","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "rhsp": [ - {"type":"CONST","name":"?32?sha","addr":"(KF)","loc":"e,48:22,48:24","dtypep":"(LF)"} + {"type":"CONST","name":"?32?sha","addr":"(MF)","loc":"e,48:22,48:24","dtypep":"(NF)"} ]} ], "thensp": [ - {"type":"BEGIN","name":"","addr":"(MF)","loc":"e,48:26,48:31","implied":false,"needProcess":false,"unnamed":true, + {"type":"BEGIN","name":"","addr":"(OF)","loc":"e,48:26,48:31","implied":false,"needProcess":false,"unnamed":true, "stmtsp": [ - {"type":"ASSIGNDLY","name":"","addr":"(NF)","loc":"e,49:14,49:16","dtypep":"UNLINKED", + {"type":"ASSIGNDLY","name":"","addr":"(PF)","loc":"e,49:14,49:16","dtypep":"UNLINKED", "rhsp": [ - {"type":"CONST","name":"'0","addr":"(OF)","loc":"e,49:17,49:19","dtypep":"(WE)"} + {"type":"CONST","name":"'0","addr":"(QF)","loc":"e,49:17,49:19","dtypep":"(YE)"} ], "lhsp": [ - {"type":"PARSEREF","name":"sum","addr":"(PF)","loc":"e,49:10,49:13","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"sum","addr":"(RF)","loc":"e,49:10,49:13","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ],"timingControlp": []} ]} ], "elsesp": [ - {"type":"IF","name":"","addr":"(QF)","loc":"e,51:12,51:14", + {"type":"IF","name":"","addr":"(SF)","loc":"e,51:12,51:14", "condp": [ - {"type":"LT","name":"","addr":"(RF)","loc":"e,51:20,51:21","dtypep":"(WE)", + {"type":"LT","name":"","addr":"(TF)","loc":"e,51:20,51:21","dtypep":"(YE)", "lhsp": [ - {"type":"PARSEREF","name":"cyc","addr":"(SF)","loc":"e,51:16,51:19","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"cyc","addr":"(UF)","loc":"e,51:16,51:19","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "rhsp": [ - {"type":"CONST","name":"?32?sh5a","addr":"(TF)","loc":"e,51:22,51:24","dtypep":"(UF)"} + {"type":"CONST","name":"?32?sh5a","addr":"(VF)","loc":"e,51:22,51:24","dtypep":"(WF)"} ]} ], "thensp": [ - {"type":"BEGIN","name":"","addr":"(VF)","loc":"e,51:26,51:31","implied":false,"needProcess":false,"unnamed":true,"stmtsp": []} + {"type":"BEGIN","name":"","addr":"(XF)","loc":"e,51:26,51:31","implied":false,"needProcess":false,"unnamed":true,"stmtsp": []} ], "elsesp": [ - {"type":"IF","name":"","addr":"(WF)","loc":"e,53:12,53:14", + {"type":"IF","name":"","addr":"(YF)","loc":"e,53:12,53:14", "condp": [ - {"type":"EQ","name":"","addr":"(XF)","loc":"e,53:20,53:22","dtypep":"(WE)", + {"type":"EQ","name":"","addr":"(ZF)","loc":"e,53:20,53:22","dtypep":"(YE)", "lhsp": [ - {"type":"PARSEREF","name":"cyc","addr":"(YF)","loc":"e,53:16,53:19","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"cyc","addr":"(AG)","loc":"e,53:16,53:19","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "rhsp": [ - {"type":"CONST","name":"?32?sh63","addr":"(ZF)","loc":"e,53:23,53:25","dtypep":"(UF)"} + {"type":"CONST","name":"?32?sh63","addr":"(BG)","loc":"e,53:23,53:25","dtypep":"(WF)"} ]} ], "thensp": [ - {"type":"BEGIN","name":"","addr":"(AG)","loc":"e,53:27,53:32","implied":false,"needProcess":false,"unnamed":true, + {"type":"BEGIN","name":"","addr":"(CG)","loc":"e,53:27,53:32","implied":false,"needProcess":false,"unnamed":true, "stmtsp": [ - {"type":"DISPLAY","name":"","addr":"(BG)","loc":"e,54:10,54:16", + {"type":"DISPLAY","name":"","addr":"(DG)","loc":"e,54:10,54:16", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(CG)","loc":"e,54:10,54:16","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(EG)","loc":"e,54:10,54:16","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"232'h5b2530745d206379633d3d253064206372633d25782073756d3d25780a","addr":"(EG)","loc":"e,54:17,54:49","dtypep":"(FG)"}, - {"type":"TIME","name":"","addr":"(GG)","loc":"e,54:51,54:56","dtypep":"(HG)","timeunit":"NONE"}, - {"type":"PARSEREF","name":"cyc","addr":"(IG)","loc":"e,54:58,54:61","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []}, - {"type":"PARSEREF","name":"crc","addr":"(JG)","loc":"e,54:63,54:66","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []}, - {"type":"PARSEREF","name":"sum","addr":"(KG)","loc":"e,54:68,54:71","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"CONST","name":"232'h5b2530745d206379633d3d253064206372633d25782073756d3d25780a","addr":"(GG)","loc":"e,54:17,54:49","dtypep":"(HG)"}, + {"type":"TIME","name":"","addr":"(IG)","loc":"e,54:51,54:56","dtypep":"(JG)","timeunit":"NONE"}, + {"type":"PARSEREF","name":"cyc","addr":"(KG)","loc":"e,54:58,54:61","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []}, + {"type":"PARSEREF","name":"crc","addr":"(LG)","loc":"e,54:63,54:66","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []}, + {"type":"PARSEREF","name":"sum","addr":"(MG)","loc":"e,54:68,54:71","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ],"scopeNamep": []} ],"filep": []}, - {"type":"IF","name":"","addr":"(LG)","loc":"e,55:10,55:12", + {"type":"IF","name":"","addr":"(NG)","loc":"e,55:10,55:12", "condp": [ - {"type":"NEQCASE","name":"","addr":"(MG)","loc":"e,55:18,55:21","dtypep":"(WE)", + {"type":"NEQCASE","name":"","addr":"(OG)","loc":"e,55:18,55:21","dtypep":"(YE)", "lhsp": [ - {"type":"PARSEREF","name":"crc","addr":"(NG)","loc":"e,55:14,55:17","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"crc","addr":"(PG)","loc":"e,55:14,55:17","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "rhsp": [ - {"type":"CONST","name":"64'hc77bb9b3784ea091","addr":"(OG)","loc":"e,55:22,55:42","dtypep":"(CF)"} + {"type":"CONST","name":"64'hc77bb9b3784ea091","addr":"(QG)","loc":"e,55:22,55:42","dtypep":"(EF)"} ]} ], "thensp": [ - {"type":"STOP","name":"","addr":"(PG)","loc":"e,55:44,55:49","isFatal":false} + {"type":"STOP","name":"","addr":"(RG)","loc":"e,55:44,55:49","isFatal":false} ],"elsesp": []}, - {"type":"IF","name":"","addr":"(QG)","loc":"e,58:10,58:12", + {"type":"IF","name":"","addr":"(SG)","loc":"e,58:10,58:12", "condp": [ - {"type":"NEQCASE","name":"","addr":"(RG)","loc":"e,58:18,58:21","dtypep":"(WE)", + {"type":"NEQCASE","name":"","addr":"(TG)","loc":"e,58:18,58:21","dtypep":"(YE)", "lhsp": [ - {"type":"PARSEREF","name":"sum","addr":"(SG)","loc":"e,58:14,58:17","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"sum","addr":"(UG)","loc":"e,58:14,58:17","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "rhsp": [ - {"type":"CONST","name":"64'h4afe43fb79d7b71e","addr":"(TG)","loc":"e,58:22,58:42","dtypep":"(CF)"} + {"type":"CONST","name":"64'h4afe43fb79d7b71e","addr":"(VG)","loc":"e,58:22,58:42","dtypep":"(EF)"} ]} ], "thensp": [ - {"type":"STOP","name":"","addr":"(UG)","loc":"e,58:44,58:49","isFatal":false} + {"type":"STOP","name":"","addr":"(WG)","loc":"e,58:44,58:49","isFatal":false} ],"elsesp": []}, - {"type":"DISPLAY","name":"","addr":"(VG)","loc":"e,59:10,59:16", + {"type":"DISPLAY","name":"","addr":"(XG)","loc":"e,59:10,59:16", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(WG)","loc":"e,59:10,59:16","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(YG)","loc":"e,59:10,59:16","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"168'h2a2d2a20416c6c2046696e6973686564202a2d2a0a","addr":"(XG)","loc":"e,59:17,59:41","dtypep":"(YG)"} + {"type":"CONST","name":"168'h2a2d2a20416c6c2046696e6973686564202a2d2a0a","addr":"(ZG)","loc":"e,59:17,59:41","dtypep":"(AH)"} ],"scopeNamep": []} ],"filep": []}, - {"type":"FINISH","name":"","addr":"(ZG)","loc":"e,60:10,60:17"} + {"type":"FINISH","name":"","addr":"(BH)","loc":"e,60:10,60:17"} ]} ],"elsesp": []} ]} @@ -431,1334 +437,1334 @@ ]} ]} ]}, - {"type":"MODULE","name":"Test","addr":"(RB)","loc":"e,66:8,66:12","isChecker":false,"isProgram":false,"hasGenericIface":false,"origName":"Test","level":3,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], + {"type":"MODULE","name":"Test","addr":"(SB)","loc":"e,66:8,66:12","isChecker":false,"isProgram":false,"hasGenericIface":false,"origName":"Test","level":3,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], "stmtsp": [ - {"type":"PORT","name":"out","addr":"(AH)","loc":"e,68:4,68:7","exprp": []}, - {"type":"PORT","name":"clk","addr":"(BH)","loc":"e,70:4,70:7","exprp": []}, - {"type":"PORT","name":"in","addr":"(CH)","loc":"e,70:9,70:11","exprp": []}, - {"type":"VAR","name":"clk","addr":"(DH)","loc":"e,78:10,78:13","dtypep":"UNLINKED","origName":"clk","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"PORT","name":"out","addr":"(CH)","loc":"e,68:4,68:7","exprp": []}, + {"type":"PORT","name":"clk","addr":"(DH)","loc":"e,70:4,70:7","exprp": []}, + {"type":"PORT","name":"in","addr":"(EH)","loc":"e,70:9,70:11","exprp": []}, + {"type":"VAR","name":"clk","addr":"(FH)","loc":"e,78:10,78:13","dtypep":"UNLINKED","origName":"clk","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"BASICDTYPE","name":"LOGIC_IMPLICIT","addr":"(EH)","loc":"e,78:10,78:13","dtypep":"(EH)","keyword":"LOGIC_IMPLICIT","generic":false,"rangep": []} + {"type":"BASICDTYPE","name":"LOGIC_IMPLICIT","addr":"(GH)","loc":"e,78:10,78:13","dtypep":"(GH)","keyword":"LOGIC_IMPLICIT","generic":false,"rangep": []} ],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"VAR","name":"in","addr":"(FH)","loc":"e,79:17,79:19","dtypep":"UNLINKED","origName":"in","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"in","addr":"(HH)","loc":"e,79:17,79:19","dtypep":"UNLINKED","origName":"in","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"BASICDTYPE","name":"logic","addr":"(GH)","loc":"e,79:10,79:11","dtypep":"(GH)","keyword":"logic","generic":false, + {"type":"BASICDTYPE","name":"logic","addr":"(IH)","loc":"e,79:10,79:11","dtypep":"(IH)","keyword":"logic","generic":false, "rangep": [ - {"type":"RANGE","name":"","addr":"(HH)","loc":"e,79:10,79:11","ascending":false,"fromBracket":false, + {"type":"RANGE","name":"","addr":"(JH)","loc":"e,79:10,79:11","ascending":false,"fromBracket":false, "leftp": [ - {"type":"CONST","name":"?32?sh1f","addr":"(IH)","loc":"e,79:11,79:13","dtypep":"(DB)"} + {"type":"CONST","name":"?32?sh1f","addr":"(KH)","loc":"e,79:11,79:13","dtypep":"(DB)"} ], "rightp": [ - {"type":"CONST","name":"?32?sh0","addr":"(JH)","loc":"e,79:14,79:15","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(LH)","loc":"e,79:14,79:15","dtypep":"(N)"} ]} ]} ],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"VAR","name":"out","addr":"(KH)","loc":"e,80:22,80:25","dtypep":"UNLINKED","origName":"out","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"out","addr":"(MH)","loc":"e,80:22,80:25","dtypep":"UNLINKED","origName":"out","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"BASICDTYPE","name":"logic","addr":"(LH)","loc":"e,80:11,80:14","dtypep":"(LH)","keyword":"logic","generic":false, + {"type":"BASICDTYPE","name":"logic","addr":"(NH)","loc":"e,80:11,80:14","dtypep":"(NH)","keyword":"logic","generic":false, "rangep": [ - {"type":"RANGE","name":"","addr":"(MH)","loc":"e,80:15,80:16","ascending":false,"fromBracket":false, + {"type":"RANGE","name":"","addr":"(OH)","loc":"e,80:15,80:16","ascending":false,"fromBracket":false, "leftp": [ - {"type":"CONST","name":"?32?sh1f","addr":"(NH)","loc":"e,80:16,80:18","dtypep":"(DB)"} + {"type":"CONST","name":"?32?sh1f","addr":"(PH)","loc":"e,80:16,80:18","dtypep":"(DB)"} ], "rightp": [ - {"type":"CONST","name":"?32?sh0","addr":"(OH)","loc":"e,80:19,80:20","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(QH)","loc":"e,80:19,80:20","dtypep":"(N)"} ]} ]} ],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"ALWAYS","name":"","addr":"(PH)","loc":"e,82:4,82:10","keyword":"always","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(RH)","loc":"e,82:4,82:10","keyword":"always","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"EVENTCONTROL","name":"","addr":"(QH)","loc":"e,82:11,82:12", + {"type":"EVENTCONTROL","name":"","addr":"(SH)","loc":"e,82:11,82:12", "sentreep": [ - {"type":"SENTREE","name":"","addr":"(RH)","loc":"e,82:11,82:12","isMulti":false, + {"type":"SENTREE","name":"","addr":"(TH)","loc":"e,82:11,82:12","isMulti":false, "sensesp": [ - {"type":"SENITEM","name":"","addr":"(SH)","loc":"e,82:13,82:20","edgeType":"POS", + {"type":"SENITEM","name":"","addr":"(UH)","loc":"e,82:13,82:20","edgeType":"POS", "sensp": [ - {"type":"PARSEREF","name":"clk","addr":"(TH)","loc":"e,82:21,82:24","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"clk","addr":"(VH)","loc":"e,82:21,82:24","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ],"condp": []} ]} ], "stmtsp": [ - {"type":"BEGIN","name":"","addr":"(UH)","loc":"e,82:26,82:31","implied":false,"needProcess":false,"unnamed":true, + {"type":"BEGIN","name":"","addr":"(WH)","loc":"e,82:26,82:31","implied":false,"needProcess":false,"unnamed":true, "stmtsp": [ - {"type":"ASSIGNDLY","name":"","addr":"(VH)","loc":"e,83:11,83:13","dtypep":"UNLINKED", + {"type":"ASSIGNDLY","name":"","addr":"(XH)","loc":"e,83:11,83:13","dtypep":"UNLINKED", "rhsp": [ - {"type":"PARSEREF","name":"in","addr":"(WH)","loc":"e,83:14,83:16","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"in","addr":"(YH)","loc":"e,83:14,83:16","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "lhsp": [ - {"type":"PARSEREF","name":"out","addr":"(XH)","loc":"e,83:7,83:10","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"out","addr":"(ZH)","loc":"e,83:7,83:10","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ],"timingControlp": []}, - {"type":"ASSERTCTL","name":"","addr":"(YH)","loc":"e,86:7,86:17", + {"type":"ASSERTCTL","name":"","addr":"(AI)","loc":"e,86:7,86:17", "controlTypep": [ - {"type":"CONST","name":"32'h4","addr":"(ZH)","loc":"e,86:7,86:17","dtypep":"(OC)"} + {"type":"CONST","name":"32'h4","addr":"(BI)","loc":"e,86:7,86:17","dtypep":"(QC)"} ], "assertTypesp": [ - {"type":"CONST","name":"32'hf","addr":"(AI)","loc":"e,86:7,86:17","dtypep":"(OC)"} + {"type":"CONST","name":"32'hf","addr":"(CI)","loc":"e,86:7,86:17","dtypep":"(QC)"} ], "directiveTypesp": [ - {"type":"CONST","name":"32'h7","addr":"(BI)","loc":"e,86:7,86:17","dtypep":"(OC)"} + {"type":"CONST","name":"32'h7","addr":"(DI)","loc":"e,86:7,86:17","dtypep":"(QC)"} ]}, - {"type":"ASSERTCTL","name":"","addr":"(CI)","loc":"e,87:7,87:18", + {"type":"ASSERTCTL","name":"","addr":"(EI)","loc":"e,87:7,87:18", "controlTypep": [ - {"type":"CONST","name":"32'h5","addr":"(DI)","loc":"e,87:7,87:18","dtypep":"(OC)"} + {"type":"CONST","name":"32'h5","addr":"(FI)","loc":"e,87:7,87:18","dtypep":"(QC)"} ], "assertTypesp": [ - {"type":"CONST","name":"32'hf","addr":"(EI)","loc":"e,87:7,87:18","dtypep":"(OC)"} + {"type":"CONST","name":"32'hf","addr":"(GI)","loc":"e,87:7,87:18","dtypep":"(QC)"} ], "directiveTypesp": [ - {"type":"CONST","name":"32'h7","addr":"(FI)","loc":"e,87:7,87:18","dtypep":"(OC)"} + {"type":"CONST","name":"32'h7","addr":"(HI)","loc":"e,87:7,87:18","dtypep":"(QC)"} ]}, - {"type":"ASSERT","name":"","addr":"(GI)","loc":"e,88:7,88:13","type":"[SIMPLE_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(II)","loc":"e,88:7,88:13","type":"[SIMPLE_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(HI)","loc":"e,88:14,88:15","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(JI)","loc":"e,88:14,88:15","dtypep":"(N)"} ],"sentreep": [],"failsp": [],"passsp": []}, - {"type":"ASSERTCTL","name":"","addr":"(II)","loc":"e,89:7,89:16", + {"type":"ASSERTCTL","name":"","addr":"(KI)","loc":"e,89:7,89:16", "controlTypep": [ - {"type":"CONST","name":"32'h3","addr":"(JI)","loc":"e,89:7,89:16","dtypep":"(OC)"} + {"type":"CONST","name":"32'h3","addr":"(LI)","loc":"e,89:7,89:16","dtypep":"(QC)"} ], "assertTypesp": [ - {"type":"CONST","name":"32'hf","addr":"(KI)","loc":"e,89:7,89:16","dtypep":"(OC)"} + {"type":"CONST","name":"32'hf","addr":"(MI)","loc":"e,89:7,89:16","dtypep":"(QC)"} ], "directiveTypesp": [ - {"type":"CONST","name":"32'h7","addr":"(LI)","loc":"e,89:7,89:16","dtypep":"(OC)"} + {"type":"CONST","name":"32'h7","addr":"(NI)","loc":"e,89:7,89:16","dtypep":"(QC)"} ]}, - {"type":"ASSERTCTL","name":"","addr":"(MI)","loc":"e,90:7,90:21", + {"type":"ASSERTCTL","name":"","addr":"(OI)","loc":"e,90:7,90:21", "controlTypep": [ - {"type":"CONST","name":"?32?sh3","addr":"(NI)","loc":"e,90:22,90:23","dtypep":"(SD)"} + {"type":"CONST","name":"?32?sh3","addr":"(PI)","loc":"e,90:22,90:23","dtypep":"(UD)"} ], "assertTypesp": [ - {"type":"CONST","name":"?32?sh8","addr":"(OI)","loc":"e,90:25,90:26","dtypep":"(LF)"} + {"type":"CONST","name":"?32?sh8","addr":"(QI)","loc":"e,90:25,90:26","dtypep":"(NF)"} ],"directiveTypesp": []}, - {"type":"BEGIN","name":"blk","addr":"(PI)","loc":"e,91:15,91:18","implied":false,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"blk","addr":"(RI)","loc":"e,91:15,91:18","implied":false,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"DISABLE","name":"","addr":"(QI)","loc":"e,92:10,92:17", + {"type":"DISABLE","name":"","addr":"(SI)","loc":"e,92:10,92:17", "targetRefp": [ - {"type":"PARSEREF","name":"blk","addr":"(RI)","loc":"e,92:18,92:21","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"blk","addr":"(TI)","loc":"e,92:18,92:21","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ]} ]} ]} ]}, - {"type":"INITIAL","name":"","addr":"(SI)","loc":"e,95:4,95:11","isSuspendable":false,"needProcess":false, + {"type":"INITIAL","name":"","addr":"(UI)","loc":"e,95:4,95:11","isSuspendable":false,"needProcess":false, "stmtsp": [ - {"type":"BEGIN","name":"","addr":"(TI)","loc":"e,95:12,95:17","implied":false,"needProcess":false,"unnamed":true, + {"type":"BEGIN","name":"","addr":"(VI)","loc":"e,95:12,95:17","implied":false,"needProcess":false,"unnamed":true, "stmtsp": [ - {"type":"BEGIN","name":"assert_simple_immediate_else","addr":"(UI)","loc":"e,96:7,96:35","implied":false,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assert_simple_immediate_else","addr":"(WI)","loc":"e,96:7,96:35","implied":false,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(VI)","loc":"e,96:37,96:43","type":"[SIMPLE_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(XI)","loc":"e,96:37,96:43","type":"[SIMPLE_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(WI)","loc":"e,96:44,96:45","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(YI)","loc":"e,96:44,96:45","dtypep":"(N)"} ],"sentreep": [], "failsp": [ - {"type":"DISPLAY","name":"","addr":"(XI)","loc":"e,96:52,96:60", + {"type":"DISPLAY","name":"","addr":"(ZI)","loc":"e,96:52,96:60", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(YI)","loc":"e,96:52,96:60","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(AJ)","loc":"e,96:52,96:60","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h6661696c","addr":"(ZI)","loc":"e,96:61,96:67","dtypep":"(OC)"} + {"type":"CONST","name":"32'h6661696c","addr":"(BJ)","loc":"e,96:61,96:67","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ],"passsp": []} ]}, - {"type":"BEGIN","name":"assert_simple_immediate_stmt","addr":"(AJ)","loc":"e,97:7,97:35","implied":false,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assert_simple_immediate_stmt","addr":"(CJ)","loc":"e,97:7,97:35","implied":false,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(BJ)","loc":"e,97:37,97:43","type":"[SIMPLE_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(DJ)","loc":"e,97:37,97:43","type":"[SIMPLE_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(CJ)","loc":"e,97:44,97:45","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(EJ)","loc":"e,97:44,97:45","dtypep":"(N)"} ],"sentreep": [],"failsp": [], "passsp": [ - {"type":"DISPLAY","name":"","addr":"(DJ)","loc":"e,97:47,97:55", + {"type":"DISPLAY","name":"","addr":"(FJ)","loc":"e,97:47,97:55", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(EJ)","loc":"e,97:47,97:55","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(GJ)","loc":"e,97:47,97:55","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h70617373","addr":"(FJ)","loc":"e,97:56,97:62","dtypep":"(OC)"} + {"type":"CONST","name":"32'h70617373","addr":"(HJ)","loc":"e,97:56,97:62","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ]} ]}, - {"type":"BEGIN","name":"assert_simple_immediate_stmt_else","addr":"(GJ)","loc":"e,98:7,98:40","implied":false,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assert_simple_immediate_stmt_else","addr":"(IJ)","loc":"e,98:7,98:40","implied":false,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(HJ)","loc":"e,98:42,98:48","type":"[SIMPLE_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(JJ)","loc":"e,98:42,98:48","type":"[SIMPLE_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(IJ)","loc":"e,98:49,98:50","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(KJ)","loc":"e,98:49,98:50","dtypep":"(N)"} ],"sentreep": [], "failsp": [ - {"type":"DISPLAY","name":"","addr":"(JJ)","loc":"e,98:75,98:83", + {"type":"DISPLAY","name":"","addr":"(LJ)","loc":"e,98:75,98:83", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(KJ)","loc":"e,98:75,98:83","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(MJ)","loc":"e,98:75,98:83","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h6661696c","addr":"(LJ)","loc":"e,98:84,98:90","dtypep":"(OC)"} + {"type":"CONST","name":"32'h6661696c","addr":"(NJ)","loc":"e,98:84,98:90","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ], "passsp": [ - {"type":"DISPLAY","name":"","addr":"(MJ)","loc":"e,98:52,98:60", + {"type":"DISPLAY","name":"","addr":"(OJ)","loc":"e,98:52,98:60", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(NJ)","loc":"e,98:52,98:60","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(PJ)","loc":"e,98:52,98:60","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h70617373","addr":"(OJ)","loc":"e,98:61,98:67","dtypep":"(OC)"} + {"type":"CONST","name":"32'h70617373","addr":"(QJ)","loc":"e,98:61,98:67","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ]} ]}, - {"type":"BEGIN","name":"assume_simple_immediate","addr":"(PJ)","loc":"e,100:7,100:30","implied":false,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assume_simple_immediate","addr":"(RJ)","loc":"e,100:7,100:30","implied":false,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(QJ)","loc":"e,100:32,100:38","type":"[SIMPLE_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(SJ)","loc":"e,100:32,100:38","type":"[SIMPLE_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(RJ)","loc":"e,100:39,100:40","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(TJ)","loc":"e,100:39,100:40","dtypep":"(N)"} ],"sentreep": [],"failsp": [],"passsp": []} ]}, - {"type":"BEGIN","name":"assume_simple_immediate_else","addr":"(SJ)","loc":"e,101:7,101:35","implied":false,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assume_simple_immediate_else","addr":"(UJ)","loc":"e,101:7,101:35","implied":false,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(TJ)","loc":"e,101:37,101:43","type":"[SIMPLE_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(VJ)","loc":"e,101:37,101:43","type":"[SIMPLE_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(UJ)","loc":"e,101:44,101:45","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(WJ)","loc":"e,101:44,101:45","dtypep":"(N)"} ],"sentreep": [], "failsp": [ - {"type":"DISPLAY","name":"","addr":"(VJ)","loc":"e,101:52,101:60", + {"type":"DISPLAY","name":"","addr":"(XJ)","loc":"e,101:52,101:60", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(WJ)","loc":"e,101:52,101:60","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(YJ)","loc":"e,101:52,101:60","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h6661696c","addr":"(XJ)","loc":"e,101:61,101:67","dtypep":"(OC)"} + {"type":"CONST","name":"32'h6661696c","addr":"(ZJ)","loc":"e,101:61,101:67","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ],"passsp": []} ]}, - {"type":"BEGIN","name":"assume_simple_immediate_stmt","addr":"(YJ)","loc":"e,102:7,102:35","implied":false,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assume_simple_immediate_stmt","addr":"(AK)","loc":"e,102:7,102:35","implied":false,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(ZJ)","loc":"e,102:37,102:43","type":"[SIMPLE_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(BK)","loc":"e,102:37,102:43","type":"[SIMPLE_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(AK)","loc":"e,102:44,102:45","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(CK)","loc":"e,102:44,102:45","dtypep":"(N)"} ],"sentreep": [],"failsp": [], "passsp": [ - {"type":"DISPLAY","name":"","addr":"(BK)","loc":"e,102:47,102:55", + {"type":"DISPLAY","name":"","addr":"(DK)","loc":"e,102:47,102:55", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(CK)","loc":"e,102:47,102:55","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(EK)","loc":"e,102:47,102:55","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h70617373","addr":"(DK)","loc":"e,102:56,102:62","dtypep":"(OC)"} + {"type":"CONST","name":"32'h70617373","addr":"(FK)","loc":"e,102:56,102:62","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ]} ]}, - {"type":"BEGIN","name":"assume_simple_immediate_stmt_else","addr":"(EK)","loc":"e,103:7,103:40","implied":false,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assume_simple_immediate_stmt_else","addr":"(GK)","loc":"e,103:7,103:40","implied":false,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(FK)","loc":"e,103:42,103:48","type":"[SIMPLE_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(HK)","loc":"e,103:42,103:48","type":"[SIMPLE_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(GK)","loc":"e,103:49,103:50","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(IK)","loc":"e,103:49,103:50","dtypep":"(N)"} ],"sentreep": [], "failsp": [ - {"type":"DISPLAY","name":"","addr":"(HK)","loc":"e,103:75,103:83", + {"type":"DISPLAY","name":"","addr":"(JK)","loc":"e,103:75,103:83", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(IK)","loc":"e,103:75,103:83","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(KK)","loc":"e,103:75,103:83","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h6661696c","addr":"(JK)","loc":"e,103:84,103:90","dtypep":"(OC)"} + {"type":"CONST","name":"32'h6661696c","addr":"(LK)","loc":"e,103:84,103:90","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ], "passsp": [ - {"type":"DISPLAY","name":"","addr":"(KK)","loc":"e,103:52,103:60", + {"type":"DISPLAY","name":"","addr":"(MK)","loc":"e,103:52,103:60", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(LK)","loc":"e,103:52,103:60","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(NK)","loc":"e,103:52,103:60","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h70617373","addr":"(MK)","loc":"e,103:61,103:67","dtypep":"(OC)"} + {"type":"CONST","name":"32'h70617373","addr":"(OK)","loc":"e,103:61,103:67","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ]} ]} ]} ]}, - {"type":"ALWAYS","name":"","addr":"(NK)","loc":"e,106:4,106:38","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(PK)","loc":"e,106:4,106:38","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"BEGIN","name":"assert_observed_deferred_immediate","addr":"(OK)","loc":"e,106:4,106:38","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assert_observed_deferred_immediate","addr":"(QK)","loc":"e,106:4,106:38","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(PK)","loc":"e,106:40,106:46","type":"[OBSERVED_DEFERRED_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(RK)","loc":"e,106:40,106:46","type":"[OBSERVED_DEFERRED_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(QK)","loc":"e,106:51,106:52","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(SK)","loc":"e,106:51,106:52","dtypep":"(N)"} ],"sentreep": [],"failsp": [],"passsp": []} ]} ]}, - {"type":"ALWAYS","name":"","addr":"(RK)","loc":"e,107:4,107:43","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(TK)","loc":"e,107:4,107:43","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"BEGIN","name":"assert_observed_deferred_immediate_else","addr":"(SK)","loc":"e,107:4,107:43","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assert_observed_deferred_immediate_else","addr":"(UK)","loc":"e,107:4,107:43","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(TK)","loc":"e,107:45,107:51","type":"[OBSERVED_DEFERRED_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(VK)","loc":"e,107:45,107:51","type":"[OBSERVED_DEFERRED_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(UK)","loc":"e,107:56,107:57","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(WK)","loc":"e,107:56,107:57","dtypep":"(N)"} ],"sentreep": [], "failsp": [ - {"type":"DISPLAY","name":"","addr":"(VK)","loc":"e,107:64,107:72", + {"type":"DISPLAY","name":"","addr":"(XK)","loc":"e,107:64,107:72", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(WK)","loc":"e,107:64,107:72","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(YK)","loc":"e,107:64,107:72","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h6661696c","addr":"(XK)","loc":"e,107:73,107:79","dtypep":"(OC)"} + {"type":"CONST","name":"32'h6661696c","addr":"(ZK)","loc":"e,107:73,107:79","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ],"passsp": []} ]} ]}, - {"type":"ALWAYS","name":"","addr":"(YK)","loc":"e,108:4,108:43","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(AL)","loc":"e,108:4,108:43","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"BEGIN","name":"assert_observed_deferred_immediate_stmt","addr":"(ZK)","loc":"e,108:4,108:43","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assert_observed_deferred_immediate_stmt","addr":"(BL)","loc":"e,108:4,108:43","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(AL)","loc":"e,108:45,108:51","type":"[OBSERVED_DEFERRED_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(CL)","loc":"e,108:45,108:51","type":"[OBSERVED_DEFERRED_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(BL)","loc":"e,108:56,108:57","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(DL)","loc":"e,108:56,108:57","dtypep":"(N)"} ],"sentreep": [],"failsp": [], "passsp": [ - {"type":"DISPLAY","name":"","addr":"(CL)","loc":"e,108:59,108:67", + {"type":"DISPLAY","name":"","addr":"(EL)","loc":"e,108:59,108:67", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(DL)","loc":"e,108:59,108:67","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(FL)","loc":"e,108:59,108:67","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h70617373","addr":"(EL)","loc":"e,108:68,108:74","dtypep":"(OC)"} + {"type":"CONST","name":"32'h70617373","addr":"(GL)","loc":"e,108:68,108:74","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ]} ]} ]}, - {"type":"ALWAYS","name":"","addr":"(FL)","loc":"e,109:4,109:48","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(HL)","loc":"e,109:4,109:48","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"BEGIN","name":"assert_observed_deferred_immediate_stmt_else","addr":"(GL)","loc":"e,109:4,109:48","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assert_observed_deferred_immediate_stmt_else","addr":"(IL)","loc":"e,109:4,109:48","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(HL)","loc":"e,109:50,109:56","type":"[OBSERVED_DEFERRED_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(JL)","loc":"e,109:50,109:56","type":"[OBSERVED_DEFERRED_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(IL)","loc":"e,109:61,109:62","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(KL)","loc":"e,109:61,109:62","dtypep":"(N)"} ],"sentreep": [], "failsp": [ - {"type":"DISPLAY","name":"","addr":"(JL)","loc":"e,109:87,109:95", + {"type":"DISPLAY","name":"","addr":"(LL)","loc":"e,109:87,109:95", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(KL)","loc":"e,109:87,109:95","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(ML)","loc":"e,109:87,109:95","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h6661696c","addr":"(LL)","loc":"e,109:96,109:102","dtypep":"(OC)"} + {"type":"CONST","name":"32'h6661696c","addr":"(NL)","loc":"e,109:96,109:102","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ], "passsp": [ - {"type":"DISPLAY","name":"","addr":"(ML)","loc":"e,109:64,109:72", + {"type":"DISPLAY","name":"","addr":"(OL)","loc":"e,109:64,109:72", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(NL)","loc":"e,109:64,109:72","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(PL)","loc":"e,109:64,109:72","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h70617373","addr":"(OL)","loc":"e,109:73,109:79","dtypep":"(OC)"} + {"type":"CONST","name":"32'h70617373","addr":"(QL)","loc":"e,109:73,109:79","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ]} ]} ]}, - {"type":"ALWAYS","name":"","addr":"(PL)","loc":"e,111:4,111:38","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(RL)","loc":"e,111:4,111:38","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"BEGIN","name":"assume_observed_deferred_immediate","addr":"(QL)","loc":"e,111:4,111:38","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assume_observed_deferred_immediate","addr":"(SL)","loc":"e,111:4,111:38","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(RL)","loc":"e,111:40,111:46","type":"[OBSERVED_DEFERRED_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(TL)","loc":"e,111:40,111:46","type":"[OBSERVED_DEFERRED_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(SL)","loc":"e,111:51,111:52","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(UL)","loc":"e,111:51,111:52","dtypep":"(N)"} ],"sentreep": [],"failsp": [],"passsp": []} ]} ]}, - {"type":"ALWAYS","name":"","addr":"(TL)","loc":"e,112:4,112:43","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(VL)","loc":"e,112:4,112:43","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"BEGIN","name":"assume_observed_deferred_immediate_else","addr":"(UL)","loc":"e,112:4,112:43","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assume_observed_deferred_immediate_else","addr":"(WL)","loc":"e,112:4,112:43","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(VL)","loc":"e,112:45,112:51","type":"[OBSERVED_DEFERRED_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(XL)","loc":"e,112:45,112:51","type":"[OBSERVED_DEFERRED_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(WL)","loc":"e,112:56,112:57","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(YL)","loc":"e,112:56,112:57","dtypep":"(N)"} ],"sentreep": [], "failsp": [ - {"type":"DISPLAY","name":"","addr":"(XL)","loc":"e,112:64,112:72", + {"type":"DISPLAY","name":"","addr":"(ZL)","loc":"e,112:64,112:72", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(YL)","loc":"e,112:64,112:72","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(AM)","loc":"e,112:64,112:72","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h6661696c","addr":"(ZL)","loc":"e,112:73,112:79","dtypep":"(OC)"} + {"type":"CONST","name":"32'h6661696c","addr":"(BM)","loc":"e,112:73,112:79","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ],"passsp": []} ]} ]}, - {"type":"ALWAYS","name":"","addr":"(AM)","loc":"e,113:4,113:43","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(CM)","loc":"e,113:4,113:43","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"BEGIN","name":"assume_observed_deferred_immediate_stmt","addr":"(BM)","loc":"e,113:4,113:43","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assume_observed_deferred_immediate_stmt","addr":"(DM)","loc":"e,113:4,113:43","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(CM)","loc":"e,113:45,113:51","type":"[OBSERVED_DEFERRED_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(EM)","loc":"e,113:45,113:51","type":"[OBSERVED_DEFERRED_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(DM)","loc":"e,113:56,113:57","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(FM)","loc":"e,113:56,113:57","dtypep":"(N)"} ],"sentreep": [],"failsp": [], "passsp": [ - {"type":"DISPLAY","name":"","addr":"(EM)","loc":"e,113:59,113:67", + {"type":"DISPLAY","name":"","addr":"(GM)","loc":"e,113:59,113:67", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(FM)","loc":"e,113:59,113:67","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(HM)","loc":"e,113:59,113:67","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h70617373","addr":"(GM)","loc":"e,113:68,113:74","dtypep":"(OC)"} + {"type":"CONST","name":"32'h70617373","addr":"(IM)","loc":"e,113:68,113:74","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ]} ]} ]}, - {"type":"ALWAYS","name":"","addr":"(HM)","loc":"e,114:4,114:48","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(JM)","loc":"e,114:4,114:48","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"BEGIN","name":"assume_observed_deferred_immediate_stmt_else","addr":"(IM)","loc":"e,114:4,114:48","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assume_observed_deferred_immediate_stmt_else","addr":"(KM)","loc":"e,114:4,114:48","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(JM)","loc":"e,114:50,114:56","type":"[OBSERVED_DEFERRED_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(LM)","loc":"e,114:50,114:56","type":"[OBSERVED_DEFERRED_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(KM)","loc":"e,114:61,114:62","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(MM)","loc":"e,114:61,114:62","dtypep":"(N)"} ],"sentreep": [], "failsp": [ - {"type":"DISPLAY","name":"","addr":"(LM)","loc":"e,114:87,114:95", + {"type":"DISPLAY","name":"","addr":"(NM)","loc":"e,114:87,114:95", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(MM)","loc":"e,114:87,114:95","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(OM)","loc":"e,114:87,114:95","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h6661696c","addr":"(NM)","loc":"e,114:96,114:102","dtypep":"(OC)"} + {"type":"CONST","name":"32'h6661696c","addr":"(PM)","loc":"e,114:96,114:102","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ], "passsp": [ - {"type":"DISPLAY","name":"","addr":"(OM)","loc":"e,114:64,114:72", + {"type":"DISPLAY","name":"","addr":"(QM)","loc":"e,114:64,114:72", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(PM)","loc":"e,114:64,114:72","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(RM)","loc":"e,114:64,114:72","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h70617373","addr":"(QM)","loc":"e,114:73,114:79","dtypep":"(OC)"} + {"type":"CONST","name":"32'h70617373","addr":"(SM)","loc":"e,114:73,114:79","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ]} ]} ]}, - {"type":"ALWAYS","name":"","addr":"(RM)","loc":"e,116:4,116:35","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(TM)","loc":"e,116:4,116:35","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"BEGIN","name":"assert_final_deferred_immediate","addr":"(SM)","loc":"e,116:4,116:35","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assert_final_deferred_immediate","addr":"(UM)","loc":"e,116:4,116:35","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(TM)","loc":"e,116:37,116:43","type":"[FINAL_DEFERRED_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(VM)","loc":"e,116:37,116:43","type":"[FINAL_DEFERRED_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(UM)","loc":"e,116:51,116:52","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(WM)","loc":"e,116:51,116:52","dtypep":"(N)"} ],"sentreep": [],"failsp": [],"passsp": []} ]} ]}, - {"type":"ALWAYS","name":"","addr":"(VM)","loc":"e,117:4,117:40","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(XM)","loc":"e,117:4,117:40","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"BEGIN","name":"assert_final_deferred_immediate_else","addr":"(WM)","loc":"e,117:4,117:40","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assert_final_deferred_immediate_else","addr":"(YM)","loc":"e,117:4,117:40","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(XM)","loc":"e,117:42,117:48","type":"[FINAL_DEFERRED_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(ZM)","loc":"e,117:42,117:48","type":"[FINAL_DEFERRED_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(YM)","loc":"e,117:56,117:57","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(AN)","loc":"e,117:56,117:57","dtypep":"(N)"} ],"sentreep": [], "failsp": [ - {"type":"DISPLAY","name":"","addr":"(ZM)","loc":"e,117:64,117:72", + {"type":"DISPLAY","name":"","addr":"(BN)","loc":"e,117:64,117:72", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(AN)","loc":"e,117:64,117:72","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(CN)","loc":"e,117:64,117:72","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h6661696c","addr":"(BN)","loc":"e,117:73,117:79","dtypep":"(OC)"} + {"type":"CONST","name":"32'h6661696c","addr":"(DN)","loc":"e,117:73,117:79","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ],"passsp": []} ]} ]}, - {"type":"ALWAYS","name":"","addr":"(CN)","loc":"e,118:4,118:40","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(EN)","loc":"e,118:4,118:40","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"BEGIN","name":"assert_final_deferred_immediate_stmt","addr":"(DN)","loc":"e,118:4,118:40","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assert_final_deferred_immediate_stmt","addr":"(FN)","loc":"e,118:4,118:40","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(EN)","loc":"e,118:42,118:48","type":"[FINAL_DEFERRED_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(GN)","loc":"e,118:42,118:48","type":"[FINAL_DEFERRED_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(FN)","loc":"e,118:56,118:57","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(HN)","loc":"e,118:56,118:57","dtypep":"(N)"} ],"sentreep": [],"failsp": [], "passsp": [ - {"type":"DISPLAY","name":"","addr":"(GN)","loc":"e,118:59,118:67", + {"type":"DISPLAY","name":"","addr":"(IN)","loc":"e,118:59,118:67", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(HN)","loc":"e,118:59,118:67","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(JN)","loc":"e,118:59,118:67","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h70617373","addr":"(IN)","loc":"e,118:68,118:74","dtypep":"(OC)"} + {"type":"CONST","name":"32'h70617373","addr":"(KN)","loc":"e,118:68,118:74","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ]} ]} ]}, - {"type":"ALWAYS","name":"","addr":"(JN)","loc":"e,119:4,119:45","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(LN)","loc":"e,119:4,119:45","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"BEGIN","name":"assert_final_deferred_immediate_stmt_else","addr":"(KN)","loc":"e,119:4,119:45","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assert_final_deferred_immediate_stmt_else","addr":"(MN)","loc":"e,119:4,119:45","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(LN)","loc":"e,119:47,119:53","type":"[FINAL_DEFERRED_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(NN)","loc":"e,119:47,119:53","type":"[FINAL_DEFERRED_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(MN)","loc":"e,119:61,119:62","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(ON)","loc":"e,119:61,119:62","dtypep":"(N)"} ],"sentreep": [], "failsp": [ - {"type":"DISPLAY","name":"","addr":"(NN)","loc":"e,119:87,119:95", + {"type":"DISPLAY","name":"","addr":"(PN)","loc":"e,119:87,119:95", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(ON)","loc":"e,119:87,119:95","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(QN)","loc":"e,119:87,119:95","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h6661696c","addr":"(PN)","loc":"e,119:96,119:102","dtypep":"(OC)"} + {"type":"CONST","name":"32'h6661696c","addr":"(RN)","loc":"e,119:96,119:102","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ], "passsp": [ - {"type":"DISPLAY","name":"","addr":"(QN)","loc":"e,119:64,119:72", + {"type":"DISPLAY","name":"","addr":"(SN)","loc":"e,119:64,119:72", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(RN)","loc":"e,119:64,119:72","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(TN)","loc":"e,119:64,119:72","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h70617373","addr":"(SN)","loc":"e,119:73,119:79","dtypep":"(OC)"} + {"type":"CONST","name":"32'h70617373","addr":"(UN)","loc":"e,119:73,119:79","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ]} ]} ]}, - {"type":"ALWAYS","name":"","addr":"(TN)","loc":"e,121:4,121:35","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(VN)","loc":"e,121:4,121:35","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"BEGIN","name":"assume_final_deferred_immediate","addr":"(UN)","loc":"e,121:4,121:35","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assume_final_deferred_immediate","addr":"(WN)","loc":"e,121:4,121:35","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(VN)","loc":"e,121:37,121:43","type":"[FINAL_DEFERRED_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(XN)","loc":"e,121:37,121:43","type":"[FINAL_DEFERRED_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(WN)","loc":"e,121:51,121:52","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(YN)","loc":"e,121:51,121:52","dtypep":"(N)"} ],"sentreep": [],"failsp": [],"passsp": []} ]} ]}, - {"type":"ALWAYS","name":"","addr":"(XN)","loc":"e,122:4,122:40","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(ZN)","loc":"e,122:4,122:40","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"BEGIN","name":"assume_final_deferred_immediate_else","addr":"(YN)","loc":"e,122:4,122:40","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assume_final_deferred_immediate_else","addr":"(AO)","loc":"e,122:4,122:40","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(ZN)","loc":"e,122:42,122:48","type":"[FINAL_DEFERRED_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(BO)","loc":"e,122:42,122:48","type":"[FINAL_DEFERRED_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(AO)","loc":"e,122:56,122:57","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(CO)","loc":"e,122:56,122:57","dtypep":"(N)"} ],"sentreep": [], "failsp": [ - {"type":"DISPLAY","name":"","addr":"(BO)","loc":"e,122:64,122:72", + {"type":"DISPLAY","name":"","addr":"(DO)","loc":"e,122:64,122:72", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(CO)","loc":"e,122:64,122:72","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(EO)","loc":"e,122:64,122:72","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h6661696c","addr":"(DO)","loc":"e,122:73,122:79","dtypep":"(OC)"} + {"type":"CONST","name":"32'h6661696c","addr":"(FO)","loc":"e,122:73,122:79","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ],"passsp": []} ]} ]}, - {"type":"ALWAYS","name":"","addr":"(EO)","loc":"e,123:4,123:40","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(GO)","loc":"e,123:4,123:40","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"BEGIN","name":"assume_final_deferred_immediate_stmt","addr":"(FO)","loc":"e,123:4,123:40","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assume_final_deferred_immediate_stmt","addr":"(HO)","loc":"e,123:4,123:40","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(GO)","loc":"e,123:42,123:48","type":"[FINAL_DEFERRED_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(IO)","loc":"e,123:42,123:48","type":"[FINAL_DEFERRED_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(HO)","loc":"e,123:56,123:57","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(JO)","loc":"e,123:56,123:57","dtypep":"(N)"} ],"sentreep": [],"failsp": [], "passsp": [ - {"type":"DISPLAY","name":"","addr":"(IO)","loc":"e,123:59,123:67", + {"type":"DISPLAY","name":"","addr":"(KO)","loc":"e,123:59,123:67", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(JO)","loc":"e,123:59,123:67","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(LO)","loc":"e,123:59,123:67","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h70617373","addr":"(KO)","loc":"e,123:68,123:74","dtypep":"(OC)"} + {"type":"CONST","name":"32'h70617373","addr":"(MO)","loc":"e,123:68,123:74","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ]} ]} ]}, - {"type":"ALWAYS","name":"","addr":"(LO)","loc":"e,124:4,124:45","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(NO)","loc":"e,124:4,124:45","keyword":"always_comb","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"BEGIN","name":"assume_final_deferred_immediate_stmt_else","addr":"(MO)","loc":"e,124:4,124:45","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assume_final_deferred_immediate_stmt_else","addr":"(OO)","loc":"e,124:4,124:45","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(NO)","loc":"e,124:47,124:53","type":"[FINAL_DEFERRED_IMMEDIATE]", + {"type":"ASSERT","name":"","addr":"(PO)","loc":"e,124:47,124:53","type":"[FINAL_DEFERRED_IMMEDIATE]", "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(OO)","loc":"e,124:61,124:62","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(QO)","loc":"e,124:61,124:62","dtypep":"(N)"} ],"sentreep": [], "failsp": [ - {"type":"DISPLAY","name":"","addr":"(PO)","loc":"e,124:87,124:95", + {"type":"DISPLAY","name":"","addr":"(RO)","loc":"e,124:87,124:95", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(QO)","loc":"e,124:87,124:95","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(SO)","loc":"e,124:87,124:95","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h6661696c","addr":"(RO)","loc":"e,124:96,124:102","dtypep":"(OC)"} + {"type":"CONST","name":"32'h6661696c","addr":"(TO)","loc":"e,124:96,124:102","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ], "passsp": [ - {"type":"DISPLAY","name":"","addr":"(SO)","loc":"e,124:64,124:72", + {"type":"DISPLAY","name":"","addr":"(UO)","loc":"e,124:64,124:72", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(TO)","loc":"e,124:64,124:72","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(VO)","loc":"e,124:64,124:72","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h70617373","addr":"(UO)","loc":"e,124:73,124:79","dtypep":"(OC)"} + {"type":"CONST","name":"32'h70617373","addr":"(WO)","loc":"e,124:73,124:79","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ]} ]} ]}, - {"type":"PROPERTY","name":"prop","addr":"(VO)","loc":"e,126:13,126:17","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"prop","fvarp": [],"classOrPackagep": [], + {"type":"PROPERTY","name":"prop","addr":"(XO)","loc":"e,126:13,126:17","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"prop","fvarp": [],"classOrPackagep": [], "stmtsp": [ - {"type":"PROPSPEC","name":"","addr":"(WO)","loc":"e,127:7,127:8","dtypep":"UNLINKED", + {"type":"PROPSPEC","name":"","addr":"(YO)","loc":"e,127:7,127:8","dtypep":"UNLINKED", "sensesp": [ - {"type":"SENITEM","name":"","addr":"(XO)","loc":"e,127:9,127:16","edgeType":"POS", + {"type":"SENITEM","name":"","addr":"(ZO)","loc":"e,127:9,127:16","edgeType":"POS", "sensp": [ - {"type":"PARSEREF","name":"clk","addr":"(YO)","loc":"e,127:17,127:20","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"clk","addr":"(AP)","loc":"e,127:17,127:20","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ],"condp": []} ],"disablep": [], "propp": [ - {"type":"CONST","name":"?32?sh0","addr":"(ZO)","loc":"e,127:22,127:23","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(BP)","loc":"e,127:22,127:23","dtypep":"(N)"} ]} ],"scopeNamep": []}, - {"type":"BEGIN","name":"assert_concurrent","addr":"(AP)","loc":"e,130:4,130:21","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assert_concurrent","addr":"(CP)","loc":"e,130:4,130:21","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(BP)","loc":"e,130:23,130:29","type":"[CONCURRENT]", + {"type":"ASSERT","name":"","addr":"(DP)","loc":"e,130:23,130:29","type":"[CONCURRENT]", "propp": [ - {"type":"SAMPLED","name":"","addr":"(CP)","loc":"e,130:23,130:29","dtypep":"UNLINKED", + {"type":"SAMPLED","name":"","addr":"(EP)","loc":"e,130:23,130:29","dtypep":"UNLINKED", "exprp": [ - {"type":"PROPSPEC","name":"","addr":"(DP)","loc":"e,130:40,130:44","dtypep":"UNLINKED","sensesp": [],"disablep": [], + {"type":"PROPSPEC","name":"","addr":"(FP)","loc":"e,130:40,130:44","dtypep":"UNLINKED","sensesp": [],"disablep": [], "propp": [ - {"type":"PARSEREF","name":"prop","addr":"(EP)","loc":"e,130:40,130:44","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"prop","addr":"(GP)","loc":"e,130:40,130:44","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ]} ],"sentreep": [],"failsp": [],"passsp": []} ]}, - {"type":"BEGIN","name":"assert_concurrent_else","addr":"(FP)","loc":"e,131:4,131:26","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assert_concurrent_else","addr":"(HP)","loc":"e,131:4,131:26","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(GP)","loc":"e,131:28,131:34","type":"[CONCURRENT]", + {"type":"ASSERT","name":"","addr":"(IP)","loc":"e,131:28,131:34","type":"[CONCURRENT]", "propp": [ - {"type":"SAMPLED","name":"","addr":"(HP)","loc":"e,131:28,131:34","dtypep":"UNLINKED", + {"type":"SAMPLED","name":"","addr":"(JP)","loc":"e,131:28,131:34","dtypep":"UNLINKED", "exprp": [ - {"type":"PROPSPEC","name":"","addr":"(IP)","loc":"e,131:44,131:48","dtypep":"UNLINKED","sensesp": [],"disablep": [], + {"type":"PROPSPEC","name":"","addr":"(KP)","loc":"e,131:44,131:48","dtypep":"UNLINKED","sensesp": [],"disablep": [], "propp": [ - {"type":"PARSEREF","name":"prop","addr":"(JP)","loc":"e,131:44,131:48","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"prop","addr":"(LP)","loc":"e,131:44,131:48","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ]} ],"sentreep": [], "failsp": [ - {"type":"DISPLAY","name":"","addr":"(KP)","loc":"e,131:55,131:63", + {"type":"DISPLAY","name":"","addr":"(MP)","loc":"e,131:55,131:63", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(LP)","loc":"e,131:55,131:63","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(NP)","loc":"e,131:55,131:63","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h6661696c","addr":"(MP)","loc":"e,131:64,131:70","dtypep":"(OC)"} + {"type":"CONST","name":"32'h6661696c","addr":"(OP)","loc":"e,131:64,131:70","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ],"passsp": []} ]}, - {"type":"BEGIN","name":"assert_concurrent_stmt","addr":"(NP)","loc":"e,132:4,132:26","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assert_concurrent_stmt","addr":"(PP)","loc":"e,132:4,132:26","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(OP)","loc":"e,132:28,132:34","type":"[CONCURRENT]", + {"type":"ASSERT","name":"","addr":"(QP)","loc":"e,132:28,132:34","type":"[CONCURRENT]", "propp": [ - {"type":"SAMPLED","name":"","addr":"(PP)","loc":"e,132:28,132:34","dtypep":"UNLINKED", + {"type":"SAMPLED","name":"","addr":"(RP)","loc":"e,132:28,132:34","dtypep":"UNLINKED", "exprp": [ - {"type":"PROPSPEC","name":"","addr":"(QP)","loc":"e,132:44,132:48","dtypep":"UNLINKED","sensesp": [],"disablep": [], + {"type":"PROPSPEC","name":"","addr":"(SP)","loc":"e,132:44,132:48","dtypep":"UNLINKED","sensesp": [],"disablep": [], "propp": [ - {"type":"PARSEREF","name":"prop","addr":"(RP)","loc":"e,132:44,132:48","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"prop","addr":"(TP)","loc":"e,132:44,132:48","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ]} ],"sentreep": [],"failsp": [], "passsp": [ - {"type":"DISPLAY","name":"","addr":"(SP)","loc":"e,132:50,132:58", + {"type":"DISPLAY","name":"","addr":"(UP)","loc":"e,132:50,132:58", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(TP)","loc":"e,132:50,132:58","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(VP)","loc":"e,132:50,132:58","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h70617373","addr":"(UP)","loc":"e,132:59,132:65","dtypep":"(OC)"} + {"type":"CONST","name":"32'h70617373","addr":"(WP)","loc":"e,132:59,132:65","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ]} ]}, - {"type":"BEGIN","name":"assert_concurrent_stmt_else","addr":"(VP)","loc":"e,133:4,133:31","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assert_concurrent_stmt_else","addr":"(XP)","loc":"e,133:4,133:31","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(WP)","loc":"e,133:33,133:39","type":"[CONCURRENT]", + {"type":"ASSERT","name":"","addr":"(YP)","loc":"e,133:33,133:39","type":"[CONCURRENT]", "propp": [ - {"type":"SAMPLED","name":"","addr":"(XP)","loc":"e,133:33,133:39","dtypep":"UNLINKED", + {"type":"SAMPLED","name":"","addr":"(ZP)","loc":"e,133:33,133:39","dtypep":"UNLINKED", "exprp": [ - {"type":"PROPSPEC","name":"","addr":"(YP)","loc":"e,133:49,133:53","dtypep":"UNLINKED","sensesp": [],"disablep": [], + {"type":"PROPSPEC","name":"","addr":"(AQ)","loc":"e,133:49,133:53","dtypep":"UNLINKED","sensesp": [],"disablep": [], "propp": [ - {"type":"PARSEREF","name":"prop","addr":"(ZP)","loc":"e,133:49,133:53","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"prop","addr":"(BQ)","loc":"e,133:49,133:53","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ]} ],"sentreep": [], "failsp": [ - {"type":"DISPLAY","name":"","addr":"(AQ)","loc":"e,133:78,133:86", + {"type":"DISPLAY","name":"","addr":"(CQ)","loc":"e,133:78,133:86", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(BQ)","loc":"e,133:78,133:86","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(DQ)","loc":"e,133:78,133:86","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h6661696c","addr":"(CQ)","loc":"e,133:87,133:93","dtypep":"(OC)"} + {"type":"CONST","name":"32'h6661696c","addr":"(EQ)","loc":"e,133:87,133:93","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ], "passsp": [ - {"type":"DISPLAY","name":"","addr":"(DQ)","loc":"e,133:55,133:63", + {"type":"DISPLAY","name":"","addr":"(FQ)","loc":"e,133:55,133:63", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(EQ)","loc":"e,133:55,133:63","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(GQ)","loc":"e,133:55,133:63","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h70617373","addr":"(FQ)","loc":"e,133:64,133:70","dtypep":"(OC)"} + {"type":"CONST","name":"32'h70617373","addr":"(HQ)","loc":"e,133:64,133:70","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ]} ]}, - {"type":"BEGIN","name":"assume_concurrent","addr":"(GQ)","loc":"e,135:4,135:21","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assume_concurrent","addr":"(IQ)","loc":"e,135:4,135:21","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(HQ)","loc":"e,135:23,135:29","type":"[CONCURRENT]", + {"type":"ASSERT","name":"","addr":"(JQ)","loc":"e,135:23,135:29","type":"[CONCURRENT]", "propp": [ - {"type":"SAMPLED","name":"","addr":"(IQ)","loc":"e,135:23,135:29","dtypep":"UNLINKED", + {"type":"SAMPLED","name":"","addr":"(KQ)","loc":"e,135:23,135:29","dtypep":"UNLINKED", "exprp": [ - {"type":"PROPSPEC","name":"","addr":"(JQ)","loc":"e,135:39,135:43","dtypep":"UNLINKED","sensesp": [],"disablep": [], + {"type":"PROPSPEC","name":"","addr":"(LQ)","loc":"e,135:39,135:43","dtypep":"UNLINKED","sensesp": [],"disablep": [], "propp": [ - {"type":"PARSEREF","name":"prop","addr":"(KQ)","loc":"e,135:39,135:43","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"prop","addr":"(MQ)","loc":"e,135:39,135:43","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ]} ],"sentreep": [],"failsp": [],"passsp": []} ]}, - {"type":"BEGIN","name":"assume_concurrent_else","addr":"(LQ)","loc":"e,136:4,136:26","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assume_concurrent_else","addr":"(NQ)","loc":"e,136:4,136:26","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(MQ)","loc":"e,136:28,136:34","type":"[CONCURRENT]", + {"type":"ASSERT","name":"","addr":"(OQ)","loc":"e,136:28,136:34","type":"[CONCURRENT]", "propp": [ - {"type":"SAMPLED","name":"","addr":"(NQ)","loc":"e,136:28,136:34","dtypep":"UNLINKED", + {"type":"SAMPLED","name":"","addr":"(PQ)","loc":"e,136:28,136:34","dtypep":"UNLINKED", "exprp": [ - {"type":"PROPSPEC","name":"","addr":"(OQ)","loc":"e,136:44,136:48","dtypep":"UNLINKED","sensesp": [],"disablep": [], + {"type":"PROPSPEC","name":"","addr":"(QQ)","loc":"e,136:44,136:48","dtypep":"UNLINKED","sensesp": [],"disablep": [], "propp": [ - {"type":"PARSEREF","name":"prop","addr":"(PQ)","loc":"e,136:44,136:48","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"prop","addr":"(RQ)","loc":"e,136:44,136:48","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ]} ],"sentreep": [], "failsp": [ - {"type":"DISPLAY","name":"","addr":"(QQ)","loc":"e,136:55,136:63", + {"type":"DISPLAY","name":"","addr":"(SQ)","loc":"e,136:55,136:63", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(RQ)","loc":"e,136:55,136:63","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(TQ)","loc":"e,136:55,136:63","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h6661696c","addr":"(SQ)","loc":"e,136:64,136:70","dtypep":"(OC)"} + {"type":"CONST","name":"32'h6661696c","addr":"(UQ)","loc":"e,136:64,136:70","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ],"passsp": []} ]}, - {"type":"BEGIN","name":"assume_concurrent_stmt","addr":"(TQ)","loc":"e,137:4,137:26","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assume_concurrent_stmt","addr":"(VQ)","loc":"e,137:4,137:26","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(UQ)","loc":"e,137:28,137:34","type":"[CONCURRENT]", + {"type":"ASSERT","name":"","addr":"(WQ)","loc":"e,137:28,137:34","type":"[CONCURRENT]", "propp": [ - {"type":"SAMPLED","name":"","addr":"(VQ)","loc":"e,137:28,137:34","dtypep":"UNLINKED", + {"type":"SAMPLED","name":"","addr":"(XQ)","loc":"e,137:28,137:34","dtypep":"UNLINKED", "exprp": [ - {"type":"PROPSPEC","name":"","addr":"(WQ)","loc":"e,137:44,137:48","dtypep":"UNLINKED","sensesp": [],"disablep": [], + {"type":"PROPSPEC","name":"","addr":"(YQ)","loc":"e,137:44,137:48","dtypep":"UNLINKED","sensesp": [],"disablep": [], "propp": [ - {"type":"PARSEREF","name":"prop","addr":"(XQ)","loc":"e,137:44,137:48","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"prop","addr":"(ZQ)","loc":"e,137:44,137:48","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ]} ],"sentreep": [],"failsp": [], "passsp": [ - {"type":"DISPLAY","name":"","addr":"(YQ)","loc":"e,137:50,137:58", + {"type":"DISPLAY","name":"","addr":"(AR)","loc":"e,137:50,137:58", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(ZQ)","loc":"e,137:50,137:58","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(BR)","loc":"e,137:50,137:58","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h70617373","addr":"(AR)","loc":"e,137:59,137:65","dtypep":"(OC)"} + {"type":"CONST","name":"32'h70617373","addr":"(CR)","loc":"e,137:59,137:65","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ]} ]}, - {"type":"BEGIN","name":"assume_concurrent_stmt_else","addr":"(BR)","loc":"e,138:4,138:31","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"assume_concurrent_stmt_else","addr":"(DR)","loc":"e,138:4,138:31","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"ASSERT","name":"","addr":"(CR)","loc":"e,138:33,138:39","type":"[CONCURRENT]", + {"type":"ASSERT","name":"","addr":"(ER)","loc":"e,138:33,138:39","type":"[CONCURRENT]", "propp": [ - {"type":"SAMPLED","name":"","addr":"(DR)","loc":"e,138:33,138:39","dtypep":"UNLINKED", + {"type":"SAMPLED","name":"","addr":"(FR)","loc":"e,138:33,138:39","dtypep":"UNLINKED", "exprp": [ - {"type":"PROPSPEC","name":"","addr":"(ER)","loc":"e,138:49,138:53","dtypep":"UNLINKED","sensesp": [],"disablep": [], + {"type":"PROPSPEC","name":"","addr":"(GR)","loc":"e,138:49,138:53","dtypep":"UNLINKED","sensesp": [],"disablep": [], "propp": [ - {"type":"PARSEREF","name":"prop","addr":"(FR)","loc":"e,138:49,138:53","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"prop","addr":"(HR)","loc":"e,138:49,138:53","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ]} ],"sentreep": [], "failsp": [ - {"type":"DISPLAY","name":"","addr":"(GR)","loc":"e,138:78,138:86", + {"type":"DISPLAY","name":"","addr":"(IR)","loc":"e,138:78,138:86", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(HR)","loc":"e,138:78,138:86","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(JR)","loc":"e,138:78,138:86","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h6661696c","addr":"(IR)","loc":"e,138:87,138:93","dtypep":"(OC)"} + {"type":"CONST","name":"32'h6661696c","addr":"(KR)","loc":"e,138:87,138:93","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ], "passsp": [ - {"type":"DISPLAY","name":"","addr":"(JR)","loc":"e,138:55,138:63", + {"type":"DISPLAY","name":"","addr":"(LR)","loc":"e,138:55,138:63", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(KR)","loc":"e,138:55,138:63","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(MR)","loc":"e,138:55,138:63","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h70617373","addr":"(LR)","loc":"e,138:64,138:70","dtypep":"(OC)"} + {"type":"CONST","name":"32'h70617373","addr":"(NR)","loc":"e,138:64,138:70","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ]} ]}, - {"type":"BEGIN","name":"cover_concurrent","addr":"(MR)","loc":"e,140:4,140:20","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"cover_concurrent","addr":"(OR)","loc":"e,140:4,140:20","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"COVER","name":"","addr":"(NR)","loc":"e,140:22,140:27","type":"[CONCURRENT]", + {"type":"COVER","name":"","addr":"(PR)","loc":"e,140:22,140:27","type":"[CONCURRENT]", "propp": [ - {"type":"PROPSPEC","name":"","addr":"(OR)","loc":"e,140:37,140:41","dtypep":"UNLINKED","sensesp": [],"disablep": [], + {"type":"PROPSPEC","name":"","addr":"(QR)","loc":"e,140:37,140:41","dtypep":"UNLINKED","sensesp": [],"disablep": [], "propp": [ - {"type":"PARSEREF","name":"prop","addr":"(PR)","loc":"e,140:37,140:41","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"prop","addr":"(RR)","loc":"e,140:37,140:41","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ],"sentreep": [],"coverincsp": [],"passsp": []} ]}, - {"type":"BEGIN","name":"cover_concurrent_stmt","addr":"(QR)","loc":"e,141:4,141:25","implied":true,"needProcess":false,"unnamed":false, + {"type":"BEGIN","name":"cover_concurrent_stmt","addr":"(SR)","loc":"e,141:4,141:25","implied":true,"needProcess":false,"unnamed":false, "stmtsp": [ - {"type":"COVER","name":"","addr":"(RR)","loc":"e,141:27,141:32","type":"[CONCURRENT]", + {"type":"COVER","name":"","addr":"(TR)","loc":"e,141:27,141:32","type":"[CONCURRENT]", "propp": [ - {"type":"PROPSPEC","name":"","addr":"(SR)","loc":"e,141:42,141:46","dtypep":"UNLINKED","sensesp": [],"disablep": [], + {"type":"PROPSPEC","name":"","addr":"(UR)","loc":"e,141:42,141:46","dtypep":"UNLINKED","sensesp": [],"disablep": [], "propp": [ - {"type":"PARSEREF","name":"prop","addr":"(TR)","loc":"e,141:42,141:46","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"prop","addr":"(VR)","loc":"e,141:42,141:46","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ],"sentreep": [],"coverincsp": [], "passsp": [ - {"type":"DISPLAY","name":"","addr":"(UR)","loc":"e,141:48,141:56", + {"type":"DISPLAY","name":"","addr":"(WR)","loc":"e,141:48,141:56", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(VR)","loc":"e,141:48,141:56","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(XR)","loc":"e,141:48,141:56","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"32'h70617373","addr":"(WR)","loc":"e,141:57,141:63","dtypep":"(OC)"} + {"type":"CONST","name":"32'h70617373","addr":"(YR)","loc":"e,141:57,141:63","dtypep":"(QC)"} ],"scopeNamep": []} ],"filep": []} ]} ]}, - {"type":"RESTRICT","name":"","addr":"(XR)","loc":"e,143:4,143:12","type":"[INTERNAL]", + {"type":"RESTRICT","name":"","addr":"(ZR)","loc":"e,143:4,143:12","type":"[INTERNAL]", "propp": [ - {"type":"PROPSPEC","name":"","addr":"(YR)","loc":"e,143:23,143:27","dtypep":"UNLINKED","sensesp": [],"disablep": [], + {"type":"PROPSPEC","name":"","addr":"(AS)","loc":"e,143:23,143:27","dtypep":"UNLINKED","sensesp": [],"disablep": [], "propp": [ - {"type":"PARSEREF","name":"prop","addr":"(ZR)","loc":"e,143:23,143:27","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"prop","addr":"(BS)","loc":"e,143:23,143:27","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ],"sentreep": [],"passsp": []} ]}, {"type":"PACKAGE","name":"$unit","addr":"(E)","loc":"a,0:0,0:0","origName":"__024unit","level":3,"modPublic":false,"inLibrary":true,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], "stmtsp": [ - {"type":"PACKAGEIMPORT","name":"*","addr":"(AS)","loc":"d,31:9,31:12","packagep":"(F)","resolvedClassp": []} + {"type":"PACKAGEIMPORT","name":"*","addr":"(CS)","loc":"d,31:9,31:12","packagep":"(F)","resolvedClassp": []} ]}, {"type":"PACKAGE","name":"std","addr":"(F)","loc":"d,31:9,31:12","origName":"std","level":4,"modPublic":false,"inLibrary":true,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], "stmtsp": [ - {"type":"CLASS","name":"mailbox","addr":"(BS)","loc":"d,32:3,32:8","isExtended":false,"isInterfaceClass":false,"isVirtual":false,"origName":"mailbox","level":5,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","classOrPackagep":"UNLINKED","inlinesp": [], + {"type":"CLASS","name":"mailbox","addr":"(DS)","loc":"d,32:3,32:8","isExtended":false,"isInterfaceClass":false,"isVirtual":false,"origName":"mailbox","level":5,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","classOrPackagep":"UNLINKED","inlinesp": [], "stmtsp": [ - {"type":"VAR","name":"T","addr":"(CS)","loc":"d,33:12,33:13","dtypep":"UNLINKED","origName":"T","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"GPARAM","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":true,"isParam":true,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"T","addr":"(ES)","loc":"d,33:12,33:13","dtypep":"UNLINKED","origName":"T","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"GPARAM","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":true,"isParam":true,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"PARSETYPEDTYPE","name":"","addr":"(DS)","loc":"d,33:7,33:11","dtypep":"UNLINKED","generic":false} + {"type":"PARSETYPEDTYPE","name":"","addr":"(FS)","loc":"d,33:7,33:11","dtypep":"UNLINKED","generic":false} ],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"TYPEDEFFWD","name":"T","addr":"(ES)","loc":"d,33:12,33:13","fwdType":"none"}, - {"type":"VAR","name":"m_bound","addr":"(FS)","loc":"d,35:19,35:26","dtypep":"UNLINKED","origName":"m_bound","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"VAR","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"TYPEDEFFWD","name":"T","addr":"(GS)","loc":"d,33:12,33:13","fwdType":"none"}, + {"type":"VAR","name":"m_bound","addr":"(HS)","loc":"d,35:19,35:26","dtypep":"UNLINKED","origName":"m_bound","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"VAR","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"BASICDTYPE","name":"int","addr":"(GS)","loc":"d,35:15,35:18","dtypep":"(GS)","keyword":"int","range":"31:0","generic":false,"rangep": []} + {"type":"BASICDTYPE","name":"int","addr":"(IS)","loc":"d,35:15,35:18","dtypep":"(IS)","keyword":"int","range":"31:0","generic":false,"rangep": []} ],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"VAR","name":"m_queue","addr":"(HS)","loc":"d,36:17,36:24","dtypep":"UNLINKED","origName":"m_queue","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"VAR","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"m_queue","addr":"(JS)","loc":"d,36:17,36:24","dtypep":"UNLINKED","origName":"m_queue","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"VAR","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"BRACKETARRAYDTYPE","name":"","addr":"(IS)","loc":"d,36:24,36:25","dtypep":"UNLINKED","generic":false, + {"type":"BRACKETARRAYDTYPE","name":"","addr":"(KS)","loc":"d,36:24,36:25","dtypep":"UNLINKED","generic":false, "childDTypep": [ - {"type":"REFDTYPE","name":"T","addr":"(JS)","loc":"d,36:15,36:16","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} + {"type":"REFDTYPE","name":"T","addr":"(LS)","loc":"d,36:15,36:16","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} ], "elementsp": [ - {"type":"UNBOUNDED","name":"","addr":"(KS)","loc":"d,36:25,36:26","dtypep":"(LS)"} + {"type":"UNBOUNDED","name":"","addr":"(MS)","loc":"d,36:25,36:26","dtypep":"(NS)"} ]} ],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"FUNC","name":"new","addr":"(MS)","loc":"d,38:14,38:17","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"new","fvarp": [],"classOrPackagep": [], + {"type":"FUNC","name":"new","addr":"(OS)","loc":"d,38:14,38:17","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"new","fvarp": [],"classOrPackagep": [], "stmtsp": [ - {"type":"VAR","name":"bound","addr":"(NS)","loc":"d,38:22,38:27","dtypep":"UNLINKED","origName":"bound","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"bound","addr":"(PS)","loc":"d,38:22,38:27","dtypep":"UNLINKED","origName":"bound","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"BASICDTYPE","name":"int","addr":"(OS)","loc":"d,38:18,38:21","dtypep":"(OS)","keyword":"int","range":"31:0","generic":false,"rangep": []} + {"type":"BASICDTYPE","name":"int","addr":"(QS)","loc":"d,38:18,38:21","dtypep":"(QS)","keyword":"int","range":"31:0","generic":false,"rangep": []} ],"delayp": [], "valuep": [ - {"type":"CONST","name":"?32?sh0","addr":"(PS)","loc":"d,38:30,38:31","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(RS)","loc":"d,38:30,38:31","dtypep":"(N)"} ],"attrsp": []}, - {"type":"ASSIGN","name":"","addr":"(QS)","loc":"d,39:15,39:16","dtypep":"UNLINKED", + {"type":"ASSIGN","name":"","addr":"(SS)","loc":"d,39:15,39:16","dtypep":"UNLINKED", "rhsp": [ - {"type":"PARSEREF","name":"bound","addr":"(RS)","loc":"d,39:17,39:22","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"bound","addr":"(TS)","loc":"d,39:17,39:22","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "lhsp": [ - {"type":"PARSEREF","name":"m_bound","addr":"(SS)","loc":"d,39:7,39:14","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"m_bound","addr":"(US)","loc":"d,39:7,39:14","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ],"timingControlp": []} ],"scopeNamep": []}, - {"type":"FUNC","name":"num","addr":"(TS)","loc":"d,42:18,42:21","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"num", + {"type":"FUNC","name":"num","addr":"(VS)","loc":"d,42:18,42:21","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"num", "fvarp": [ - {"type":"BASICDTYPE","name":"int","addr":"(US)","loc":"d,42:14,42:17","dtypep":"(US)","keyword":"int","range":"31:0","generic":false,"rangep": []} + {"type":"BASICDTYPE","name":"int","addr":"(WS)","loc":"d,42:14,42:17","dtypep":"(WS)","keyword":"int","range":"31:0","generic":false,"rangep": []} ],"classOrPackagep": [], "stmtsp": [ - {"type":"RETURN","name":"","addr":"(VS)","loc":"d,43:7,43:13", + {"type":"RETURN","name":"","addr":"(XS)","loc":"d,43:7,43:13", "lhsp": [ - {"type":"DOT","name":"","addr":"(WS)","loc":"d,43:21,43:22","dtypep":"UNLINKED","colon":false, + {"type":"DOT","name":"","addr":"(YS)","loc":"d,43:21,43:22","dtypep":"UNLINKED","colon":false, "lhsp": [ - {"type":"PARSEREF","name":"m_queue","addr":"(XS)","loc":"d,43:14,43:21","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"m_queue","addr":"(ZS)","loc":"d,43:14,43:21","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "rhsp": [ - {"type":"FUNCREF","name":"size","addr":"(YS)","loc":"d,43:22,43:26","dtypep":"UNLINKED","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [],"pinsp": [],"scopeNamep": []} + {"type":"FUNCREF","name":"size","addr":"(AT)","loc":"d,43:22,43:26","dtypep":"UNLINKED","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [],"pinsp": [],"scopeNamep": []} ]} ]} ],"scopeNamep": []}, - {"type":"TASK","name":"put","addr":"(ZS)","loc":"d,46:10,46:13","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"put","fvarp": [],"classOrPackagep": [], + {"type":"TASK","name":"put","addr":"(BT)","loc":"d,46:10,46:13","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"put","fvarp": [],"classOrPackagep": [], "stmtsp": [ - {"type":"VAR","name":"message","addr":"(AT)","loc":"d,46:16,46:23","dtypep":"UNLINKED","origName":"message","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"message","addr":"(CT)","loc":"d,46:16,46:23","dtypep":"UNLINKED","origName":"message","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"REFDTYPE","name":"T","addr":"(BT)","loc":"d,46:14,46:15","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} + {"type":"REFDTYPE","name":"T","addr":"(DT)","loc":"d,46:14,46:15","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} ],"delayp": [],"valuep": [],"attrsp": []} ],"scopeNamep": []}, - {"type":"FUNC","name":"try_put","addr":"(CT)","loc":"d,54:18,54:25","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"try_put", + {"type":"FUNC","name":"try_put","addr":"(ET)","loc":"d,54:18,54:25","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"try_put", "fvarp": [ - {"type":"BASICDTYPE","name":"int","addr":"(DT)","loc":"d,54:14,54:17","dtypep":"(DT)","keyword":"int","range":"31:0","generic":false,"rangep": []} + {"type":"BASICDTYPE","name":"int","addr":"(FT)","loc":"d,54:14,54:17","dtypep":"(FT)","keyword":"int","range":"31:0","generic":false,"rangep": []} ],"classOrPackagep": [], "stmtsp": [ - {"type":"VAR","name":"message","addr":"(ET)","loc":"d,54:28,54:35","dtypep":"UNLINKED","origName":"message","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"message","addr":"(GT)","loc":"d,54:28,54:35","dtypep":"UNLINKED","origName":"message","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"REFDTYPE","name":"T","addr":"(FT)","loc":"d,54:26,54:27","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} + {"type":"REFDTYPE","name":"T","addr":"(HT)","loc":"d,54:26,54:27","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} ],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"IF","name":"","addr":"(GT)","loc":"d,55:7,55:9", + {"type":"IF","name":"","addr":"(IT)","loc":"d,55:7,55:9", "condp": [ - {"type":"LOGOR","name":"","addr":"(HT)","loc":"d,55:24,55:26","dtypep":"(WE)", + {"type":"LOGOR","name":"","addr":"(JT)","loc":"d,55:24,55:26","dtypep":"(YE)", "lhsp": [ - {"type":"EQ","name":"","addr":"(IT)","loc":"d,55:19,55:21","dtypep":"(WE)", + {"type":"EQ","name":"","addr":"(KT)","loc":"d,55:19,55:21","dtypep":"(YE)", "lhsp": [ - {"type":"PARSEREF","name":"m_bound","addr":"(JT)","loc":"d,55:11,55:18","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"m_bound","addr":"(LT)","loc":"d,55:11,55:18","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "rhsp": [ - {"type":"CONST","name":"?32?sh0","addr":"(KT)","loc":"d,55:22,55:23","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(MT)","loc":"d,55:22,55:23","dtypep":"(N)"} ]} ], "rhsp": [ - {"type":"LT","name":"","addr":"(LT)","loc":"d,55:33,55:34","dtypep":"(WE)", + {"type":"LT","name":"","addr":"(NT)","loc":"d,55:33,55:34","dtypep":"(YE)", "lhsp": [ - {"type":"FUNCREF","name":"num","addr":"(MT)","loc":"d,55:27,55:30","dtypep":"UNLINKED","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [],"pinsp": [],"scopeNamep": []} + {"type":"FUNCREF","name":"num","addr":"(OT)","loc":"d,55:27,55:30","dtypep":"UNLINKED","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [],"pinsp": [],"scopeNamep": []} ], "rhsp": [ - {"type":"PARSEREF","name":"m_bound","addr":"(NT)","loc":"d,55:35,55:42","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"m_bound","addr":"(PT)","loc":"d,55:35,55:42","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ]} ], "thensp": [ - {"type":"BEGIN","name":"","addr":"(OT)","loc":"d,55:44,55:49","implied":false,"needProcess":false,"unnamed":true, + {"type":"BEGIN","name":"","addr":"(QT)","loc":"d,55:44,55:49","implied":false,"needProcess":false,"unnamed":true, "stmtsp": [ - {"type":"STMTEXPR","name":"","addr":"(PT)","loc":"d,56:16,56:17", + {"type":"STMTEXPR","name":"","addr":"(RT)","loc":"d,56:16,56:17", "exprp": [ - {"type":"DOT","name":"","addr":"(QT)","loc":"d,56:16,56:17","dtypep":"UNLINKED","colon":false, + {"type":"DOT","name":"","addr":"(ST)","loc":"d,56:16,56:17","dtypep":"UNLINKED","colon":false, "lhsp": [ - {"type":"PARSEREF","name":"m_queue","addr":"(RT)","loc":"d,56:9,56:16","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"m_queue","addr":"(TT)","loc":"d,56:9,56:16","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "rhsp": [ - {"type":"TASKREF","name":"push_back","addr":"(ST)","loc":"d,56:17,56:26","dtypep":"(TT)","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [], + {"type":"TASKREF","name":"push_back","addr":"(UT)","loc":"d,56:17,56:26","dtypep":"(VT)","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [], "pinsp": [ - {"type":"ARG","name":"","addr":"(UT)","loc":"d,56:27,56:34", + {"type":"ARG","name":"","addr":"(WT)","loc":"d,56:27,56:34", "exprp": [ - {"type":"PARSEREF","name":"message","addr":"(VT)","loc":"d,56:27,56:34","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"message","addr":"(XT)","loc":"d,56:27,56:34","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ],"scopeNamep": []} ]} ]}, - {"type":"RETURN","name":"","addr":"(WT)","loc":"d,57:9,57:15", + {"type":"RETURN","name":"","addr":"(YT)","loc":"d,57:9,57:15", "lhsp": [ - {"type":"CONST","name":"?32?sh1","addr":"(XT)","loc":"d,57:16,57:17","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh1","addr":"(ZT)","loc":"d,57:16,57:17","dtypep":"(N)"} ]} ]} ],"elsesp": []}, - {"type":"RETURN","name":"","addr":"(YT)","loc":"d,59:7,59:13", + {"type":"RETURN","name":"","addr":"(AU)","loc":"d,59:7,59:13", "lhsp": [ - {"type":"CONST","name":"?32?sh0","addr":"(ZT)","loc":"d,59:14,59:15","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(BU)","loc":"d,59:14,59:15","dtypep":"(N)"} ]} ],"scopeNamep": []}, - {"type":"TASK","name":"get","addr":"(AU)","loc":"d,62:10,62:13","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"get","fvarp": [],"classOrPackagep": [], + {"type":"TASK","name":"get","addr":"(CU)","loc":"d,62:10,62:13","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"get","fvarp": [],"classOrPackagep": [], "stmtsp": [ - {"type":"VAR","name":"message","addr":"(BU)","loc":"d,62:20,62:27","dtypep":"UNLINKED","origName":"message","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"REF","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"message","addr":"(DU)","loc":"d,62:20,62:27","dtypep":"UNLINKED","origName":"message","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"REF","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"REFDTYPE","name":"T","addr":"(CU)","loc":"d,62:18,62:19","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} + {"type":"REFDTYPE","name":"T","addr":"(EU)","loc":"d,62:18,62:19","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} ],"delayp": [],"valuep": [],"attrsp": []} ],"scopeNamep": []}, - {"type":"FUNC","name":"try_get","addr":"(DU)","loc":"d,71:18,71:25","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"try_get", + {"type":"FUNC","name":"try_get","addr":"(FU)","loc":"d,71:18,71:25","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"try_get", "fvarp": [ - {"type":"BASICDTYPE","name":"int","addr":"(EU)","loc":"d,71:14,71:17","dtypep":"(EU)","keyword":"int","range":"31:0","generic":false,"rangep": []} + {"type":"BASICDTYPE","name":"int","addr":"(GU)","loc":"d,71:14,71:17","dtypep":"(GU)","keyword":"int","range":"31:0","generic":false,"rangep": []} ],"classOrPackagep": [], "stmtsp": [ - {"type":"VAR","name":"message","addr":"(FU)","loc":"d,71:32,71:39","dtypep":"UNLINKED","origName":"message","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"REF","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"message","addr":"(HU)","loc":"d,71:32,71:39","dtypep":"UNLINKED","origName":"message","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"REF","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"REFDTYPE","name":"T","addr":"(GU)","loc":"d,71:30,71:31","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} + {"type":"REFDTYPE","name":"T","addr":"(IU)","loc":"d,71:30,71:31","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} ],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"IF","name":"","addr":"(HU)","loc":"d,72:7,72:9", + {"type":"IF","name":"","addr":"(JU)","loc":"d,72:7,72:9", "condp": [ - {"type":"GT","name":"","addr":"(IU)","loc":"d,72:17,72:18","dtypep":"(WE)", + {"type":"GT","name":"","addr":"(KU)","loc":"d,72:17,72:18","dtypep":"(YE)", "lhsp": [ - {"type":"FUNCREF","name":"num","addr":"(JU)","loc":"d,72:11,72:14","dtypep":"UNLINKED","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [],"pinsp": [],"scopeNamep": []} + {"type":"FUNCREF","name":"num","addr":"(LU)","loc":"d,72:11,72:14","dtypep":"UNLINKED","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [],"pinsp": [],"scopeNamep": []} ], "rhsp": [ - {"type":"CONST","name":"?32?sh0","addr":"(KU)","loc":"d,72:19,72:20","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(MU)","loc":"d,72:19,72:20","dtypep":"(N)"} ]} ], "thensp": [ - {"type":"BEGIN","name":"","addr":"(LU)","loc":"d,72:22,72:27","implied":false,"needProcess":false,"unnamed":true, + {"type":"BEGIN","name":"","addr":"(NU)","loc":"d,72:22,72:27","implied":false,"needProcess":false,"unnamed":true, "stmtsp": [ - {"type":"ASSIGN","name":"","addr":"(MU)","loc":"d,73:17,73:18","dtypep":"UNLINKED", + {"type":"ASSIGN","name":"","addr":"(OU)","loc":"d,73:17,73:18","dtypep":"UNLINKED", "rhsp": [ - {"type":"DOT","name":"","addr":"(NU)","loc":"d,73:26,73:27","dtypep":"UNLINKED","colon":false, + {"type":"DOT","name":"","addr":"(PU)","loc":"d,73:26,73:27","dtypep":"UNLINKED","colon":false, "lhsp": [ - {"type":"PARSEREF","name":"m_queue","addr":"(OU)","loc":"d,73:19,73:26","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"m_queue","addr":"(QU)","loc":"d,73:19,73:26","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "rhsp": [ - {"type":"FUNCREF","name":"pop_front","addr":"(PU)","loc":"d,73:27,73:36","dtypep":"UNLINKED","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [],"pinsp": [],"scopeNamep": []} + {"type":"FUNCREF","name":"pop_front","addr":"(RU)","loc":"d,73:27,73:36","dtypep":"UNLINKED","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [],"pinsp": [],"scopeNamep": []} ]} ], "lhsp": [ - {"type":"PARSEREF","name":"message","addr":"(QU)","loc":"d,73:9,73:16","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"message","addr":"(SU)","loc":"d,73:9,73:16","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ],"timingControlp": []}, - {"type":"RETURN","name":"","addr":"(RU)","loc":"d,74:9,74:15", + {"type":"RETURN","name":"","addr":"(TU)","loc":"d,74:9,74:15", "lhsp": [ - {"type":"CONST","name":"?32?sh1","addr":"(SU)","loc":"d,74:16,74:17","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh1","addr":"(UU)","loc":"d,74:16,74:17","dtypep":"(N)"} ]} ]} ],"elsesp": []}, - {"type":"RETURN","name":"","addr":"(TU)","loc":"d,76:7,76:13", + {"type":"RETURN","name":"","addr":"(VU)","loc":"d,76:7,76:13", "lhsp": [ - {"type":"CONST","name":"?32?sh0","addr":"(UU)","loc":"d,76:14,76:15","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(WU)","loc":"d,76:14,76:15","dtypep":"(N)"} ]} ],"scopeNamep": []}, - {"type":"TASK","name":"peek","addr":"(VU)","loc":"d,79:10,79:14","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"peek","fvarp": [],"classOrPackagep": [], + {"type":"TASK","name":"peek","addr":"(XU)","loc":"d,79:10,79:14","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"peek","fvarp": [],"classOrPackagep": [], "stmtsp": [ - {"type":"VAR","name":"message","addr":"(WU)","loc":"d,79:21,79:28","dtypep":"UNLINKED","origName":"message","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"REF","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"message","addr":"(YU)","loc":"d,79:21,79:28","dtypep":"UNLINKED","origName":"message","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"REF","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"REFDTYPE","name":"T","addr":"(XU)","loc":"d,79:19,79:20","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} + {"type":"REFDTYPE","name":"T","addr":"(ZU)","loc":"d,79:19,79:20","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} ],"delayp": [],"valuep": [],"attrsp": []} ],"scopeNamep": []}, - {"type":"FUNC","name":"try_peek","addr":"(YU)","loc":"d,88:18,88:26","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"try_peek", + {"type":"FUNC","name":"try_peek","addr":"(AV)","loc":"d,88:18,88:26","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"try_peek", "fvarp": [ - {"type":"BASICDTYPE","name":"int","addr":"(ZU)","loc":"d,88:14,88:17","dtypep":"(ZU)","keyword":"int","range":"31:0","generic":false,"rangep": []} + {"type":"BASICDTYPE","name":"int","addr":"(BV)","loc":"d,88:14,88:17","dtypep":"(BV)","keyword":"int","range":"31:0","generic":false,"rangep": []} ],"classOrPackagep": [], "stmtsp": [ - {"type":"VAR","name":"message","addr":"(AV)","loc":"d,88:33,88:40","dtypep":"UNLINKED","origName":"message","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"REF","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"message","addr":"(CV)","loc":"d,88:33,88:40","dtypep":"UNLINKED","origName":"message","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"REF","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"REFDTYPE","name":"T","addr":"(BV)","loc":"d,88:31,88:32","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} + {"type":"REFDTYPE","name":"T","addr":"(DV)","loc":"d,88:31,88:32","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} ],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"IF","name":"","addr":"(CV)","loc":"d,89:7,89:9", + {"type":"IF","name":"","addr":"(EV)","loc":"d,89:7,89:9", "condp": [ - {"type":"GT","name":"","addr":"(DV)","loc":"d,89:17,89:18","dtypep":"(WE)", + {"type":"GT","name":"","addr":"(FV)","loc":"d,89:17,89:18","dtypep":"(YE)", "lhsp": [ - {"type":"FUNCREF","name":"num","addr":"(EV)","loc":"d,89:11,89:14","dtypep":"UNLINKED","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [],"pinsp": [],"scopeNamep": []} + {"type":"FUNCREF","name":"num","addr":"(GV)","loc":"d,89:11,89:14","dtypep":"UNLINKED","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [],"pinsp": [],"scopeNamep": []} ], "rhsp": [ - {"type":"CONST","name":"?32?sh0","addr":"(FV)","loc":"d,89:19,89:20","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(HV)","loc":"d,89:19,89:20","dtypep":"(N)"} ]} ], "thensp": [ - {"type":"BEGIN","name":"","addr":"(GV)","loc":"d,89:22,89:27","implied":false,"needProcess":false,"unnamed":true, + {"type":"BEGIN","name":"","addr":"(IV)","loc":"d,89:22,89:27","implied":false,"needProcess":false,"unnamed":true, "stmtsp": [ - {"type":"ASSIGN","name":"","addr":"(HV)","loc":"d,90:17,90:18","dtypep":"UNLINKED", + {"type":"ASSIGN","name":"","addr":"(JV)","loc":"d,90:17,90:18","dtypep":"UNLINKED", "rhsp": [ - {"type":"SELBIT","name":"","addr":"(IV)","loc":"d,90:26,90:27","dtypep":"UNLINKED", + {"type":"SELBIT","name":"","addr":"(KV)","loc":"d,90:26,90:27","dtypep":"UNLINKED", "fromp": [ - {"type":"PARSEREF","name":"m_queue","addr":"(JV)","loc":"d,90:19,90:26","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"m_queue","addr":"(LV)","loc":"d,90:19,90:26","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "bitp": [ - {"type":"CONST","name":"?32?sh0","addr":"(KV)","loc":"d,90:27,90:28","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(MV)","loc":"d,90:27,90:28","dtypep":"(N)"} ],"thsp": [],"attrp": []} ], "lhsp": [ - {"type":"PARSEREF","name":"message","addr":"(LV)","loc":"d,90:9,90:16","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"message","addr":"(NV)","loc":"d,90:9,90:16","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ],"timingControlp": []}, - {"type":"RETURN","name":"","addr":"(MV)","loc":"d,91:9,91:15", + {"type":"RETURN","name":"","addr":"(OV)","loc":"d,91:9,91:15", "lhsp": [ - {"type":"CONST","name":"?32?sh1","addr":"(NV)","loc":"d,91:16,91:17","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh1","addr":"(PV)","loc":"d,91:16,91:17","dtypep":"(N)"} ]} ]} ],"elsesp": []}, - {"type":"RETURN","name":"","addr":"(OV)","loc":"d,93:7,93:13", + {"type":"RETURN","name":"","addr":"(QV)","loc":"d,93:7,93:13", "lhsp": [ - {"type":"CONST","name":"?32?sh0","addr":"(PV)","loc":"d,93:14,93:15","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(RV)","loc":"d,93:14,93:15","dtypep":"(N)"} ]} ],"scopeNamep": []} ],"extendsp": []}, - {"type":"CLASS","name":"semaphore","addr":"(QV)","loc":"d,97:3,97:8","isExtended":false,"isInterfaceClass":false,"isVirtual":false,"origName":"semaphore","level":5,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","classOrPackagep":"UNLINKED","inlinesp": [], + {"type":"CLASS","name":"semaphore","addr":"(SV)","loc":"d,97:3,97:8","isExtended":false,"isInterfaceClass":false,"isVirtual":false,"origName":"semaphore","level":5,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","classOrPackagep":"UNLINKED","inlinesp": [], "stmtsp": [ - {"type":"VAR","name":"m_keyCount","addr":"(RV)","loc":"d,98:19,98:29","dtypep":"UNLINKED","origName":"m_keyCount","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"VAR","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"m_keyCount","addr":"(TV)","loc":"d,98:19,98:29","dtypep":"UNLINKED","origName":"m_keyCount","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"VAR","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"BASICDTYPE","name":"int","addr":"(SV)","loc":"d,98:15,98:18","dtypep":"(SV)","keyword":"int","range":"31:0","generic":false,"rangep": []} + {"type":"BASICDTYPE","name":"int","addr":"(UV)","loc":"d,98:15,98:18","dtypep":"(UV)","keyword":"int","range":"31:0","generic":false,"rangep": []} ],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"FUNC","name":"new","addr":"(TV)","loc":"d,100:14,100:17","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"new","fvarp": [],"classOrPackagep": [], + {"type":"FUNC","name":"new","addr":"(VV)","loc":"d,100:14,100:17","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"new","fvarp": [],"classOrPackagep": [], "stmtsp": [ - {"type":"VAR","name":"keyCount","addr":"(UV)","loc":"d,100:22,100:30","dtypep":"UNLINKED","origName":"keyCount","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"keyCount","addr":"(WV)","loc":"d,100:22,100:30","dtypep":"UNLINKED","origName":"keyCount","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"BASICDTYPE","name":"int","addr":"(VV)","loc":"d,100:18,100:21","dtypep":"(VV)","keyword":"int","range":"31:0","generic":false,"rangep": []} + {"type":"BASICDTYPE","name":"int","addr":"(XV)","loc":"d,100:18,100:21","dtypep":"(XV)","keyword":"int","range":"31:0","generic":false,"rangep": []} ],"delayp": [], "valuep": [ - {"type":"CONST","name":"?32?sh0","addr":"(WV)","loc":"d,100:33,100:34","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(YV)","loc":"d,100:33,100:34","dtypep":"(N)"} ],"attrsp": []}, - {"type":"ASSIGN","name":"","addr":"(XV)","loc":"d,101:18,101:19","dtypep":"UNLINKED", + {"type":"ASSIGN","name":"","addr":"(ZV)","loc":"d,101:18,101:19","dtypep":"UNLINKED", "rhsp": [ - {"type":"PARSEREF","name":"keyCount","addr":"(YV)","loc":"d,101:20,101:28","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"keyCount","addr":"(AW)","loc":"d,101:20,101:28","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "lhsp": [ - {"type":"PARSEREF","name":"m_keyCount","addr":"(ZV)","loc":"d,101:7,101:17","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"m_keyCount","addr":"(BW)","loc":"d,101:7,101:17","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ],"timingControlp": []} ],"scopeNamep": []}, - {"type":"TASK","name":"put","addr":"(AW)","loc":"d,104:19,104:22","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"put","fvarp": [],"classOrPackagep": [], + {"type":"TASK","name":"put","addr":"(CW)","loc":"d,104:19,104:22","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"put","fvarp": [],"classOrPackagep": [], "stmtsp": [ - {"type":"VAR","name":"keyCount","addr":"(BW)","loc":"d,104:27,104:35","dtypep":"UNLINKED","origName":"keyCount","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"keyCount","addr":"(DW)","loc":"d,104:27,104:35","dtypep":"UNLINKED","origName":"keyCount","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"BASICDTYPE","name":"int","addr":"(CW)","loc":"d,104:23,104:26","dtypep":"(CW)","keyword":"int","range":"31:0","generic":false,"rangep": []} + {"type":"BASICDTYPE","name":"int","addr":"(EW)","loc":"d,104:23,104:26","dtypep":"(EW)","keyword":"int","range":"31:0","generic":false,"rangep": []} ],"delayp": [], "valuep": [ - {"type":"CONST","name":"?32?sh1","addr":"(DW)","loc":"d,104:38,104:39","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh1","addr":"(FW)","loc":"d,104:38,104:39","dtypep":"(N)"} ],"attrsp": []}, - {"type":"ASSIGN","name":"","addr":"(EW)","loc":"d,105:18,105:20","dtypep":"UNLINKED", + {"type":"ASSIGN","name":"","addr":"(GW)","loc":"d,105:18,105:20","dtypep":"UNLINKED", "rhsp": [ - {"type":"ADD","name":"","addr":"(FW)","loc":"d,105:18,105:20","dtypep":"UNLINKED", + {"type":"ADD","name":"","addr":"(HW)","loc":"d,105:18,105:20","dtypep":"UNLINKED", "lhsp": [ - {"type":"PARSEREF","name":"m_keyCount","addr":"(GW)","loc":"d,105:7,105:17","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"m_keyCount","addr":"(IW)","loc":"d,105:7,105:17","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "rhsp": [ - {"type":"PARSEREF","name":"keyCount","addr":"(HW)","loc":"d,105:21,105:29","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"keyCount","addr":"(JW)","loc":"d,105:21,105:29","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ], "lhsp": [ - {"type":"PARSEREF","name":"m_keyCount","addr":"(IW)","loc":"d,105:7,105:17","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"m_keyCount","addr":"(KW)","loc":"d,105:7,105:17","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ],"timingControlp": []} ],"scopeNamep": []}, - {"type":"TASK","name":"get","addr":"(JW)","loc":"d,108:10,108:13","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"get","fvarp": [],"classOrPackagep": [], + {"type":"TASK","name":"get","addr":"(LW)","loc":"d,108:10,108:13","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"get","fvarp": [],"classOrPackagep": [], "stmtsp": [ - {"type":"VAR","name":"keyCount","addr":"(KW)","loc":"d,108:18,108:26","dtypep":"UNLINKED","origName":"keyCount","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"keyCount","addr":"(MW)","loc":"d,108:18,108:26","dtypep":"UNLINKED","origName":"keyCount","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"BASICDTYPE","name":"int","addr":"(LW)","loc":"d,108:14,108:17","dtypep":"(LW)","keyword":"int","range":"31:0","generic":false,"rangep": []} + {"type":"BASICDTYPE","name":"int","addr":"(NW)","loc":"d,108:14,108:17","dtypep":"(NW)","keyword":"int","range":"31:0","generic":false,"rangep": []} ],"delayp": [], "valuep": [ - {"type":"CONST","name":"?32?sh1","addr":"(MW)","loc":"d,108:29,108:30","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh1","addr":"(OW)","loc":"d,108:29,108:30","dtypep":"(N)"} ],"attrsp": []} ],"scopeNamep": []}, - {"type":"FUNC","name":"try_get","addr":"(NW)","loc":"d,117:18,117:25","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"try_get", + {"type":"FUNC","name":"try_get","addr":"(PW)","loc":"d,117:18,117:25","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"try_get", "fvarp": [ - {"type":"BASICDTYPE","name":"int","addr":"(OW)","loc":"d,117:14,117:17","dtypep":"(OW)","keyword":"int","range":"31:0","generic":false,"rangep": []} + {"type":"BASICDTYPE","name":"int","addr":"(QW)","loc":"d,117:14,117:17","dtypep":"(QW)","keyword":"int","range":"31:0","generic":false,"rangep": []} ],"classOrPackagep": [], "stmtsp": [ - {"type":"VAR","name":"keyCount","addr":"(PW)","loc":"d,117:30,117:38","dtypep":"UNLINKED","origName":"keyCount","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"keyCount","addr":"(RW)","loc":"d,117:30,117:38","dtypep":"UNLINKED","origName":"keyCount","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"BASICDTYPE","name":"int","addr":"(QW)","loc":"d,117:26,117:29","dtypep":"(QW)","keyword":"int","range":"31:0","generic":false,"rangep": []} + {"type":"BASICDTYPE","name":"int","addr":"(SW)","loc":"d,117:26,117:29","dtypep":"(SW)","keyword":"int","range":"31:0","generic":false,"rangep": []} ],"delayp": [], "valuep": [ - {"type":"CONST","name":"?32?sh1","addr":"(RW)","loc":"d,117:41,117:42","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh1","addr":"(TW)","loc":"d,117:41,117:42","dtypep":"(N)"} ],"attrsp": []}, - {"type":"IF","name":"","addr":"(SW)","loc":"d,118:7,118:9", + {"type":"IF","name":"","addr":"(UW)","loc":"d,118:7,118:9", "condp": [ - {"type":"GTE","name":"","addr":"(TW)","loc":"d,118:22,118:24","dtypep":"(WE)", + {"type":"GTE","name":"","addr":"(VW)","loc":"d,118:22,118:24","dtypep":"(YE)", "lhsp": [ - {"type":"PARSEREF","name":"m_keyCount","addr":"(UW)","loc":"d,118:11,118:21","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"m_keyCount","addr":"(WW)","loc":"d,118:11,118:21","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "rhsp": [ - {"type":"PARSEREF","name":"keyCount","addr":"(VW)","loc":"d,118:25,118:33","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"keyCount","addr":"(XW)","loc":"d,118:25,118:33","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ], "thensp": [ - {"type":"BEGIN","name":"","addr":"(WW)","loc":"d,118:35,118:40","implied":false,"needProcess":false,"unnamed":true, + {"type":"BEGIN","name":"","addr":"(YW)","loc":"d,118:35,118:40","implied":false,"needProcess":false,"unnamed":true, "stmtsp": [ - {"type":"ASSIGN","name":"","addr":"(XW)","loc":"d,119:20,119:22","dtypep":"UNLINKED", + {"type":"ASSIGN","name":"","addr":"(ZW)","loc":"d,119:20,119:22","dtypep":"UNLINKED", "rhsp": [ - {"type":"SUB","name":"","addr":"(YW)","loc":"d,119:20,119:22","dtypep":"UNLINKED", + {"type":"SUB","name":"","addr":"(AX)","loc":"d,119:20,119:22","dtypep":"UNLINKED", "lhsp": [ - {"type":"PARSEREF","name":"m_keyCount","addr":"(ZW)","loc":"d,119:9,119:19","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"m_keyCount","addr":"(BX)","loc":"d,119:9,119:19","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "rhsp": [ - {"type":"PARSEREF","name":"keyCount","addr":"(AX)","loc":"d,119:23,119:31","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"keyCount","addr":"(CX)","loc":"d,119:23,119:31","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ], "lhsp": [ - {"type":"PARSEREF","name":"m_keyCount","addr":"(BX)","loc":"d,119:9,119:19","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"m_keyCount","addr":"(DX)","loc":"d,119:9,119:19","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ],"timingControlp": []}, - {"type":"RETURN","name":"","addr":"(CX)","loc":"d,120:9,120:15", + {"type":"RETURN","name":"","addr":"(EX)","loc":"d,120:9,120:15", "lhsp": [ - {"type":"CONST","name":"?32?sh1","addr":"(DX)","loc":"d,120:16,120:17","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh1","addr":"(FX)","loc":"d,120:16,120:17","dtypep":"(N)"} ]} ]} ],"elsesp": []}, - {"type":"RETURN","name":"","addr":"(EX)","loc":"d,122:7,122:13", + {"type":"RETURN","name":"","addr":"(GX)","loc":"d,122:7,122:13", "lhsp": [ - {"type":"CONST","name":"?32?sh0","addr":"(FX)","loc":"d,122:14,122:15","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(HX)","loc":"d,122:14,122:15","dtypep":"(N)"} ]} ],"scopeNamep": []} ],"extendsp": []}, - {"type":"CLASS","name":"process","addr":"(GX)","loc":"d,126:3,126:8","isExtended":false,"isInterfaceClass":false,"isVirtual":false,"origName":"process","level":5,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","classOrPackagep":"UNLINKED","inlinesp": [], + {"type":"CLASS","name":"process","addr":"(IX)","loc":"d,126:3,126:8","isExtended":false,"isInterfaceClass":false,"isVirtual":false,"origName":"process","level":5,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","classOrPackagep":"UNLINKED","inlinesp": [], "stmtsp": [ - {"type":"TYPEDEF","name":"state","addr":"(HX)","loc":"d,133:7,133:12","dtypep":"UNLINKED","attrPublic":false,"isUnderClass":false, + {"type":"TYPEDEF","name":"state","addr":"(JX)","loc":"d,133:7,133:12","dtypep":"UNLINKED","attrPublic":false,"isUnderClass":false, "childDTypep": [ - {"type":"DEFIMPLICITDTYPE","name":"__typeimpenum0","addr":"(IX)","loc":"d,127:13,127:17","dtypep":"UNLINKED","generic":false, + {"type":"DEFIMPLICITDTYPE","name":"__typeimpenum0","addr":"(KX)","loc":"d,127:13,127:17","dtypep":"UNLINKED","generic":false, "childDTypep": [ - {"type":"ENUMDTYPE","name":"","addr":"(JX)","loc":"d,127:13,127:17","dtypep":"UNLINKED","enum":true,"generic":false,"refDTypep":"UNLINKED", + {"type":"ENUMDTYPE","name":"","addr":"(LX)","loc":"d,127:13,127:17","dtypep":"UNLINKED","enum":true,"generic":false,"refDTypep":"UNLINKED", "childDTypep": [ - {"type":"BASICDTYPE","name":"int","addr":"(KX)","loc":"d,127:18,127:19","dtypep":"(KX)","keyword":"int","range":"31:0","generic":false,"rangep": []} + {"type":"BASICDTYPE","name":"int","addr":"(MX)","loc":"d,127:18,127:19","dtypep":"(MX)","keyword":"int","range":"31:0","generic":false,"rangep": []} ], "itemsp": [ - {"type":"ENUMITEM","name":"FINISHED","addr":"(LX)","loc":"d,128:7,128:15","dtypep":"UNLINKED","rangep": [], + {"type":"ENUMITEM","name":"FINISHED","addr":"(NX)","loc":"d,128:7,128:15","dtypep":"UNLINKED","rangep": [], "valuep": [ - {"type":"CONST","name":"?32?sh0","addr":"(MX)","loc":"d,128:18,128:19","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(OX)","loc":"d,128:18,128:19","dtypep":"(N)"} ]}, - {"type":"ENUMITEM","name":"RUNNING","addr":"(NX)","loc":"d,129:7,129:14","dtypep":"UNLINKED","rangep": [], + {"type":"ENUMITEM","name":"RUNNING","addr":"(PX)","loc":"d,129:7,129:14","dtypep":"UNLINKED","rangep": [], "valuep": [ - {"type":"CONST","name":"?32?sh1","addr":"(OX)","loc":"d,129:17,129:18","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh1","addr":"(QX)","loc":"d,129:17,129:18","dtypep":"(N)"} ]}, - {"type":"ENUMITEM","name":"WAITING","addr":"(PX)","loc":"d,130:7,130:14","dtypep":"UNLINKED","rangep": [], + {"type":"ENUMITEM","name":"WAITING","addr":"(RX)","loc":"d,130:7,130:14","dtypep":"UNLINKED","rangep": [], "valuep": [ - {"type":"CONST","name":"?32?sh2","addr":"(QX)","loc":"d,130:17,130:18","dtypep":"(SD)"} + {"type":"CONST","name":"?32?sh2","addr":"(SX)","loc":"d,130:17,130:18","dtypep":"(UD)"} ]}, - {"type":"ENUMITEM","name":"SUSPENDED","addr":"(RX)","loc":"d,131:7,131:16","dtypep":"UNLINKED","rangep": [], + {"type":"ENUMITEM","name":"SUSPENDED","addr":"(TX)","loc":"d,131:7,131:16","dtypep":"UNLINKED","rangep": [], "valuep": [ - {"type":"CONST","name":"?32?sh3","addr":"(SX)","loc":"d,131:19,131:20","dtypep":"(SD)"} + {"type":"CONST","name":"?32?sh3","addr":"(UX)","loc":"d,131:19,131:20","dtypep":"(UD)"} ]}, - {"type":"ENUMITEM","name":"KILLED","addr":"(TX)","loc":"d,132:7,132:13","dtypep":"UNLINKED","rangep": [], + {"type":"ENUMITEM","name":"KILLED","addr":"(VX)","loc":"d,132:7,132:13","dtypep":"UNLINKED","rangep": [], "valuep": [ - {"type":"CONST","name":"?32?sh4","addr":"(UX)","loc":"d,132:16,132:17","dtypep":"(VX)"} + {"type":"CONST","name":"?32?sh4","addr":"(WX)","loc":"d,132:16,132:17","dtypep":"(XX)"} ]} ]} ]} ],"attrsp": []}, - {"type":"FUNC","name":"self","addr":"(WX)","loc":"d,140:29,140:33","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"self", + {"type":"FUNC","name":"self","addr":"(YX)","loc":"d,140:29,140:33","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"self", "fvarp": [ - {"type":"REFDTYPE","name":"process","addr":"(XX)","loc":"d,140:21,140:28","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} + {"type":"REFDTYPE","name":"process","addr":"(ZX)","loc":"d,140:21,140:28","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} ],"classOrPackagep": [], "stmtsp": [ - {"type":"VAR","name":"p","addr":"(YX)","loc":"d,141:15,141:16","dtypep":"UNLINKED","origName":"p","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"VAR","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"p","addr":"(AY)","loc":"d,141:15,141:16","dtypep":"UNLINKED","origName":"p","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"VAR","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"REFDTYPE","name":"process","addr":"(ZX)","loc":"d,141:7,141:14","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} + {"type":"REFDTYPE","name":"process","addr":"(BY)","loc":"d,141:7,141:14","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} ],"delayp": [], "valuep": [ - {"type":"NEW","name":"new","addr":"(AY)","loc":"d,141:19,141:22","dtypep":"UNLINKED","isImplicit":false,"isScoped":false,"dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [],"pinsp": [],"scopeNamep": []} + {"type":"NEW","name":"new","addr":"(CY)","loc":"d,141:19,141:22","dtypep":"UNLINKED","isImplicit":false,"isScoped":false,"dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [],"pinsp": [],"scopeNamep": []} ],"attrsp": []}, - {"type":"RETURN","name":"","addr":"(BY)","loc":"d,145:7,145:13", + {"type":"RETURN","name":"","addr":"(DY)","loc":"d,145:7,145:13", "lhsp": [ - {"type":"PARSEREF","name":"p","addr":"(CY)","loc":"d,145:14,145:15","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"p","addr":"(EY)","loc":"d,145:14,145:15","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ],"scopeNamep": []}, - {"type":"TASK","name":"set_status","addr":"(DY)","loc":"d,148:29,148:39","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"set_status","fvarp": [],"classOrPackagep": [], + {"type":"TASK","name":"set_status","addr":"(FY)","loc":"d,148:29,148:39","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"set_status","fvarp": [],"classOrPackagep": [], "stmtsp": [ - {"type":"VAR","name":"s","addr":"(EY)","loc":"d,148:46,148:47","dtypep":"UNLINKED","origName":"s","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"s","addr":"(GY)","loc":"d,148:46,148:47","dtypep":"UNLINKED","origName":"s","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"REFDTYPE","name":"state","addr":"(FY)","loc":"d,148:40,148:45","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} + {"type":"REFDTYPE","name":"state","addr":"(HY)","loc":"d,148:40,148:45","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} ],"delayp": [],"valuep": [],"attrsp": []} ],"scopeNamep": []}, - {"type":"FUNC","name":"status","addr":"(GY)","loc":"d,154:20,154:26","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"status", + {"type":"FUNC","name":"status","addr":"(IY)","loc":"d,154:20,154:26","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"status", "fvarp": [ - {"type":"REFDTYPE","name":"state","addr":"(HY)","loc":"d,154:14,154:19","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} + {"type":"REFDTYPE","name":"state","addr":"(JY)","loc":"d,154:14,154:19","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} ],"classOrPackagep": [], "stmtsp": [ - {"type":"RETURN","name":"","addr":"(IY)","loc":"d,158:7,158:13", + {"type":"RETURN","name":"","addr":"(KY)","loc":"d,158:7,158:13", "lhsp": [ - {"type":"PARSEREF","name":"RUNNING","addr":"(JY)","loc":"d,158:14,158:21","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"RUNNING","addr":"(LY)","loc":"d,158:14,158:21","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ],"scopeNamep": []}, - {"type":"TASK","name":"kill","addr":"(KY)","loc":"d,162:19,162:23","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"kill","fvarp": [],"classOrPackagep": [], + {"type":"TASK","name":"kill","addr":"(MY)","loc":"d,162:19,162:23","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"kill","fvarp": [],"classOrPackagep": [], "stmtsp": [ - {"type":"STMTEXPR","name":"","addr":"(LY)","loc":"d,163:7,163:17", + {"type":"STMTEXPR","name":"","addr":"(NY)","loc":"d,163:7,163:17", "exprp": [ - {"type":"TASKREF","name":"set_status","addr":"(MY)","loc":"d,163:7,163:17","dtypep":"(TT)","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [], + {"type":"TASKREF","name":"set_status","addr":"(OY)","loc":"d,163:7,163:17","dtypep":"(VT)","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [], "pinsp": [ - {"type":"ARG","name":"","addr":"(NY)","loc":"d,163:18,163:24", + {"type":"ARG","name":"","addr":"(PY)","loc":"d,163:18,163:24", "exprp": [ - {"type":"PARSEREF","name":"KILLED","addr":"(OY)","loc":"d,163:18,163:24","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"KILLED","addr":"(QY)","loc":"d,163:18,163:24","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ],"scopeNamep": []} ]} ],"scopeNamep": []}, - {"type":"TASK","name":"suspend","addr":"(PY)","loc":"d,166:19,166:26","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"suspend","fvarp": [],"classOrPackagep": [], + {"type":"TASK","name":"suspend","addr":"(RY)","loc":"d,166:19,166:26","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"suspend","fvarp": [],"classOrPackagep": [], "stmtsp": [ - {"type":"DISPLAY","name":"","addr":"(QY)","loc":"d,167:7,167:13", + {"type":"DISPLAY","name":"","addr":"(SY)","loc":"d,167:7,167:13", "fmtp": [ - {"type":"SFORMATF","name":"","addr":"(RY)","loc":"d,167:7,167:13","dtypep":"(DG)", + {"type":"SFORMATF","name":"","addr":"(TY)","loc":"d,167:7,167:13","dtypep":"(FG)", "exprsp": [ - {"type":"CONST","name":"296'h7374643a3a70726f636573733a3a73757370656e642829206e6f7420737570706f72746564","addr":"(SY)","loc":"d,167:14,167:53","dtypep":"(TY)"} + {"type":"CONST","name":"296'h7374643a3a70726f636573733a3a73757370656e642829206e6f7420737570706f72746564","addr":"(UY)","loc":"d,167:14,167:53","dtypep":"(VY)"} ],"scopeNamep": []} ],"filep": []}, - {"type":"STOP","name":"","addr":"(UY)","loc":"d,167:7,167:13","isFatal":false} + {"type":"STOP","name":"","addr":"(WY)","loc":"d,167:7,167:13","isFatal":false} ],"scopeNamep": []}, - {"type":"TASK","name":"resume","addr":"(VY)","loc":"d,170:19,170:25","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"resume","fvarp": [],"classOrPackagep": [], + {"type":"TASK","name":"resume","addr":"(XY)","loc":"d,170:19,170:25","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"resume","fvarp": [],"classOrPackagep": [], "stmtsp": [ - {"type":"STMTEXPR","name":"","addr":"(WY)","loc":"d,171:7,171:17", + {"type":"STMTEXPR","name":"","addr":"(YY)","loc":"d,171:7,171:17", "exprp": [ - {"type":"TASKREF","name":"set_status","addr":"(XY)","loc":"d,171:7,171:17","dtypep":"(TT)","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [], + {"type":"TASKREF","name":"set_status","addr":"(ZY)","loc":"d,171:7,171:17","dtypep":"(VT)","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [], "pinsp": [ - {"type":"ARG","name":"","addr":"(YY)","loc":"d,171:18,171:25", + {"type":"ARG","name":"","addr":"(AZ)","loc":"d,171:18,171:25", "exprp": [ - {"type":"PARSEREF","name":"RUNNING","addr":"(ZY)","loc":"d,171:18,171:25","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"RUNNING","addr":"(BZ)","loc":"d,171:18,171:25","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ],"scopeNamep": []} ]} ],"scopeNamep": []}, - {"type":"TASK","name":"await","addr":"(AZ)","loc":"d,174:10,174:15","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"await","fvarp": [],"classOrPackagep": [],"stmtsp": [],"scopeNamep": []}, - {"type":"TASK","name":"killQueue","addr":"(BZ)","loc":"d,180:17,180:26","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"killQueue","fvarp": [],"classOrPackagep": [], + {"type":"TASK","name":"await","addr":"(CZ)","loc":"d,174:10,174:15","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"await","fvarp": [],"classOrPackagep": [],"stmtsp": [],"scopeNamep": []}, + {"type":"TASK","name":"killQueue","addr":"(DZ)","loc":"d,180:17,180:26","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"killQueue","fvarp": [],"classOrPackagep": [], "stmtsp": [ - {"type":"VAR","name":"processQueue","addr":"(CZ)","loc":"d,180:39,180:51","dtypep":"UNLINKED","origName":"processQueue","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"REF","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"processQueue","addr":"(EZ)","loc":"d,180:39,180:51","dtypep":"UNLINKED","origName":"processQueue","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"REF","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"BRACKETARRAYDTYPE","name":"","addr":"(DZ)","loc":"d,180:51,180:52","dtypep":"UNLINKED","generic":false, + {"type":"BRACKETARRAYDTYPE","name":"","addr":"(FZ)","loc":"d,180:51,180:52","dtypep":"UNLINKED","generic":false, "childDTypep": [ - {"type":"REFDTYPE","name":"process","addr":"(EZ)","loc":"d,180:31,180:38","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} + {"type":"REFDTYPE","name":"process","addr":"(GZ)","loc":"d,180:31,180:38","dtypep":"UNLINKED","generic":false,"typedefp":"UNLINKED","refDTypep":"UNLINKED","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []} ], "elementsp": [ - {"type":"UNBOUNDED","name":"","addr":"(FZ)","loc":"d,180:52,180:53","dtypep":"(LS)"} + {"type":"UNBOUNDED","name":"","addr":"(HZ)","loc":"d,180:52,180:53","dtypep":"(NS)"} ]} ],"delayp": [],"valuep": [],"attrsp": []} ],"scopeNamep": []}, - {"type":"FUNC","name":"get_randstate","addr":"(GZ)","loc":"d,227:21,227:34","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"get_randstate", + {"type":"FUNC","name":"get_randstate","addr":"(IZ)","loc":"d,227:21,227:34","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"get_randstate", "fvarp": [ - {"type":"BASICDTYPE","name":"string","addr":"(HZ)","loc":"d,227:14,227:20","dtypep":"(HZ)","keyword":"string","generic":false,"rangep": []} + {"type":"BASICDTYPE","name":"string","addr":"(JZ)","loc":"d,227:14,227:20","dtypep":"(JZ)","keyword":"string","generic":false,"rangep": []} ],"classOrPackagep": [], "stmtsp": [ - {"type":"VAR","name":"s","addr":"(IZ)","loc":"d,228:14,228:15","dtypep":"UNLINKED","origName":"s","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"VAR","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"s","addr":"(KZ)","loc":"d,228:14,228:15","dtypep":"UNLINKED","origName":"s","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"VAR","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"BASICDTYPE","name":"string","addr":"(JZ)","loc":"d,228:7,228:13","dtypep":"(JZ)","keyword":"string","generic":false,"rangep": []} + {"type":"BASICDTYPE","name":"string","addr":"(LZ)","loc":"d,228:7,228:13","dtypep":"(LZ)","keyword":"string","generic":false,"rangep": []} ],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"STMTEXPR","name":"","addr":"(KZ)","loc":"d,230:8,230:9", + {"type":"STMTEXPR","name":"","addr":"(MZ)","loc":"d,230:8,230:9", "exprp": [ - {"type":"DOT","name":"","addr":"(LZ)","loc":"d,230:8,230:9","dtypep":"UNLINKED","colon":false, + {"type":"DOT","name":"","addr":"(NZ)","loc":"d,230:8,230:9","dtypep":"UNLINKED","colon":false, "lhsp": [ - {"type":"PARSEREF","name":"s","addr":"(MZ)","loc":"d,230:7,230:8","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"s","addr":"(OZ)","loc":"d,230:7,230:8","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "rhsp": [ - {"type":"TASKREF","name":"itoa","addr":"(NZ)","loc":"d,230:9,230:13","dtypep":"(TT)","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [], + {"type":"TASKREF","name":"itoa","addr":"(PZ)","loc":"d,230:9,230:13","dtypep":"(VT)","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [], "pinsp": [ - {"type":"ARG","name":"","addr":"(OZ)","loc":"d,230:14,230:21", + {"type":"ARG","name":"","addr":"(QZ)","loc":"d,230:14,230:21", "exprp": [ - {"type":"RAND","name":"","addr":"(PZ)","loc":"d,230:14,230:21","dtypep":"UNLINKED","seedp": []} + {"type":"RAND","name":"","addr":"(RZ)","loc":"d,230:14,230:21","dtypep":"UNLINKED","seedp": []} ]} ],"scopeNamep": []} ]} ]}, - {"type":"STMTEXPR","name":"","addr":"(QZ)","loc":"d,231:7,231:20", + {"type":"STMTEXPR","name":"","addr":"(SZ)","loc":"d,231:7,231:20", "exprp": [ - {"type":"TASKREF","name":"set_randstate","addr":"(RZ)","loc":"d,231:7,231:20","dtypep":"(TT)","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [], + {"type":"TASKREF","name":"set_randstate","addr":"(TZ)","loc":"d,231:7,231:20","dtypep":"(VT)","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [], "pinsp": [ - {"type":"ARG","name":"","addr":"(SZ)","loc":"d,231:21,231:22", + {"type":"ARG","name":"","addr":"(UZ)","loc":"d,231:21,231:22", "exprp": [ - {"type":"PARSEREF","name":"s","addr":"(TZ)","loc":"d,231:21,231:22","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"s","addr":"(VZ)","loc":"d,231:21,231:22","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ],"scopeNamep": []} ]}, - {"type":"RETURN","name":"","addr":"(UZ)","loc":"d,232:7,232:13", + {"type":"RETURN","name":"","addr":"(WZ)","loc":"d,232:7,232:13", "lhsp": [ - {"type":"PARSEREF","name":"s","addr":"(VZ)","loc":"d,232:14,232:15","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"s","addr":"(XZ)","loc":"d,232:14,232:15","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ]} ],"scopeNamep": []}, - {"type":"TASK","name":"set_randstate","addr":"(WZ)","loc":"d,235:19,235:32","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"set_randstate","fvarp": [],"classOrPackagep": [], + {"type":"TASK","name":"set_randstate","addr":"(YZ)","loc":"d,235:19,235:32","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"set_randstate","fvarp": [],"classOrPackagep": [], "stmtsp": [ - {"type":"VAR","name":"s","addr":"(XZ)","loc":"d,235:40,235:41","dtypep":"UNLINKED","origName":"s","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", + {"type":"VAR","name":"s","addr":"(ZZ)","loc":"d,235:40,235:41","dtypep":"UNLINKED","origName":"s","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"PORT","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED", "childDTypep": [ - {"type":"BASICDTYPE","name":"string","addr":"(YZ)","loc":"d,235:33,235:39","dtypep":"(YZ)","keyword":"string","generic":false,"rangep": []} + {"type":"BASICDTYPE","name":"string","addr":"(AAB)","loc":"d,235:33,235:39","dtypep":"(AAB)","keyword":"string","generic":false,"rangep": []} ],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"STMTEXPR","name":"","addr":"(ZZ)","loc":"d,236:7,236:15", + {"type":"STMTEXPR","name":"","addr":"(BAB)","loc":"d,236:7,236:15", "exprp": [ - {"type":"RAND","name":"","addr":"(AAB)","loc":"d,236:7,236:15","dtypep":"UNLINKED", + {"type":"RAND","name":"","addr":"(CAB)","loc":"d,236:7,236:15","dtypep":"UNLINKED", "seedp": [ - {"type":"DOT","name":"","addr":"(BAB)","loc":"d,236:17,236:18","dtypep":"UNLINKED","colon":false, + {"type":"DOT","name":"","addr":"(DAB)","loc":"d,236:17,236:18","dtypep":"UNLINKED","colon":false, "lhsp": [ - {"type":"PARSEREF","name":"s","addr":"(CAB)","loc":"d,236:16,236:17","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"s","addr":"(EAB)","loc":"d,236:16,236:17","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ], "rhsp": [ - {"type":"FUNCREF","name":"atoi","addr":"(DAB)","loc":"d,236:18,236:22","dtypep":"UNLINKED","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [],"pinsp": [],"scopeNamep": []} + {"type":"FUNCREF","name":"atoi","addr":"(FAB)","loc":"d,236:18,236:22","dtypep":"UNLINKED","dotted":"","taskp":"UNLINKED","classOrPackagep":"UNLINKED","namep": [],"pinsp": [],"scopeNamep": []} ]} ]} ]} ],"scopeNamep": []} ],"extendsp": []}, - {"type":"FUNC","name":"randomize","addr":"(EAB)","loc":"d,239:16,239:25","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"randomize", + {"type":"FUNC","name":"randomize","addr":"(GAB)","loc":"d,239:16,239:25","dtypep":"UNLINKED","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"randomize", "fvarp": [ - {"type":"BASICDTYPE","name":"int","addr":"(FAB)","loc":"d,239:12,239:15","dtypep":"(FAB)","keyword":"int","range":"31:0","generic":false,"rangep": []} + {"type":"BASICDTYPE","name":"int","addr":"(HAB)","loc":"d,239:12,239:15","dtypep":"(HAB)","keyword":"int","range":"31:0","generic":false,"rangep": []} ],"classOrPackagep": [], "stmtsp": [ - {"type":"ASSIGN","name":"","addr":"(GAB)","loc":"d,240:15,240:16","dtypep":"UNLINKED", + {"type":"ASSIGN","name":"","addr":"(IAB)","loc":"d,240:15,240:16","dtypep":"UNLINKED", "rhsp": [ - {"type":"CONST","name":"?32?sh0","addr":"(HAB)","loc":"d,240:17,240:18","dtypep":"(N)"} + {"type":"CONST","name":"?32?sh0","addr":"(JAB)","loc":"d,240:17,240:18","dtypep":"(N)"} ], "lhsp": [ - {"type":"PARSEREF","name":"randomize","addr":"(IAB)","loc":"d,240:5,240:14","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} + {"type":"PARSEREF","name":"randomize","addr":"(KAB)","loc":"d,240:5,240:14","dtypep":"UNLINKED","lhsp": [],"ftaskrefp": []} ],"timingControlp": []} ],"scopeNamep": []} ]} ],"filesp": [], "miscsp": [ - {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(TT)", + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(VT)", "typesp": [ - {"type":"BASICDTYPE","name":"integer","addr":"(LS)","loc":"d,36:25,36:26","dtypep":"(LS)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"integer","addr":"(NS)","loc":"d,36:25,36:26","dtypep":"(NS)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, {"type":"BASICDTYPE","name":"logic","addr":"(N)","loc":"d,38:30,38:31","dtypep":"(N)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(WE)","loc":"d,55:19,55:21","dtypep":"(WE)","keyword":"logic","generic":true,"rangep": []}, - {"type":"VOIDDTYPE","name":"","addr":"(TT)","loc":"d,56:17,56:26","dtypep":"(TT)","generic":false}, - {"type":"BASICDTYPE","name":"logic","addr":"(SD)","loc":"d,130:17,130:18","dtypep":"(SD)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(VX)","loc":"d,132:16,132:17","dtypep":"(VX)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(TY)","loc":"d,167:14,167:53","dtypep":"(TY)","keyword":"logic","range":"295:0","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"string","addr":"(DG)","loc":"d,167:7,167:13","dtypep":"(DG)","keyword":"string","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(YE)","loc":"d,55:19,55:21","dtypep":"(YE)","keyword":"logic","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(VT)","loc":"d,56:17,56:26","dtypep":"(VT)","generic":false}, + {"type":"BASICDTYPE","name":"logic","addr":"(UD)","loc":"d,130:17,130:18","dtypep":"(UD)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(XX)","loc":"d,132:16,132:17","dtypep":"(XX)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(VY)","loc":"d,167:14,167:53","dtypep":"(VY)","keyword":"logic","range":"295:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"string","addr":"(FG)","loc":"d,167:7,167:13","dtypep":"(FG)","keyword":"string","generic":true,"rangep": []}, {"type":"BASICDTYPE","name":"logic","addr":"(S)","loc":"e,14:9,14:11","dtypep":"(S)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, {"type":"BASICDTYPE","name":"logic","addr":"(DB)","loc":"e,18:10,18:12","dtypep":"(DB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(OC)","loc":"e,33:26,33:31","dtypep":"(OC)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(LC)","loc":"e,33:25,33:26","dtypep":"(LC)","keyword":"logic","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(CF)","loc":"e,45:17,45:38","dtypep":"(CF)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(LF)","loc":"e,48:22,48:24","dtypep":"(LF)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(UF)","loc":"e,51:22,51:24","dtypep":"(UF)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(FG)","loc":"e,54:17,54:49","dtypep":"(FG)","keyword":"logic","range":"231:0","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"QData","addr":"(HG)","loc":"e,54:51,54:56","dtypep":"(HG)","keyword":"QData","range":"63:0","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(YG)","loc":"e,59:17,59:41","dtypep":"(YG)","keyword":"logic","range":"167:0","generic":true,"rangep": []} + {"type":"BASICDTYPE","name":"logic","addr":"(QC)","loc":"e,33:26,33:31","dtypep":"(QC)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(NC)","loc":"e,33:25,33:26","dtypep":"(NC)","keyword":"logic","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(EF)","loc":"e,45:17,45:38","dtypep":"(EF)","keyword":"logic","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(NF)","loc":"e,48:22,48:24","dtypep":"(NF)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(WF)","loc":"e,51:22,51:24","dtypep":"(WF)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(HG)","loc":"e,54:17,54:49","dtypep":"(HG)","keyword":"logic","range":"231:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"QData","addr":"(JG)","loc":"e,54:51,54:56","dtypep":"(JG)","keyword":"QData","range":"63:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(AH)","loc":"e,59:17,59:41","dtypep":"(AH)","keyword":"logic","range":"167:0","generic":true,"rangep": []} ]}, {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", "modulep": [ - {"type":"MODULE","name":"@CONST-POOL@","addr":"(JAB)","loc":"a,0:0,0:0","isChecker":false,"isProgram":false,"hasGenericIface":false,"origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + {"type":"MODULE","name":"@CONST-POOL@","addr":"(LAB)","loc":"a,0:0,0:0","isChecker":false,"isProgram":false,"hasGenericIface":false,"origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], "stmtsp": [ - {"type":"SCOPE","name":"@CONST-POOL@","addr":"(KAB)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(JAB)","varsp": [],"blocksp": [],"inlinesp": []} + {"type":"SCOPE","name":"@CONST-POOL@","addr":"(MAB)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(LAB)","varsp": [],"blocksp": [],"inlinesp": []} ]} ]} ]} diff --git a/test_regress/t/t_gate_chained.py b/test_regress/t/t_gate_chained.py index 37a8dd6d4..ce19841e5 100755 --- a/test_regress/t/t_gate_chained.py +++ b/test_regress/t/t_gate_chained.py @@ -48,6 +48,6 @@ test.compile( test.execute() # Must be <<9000 above to prove this worked -test.file_grep(test.stats, r'Optimizations, Gate sigs deleted\s+(\d+)', 8575) +test.file_grep(test.stats, r'Optimizations, Gate sigs deleted\s+(\d+)', 8554) test.passes() diff --git a/test_regress/t/t_json_only_first.out b/test_regress/t/t_json_only_first.out index 85161d3f7..9439d654c 100644 --- a/test_regress/t/t_json_only_first.out +++ b/test_regress/t/t_json_only_first.out @@ -42,61 +42,64 @@ {"type":"VAR","name":"clk","addr":"(FB)","loc":"d,48:10,48:13","dtypep":"(I)","origName":"clk","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"VSTATICI","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, {"type":"VAR","name":"d","addr":"(Z)","loc":"d,49:16,49:17","dtypep":"(G)","origName":"d","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"VSTATICI","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, {"type":"VAR","name":"q","addr":"(CB)","loc":"d,50:22,50:23","dtypep":"(G)","origName":"q","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"VSTATICI","varType":"WIRE","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"ASSIGNW","name":"","addr":"(HB)","loc":"d,53:13,53:14","dtypep":"(G)", - "rhsp": [ - {"type":"VARREF","name":"d","addr":"(IB)","loc":"d,49:16,49:17","dtypep":"(G)","access":"RD","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"q","addr":"(JB)","loc":"d,53:13,53:14","dtypep":"(G)","access":"WR","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []} + {"type":"ALWAYS","name":"","addr":"(HB)","loc":"d,53:13,53:14","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(IB)","loc":"d,53:13,53:14","dtypep":"(G)", + "rhsp": [ + {"type":"VARREF","name":"d","addr":"(JB)","loc":"d,49:16,49:17","dtypep":"(G)","access":"RD","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"q","addr":"(KB)","loc":"d,53:13,53:14","dtypep":"(G)","access":"WR","varp":"(CB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]} ]}, {"type":"MODULE","name":"mod1__W4","addr":"(M)","loc":"d,31:8,31:12","isChecker":false,"isProgram":false,"hasGenericIface":false,"origName":"mod1","level":3,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], "stmtsp": [ - {"type":"VAR","name":"WIDTH","addr":"(KB)","loc":"d,32:15,32:20","dtypep":"(LB)","origName":"WIDTH","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"VSTATICI","varType":"GPARAM","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":true,"isParam":true,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [], + {"type":"VAR","name":"WIDTH","addr":"(LB)","loc":"d,32:15,32:20","dtypep":"(MB)","origName":"WIDTH","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"VSTATICI","varType":"GPARAM","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":true,"isParam":true,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [], "valuep": [ - {"type":"CONST","name":"32'sh4","addr":"(MB)","loc":"d,19:18,19:19","dtypep":"(LB)"} + {"type":"CONST","name":"32'sh4","addr":"(NB)","loc":"d,19:18,19:19","dtypep":"(MB)"} ],"attrsp": []}, {"type":"VAR","name":"clk","addr":"(R)","loc":"d,34:24,34:27","dtypep":"(I)","origName":"clk","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"VSTATICI","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, {"type":"VAR","name":"d","addr":"(U)","loc":"d,35:30,35:31","dtypep":"(G)","origName":"d","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"VSTATICI","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, {"type":"VAR","name":"q","addr":"(O)","loc":"d,36:30,36:31","dtypep":"(G)","origName":"q","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"OUTPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"VSTATICI","varType":"PORT","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"VAR","name":"IGNORED","addr":"(NB)","loc":"d,39:15,39:22","dtypep":"(LB)","origName":"IGNORED","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"VSTATICI","varType":"LPARAM","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":true,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [], + {"type":"VAR","name":"IGNORED","addr":"(OB)","loc":"d,39:15,39:22","dtypep":"(MB)","origName":"IGNORED","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"VSTATICI","varType":"LPARAM","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":true,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [], "valuep": [ - {"type":"CONST","name":"32'sh1","addr":"(OB)","loc":"d,39:25,39:26","dtypep":"(LB)"} + {"type":"CONST","name":"32'sh1","addr":"(PB)","loc":"d,39:25,39:26","dtypep":"(MB)"} ],"attrsp": []}, - {"type":"ALWAYS","name":"","addr":"(PB)","loc":"d,41:4,41:10","keyword":"always","isSuspendable":false,"needProcess":false, + {"type":"ALWAYS","name":"","addr":"(QB)","loc":"d,41:4,41:10","keyword":"always","isSuspendable":false,"needProcess":false, "sentreep": [ - {"type":"SENTREE","name":"","addr":"(QB)","loc":"d,41:11,41:12","isMulti":false, + {"type":"SENTREE","name":"","addr":"(RB)","loc":"d,41:11,41:12","isMulti":false, "sensesp": [ - {"type":"SENITEM","name":"","addr":"(RB)","loc":"d,41:13,41:20","edgeType":"POS", + {"type":"SENITEM","name":"","addr":"(SB)","loc":"d,41:13,41:20","edgeType":"POS", "sensp": [ - {"type":"VARREF","name":"clk","addr":"(SB)","loc":"d,41:21,41:24","dtypep":"(I)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"clk","addr":"(TB)","loc":"d,41:21,41:24","dtypep":"(I)","access":"RD","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} ],"condp": []} ]} ], "stmtsp": [ - {"type":"ASSIGNDLY","name":"","addr":"(TB)","loc":"d,42:8,42:10","dtypep":"(G)", + {"type":"ASSIGNDLY","name":"","addr":"(UB)","loc":"d,42:8,42:10","dtypep":"(G)", "rhsp": [ - {"type":"VARREF","name":"d","addr":"(UB)","loc":"d,42:11,42:12","dtypep":"(G)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"d","addr":"(VB)","loc":"d,42:11,42:12","dtypep":"(G)","access":"RD","varp":"(U)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} ], "lhsp": [ - {"type":"VARREF","name":"q","addr":"(VB)","loc":"d,42:6,42:7","dtypep":"(G)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"q","addr":"(WB)","loc":"d,42:6,42:7","dtypep":"(G)","access":"WR","varp":"(O)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} ],"timingControlp": []} ]} ]} ],"filesp": [], "miscsp": [ - {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(WB)", + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(XB)", "typesp": [ {"type":"BASICDTYPE","name":"logic","addr":"(I)","loc":"d,34:24,34:27","dtypep":"(I)","keyword":"logic","generic":true,"rangep": []}, {"type":"BASICDTYPE","name":"logic","addr":"(G)","loc":"d,15:16,15:17","dtypep":"(G)","keyword":"logic","range":"3:0","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(LB)","loc":"d,19:18,19:19","dtypep":"(LB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, - {"type":"VOIDDTYPE","name":"","addr":"(WB)","loc":"a,0:0,0:0","dtypep":"(WB)","generic":false} + {"type":"BASICDTYPE","name":"logic","addr":"(MB)","loc":"d,19:18,19:19","dtypep":"(MB)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(XB)","loc":"a,0:0,0:0","dtypep":"(XB)","generic":false} ]}, {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", "modulep": [ - {"type":"MODULE","name":"@CONST-POOL@","addr":"(XB)","loc":"a,0:0,0:0","isChecker":false,"isProgram":false,"hasGenericIface":false,"origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + {"type":"MODULE","name":"@CONST-POOL@","addr":"(YB)","loc":"a,0:0,0:0","isChecker":false,"isProgram":false,"hasGenericIface":false,"origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], "stmtsp": [ - {"type":"SCOPE","name":"@CONST-POOL@","addr":"(YB)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(XB)","varsp": [],"blocksp": [],"inlinesp": []} + {"type":"SCOPE","name":"@CONST-POOL@","addr":"(ZB)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(YB)","varsp": [],"blocksp": [],"inlinesp": []} ]} ]} ]} diff --git a/test_regress/t/t_json_only_flat.out b/test_regress/t/t_json_only_flat.out index 52926dc2c..73960c4b2 100644 --- a/test_regress/t/t_json_only_flat.out +++ b/test_regress/t/t_json_only_flat.out @@ -31,125 +31,155 @@ {"type":"VARSCOPE","name":"clk","addr":"(CB)","loc":"d,13:10,13:13","dtypep":"(J)","isTrace":true,"scopep":"(AB)","varp":"(I)"}, {"type":"VARSCOPE","name":"d","addr":"(DB)","loc":"d,14:16,14:17","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(K)"}, {"type":"VARSCOPE","name":"t.q","addr":"(EB)","loc":"d,15:22,15:23","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(L)"}, - {"type":"ASSIGNW","name":"","addr":"(FB)","loc":"d,15:22,15:23","dtypep":"(H)", - "rhsp": [ - {"type":"VARREF","name":"q","addr":"(GB)","loc":"d,15:22,15:23","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(BB)","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"t.q","addr":"(HB)","loc":"d,15:22,15:23","dtypep":"(H)","access":"WR","varp":"(L)","varScopep":"(EB)","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []}, - {"type":"VARSCOPE","name":"t.clk","addr":"(IB)","loc":"d,13:10,13:13","dtypep":"(J)","isTrace":true,"scopep":"(AB)","varp":"(M)"}, - {"type":"ASSIGNW","name":"","addr":"(JB)","loc":"d,13:10,13:13","dtypep":"(J)", - "rhsp": [ - {"type":"VARREF","name":"clk","addr":"(KB)","loc":"d,13:10,13:13","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"(CB)","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"t.clk","addr":"(LB)","loc":"d,13:10,13:13","dtypep":"(J)","access":"WR","varp":"(M)","varScopep":"(IB)","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []}, - {"type":"VARSCOPE","name":"t.d","addr":"(MB)","loc":"d,14:16,14:17","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(N)"}, - {"type":"ASSIGNW","name":"","addr":"(NB)","loc":"d,14:16,14:17","dtypep":"(H)", - "rhsp": [ - {"type":"VARREF","name":"d","addr":"(OB)","loc":"d,14:16,14:17","dtypep":"(H)","access":"RD","varp":"(K)","varScopep":"(DB)","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"t.d","addr":"(PB)","loc":"d,14:16,14:17","dtypep":"(H)","access":"WR","varp":"(N)","varScopep":"(MB)","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []}, - {"type":"VARSCOPE","name":"t.between","addr":"(QB)","loc":"d,17:22,17:29","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(O)"}, - {"type":"VARSCOPE","name":"t.cell1.WIDTH","addr":"(RB)","loc":"d,32:15,32:20","dtypep":"(Q)","isTrace":true,"scopep":"(AB)","varp":"(P)"}, - {"type":"VARSCOPE","name":"t.cell1.clk","addr":"(SB)","loc":"d,34:24,34:27","dtypep":"(J)","isTrace":true,"scopep":"(AB)","varp":"(S)"}, - {"type":"ASSIGNW","name":"","addr":"(TB)","loc":"d,34:24,34:27","dtypep":"(J)", - "rhsp": [ - {"type":"VARREF","name":"clk","addr":"(UB)","loc":"d,34:24,34:27","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"(CB)","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"t.cell1.clk","addr":"(VB)","loc":"d,34:24,34:27","dtypep":"(J)","access":"WR","varp":"(S)","varScopep":"(SB)","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []}, - {"type":"VARSCOPE","name":"t.cell1.d","addr":"(WB)","loc":"d,35:30,35:31","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(T)"}, - {"type":"ASSIGNW","name":"","addr":"(XB)","loc":"d,35:30,35:31","dtypep":"(H)", - "rhsp": [ - {"type":"VARREF","name":"d","addr":"(YB)","loc":"d,35:30,35:31","dtypep":"(H)","access":"RD","varp":"(K)","varScopep":"(DB)","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"t.cell1.d","addr":"(ZB)","loc":"d,35:30,35:31","dtypep":"(H)","access":"WR","varp":"(T)","varScopep":"(WB)","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []}, - {"type":"VARSCOPE","name":"t.cell1.q","addr":"(AC)","loc":"d,36:30,36:31","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(U)"}, - {"type":"ASSIGNW","name":"","addr":"(BC)","loc":"d,36:30,36:31","dtypep":"(H)", - "rhsp": [ - {"type":"VARREF","name":"t.between","addr":"(CC)","loc":"d,36:30,36:31","dtypep":"(H)","access":"RD","varp":"(O)","varScopep":"(QB)","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"t.cell1.q","addr":"(DC)","loc":"d,36:30,36:31","dtypep":"(H)","access":"WR","varp":"(U)","varScopep":"(AC)","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []}, - {"type":"VARSCOPE","name":"t.cell1.IGNORED","addr":"(EC)","loc":"d,39:15,39:22","dtypep":"(Q)","isTrace":true,"scopep":"(AB)","varp":"(V)"}, - {"type":"VARSCOPE","name":"t.cell2.clk","addr":"(FC)","loc":"d,48:10,48:13","dtypep":"(J)","isTrace":true,"scopep":"(AB)","varp":"(X)"}, - {"type":"ASSIGNW","name":"","addr":"(GC)","loc":"d,48:10,48:13","dtypep":"(J)", - "rhsp": [ - {"type":"VARREF","name":"clk","addr":"(HC)","loc":"d,48:10,48:13","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"(CB)","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"t.cell2.clk","addr":"(IC)","loc":"d,48:10,48:13","dtypep":"(J)","access":"WR","varp":"(X)","varScopep":"(FC)","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []}, - {"type":"VARSCOPE","name":"t.cell2.d","addr":"(JC)","loc":"d,49:16,49:17","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(Y)"}, - {"type":"ASSIGNW","name":"","addr":"(KC)","loc":"d,49:16,49:17","dtypep":"(H)", - "rhsp": [ - {"type":"VARREF","name":"t.between","addr":"(LC)","loc":"d,49:16,49:17","dtypep":"(H)","access":"RD","varp":"(O)","varScopep":"(QB)","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"t.cell2.d","addr":"(MC)","loc":"d,49:16,49:17","dtypep":"(H)","access":"WR","varp":"(Y)","varScopep":"(JC)","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []}, - {"type":"VARSCOPE","name":"t.cell2.q","addr":"(NC)","loc":"d,50:22,50:23","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(Z)"}, - {"type":"ASSIGNW","name":"","addr":"(OC)","loc":"d,50:22,50:23","dtypep":"(H)", - "rhsp": [ - {"type":"VARREF","name":"q","addr":"(PC)","loc":"d,50:22,50:23","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(BB)","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"t.cell2.q","addr":"(QC)","loc":"d,50:22,50:23","dtypep":"(H)","access":"WR","varp":"(Z)","varScopep":"(NC)","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []} + {"type":"ALWAYS","name":"","addr":"(FB)","loc":"d,15:22,15:23","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(GB)","loc":"d,15:22,15:23","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"q","addr":"(HB)","loc":"d,15:22,15:23","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(BB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.q","addr":"(IB)","loc":"d,15:22,15:23","dtypep":"(H)","access":"WR","varp":"(L)","varScopep":"(EB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]}, + {"type":"VARSCOPE","name":"t.clk","addr":"(JB)","loc":"d,13:10,13:13","dtypep":"(J)","isTrace":true,"scopep":"(AB)","varp":"(M)"}, + {"type":"ALWAYS","name":"","addr":"(KB)","loc":"d,13:10,13:13","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(LB)","loc":"d,13:10,13:13","dtypep":"(J)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(MB)","loc":"d,13:10,13:13","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"(CB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.clk","addr":"(NB)","loc":"d,13:10,13:13","dtypep":"(J)","access":"WR","varp":"(M)","varScopep":"(JB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]}, + {"type":"VARSCOPE","name":"t.d","addr":"(OB)","loc":"d,14:16,14:17","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(N)"}, + {"type":"ALWAYS","name":"","addr":"(PB)","loc":"d,14:16,14:17","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(QB)","loc":"d,14:16,14:17","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"d","addr":"(RB)","loc":"d,14:16,14:17","dtypep":"(H)","access":"RD","varp":"(K)","varScopep":"(DB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.d","addr":"(SB)","loc":"d,14:16,14:17","dtypep":"(H)","access":"WR","varp":"(N)","varScopep":"(OB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]}, + {"type":"VARSCOPE","name":"t.between","addr":"(TB)","loc":"d,17:22,17:29","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(O)"}, + {"type":"VARSCOPE","name":"t.cell1.WIDTH","addr":"(UB)","loc":"d,32:15,32:20","dtypep":"(Q)","isTrace":true,"scopep":"(AB)","varp":"(P)"}, + {"type":"VARSCOPE","name":"t.cell1.clk","addr":"(VB)","loc":"d,34:24,34:27","dtypep":"(J)","isTrace":true,"scopep":"(AB)","varp":"(S)"}, + {"type":"ALWAYS","name":"","addr":"(WB)","loc":"d,34:24,34:27","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(XB)","loc":"d,34:24,34:27","dtypep":"(J)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(YB)","loc":"d,34:24,34:27","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"(CB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cell1.clk","addr":"(ZB)","loc":"d,34:24,34:27","dtypep":"(J)","access":"WR","varp":"(S)","varScopep":"(VB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]}, + {"type":"VARSCOPE","name":"t.cell1.d","addr":"(AC)","loc":"d,35:30,35:31","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(T)"}, + {"type":"ALWAYS","name":"","addr":"(BC)","loc":"d,35:30,35:31","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(CC)","loc":"d,35:30,35:31","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"d","addr":"(DC)","loc":"d,35:30,35:31","dtypep":"(H)","access":"RD","varp":"(K)","varScopep":"(DB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cell1.d","addr":"(EC)","loc":"d,35:30,35:31","dtypep":"(H)","access":"WR","varp":"(T)","varScopep":"(AC)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]}, + {"type":"VARSCOPE","name":"t.cell1.q","addr":"(FC)","loc":"d,36:30,36:31","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(U)"}, + {"type":"ALWAYS","name":"","addr":"(GC)","loc":"d,36:30,36:31","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(HC)","loc":"d,36:30,36:31","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"t.between","addr":"(IC)","loc":"d,36:30,36:31","dtypep":"(H)","access":"RD","varp":"(O)","varScopep":"(TB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cell1.q","addr":"(JC)","loc":"d,36:30,36:31","dtypep":"(H)","access":"WR","varp":"(U)","varScopep":"(FC)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]}, + {"type":"VARSCOPE","name":"t.cell1.IGNORED","addr":"(KC)","loc":"d,39:15,39:22","dtypep":"(Q)","isTrace":true,"scopep":"(AB)","varp":"(V)"}, + {"type":"VARSCOPE","name":"t.cell2.clk","addr":"(LC)","loc":"d,48:10,48:13","dtypep":"(J)","isTrace":true,"scopep":"(AB)","varp":"(X)"}, + {"type":"ALWAYS","name":"","addr":"(MC)","loc":"d,48:10,48:13","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(NC)","loc":"d,48:10,48:13","dtypep":"(J)", + "rhsp": [ + {"type":"VARREF","name":"clk","addr":"(OC)","loc":"d,48:10,48:13","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"(CB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cell2.clk","addr":"(PC)","loc":"d,48:10,48:13","dtypep":"(J)","access":"WR","varp":"(X)","varScopep":"(LC)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]}, + {"type":"VARSCOPE","name":"t.cell2.d","addr":"(QC)","loc":"d,49:16,49:17","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(Y)"}, + {"type":"ALWAYS","name":"","addr":"(RC)","loc":"d,49:16,49:17","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(SC)","loc":"d,49:16,49:17","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"t.between","addr":"(TC)","loc":"d,49:16,49:17","dtypep":"(H)","access":"RD","varp":"(O)","varScopep":"(TB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cell2.d","addr":"(UC)","loc":"d,49:16,49:17","dtypep":"(H)","access":"WR","varp":"(Y)","varScopep":"(QC)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]}, + {"type":"VARSCOPE","name":"t.cell2.q","addr":"(VC)","loc":"d,50:22,50:23","dtypep":"(H)","isTrace":true,"scopep":"(AB)","varp":"(Z)"}, + {"type":"ALWAYS","name":"","addr":"(WC)","loc":"d,50:22,50:23","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(XC)","loc":"d,50:22,50:23","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"q","addr":"(YC)","loc":"d,50:22,50:23","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(BB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"t.cell2.q","addr":"(ZC)","loc":"d,50:22,50:23","dtypep":"(H)","access":"WR","varp":"(Z)","varScopep":"(VC)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]} ], "blocksp": [ - {"type":"ALWAYS","name":"","addr":"(RC)","loc":"d,41:4,41:10","keyword":"always","isSuspendable":false,"needProcess":false, + {"type":"ALWAYS","name":"","addr":"(AD)","loc":"d,41:4,41:10","keyword":"always","isSuspendable":false,"needProcess":false, "sentreep": [ - {"type":"SENTREE","name":"","addr":"(SC)","loc":"d,41:11,41:12","isMulti":false, + {"type":"SENTREE","name":"","addr":"(BD)","loc":"d,41:11,41:12","isMulti":false, "sensesp": [ - {"type":"SENITEM","name":"","addr":"(TC)","loc":"d,41:13,41:20","edgeType":"POS", + {"type":"SENITEM","name":"","addr":"(CD)","loc":"d,41:13,41:20","edgeType":"POS", "sensp": [ - {"type":"VARREF","name":"clk","addr":"(UC)","loc":"d,41:21,41:24","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"(CB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"clk","addr":"(DD)","loc":"d,41:21,41:24","dtypep":"(J)","access":"RD","varp":"(I)","varScopep":"(CB)","classOrPackagep":"UNLINKED"} ],"condp": []} ]} ], "stmtsp": [ - {"type":"ASSIGNDLY","name":"","addr":"(VC)","loc":"d,42:8,42:10","dtypep":"(H)", + {"type":"ASSIGNDLY","name":"","addr":"(ED)","loc":"d,42:8,42:10","dtypep":"(H)", "rhsp": [ - {"type":"VARREF","name":"d","addr":"(WC)","loc":"d,42:11,42:12","dtypep":"(H)","access":"RD","varp":"(K)","varScopep":"(DB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"d","addr":"(FD)","loc":"d,42:11,42:12","dtypep":"(H)","access":"RD","varp":"(K)","varScopep":"(DB)","classOrPackagep":"UNLINKED"} ], "lhsp": [ - {"type":"VARREF","name":"t.between","addr":"(XC)","loc":"d,42:6,42:7","dtypep":"(H)","access":"WR","varp":"(O)","varScopep":"(QB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"t.between","addr":"(GD)","loc":"d,42:6,42:7","dtypep":"(H)","access":"WR","varp":"(O)","varScopep":"(TB)","classOrPackagep":"UNLINKED"} ],"timingControlp": []} ]}, - {"type":"ASSIGNW","name":"","addr":"(YC)","loc":"d,53:13,53:14","dtypep":"(H)", - "rhsp": [ - {"type":"VARREF","name":"t.between","addr":"(ZC)","loc":"d,17:22,17:29","dtypep":"(H)","access":"RD","varp":"(O)","varScopep":"(QB)","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"q","addr":"(AD)","loc":"d,53:13,53:14","dtypep":"(H)","access":"WR","varp":"(G)","varScopep":"(BB)","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []} + {"type":"ALWAYS","name":"","addr":"(HD)","loc":"d,53:13,53:14","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(ID)","loc":"d,53:13,53:14","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"t.between","addr":"(JD)","loc":"d,17:22,17:29","dtypep":"(H)","access":"RD","varp":"(O)","varScopep":"(TB)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"q","addr":"(KD)","loc":"d,53:13,53:14","dtypep":"(H)","access":"WR","varp":"(G)","varScopep":"(BB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]} ],"inlinesp": []} ]} ]} ],"filesp": [], "miscsp": [ - {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(BD)", + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(LD)", "typesp": [ {"type":"BASICDTYPE","name":"logic","addr":"(J)","loc":"d,34:24,34:27","dtypep":"(J)","keyword":"logic","generic":true,"rangep": []}, {"type":"BASICDTYPE","name":"logic","addr":"(H)","loc":"d,15:16,15:17","dtypep":"(H)","keyword":"logic","range":"3:0","generic":true,"rangep": []}, {"type":"BASICDTYPE","name":"logic","addr":"(Q)","loc":"d,19:18,19:19","dtypep":"(Q)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, - {"type":"VOIDDTYPE","name":"","addr":"(BD)","loc":"a,0:0,0:0","dtypep":"(BD)","generic":false} + {"type":"VOIDDTYPE","name":"","addr":"(LD)","loc":"a,0:0,0:0","dtypep":"(LD)","generic":false} ]}, {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", "modulep": [ - {"type":"MODULE","name":"@CONST-POOL@","addr":"(CD)","loc":"a,0:0,0:0","isChecker":false,"isProgram":false,"hasGenericIface":false,"origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + {"type":"MODULE","name":"@CONST-POOL@","addr":"(MD)","loc":"a,0:0,0:0","isChecker":false,"isProgram":false,"hasGenericIface":false,"origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], "stmtsp": [ - {"type":"SCOPE","name":"@CONST-POOL@","addr":"(DD)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(CD)","varsp": [],"blocksp": [],"inlinesp": []} + {"type":"SCOPE","name":"@CONST-POOL@","addr":"(ND)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(MD)","varsp": [],"blocksp": [],"inlinesp": []} ]} ]} ]} diff --git a/test_regress/t/t_json_only_flat_no_inline_mod.out b/test_regress/t/t_json_only_flat_no_inline_mod.out index 3a8348733..9f73ff0e2 100644 --- a/test_regress/t/t_json_only_flat_no_inline_mod.out +++ b/test_regress/t/t_json_only_flat_no_inline_mod.out @@ -11,21 +11,27 @@ "varsp": [ {"type":"VARSCOPE","name":"i_clk","addr":"(L)","loc":"d,11:24,11:29","dtypep":"(H)","isTrace":true,"scopep":"(K)","varp":"(G)"}, {"type":"VARSCOPE","name":"top.i_clk","addr":"(M)","loc":"d,11:24,11:29","dtypep":"(H)","isTrace":true,"scopep":"(K)","varp":"(I)"}, - {"type":"ASSIGNW","name":"","addr":"(N)","loc":"d,11:24,11:29","dtypep":"(H)", - "rhsp": [ - {"type":"VARREF","name":"i_clk","addr":"(O)","loc":"d,11:24,11:29","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(L)","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"top.i_clk","addr":"(P)","loc":"d,11:24,11:29","dtypep":"(H)","access":"WR","varp":"(I)","varScopep":"(M)","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []}, - {"type":"VARSCOPE","name":"top.f.i_clk","addr":"(Q)","loc":"d,7:24,7:29","dtypep":"(H)","isTrace":true,"scopep":"(K)","varp":"(J)"}, - {"type":"ASSIGNW","name":"","addr":"(R)","loc":"d,7:24,7:29","dtypep":"(H)", - "rhsp": [ - {"type":"VARREF","name":"i_clk","addr":"(S)","loc":"d,7:24,7:29","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(L)","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"top.f.i_clk","addr":"(T)","loc":"d,7:24,7:29","dtypep":"(H)","access":"WR","varp":"(J)","varScopep":"(Q)","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []} + {"type":"ALWAYS","name":"","addr":"(N)","loc":"d,11:24,11:29","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(O)","loc":"d,11:24,11:29","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"i_clk","addr":"(P)","loc":"d,11:24,11:29","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(L)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"top.i_clk","addr":"(Q)","loc":"d,11:24,11:29","dtypep":"(H)","access":"WR","varp":"(I)","varScopep":"(M)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]}, + {"type":"VARSCOPE","name":"top.f.i_clk","addr":"(R)","loc":"d,7:24,7:29","dtypep":"(H)","isTrace":true,"scopep":"(K)","varp":"(J)"}, + {"type":"ALWAYS","name":"","addr":"(S)","loc":"d,7:24,7:29","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(T)","loc":"d,7:24,7:29","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"i_clk","addr":"(U)","loc":"d,7:24,7:29","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(L)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"top.f.i_clk","addr":"(V)","loc":"d,7:24,7:29","dtypep":"(H)","access":"WR","varp":"(J)","varScopep":"(R)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]} ],"blocksp": [],"inlinesp": []} ]} ]} @@ -37,9 +43,9 @@ ]}, {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", "modulep": [ - {"type":"MODULE","name":"@CONST-POOL@","addr":"(U)","loc":"a,0:0,0:0","isChecker":false,"isProgram":false,"hasGenericIface":false,"origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + {"type":"MODULE","name":"@CONST-POOL@","addr":"(W)","loc":"a,0:0,0:0","isChecker":false,"isProgram":false,"hasGenericIface":false,"origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], "stmtsp": [ - {"type":"SCOPE","name":"@CONST-POOL@","addr":"(V)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(U)","varsp": [],"blocksp": [],"inlinesp": []} + {"type":"SCOPE","name":"@CONST-POOL@","addr":"(X)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(W)","varsp": [],"blocksp": [],"inlinesp": []} ]} ]} ]} diff --git a/test_regress/t/t_json_only_flat_pub_mod.out b/test_regress/t/t_json_only_flat_pub_mod.out index 3a8348733..9f73ff0e2 100644 --- a/test_regress/t/t_json_only_flat_pub_mod.out +++ b/test_regress/t/t_json_only_flat_pub_mod.out @@ -11,21 +11,27 @@ "varsp": [ {"type":"VARSCOPE","name":"i_clk","addr":"(L)","loc":"d,11:24,11:29","dtypep":"(H)","isTrace":true,"scopep":"(K)","varp":"(G)"}, {"type":"VARSCOPE","name":"top.i_clk","addr":"(M)","loc":"d,11:24,11:29","dtypep":"(H)","isTrace":true,"scopep":"(K)","varp":"(I)"}, - {"type":"ASSIGNW","name":"","addr":"(N)","loc":"d,11:24,11:29","dtypep":"(H)", - "rhsp": [ - {"type":"VARREF","name":"i_clk","addr":"(O)","loc":"d,11:24,11:29","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(L)","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"top.i_clk","addr":"(P)","loc":"d,11:24,11:29","dtypep":"(H)","access":"WR","varp":"(I)","varScopep":"(M)","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []}, - {"type":"VARSCOPE","name":"top.f.i_clk","addr":"(Q)","loc":"d,7:24,7:29","dtypep":"(H)","isTrace":true,"scopep":"(K)","varp":"(J)"}, - {"type":"ASSIGNW","name":"","addr":"(R)","loc":"d,7:24,7:29","dtypep":"(H)", - "rhsp": [ - {"type":"VARREF","name":"i_clk","addr":"(S)","loc":"d,7:24,7:29","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(L)","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"top.f.i_clk","addr":"(T)","loc":"d,7:24,7:29","dtypep":"(H)","access":"WR","varp":"(J)","varScopep":"(Q)","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []} + {"type":"ALWAYS","name":"","addr":"(N)","loc":"d,11:24,11:29","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(O)","loc":"d,11:24,11:29","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"i_clk","addr":"(P)","loc":"d,11:24,11:29","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(L)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"top.i_clk","addr":"(Q)","loc":"d,11:24,11:29","dtypep":"(H)","access":"WR","varp":"(I)","varScopep":"(M)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]}, + {"type":"VARSCOPE","name":"top.f.i_clk","addr":"(R)","loc":"d,7:24,7:29","dtypep":"(H)","isTrace":true,"scopep":"(K)","varp":"(J)"}, + {"type":"ALWAYS","name":"","addr":"(S)","loc":"d,7:24,7:29","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(T)","loc":"d,7:24,7:29","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"i_clk","addr":"(U)","loc":"d,7:24,7:29","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(L)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"top.f.i_clk","addr":"(V)","loc":"d,7:24,7:29","dtypep":"(H)","access":"WR","varp":"(J)","varScopep":"(R)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]} ],"blocksp": [],"inlinesp": []} ]} ]} @@ -37,9 +43,9 @@ ]}, {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", "modulep": [ - {"type":"MODULE","name":"@CONST-POOL@","addr":"(U)","loc":"a,0:0,0:0","isChecker":false,"isProgram":false,"hasGenericIface":false,"origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + {"type":"MODULE","name":"@CONST-POOL@","addr":"(W)","loc":"a,0:0,0:0","isChecker":false,"isProgram":false,"hasGenericIface":false,"origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], "stmtsp": [ - {"type":"SCOPE","name":"@CONST-POOL@","addr":"(V)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(U)","varsp": [],"blocksp": [],"inlinesp": []} + {"type":"SCOPE","name":"@CONST-POOL@","addr":"(X)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(W)","varsp": [],"blocksp": [],"inlinesp": []} ]} ]} ]} diff --git a/test_regress/t/t_json_only_flat_vlvbound.out b/test_regress/t/t_json_only_flat_vlvbound.out index bf8cd428c..7a65b347b 100644 --- a/test_regress/t/t_json_only_flat_vlvbound.out +++ b/test_regress/t/t_json_only_flat_vlvbound.out @@ -19,296 +19,308 @@ {"type":"VARSCOPE","name":"o_a","addr":"(T)","loc":"d,11:25,11:28","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(J)"}, {"type":"VARSCOPE","name":"o_b","addr":"(U)","loc":"d,12:25,12:28","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(L)"}, {"type":"VARSCOPE","name":"vlvbound_test.i_a","addr":"(V)","loc":"d,9:25,9:28","dtypep":"(H)","isTrace":true,"scopep":"(Q)","varp":"(M)"}, - {"type":"ASSIGNW","name":"","addr":"(W)","loc":"d,9:25,9:28","dtypep":"(H)", - "rhsp": [ - {"type":"VARREF","name":"i_a","addr":"(X)","loc":"d,9:25,9:28","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(R)","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"vlvbound_test.i_a","addr":"(Y)","loc":"d,9:25,9:28","dtypep":"(H)","access":"WR","varp":"(M)","varScopep":"(V)","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []}, - {"type":"VARSCOPE","name":"vlvbound_test.i_b","addr":"(Z)","loc":"d,10:25,10:28","dtypep":"(H)","isTrace":true,"scopep":"(Q)","varp":"(N)"}, - {"type":"ASSIGNW","name":"","addr":"(AB)","loc":"d,10:25,10:28","dtypep":"(H)", - "rhsp": [ - {"type":"VARREF","name":"i_b","addr":"(BB)","loc":"d,10:25,10:28","dtypep":"(H)","access":"RD","varp":"(I)","varScopep":"(S)","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"vlvbound_test.i_b","addr":"(CB)","loc":"d,10:25,10:28","dtypep":"(H)","access":"WR","varp":"(N)","varScopep":"(Z)","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []}, - {"type":"VARSCOPE","name":"vlvbound_test.o_a","addr":"(DB)","loc":"d,11:25,11:28","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(O)"}, - {"type":"ASSIGNW","name":"","addr":"(EB)","loc":"d,11:25,11:28","dtypep":"(K)", - "rhsp": [ - {"type":"VARREF","name":"o_a","addr":"(FB)","loc":"d,11:25,11:28","dtypep":"(K)","access":"RD","varp":"(J)","varScopep":"(T)","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"vlvbound_test.o_a","addr":"(GB)","loc":"d,11:25,11:28","dtypep":"(K)","access":"WR","varp":"(O)","varScopep":"(DB)","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []}, - {"type":"VARSCOPE","name":"vlvbound_test.o_b","addr":"(HB)","loc":"d,12:25,12:28","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(P)"}, - {"type":"ASSIGNW","name":"","addr":"(IB)","loc":"d,12:25,12:28","dtypep":"(K)", - "rhsp": [ - {"type":"VARREF","name":"o_b","addr":"(JB)","loc":"d,12:25,12:28","dtypep":"(K)","access":"RD","varp":"(L)","varScopep":"(U)","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"vlvbound_test.o_b","addr":"(KB)","loc":"d,12:25,12:28","dtypep":"(K)","access":"WR","varp":"(P)","varScopep":"(HB)","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []}, - {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__0__Vfuncout","addr":"(LB)","loc":"d,15:34,15:37","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(MB)"}, - {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__0__val","addr":"(NB)","loc":"d,15:57,15:60","dtypep":"(H)","isTrace":true,"scopep":"(Q)","varp":"(OB)"}, - {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__0__ret","addr":"(PB)","loc":"d,16:17,16:20","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(QB)"}, - {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(RB)","loc":"d,17:13,17:14","dtypep":"(SB)","isTrace":true,"scopep":"(Q)","varp":"(TB)"}, - {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__1__Vfuncout","addr":"(UB)","loc":"d,15:34,15:37","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(VB)"}, - {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__1__val","addr":"(WB)","loc":"d,15:57,15:60","dtypep":"(H)","isTrace":true,"scopep":"(Q)","varp":"(XB)"}, - {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__1__ret","addr":"(YB)","loc":"d,16:17,16:20","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(ZB)"}, - {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(AC)","loc":"d,17:13,17:14","dtypep":"(SB)","isTrace":true,"scopep":"(Q)","varp":"(BC)"} + {"type":"ALWAYS","name":"","addr":"(W)","loc":"d,9:25,9:28","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(X)","loc":"d,9:25,9:28","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"i_a","addr":"(Y)","loc":"d,9:25,9:28","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(R)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"vlvbound_test.i_a","addr":"(Z)","loc":"d,9:25,9:28","dtypep":"(H)","access":"WR","varp":"(M)","varScopep":"(V)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]}, + {"type":"VARSCOPE","name":"vlvbound_test.i_b","addr":"(AB)","loc":"d,10:25,10:28","dtypep":"(H)","isTrace":true,"scopep":"(Q)","varp":"(N)"}, + {"type":"ALWAYS","name":"","addr":"(BB)","loc":"d,10:25,10:28","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(CB)","loc":"d,10:25,10:28","dtypep":"(H)", + "rhsp": [ + {"type":"VARREF","name":"i_b","addr":"(DB)","loc":"d,10:25,10:28","dtypep":"(H)","access":"RD","varp":"(I)","varScopep":"(S)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"vlvbound_test.i_b","addr":"(EB)","loc":"d,10:25,10:28","dtypep":"(H)","access":"WR","varp":"(N)","varScopep":"(AB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]}, + {"type":"VARSCOPE","name":"vlvbound_test.o_a","addr":"(FB)","loc":"d,11:25,11:28","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(O)"}, + {"type":"ALWAYS","name":"","addr":"(GB)","loc":"d,11:25,11:28","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(HB)","loc":"d,11:25,11:28","dtypep":"(K)", + "rhsp": [ + {"type":"VARREF","name":"o_a","addr":"(IB)","loc":"d,11:25,11:28","dtypep":"(K)","access":"RD","varp":"(J)","varScopep":"(T)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"vlvbound_test.o_a","addr":"(JB)","loc":"d,11:25,11:28","dtypep":"(K)","access":"WR","varp":"(O)","varScopep":"(FB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]}, + {"type":"VARSCOPE","name":"vlvbound_test.o_b","addr":"(KB)","loc":"d,12:25,12:28","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(P)"}, + {"type":"ALWAYS","name":"","addr":"(LB)","loc":"d,12:25,12:28","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], + "stmtsp": [ + {"type":"ASSIGNW","name":"","addr":"(MB)","loc":"d,12:25,12:28","dtypep":"(K)", + "rhsp": [ + {"type":"VARREF","name":"o_b","addr":"(NB)","loc":"d,12:25,12:28","dtypep":"(K)","access":"RD","varp":"(L)","varScopep":"(U)","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"vlvbound_test.o_b","addr":"(OB)","loc":"d,12:25,12:28","dtypep":"(K)","access":"WR","varp":"(P)","varScopep":"(KB)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]}, + {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__0__Vfuncout","addr":"(PB)","loc":"d,15:34,15:37","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(QB)"}, + {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__0__val","addr":"(RB)","loc":"d,15:57,15:60","dtypep":"(H)","isTrace":true,"scopep":"(Q)","varp":"(SB)"}, + {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__0__ret","addr":"(TB)","loc":"d,16:17,16:20","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(UB)"}, + {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(VB)","loc":"d,17:13,17:14","dtypep":"(WB)","isTrace":true,"scopep":"(Q)","varp":"(XB)"}, + {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__1__Vfuncout","addr":"(YB)","loc":"d,15:34,15:37","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(ZB)"}, + {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__1__val","addr":"(AC)","loc":"d,15:57,15:60","dtypep":"(H)","isTrace":true,"scopep":"(Q)","varp":"(BC)"}, + {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__1__ret","addr":"(CC)","loc":"d,16:17,16:20","dtypep":"(K)","isTrace":true,"scopep":"(Q)","varp":"(DC)"}, + {"type":"VARSCOPE","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(EC)","loc":"d,17:13,17:14","dtypep":"(WB)","isTrace":true,"scopep":"(Q)","varp":"(FC)"} ], "blocksp": [ - {"type":"ALWAYS","name":"","addr":"(CC)","loc":"d,24:14,24:15","keyword":"always","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(GC)","loc":"d,24:14,24:15","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"COMMENT","name":"Function: foo","addr":"(DC)","loc":"d,24:16,24:19"}, - {"type":"ASSIGN","name":"","addr":"(EC)","loc":"d,24:20,24:23","dtypep":"(H)", + {"type":"COMMENT","name":"Function: foo","addr":"(HC)","loc":"d,24:16,24:19"}, + {"type":"ASSIGN","name":"","addr":"(IC)","loc":"d,24:20,24:23","dtypep":"(H)", "rhsp": [ - {"type":"VARREF","name":"i_a","addr":"(FC)","loc":"d,24:20,24:23","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(R)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"i_a","addr":"(JC)","loc":"d,24:20,24:23","dtypep":"(H)","access":"RD","varp":"(G)","varScopep":"(R)","classOrPackagep":"UNLINKED"} ], "lhsp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__val","addr":"(GC)","loc":"d,15:57,15:60","dtypep":"(H)","access":"WR","varp":"(OB)","varScopep":"(NB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__val","addr":"(KC)","loc":"d,15:57,15:60","dtypep":"(H)","access":"WR","varp":"(SB)","varScopep":"(RB)","classOrPackagep":"UNLINKED"} ],"timingControlp": []}, - {"type":"CRESET","name":"","addr":"(HC)","loc":"d,16:17,16:20","constructing":false, + {"type":"CRESET","name":"","addr":"(LC)","loc":"d,16:17,16:20","constructing":false, "varrefp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__ret","addr":"(IC)","loc":"d,16:17,16:20","dtypep":"(K)","access":"WR","varp":"(QB)","varScopep":"(PB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__ret","addr":"(MC)","loc":"d,16:17,16:20","dtypep":"(K)","access":"WR","varp":"(UB)","varScopep":"(TB)","classOrPackagep":"UNLINKED"} ]}, - {"type":"CRESET","name":"","addr":"(JC)","loc":"d,17:13,17:14","constructing":false, + {"type":"CRESET","name":"","addr":"(NC)","loc":"d,17:13,17:14","constructing":false, "varrefp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(KC)","loc":"d,17:13,17:14","dtypep":"(SB)","access":"WR","varp":"(TB)","varScopep":"(RB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(OC)","loc":"d,17:13,17:14","dtypep":"(WB)","access":"WR","varp":"(XB)","varScopep":"(VB)","classOrPackagep":"UNLINKED"} ]}, - {"type":"ASSIGN","name":"","addr":"(LC)","loc":"d,18:11,18:12","dtypep":"(SB)", + {"type":"ASSIGN","name":"","addr":"(PC)","loc":"d,18:11,18:12","dtypep":"(WB)", "rhsp": [ - {"type":"CONST","name":"32'sh0","addr":"(MC)","loc":"d,18:12,18:13","dtypep":"(NC)"} + {"type":"CONST","name":"32'sh0","addr":"(QC)","loc":"d,18:12,18:13","dtypep":"(RC)"} ], "lhsp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(OC)","loc":"d,18:10,18:11","dtypep":"(SB)","access":"WR","varp":"(TB)","varScopep":"(RB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(SC)","loc":"d,18:10,18:11","dtypep":"(WB)","access":"WR","varp":"(XB)","varScopep":"(VB)","classOrPackagep":"UNLINKED"} ],"timingControlp": []}, - {"type":"LOOP","name":"","addr":"(PC)","loc":"d,18:5,18:8","unroll":"default", + {"type":"LOOP","name":"","addr":"(TC)","loc":"d,18:5,18:8","unroll":"default", "stmtsp": [ - {"type":"LOOPTEST","name":"","addr":"(QC)","loc":"d,18:16,18:17", + {"type":"LOOPTEST","name":"","addr":"(UC)","loc":"d,18:16,18:17", "condp": [ - {"type":"GTS","name":"","addr":"(RC)","loc":"d,18:18,18:19","dtypep":"(SC)", + {"type":"GTS","name":"","addr":"(VC)","loc":"d,18:18,18:19","dtypep":"(WC)", "lhsp": [ - {"type":"CONST","name":"32'sh7","addr":"(TC)","loc":"d,18:20,18:21","dtypep":"(NC)"} + {"type":"CONST","name":"32'sh7","addr":"(XC)","loc":"d,18:20,18:21","dtypep":"(RC)"} ], "rhsp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(UC)","loc":"d,18:16,18:17","dtypep":"(SB)","access":"RD","varp":"(TB)","varScopep":"(RB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(YC)","loc":"d,18:16,18:17","dtypep":"(WB)","access":"RD","varp":"(XB)","varScopep":"(VB)","classOrPackagep":"UNLINKED"} ]} ]}, - {"type":"ASSIGN","name":"","addr":"(VC)","loc":"d,19:14,19:15","dtypep":"(SC)", + {"type":"ASSIGN","name":"","addr":"(ZC)","loc":"d,19:14,19:15","dtypep":"(WC)", "rhsp": [ - {"type":"EQ","name":"","addr":"(WC)","loc":"d,19:31,19:33","dtypep":"(SC)", + {"type":"EQ","name":"","addr":"(AD)","loc":"d,19:31,19:33","dtypep":"(WC)", "lhsp": [ - {"type":"CONST","name":"2'h0","addr":"(XC)","loc":"d,19:34,19:39","dtypep":"(YC)"} + {"type":"CONST","name":"2'h0","addr":"(BD)","loc":"d,19:34,19:39","dtypep":"(CD)"} ], "rhsp": [ - {"type":"SEL","name":"","addr":"(ZC)","loc":"d,19:20,19:21","dtypep":"(YC)","widthConst":2,"declRange":"[15:0]","declElWidth":1, + {"type":"SEL","name":"","addr":"(DD)","loc":"d,19:20,19:21","dtypep":"(CD)","widthConst":2,"declRange":"[15:0]","declElWidth":1, "fromp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__val","addr":"(AD)","loc":"d,19:17,19:20","dtypep":"(H)","access":"RD","varp":"(OB)","varScopep":"(NB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__val","addr":"(ED)","loc":"d,19:17,19:20","dtypep":"(H)","access":"RD","varp":"(SB)","varScopep":"(RB)","classOrPackagep":"UNLINKED"} ], "lsbp": [ - {"type":"SEL","name":"","addr":"(BD)","loc":"d,19:22,19:23","dtypep":"(CD)","widthConst":4, + {"type":"SEL","name":"","addr":"(FD)","loc":"d,19:22,19:23","dtypep":"(GD)","widthConst":4, "fromp": [ - {"type":"MULS","name":"","addr":"(DD)","loc":"d,19:22,19:23","dtypep":"(NC)", + {"type":"MULS","name":"","addr":"(HD)","loc":"d,19:22,19:23","dtypep":"(RC)", "lhsp": [ - {"type":"CONST","name":"32'sh2","addr":"(ED)","loc":"d,19:23,19:24","dtypep":"(NC)"} + {"type":"CONST","name":"32'sh2","addr":"(ID)","loc":"d,19:23,19:24","dtypep":"(RC)"} ], "rhsp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(FD)","loc":"d,19:21,19:22","dtypep":"(SB)","access":"RD","varp":"(TB)","varScopep":"(RB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(JD)","loc":"d,19:21,19:22","dtypep":"(WB)","access":"RD","varp":"(XB)","varScopep":"(VB)","classOrPackagep":"UNLINKED"} ]} ], "lsbp": [ - {"type":"CONST","name":"32'h0","addr":"(GD)","loc":"d,19:22,19:23","dtypep":"(HD)"} + {"type":"CONST","name":"32'h0","addr":"(KD)","loc":"d,19:22,19:23","dtypep":"(LD)"} ]} ]} ]} ], "lhsp": [ - {"type":"SEL","name":"","addr":"(ID)","loc":"d,19:10,19:11","dtypep":"(SC)","widthConst":1,"declRange":"[6:0]","declElWidth":1, + {"type":"SEL","name":"","addr":"(MD)","loc":"d,19:10,19:11","dtypep":"(WC)","widthConst":1,"declRange":"[6:0]","declElWidth":1, "fromp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__ret","addr":"(JD)","loc":"d,19:7,19:10","dtypep":"(K)","access":"WR","varp":"(QB)","varScopep":"(PB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__ret","addr":"(ND)","loc":"d,19:7,19:10","dtypep":"(K)","access":"WR","varp":"(UB)","varScopep":"(TB)","classOrPackagep":"UNLINKED"} ], "lsbp": [ - {"type":"SEL","name":"","addr":"(KD)","loc":"d,19:11,19:12","dtypep":"(LD)","widthConst":3, + {"type":"SEL","name":"","addr":"(OD)","loc":"d,19:11,19:12","dtypep":"(PD)","widthConst":3, "fromp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(MD)","loc":"d,19:11,19:12","dtypep":"(SB)","access":"RD","varp":"(TB)","varScopep":"(RB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(QD)","loc":"d,19:11,19:12","dtypep":"(WB)","access":"RD","varp":"(XB)","varScopep":"(VB)","classOrPackagep":"UNLINKED"} ], "lsbp": [ - {"type":"CONST","name":"32'h0","addr":"(ND)","loc":"d,19:11,19:12","dtypep":"(HD)"} + {"type":"CONST","name":"32'h0","addr":"(RD)","loc":"d,19:11,19:12","dtypep":"(LD)"} ]} ]} ],"timingControlp": []}, - {"type":"ASSIGN","name":"","addr":"(OD)","loc":"d,18:24,18:26","dtypep":"(SB)", + {"type":"ASSIGN","name":"","addr":"(SD)","loc":"d,18:24,18:26","dtypep":"(WB)", "rhsp": [ - {"type":"ADD","name":"","addr":"(PD)","loc":"d,18:24,18:26","dtypep":"(HD)", + {"type":"ADD","name":"","addr":"(TD)","loc":"d,18:24,18:26","dtypep":"(LD)", "lhsp": [ - {"type":"CONST","name":"32'h1","addr":"(QD)","loc":"d,18:24,18:26","dtypep":"(HD)"} + {"type":"CONST","name":"32'h1","addr":"(UD)","loc":"d,18:24,18:26","dtypep":"(LD)"} ], "rhsp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(RD)","loc":"d,18:23,18:24","dtypep":"(SB)","access":"RD","varp":"(TB)","varScopep":"(RB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(VD)","loc":"d,18:23,18:24","dtypep":"(WB)","access":"RD","varp":"(XB)","varScopep":"(VB)","classOrPackagep":"UNLINKED"} ]} ], "lhsp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(SD)","loc":"d,18:23,18:24","dtypep":"(SB)","access":"WR","varp":"(TB)","varScopep":"(RB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(WD)","loc":"d,18:23,18:24","dtypep":"(WB)","access":"WR","varp":"(XB)","varScopep":"(VB)","classOrPackagep":"UNLINKED"} ],"timingControlp": []} ],"contsp": []}, - {"type":"ASSIGN","name":"","addr":"(TD)","loc":"d,21:5,21:11","dtypep":"(K)", + {"type":"ASSIGN","name":"","addr":"(XD)","loc":"d,21:5,21:11","dtypep":"(K)", "rhsp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__ret","addr":"(UD)","loc":"d,21:12,21:15","dtypep":"(K)","access":"RD","varp":"(QB)","varScopep":"(PB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__ret","addr":"(YD)","loc":"d,21:12,21:15","dtypep":"(K)","access":"RD","varp":"(UB)","varScopep":"(TB)","classOrPackagep":"UNLINKED"} ], "lhsp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__Vfuncout","addr":"(VD)","loc":"d,21:5,21:11","dtypep":"(K)","access":"WR","varp":"(MB)","varScopep":"(LB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__Vfuncout","addr":"(ZD)","loc":"d,21:5,21:11","dtypep":"(K)","access":"WR","varp":"(QB)","varScopep":"(PB)","classOrPackagep":"UNLINKED"} ],"timingControlp": []}, - {"type":"ASSIGN","name":"","addr":"(WD)","loc":"d,24:14,24:15","dtypep":"(K)", + {"type":"ASSIGNW","name":"","addr":"(AE)","loc":"d,24:14,24:15","dtypep":"(K)", "rhsp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__Vfuncout","addr":"(XD)","loc":"d,24:16,24:19","dtypep":"(K)","access":"RD","varp":"(MB)","varScopep":"(LB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__0__Vfuncout","addr":"(BE)","loc":"d,24:16,24:19","dtypep":"(K)","access":"RD","varp":"(QB)","varScopep":"(PB)","classOrPackagep":"UNLINKED"} ], "lhsp": [ - {"type":"VARREF","name":"o_a","addr":"(YD)","loc":"d,24:10,24:13","dtypep":"(K)","access":"WR","varp":"(J)","varScopep":"(T)","classOrPackagep":"UNLINKED"} - ],"timingControlp": []} + {"type":"VARREF","name":"o_a","addr":"(CE)","loc":"d,24:10,24:13","dtypep":"(K)","access":"WR","varp":"(J)","varScopep":"(T)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} ]}, - {"type":"ALWAYS","name":"","addr":"(ZD)","loc":"d,25:14,25:15","keyword":"always","isSuspendable":false,"needProcess":false,"sentreep": [], + {"type":"ALWAYS","name":"","addr":"(DE)","loc":"d,25:14,25:15","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"COMMENT","name":"Function: foo","addr":"(AE)","loc":"d,25:16,25:19"}, - {"type":"ASSIGN","name":"","addr":"(BE)","loc":"d,25:20,25:23","dtypep":"(H)", + {"type":"COMMENT","name":"Function: foo","addr":"(EE)","loc":"d,25:16,25:19"}, + {"type":"ASSIGN","name":"","addr":"(FE)","loc":"d,25:20,25:23","dtypep":"(H)", "rhsp": [ - {"type":"VARREF","name":"i_b","addr":"(CE)","loc":"d,25:20,25:23","dtypep":"(H)","access":"RD","varp":"(I)","varScopep":"(S)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"i_b","addr":"(GE)","loc":"d,25:20,25:23","dtypep":"(H)","access":"RD","varp":"(I)","varScopep":"(S)","classOrPackagep":"UNLINKED"} ], "lhsp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__val","addr":"(DE)","loc":"d,15:57,15:60","dtypep":"(H)","access":"WR","varp":"(XB)","varScopep":"(WB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__val","addr":"(HE)","loc":"d,15:57,15:60","dtypep":"(H)","access":"WR","varp":"(BC)","varScopep":"(AC)","classOrPackagep":"UNLINKED"} ],"timingControlp": []}, - {"type":"CRESET","name":"","addr":"(EE)","loc":"d,16:17,16:20","constructing":false, + {"type":"CRESET","name":"","addr":"(IE)","loc":"d,16:17,16:20","constructing":false, "varrefp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__ret","addr":"(FE)","loc":"d,16:17,16:20","dtypep":"(K)","access":"WR","varp":"(ZB)","varScopep":"(YB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__ret","addr":"(JE)","loc":"d,16:17,16:20","dtypep":"(K)","access":"WR","varp":"(DC)","varScopep":"(CC)","classOrPackagep":"UNLINKED"} ]}, - {"type":"CRESET","name":"","addr":"(GE)","loc":"d,17:13,17:14","constructing":false, + {"type":"CRESET","name":"","addr":"(KE)","loc":"d,17:13,17:14","constructing":false, "varrefp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(HE)","loc":"d,17:13,17:14","dtypep":"(SB)","access":"WR","varp":"(BC)","varScopep":"(AC)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(LE)","loc":"d,17:13,17:14","dtypep":"(WB)","access":"WR","varp":"(FC)","varScopep":"(EC)","classOrPackagep":"UNLINKED"} ]}, - {"type":"ASSIGN","name":"","addr":"(IE)","loc":"d,18:11,18:12","dtypep":"(SB)", + {"type":"ASSIGN","name":"","addr":"(ME)","loc":"d,18:11,18:12","dtypep":"(WB)", "rhsp": [ - {"type":"CONST","name":"32'sh0","addr":"(JE)","loc":"d,18:12,18:13","dtypep":"(NC)"} + {"type":"CONST","name":"32'sh0","addr":"(NE)","loc":"d,18:12,18:13","dtypep":"(RC)"} ], "lhsp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(KE)","loc":"d,18:10,18:11","dtypep":"(SB)","access":"WR","varp":"(BC)","varScopep":"(AC)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(OE)","loc":"d,18:10,18:11","dtypep":"(WB)","access":"WR","varp":"(FC)","varScopep":"(EC)","classOrPackagep":"UNLINKED"} ],"timingControlp": []}, - {"type":"LOOP","name":"","addr":"(LE)","loc":"d,18:5,18:8","unroll":"default", + {"type":"LOOP","name":"","addr":"(PE)","loc":"d,18:5,18:8","unroll":"default", "stmtsp": [ - {"type":"LOOPTEST","name":"","addr":"(ME)","loc":"d,18:16,18:17", + {"type":"LOOPTEST","name":"","addr":"(QE)","loc":"d,18:16,18:17", "condp": [ - {"type":"GTS","name":"","addr":"(NE)","loc":"d,18:18,18:19","dtypep":"(SC)", + {"type":"GTS","name":"","addr":"(RE)","loc":"d,18:18,18:19","dtypep":"(WC)", "lhsp": [ - {"type":"CONST","name":"32'sh7","addr":"(OE)","loc":"d,18:20,18:21","dtypep":"(NC)"} + {"type":"CONST","name":"32'sh7","addr":"(SE)","loc":"d,18:20,18:21","dtypep":"(RC)"} ], "rhsp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(PE)","loc":"d,18:16,18:17","dtypep":"(SB)","access":"RD","varp":"(BC)","varScopep":"(AC)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(TE)","loc":"d,18:16,18:17","dtypep":"(WB)","access":"RD","varp":"(FC)","varScopep":"(EC)","classOrPackagep":"UNLINKED"} ]} ]}, - {"type":"ASSIGN","name":"","addr":"(QE)","loc":"d,19:14,19:15","dtypep":"(SC)", + {"type":"ASSIGN","name":"","addr":"(UE)","loc":"d,19:14,19:15","dtypep":"(WC)", "rhsp": [ - {"type":"EQ","name":"","addr":"(RE)","loc":"d,19:31,19:33","dtypep":"(SC)", + {"type":"EQ","name":"","addr":"(VE)","loc":"d,19:31,19:33","dtypep":"(WC)", "lhsp": [ - {"type":"CONST","name":"2'h0","addr":"(SE)","loc":"d,19:34,19:39","dtypep":"(YC)"} + {"type":"CONST","name":"2'h0","addr":"(WE)","loc":"d,19:34,19:39","dtypep":"(CD)"} ], "rhsp": [ - {"type":"SEL","name":"","addr":"(TE)","loc":"d,19:20,19:21","dtypep":"(YC)","widthConst":2,"declRange":"[15:0]","declElWidth":1, + {"type":"SEL","name":"","addr":"(XE)","loc":"d,19:20,19:21","dtypep":"(CD)","widthConst":2,"declRange":"[15:0]","declElWidth":1, "fromp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__val","addr":"(UE)","loc":"d,19:17,19:20","dtypep":"(H)","access":"RD","varp":"(XB)","varScopep":"(WB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__val","addr":"(YE)","loc":"d,19:17,19:20","dtypep":"(H)","access":"RD","varp":"(BC)","varScopep":"(AC)","classOrPackagep":"UNLINKED"} ], "lsbp": [ - {"type":"SEL","name":"","addr":"(VE)","loc":"d,19:22,19:23","dtypep":"(CD)","widthConst":4, + {"type":"SEL","name":"","addr":"(ZE)","loc":"d,19:22,19:23","dtypep":"(GD)","widthConst":4, "fromp": [ - {"type":"MULS","name":"","addr":"(WE)","loc":"d,19:22,19:23","dtypep":"(NC)", + {"type":"MULS","name":"","addr":"(AF)","loc":"d,19:22,19:23","dtypep":"(RC)", "lhsp": [ - {"type":"CONST","name":"32'sh2","addr":"(XE)","loc":"d,19:23,19:24","dtypep":"(NC)"} + {"type":"CONST","name":"32'sh2","addr":"(BF)","loc":"d,19:23,19:24","dtypep":"(RC)"} ], "rhsp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(YE)","loc":"d,19:21,19:22","dtypep":"(SB)","access":"RD","varp":"(BC)","varScopep":"(AC)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(CF)","loc":"d,19:21,19:22","dtypep":"(WB)","access":"RD","varp":"(FC)","varScopep":"(EC)","classOrPackagep":"UNLINKED"} ]} ], "lsbp": [ - {"type":"CONST","name":"32'h0","addr":"(ZE)","loc":"d,19:22,19:23","dtypep":"(HD)"} + {"type":"CONST","name":"32'h0","addr":"(DF)","loc":"d,19:22,19:23","dtypep":"(LD)"} ]} ]} ]} ], "lhsp": [ - {"type":"SEL","name":"","addr":"(AF)","loc":"d,19:10,19:11","dtypep":"(SC)","widthConst":1,"declRange":"[6:0]","declElWidth":1, + {"type":"SEL","name":"","addr":"(EF)","loc":"d,19:10,19:11","dtypep":"(WC)","widthConst":1,"declRange":"[6:0]","declElWidth":1, "fromp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__ret","addr":"(BF)","loc":"d,19:7,19:10","dtypep":"(K)","access":"WR","varp":"(ZB)","varScopep":"(YB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__ret","addr":"(FF)","loc":"d,19:7,19:10","dtypep":"(K)","access":"WR","varp":"(DC)","varScopep":"(CC)","classOrPackagep":"UNLINKED"} ], "lsbp": [ - {"type":"SEL","name":"","addr":"(CF)","loc":"d,19:11,19:12","dtypep":"(LD)","widthConst":3, + {"type":"SEL","name":"","addr":"(GF)","loc":"d,19:11,19:12","dtypep":"(PD)","widthConst":3, "fromp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(DF)","loc":"d,19:11,19:12","dtypep":"(SB)","access":"RD","varp":"(BC)","varScopep":"(AC)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(HF)","loc":"d,19:11,19:12","dtypep":"(WB)","access":"RD","varp":"(FC)","varScopep":"(EC)","classOrPackagep":"UNLINKED"} ], "lsbp": [ - {"type":"CONST","name":"32'h0","addr":"(EF)","loc":"d,19:11,19:12","dtypep":"(HD)"} + {"type":"CONST","name":"32'h0","addr":"(IF)","loc":"d,19:11,19:12","dtypep":"(LD)"} ]} ]} ],"timingControlp": []}, - {"type":"ASSIGN","name":"","addr":"(FF)","loc":"d,18:24,18:26","dtypep":"(SB)", + {"type":"ASSIGN","name":"","addr":"(JF)","loc":"d,18:24,18:26","dtypep":"(WB)", "rhsp": [ - {"type":"ADD","name":"","addr":"(GF)","loc":"d,18:24,18:26","dtypep":"(HD)", + {"type":"ADD","name":"","addr":"(KF)","loc":"d,18:24,18:26","dtypep":"(LD)", "lhsp": [ - {"type":"CONST","name":"32'h1","addr":"(HF)","loc":"d,18:24,18:26","dtypep":"(HD)"} + {"type":"CONST","name":"32'h1","addr":"(LF)","loc":"d,18:24,18:26","dtypep":"(LD)"} ], "rhsp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(IF)","loc":"d,18:23,18:24","dtypep":"(SB)","access":"RD","varp":"(BC)","varScopep":"(AC)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(MF)","loc":"d,18:23,18:24","dtypep":"(WB)","access":"RD","varp":"(FC)","varScopep":"(EC)","classOrPackagep":"UNLINKED"} ]} ], "lhsp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(JF)","loc":"d,18:23,18:24","dtypep":"(SB)","access":"WR","varp":"(BC)","varScopep":"(AC)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(NF)","loc":"d,18:23,18:24","dtypep":"(WB)","access":"WR","varp":"(FC)","varScopep":"(EC)","classOrPackagep":"UNLINKED"} ],"timingControlp": []} ],"contsp": []}, - {"type":"ASSIGN","name":"","addr":"(KF)","loc":"d,21:5,21:11","dtypep":"(K)", + {"type":"ASSIGN","name":"","addr":"(OF)","loc":"d,21:5,21:11","dtypep":"(K)", "rhsp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__ret","addr":"(LF)","loc":"d,21:12,21:15","dtypep":"(K)","access":"RD","varp":"(ZB)","varScopep":"(YB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__ret","addr":"(PF)","loc":"d,21:12,21:15","dtypep":"(K)","access":"RD","varp":"(DC)","varScopep":"(CC)","classOrPackagep":"UNLINKED"} ], "lhsp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__Vfuncout","addr":"(MF)","loc":"d,21:5,21:11","dtypep":"(K)","access":"WR","varp":"(VB)","varScopep":"(UB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__Vfuncout","addr":"(QF)","loc":"d,21:5,21:11","dtypep":"(K)","access":"WR","varp":"(ZB)","varScopep":"(YB)","classOrPackagep":"UNLINKED"} ],"timingControlp": []}, - {"type":"ASSIGN","name":"","addr":"(NF)","loc":"d,25:14,25:15","dtypep":"(K)", + {"type":"ASSIGNW","name":"","addr":"(RF)","loc":"d,25:14,25:15","dtypep":"(K)", "rhsp": [ - {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__Vfuncout","addr":"(OF)","loc":"d,25:16,25:19","dtypep":"(K)","access":"RD","varp":"(VB)","varScopep":"(UB)","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"__Vfunc_vlvbound_test.foo__1__Vfuncout","addr":"(SF)","loc":"d,25:16,25:19","dtypep":"(K)","access":"RD","varp":"(ZB)","varScopep":"(YB)","classOrPackagep":"UNLINKED"} ], "lhsp": [ - {"type":"VARREF","name":"o_b","addr":"(PF)","loc":"d,25:10,25:13","dtypep":"(K)","access":"WR","varp":"(L)","varScopep":"(U)","classOrPackagep":"UNLINKED"} - ],"timingControlp": []} + {"type":"VARREF","name":"o_b","addr":"(TF)","loc":"d,25:10,25:13","dtypep":"(K)","access":"WR","varp":"(L)","varScopep":"(U)","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} ]} ],"inlinesp": []} ]}, - {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__0__Vfuncout","addr":"(MB)","loc":"d,15:34,15:37","dtypep":"(K)","origName":"__Vfunc_vlvbound_test__DOT__foo__0__Vfuncout","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__0__val","addr":"(OB)","loc":"d,15:57,15:60","dtypep":"(H)","origName":"__Vfunc_vlvbound_test__DOT__foo__0__val","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__0__ret","addr":"(QB)","loc":"d,16:17,16:20","dtypep":"(K)","origName":"__Vfunc_vlvbound_test__DOT__foo__0__ret","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(TB)","loc":"d,17:13,17:14","dtypep":"(SB)","origName":"__Vfunc_vlvbound_test__DOT__foo__0__i","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"integer","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__1__Vfuncout","addr":"(VB)","loc":"d,15:34,15:37","dtypep":"(K)","origName":"__Vfunc_vlvbound_test__DOT__foo__1__Vfuncout","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__1__val","addr":"(XB)","loc":"d,15:57,15:60","dtypep":"(H)","origName":"__Vfunc_vlvbound_test__DOT__foo__1__val","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__1__ret","addr":"(ZB)","loc":"d,16:17,16:20","dtypep":"(K)","origName":"__Vfunc_vlvbound_test__DOT__foo__1__ret","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(BC)","loc":"d,17:13,17:14","dtypep":"(SB)","origName":"__Vfunc_vlvbound_test__DOT__foo__1__i","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"integer","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} + {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__0__Vfuncout","addr":"(QB)","loc":"d,15:34,15:37","dtypep":"(K)","origName":"__Vfunc_vlvbound_test__DOT__foo__0__Vfuncout","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__0__val","addr":"(SB)","loc":"d,15:57,15:60","dtypep":"(H)","origName":"__Vfunc_vlvbound_test__DOT__foo__0__val","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__0__ret","addr":"(UB)","loc":"d,16:17,16:20","dtypep":"(K)","origName":"__Vfunc_vlvbound_test__DOT__foo__0__ret","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__0__i","addr":"(XB)","loc":"d,17:13,17:14","dtypep":"(WB)","origName":"__Vfunc_vlvbound_test__DOT__foo__0__i","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"integer","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__1__Vfuncout","addr":"(ZB)","loc":"d,15:34,15:37","dtypep":"(K)","origName":"__Vfunc_vlvbound_test__DOT__foo__1__Vfuncout","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__1__val","addr":"(BC)","loc":"d,15:57,15:60","dtypep":"(H)","origName":"__Vfunc_vlvbound_test__DOT__foo__1__val","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__1__ret","addr":"(DC)","loc":"d,16:17,16:20","dtypep":"(K)","origName":"__Vfunc_vlvbound_test__DOT__foo__1__ret","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"VAR","name":"__Vfunc_vlvbound_test.foo__1__i","addr":"(FC)","loc":"d,17:13,17:14","dtypep":"(WB)","origName":"__Vfunc_vlvbound_test__DOT__foo__1__i","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"NONE","varType":"BLOCKTEMP","dtypeName":"integer","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []} ]} ],"filesp": [], "miscsp": [ - {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(QF)", + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(UF)", "typesp": [ - {"type":"BASICDTYPE","name":"logic","addr":"(SC)","loc":"d,18:18,18:19","dtypep":"(SC)","keyword":"logic","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(YC)","loc":"d,19:34,19:39","dtypep":"(YC)","keyword":"logic","range":"1:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(WC)","loc":"d,18:18,18:19","dtypep":"(WC)","keyword":"logic","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(CD)","loc":"d,19:34,19:39","dtypep":"(CD)","keyword":"logic","range":"1:0","generic":true,"rangep": []}, {"type":"BASICDTYPE","name":"logic","addr":"(H)","loc":"d,9:11,9:16","dtypep":"(H)","keyword":"logic","range":"15:0","generic":true,"rangep": []}, {"type":"BASICDTYPE","name":"logic","addr":"(K)","loc":"d,11:12,11:17","dtypep":"(K)","keyword":"logic","range":"6:0","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"integer","addr":"(SB)","loc":"d,17:5,17:12","dtypep":"(SB)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(LD)","loc":"d,19:10,19:11","dtypep":"(LD)","keyword":"logic","range":"2:0","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(HD)","loc":"d,19:11,19:12","dtypep":"(HD)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(CD)","loc":"d,19:20,19:21","dtypep":"(CD)","keyword":"logic","range":"3:0","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(NC)","loc":"d,18:12,18:13","dtypep":"(NC)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, - {"type":"VOIDDTYPE","name":"","addr":"(QF)","loc":"a,0:0,0:0","dtypep":"(QF)","generic":false} + {"type":"BASICDTYPE","name":"integer","addr":"(WB)","loc":"d,17:5,17:12","dtypep":"(WB)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(PD)","loc":"d,19:10,19:11","dtypep":"(PD)","keyword":"logic","range":"2:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(LD)","loc":"d,19:11,19:12","dtypep":"(LD)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(GD)","loc":"d,19:20,19:21","dtypep":"(GD)","keyword":"logic","range":"3:0","generic":true,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(RC)","loc":"d,18:12,18:13","dtypep":"(RC)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(UF)","loc":"a,0:0,0:0","dtypep":"(UF)","generic":false} ]}, {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", "modulep": [ - {"type":"MODULE","name":"@CONST-POOL@","addr":"(RF)","loc":"a,0:0,0:0","isChecker":false,"isProgram":false,"hasGenericIface":false,"origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + {"type":"MODULE","name":"@CONST-POOL@","addr":"(VF)","loc":"a,0:0,0:0","isChecker":false,"isProgram":false,"hasGenericIface":false,"origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], "stmtsp": [ - {"type":"SCOPE","name":"@CONST-POOL@","addr":"(SF)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(RF)","varsp": [],"blocksp": [],"inlinesp": []} + {"type":"SCOPE","name":"@CONST-POOL@","addr":"(WF)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(VF)","varsp": [],"blocksp": [],"inlinesp": []} ]} ]} ]} diff --git a/test_regress/t/t_json_only_tag.out b/test_regress/t/t_json_only_tag.out index a2da57b98..67e7f5fff 100644 --- a/test_regress/t/t_json_only_tag.out +++ b/test_regress/t/t_json_only_tag.out @@ -10,35 +10,38 @@ {"type":"VAR","name":"itop","addr":"(N)","loc":"d,29:8,29:12","dtypep":"(O)","origName":"itop__Viftop","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"VSTATICI","varType":"IFACEREF","dtypeName":"","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, {"type":"VAR","name":"this_struct","addr":"(P)","loc":"d,31:14,31:25","dtypep":"(Q)","origName":"this_struct","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"VSTATICI","varType":"VAR","dtypeName":"","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, {"type":"VAR","name":"dotted","addr":"(R)","loc":"d,33:16,33:22","dtypep":"(S)","origName":"dotted","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"VSTATICI","varType":"WIRE","dtypeName":"logic","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"ASSIGNW","name":"","addr":"(T)","loc":"d,33:23,33:24","dtypep":"(S)", - "rhsp": [ - {"type":"VARXREF","name":"value","addr":"(U)","loc":"d,33:30,33:35","dtypep":"(V)","containsGenBlock":false,"dotted":"itop","inlinedDots":"","access":"RD","varp":"(W)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} - ], - "lhsp": [ - {"type":"VARREF","name":"dotted","addr":"(X)","loc":"d,33:16,33:22","dtypep":"(S)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} - ],"timingControlp": [],"strengthSpecp": []}, - {"type":"TASK","name":"f","addr":"(Y)","loc":"d,35:18,35:19","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"f","fvarp": [],"classOrPackagep": [], + {"type":"ALWAYS","name":"","addr":"(T)","loc":"d,33:23,33:24","keyword":"cont_assign","isSuspendable":false,"needProcess":false,"sentreep": [], "stmtsp": [ - {"type":"VAR","name":"m","addr":"(Z)","loc":"d,35:33,35:34","dtypep":"(AB)","origName":"m","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"lifetime":"VAUTOMI","varType":"PORT","dtypeName":"string","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"DISPLAY","name":"","addr":"(BB)","loc":"d,36:7,36:15", + {"type":"ASSIGNW","name":"","addr":"(U)","loc":"d,33:23,33:24","dtypep":"(S)", + "rhsp": [ + {"type":"VARXREF","name":"value","addr":"(V)","loc":"d,33:30,33:35","dtypep":"(W)","containsGenBlock":false,"dotted":"itop","inlinedDots":"","access":"RD","varp":"(X)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ], + "lhsp": [ + {"type":"VARREF","name":"dotted","addr":"(Y)","loc":"d,33:16,33:22","dtypep":"(S)","access":"WR","varp":"(R)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + ],"timingControlp": [],"strengthSpecp": []} + ]}, + {"type":"TASK","name":"f","addr":"(Z)","loc":"d,35:18,35:19","method":false,"dpiExport":false,"dpiImport":false,"dpiOpenChild":false,"dpiOpenParent":false,"isExternDef":false,"isExternProto":false,"prototype":false,"recursive":false,"taskPublic":false,"cname":"f","fvarp": [],"classOrPackagep": [], + "stmtsp": [ + {"type":"VAR","name":"m","addr":"(AB)","loc":"d,35:33,35:34","dtypep":"(BB)","origName":"m","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"INPUT","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":true,"lifetime":"VAUTOMI","varType":"PORT","dtypeName":"string","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"DISPLAY","name":"","addr":"(CB)","loc":"d,36:7,36:15", "fmtp": [ - {"type":"SFORMATF","name":"%@","addr":"(CB)","loc":"d,36:7,36:15","dtypep":"(AB)", + {"type":"SFORMATF","name":"%@","addr":"(DB)","loc":"d,36:7,36:15","dtypep":"(BB)", "exprsp": [ - {"type":"VARREF","name":"m","addr":"(DB)","loc":"d,36:22,36:23","dtypep":"(AB)","access":"RD","varp":"(Z)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} + {"type":"VARREF","name":"m","addr":"(EB)","loc":"d,36:22,36:23","dtypep":"(BB)","access":"RD","varp":"(AB)","varScopep":"UNLINKED","classOrPackagep":"UNLINKED"} ],"scopeNamep": []} ],"filep": []} ],"scopeNamep": []}, - {"type":"INITIAL","name":"","addr":"(EB)","loc":"d,39:4,39:11","isSuspendable":false,"needProcess":false, + {"type":"INITIAL","name":"","addr":"(FB)","loc":"d,39:4,39:11","isSuspendable":false,"needProcess":false, "stmtsp": [ - {"type":"BEGIN","name":"","addr":"(FB)","loc":"d,39:12,39:17","implied":false,"needProcess":false,"unnamed":true, + {"type":"BEGIN","name":"","addr":"(GB)","loc":"d,39:12,39:17","implied":false,"needProcess":false,"unnamed":true, "stmtsp": [ - {"type":"STMTEXPR","name":"","addr":"(GB)","loc":"d,41:7,41:8", + {"type":"STMTEXPR","name":"","addr":"(HB)","loc":"d,41:7,41:8", "exprp": [ - {"type":"TASKREF","name":"f","addr":"(HB)","loc":"d,41:7,41:8","dtypep":"(IB)","dotted":"","taskp":"(Y)","classOrPackagep":"UNLINKED","namep": [], + {"type":"TASKREF","name":"f","addr":"(IB)","loc":"d,41:7,41:8","dtypep":"(JB)","dotted":"","taskp":"(Z)","classOrPackagep":"UNLINKED","namep": [], "pinsp": [ - {"type":"ARG","name":"","addr":"(JB)","loc":"d,41:9,41:736", + {"type":"ARG","name":"","addr":"(KB)","loc":"d,41:9,41:736", "exprp": [ - {"type":"CONST","name":"\\\"\\001\\002\\003\\004\\005\\006\\007\\010\\t\\n\\013\\014\\r\\016\\017\\020\\021\\022\\023\\024\\025\\026\\027\\030\\031\\032\\033\\034\\035\\036\\037 !\\\"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\\\\]^_`abcdefghijklmnopqrstuvwxyz{|}~\\177\\200\\201\\202\\203\\204\\205\\206\\207\\210\\211\\212\\213\\214\\215\\216\\217\\220\\221\\222\\223\\224\\225\\226\\227\\230\\231\\232\\233\\234\\235\\236\\237\\240\\241\\242\\243\\244\\245\\246\\247\\250\\251\\252\\253\\254\\255\\256\\257\\260\\261\\262\\263\\264\\265\\266\\267\\270\\271\\272\\273\\274\\275\\276\\277\\300\\301\\302\\303\\304\\305\\306\\307\\310\\311\\312\\313\\314\\315\\316\\317\\320\\321\\322\\323\\324\\325\\326\\327\\330\\331\\332\\333\\334\\335\\336\\337\\340\\341\\342\\343\\344\\345\\346\\347\\350\\351\\352\\353\\354\\355\\356\\357\\360\\361\\362\\363\\364\\365\\366\\367\\370\\371\\372\\373\\374\\375\\376\\377\\\"","addr":"(KB)","loc":"d,41:9,41:736","dtypep":"(AB)"} + {"type":"CONST","name":"\\\"\\001\\002\\003\\004\\005\\006\\007\\010\\t\\n\\013\\014\\r\\016\\017\\020\\021\\022\\023\\024\\025\\026\\027\\030\\031\\032\\033\\034\\035\\036\\037 !\\\"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\\\\]^_`abcdefghijklmnopqrstuvwxyz{|}~\\177\\200\\201\\202\\203\\204\\205\\206\\207\\210\\211\\212\\213\\214\\215\\216\\217\\220\\221\\222\\223\\224\\225\\226\\227\\230\\231\\232\\233\\234\\235\\236\\237\\240\\241\\242\\243\\244\\245\\246\\247\\250\\251\\252\\253\\254\\255\\256\\257\\260\\261\\262\\263\\264\\265\\266\\267\\270\\271\\272\\273\\274\\275\\276\\277\\300\\301\\302\\303\\304\\305\\306\\307\\310\\311\\312\\313\\314\\315\\316\\317\\320\\321\\322\\323\\324\\325\\326\\327\\330\\331\\332\\333\\334\\335\\336\\337\\340\\341\\342\\343\\344\\345\\346\\347\\350\\351\\352\\353\\354\\355\\356\\357\\360\\361\\362\\363\\364\\365\\366\\367\\370\\371\\372\\373\\374\\375\\376\\377\\\"","addr":"(LB)","loc":"d,41:9,41:736","dtypep":"(BB)"} ]} ],"scopeNamep": []} ]} @@ -47,50 +50,50 @@ ]}, {"type":"IFACE","name":"ifc","addr":"(M)","loc":"d,7:11,7:14","origName":"ifc","level":3,"modPublic":false,"inLibrary":true,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"1ps","inlinesp": [], "stmtsp": [ - {"type":"VAR","name":"value","addr":"(W)","loc":"d,8:12,8:17","dtypep":"(V)","origName":"value","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"VSTATICI","varType":"VAR","dtypeName":"integer","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, - {"type":"MODPORT","name":"out_modport","addr":"(LB)","loc":"d,9:12,9:23", + {"type":"VAR","name":"value","addr":"(X)","loc":"d,8:12,8:17","dtypep":"(W)","origName":"value","isSc":false,"isPrimaryIO":false,"isPrimaryClock":false,"direction":"NONE","isConst":false,"isPullup":false,"isPulldown":false,"isSigPublic":false,"isLatched":false,"isUsedLoopIdx":false,"noReset":false,"attrIsolateAssign":false,"attrFileDescr":false,"isDpiOpenArray":false,"isFuncReturn":false,"isFuncLocal":false,"lifetime":"VSTATICI","varType":"VAR","dtypeName":"integer","isSigUserRdPublic":false,"isSigUserRWPublic":false,"isGParam":false,"isParam":false,"attrScBv":false,"attrSFormat":false,"ignorePostWrite":false,"ignoreSchedWrite":false,"sensIfacep":"UNLINKED","childDTypep": [],"delayp": [],"valuep": [],"attrsp": []}, + {"type":"MODPORT","name":"out_modport","addr":"(MB)","loc":"d,9:12,9:23", "varsp": [ - {"type":"MODPORTVARREF","name":"value","addr":"(MB)","loc":"d,9:32,9:37","direction":"OUTPUT","varp":"(W)"} + {"type":"MODPORTVARREF","name":"value","addr":"(NB)","loc":"d,9:32,9:37","direction":"OUTPUT","varp":"(X)"} ]} ]} ],"filesp": [], "miscsp": [ - {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(IB)", + {"type":"TYPETABLE","name":"","addr":"(C)","loc":"a,0:0,0:0","constraintRefp":"UNLINKED","emptyQueuep":"UNLINKED","queueIndexp":"UNLINKED","streamp":"UNLINKED","voidp":"(JB)", "typesp": [ - {"type":"VOIDDTYPE","name":"","addr":"(IB)","loc":"d,41:7,41:8","dtypep":"(IB)","generic":false}, - {"type":"BASICDTYPE","name":"integer","addr":"(V)","loc":"d,8:4,8:11","dtypep":"(V)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, + {"type":"VOIDDTYPE","name":"","addr":"(JB)","loc":"d,41:7,41:8","dtypep":"(JB)","generic":false}, + {"type":"BASICDTYPE","name":"integer","addr":"(W)","loc":"d,8:4,8:11","dtypep":"(W)","keyword":"integer","range":"31:0","generic":true,"rangep": []}, {"type":"BASICDTYPE","name":"logic","addr":"(G)","loc":"d,14:11,14:17","dtypep":"(G)","keyword":"logic","generic":true,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(NB)","loc":"d,21:7,21:12","dtypep":"(NB)","keyword":"logic","generic":false,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(OB)","loc":"d,22:7,22:12","dtypep":"(OB)","keyword":"logic","generic":false,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(PB)","loc":"d,23:7,23:12","dtypep":"(PB)","keyword":"logic","generic":false,"rangep": []}, - {"type":"BASICDTYPE","name":"logic","addr":"(QB)","loc":"d,24:7,24:12","dtypep":"(QB)","keyword":"logic","generic":false,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(OB)","loc":"d,21:7,21:12","dtypep":"(OB)","keyword":"logic","generic":false,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(PB)","loc":"d,22:7,22:12","dtypep":"(PB)","keyword":"logic","generic":false,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(QB)","loc":"d,23:7,23:12","dtypep":"(QB)","keyword":"logic","generic":false,"rangep": []}, + {"type":"BASICDTYPE","name":"logic","addr":"(RB)","loc":"d,24:7,24:12","dtypep":"(RB)","keyword":"logic","generic":false,"rangep": []}, {"type":"STRUCTDTYPE","name":"m.my_struct","addr":"(K)","loc":"d,20:12,20:18","dtypep":"(K)","packed":true,"isFourstate":true,"generic":false,"classOrPackagep":"UNLINKED", "membersp": [ - {"type":"MEMBERDTYPE","name":"clk","addr":"(RB)","loc":"d,21:19,21:22","dtypep":"(NB)","isConstrainedRand":false,"name":"clk","tag":"this is clk","generic":false,"refDTypep":"(NB)","childDTypep": [],"valuep": []}, - {"type":"MEMBERDTYPE","name":"k","addr":"(SB)","loc":"d,22:19,22:20","dtypep":"(OB)","isConstrainedRand":false,"name":"k","tag":"","generic":false,"refDTypep":"(OB)","childDTypep": [],"valuep": []}, - {"type":"MEMBERDTYPE","name":"enable","addr":"(TB)","loc":"d,23:19,23:25","dtypep":"(PB)","isConstrainedRand":false,"name":"enable","tag":"enable","generic":false,"refDTypep":"(PB)","childDTypep": [],"valuep": []}, - {"type":"MEMBERDTYPE","name":"data","addr":"(UB)","loc":"d,24:19,24:23","dtypep":"(QB)","isConstrainedRand":false,"name":"data","tag":"data","generic":false,"refDTypep":"(QB)","childDTypep": [],"valuep": []} + {"type":"MEMBERDTYPE","name":"clk","addr":"(SB)","loc":"d,21:19,21:22","dtypep":"(OB)","isConstrainedRand":false,"name":"clk","tag":"this is clk","generic":false,"refDTypep":"(OB)","childDTypep": [],"valuep": []}, + {"type":"MEMBERDTYPE","name":"k","addr":"(TB)","loc":"d,22:19,22:20","dtypep":"(PB)","isConstrainedRand":false,"name":"k","tag":"","generic":false,"refDTypep":"(PB)","childDTypep": [],"valuep": []}, + {"type":"MEMBERDTYPE","name":"enable","addr":"(UB)","loc":"d,23:19,23:25","dtypep":"(QB)","isConstrainedRand":false,"name":"enable","tag":"enable","generic":false,"refDTypep":"(QB)","childDTypep": [],"valuep": []}, + {"type":"MEMBERDTYPE","name":"data","addr":"(VB)","loc":"d,24:19,24:23","dtypep":"(RB)","isConstrainedRand":false,"name":"data","tag":"data","generic":false,"refDTypep":"(RB)","childDTypep": [],"valuep": []} ]}, {"type":"IFACEREFDTYPE","name":"","addr":"(O)","loc":"d,29:8,29:12","dtypep":"(O)","isPortDecl":false,"isVirtual":false,"cellName":"itop","ifaceName":"ifc","modportName":"","generic":false,"ifacep":"UNLINKED","cellp":"(L)","modportp":"UNLINKED","paramsp": []}, {"type":"BASICDTYPE","name":"logic","addr":"(S)","loc":"d,31:27,31:28","dtypep":"(S)","keyword":"logic","range":"31:0","generic":true,"rangep": []}, - {"type":"REFDTYPE","name":"my_struct","addr":"(VB)","loc":"d,31:4,31:13","dtypep":"(K)","generic":false,"typedefp":"UNLINKED","refDTypep":"(K)","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []}, - {"type":"UNPACKARRAYDTYPE","name":"","addr":"(Q)","loc":"d,31:26,31:27","dtypep":"(Q)","isCompound":false,"declRange":"[0:1]","generic":false,"refDTypep":"(VB)","childDTypep": [], + {"type":"REFDTYPE","name":"my_struct","addr":"(WB)","loc":"d,31:4,31:13","dtypep":"(K)","generic":false,"typedefp":"UNLINKED","refDTypep":"(K)","classOrPackagep":"UNLINKED","typeofp": [],"classOrPackageOpp": [],"paramsp": []}, + {"type":"UNPACKARRAYDTYPE","name":"","addr":"(Q)","loc":"d,31:26,31:27","dtypep":"(Q)","isCompound":false,"declRange":"[0:1]","generic":false,"refDTypep":"(WB)","childDTypep": [], "rangep": [ - {"type":"RANGE","name":"","addr":"(WB)","loc":"d,31:26,31:27","ascending":true,"fromBracket":true, + {"type":"RANGE","name":"","addr":"(XB)","loc":"d,31:26,31:27","ascending":true,"fromBracket":true, "leftp": [ - {"type":"CONST","name":"32'h0","addr":"(XB)","loc":"d,31:27,31:28","dtypep":"(S)"} + {"type":"CONST","name":"32'h0","addr":"(YB)","loc":"d,31:27,31:28","dtypep":"(S)"} ], "rightp": [ - {"type":"CONST","name":"32'h1","addr":"(YB)","loc":"d,31:27,31:28","dtypep":"(S)"} + {"type":"CONST","name":"32'h1","addr":"(ZB)","loc":"d,31:27,31:28","dtypep":"(S)"} ]} ]}, - {"type":"BASICDTYPE","name":"string","addr":"(AB)","loc":"d,35:26,35:32","dtypep":"(AB)","keyword":"string","generic":true,"rangep": []} + {"type":"BASICDTYPE","name":"string","addr":"(BB)","loc":"d,35:26,35:32","dtypep":"(BB)","keyword":"string","generic":true,"rangep": []} ]}, {"type":"CONSTPOOL","name":"","addr":"(D)","loc":"a,0:0,0:0", "modulep": [ - {"type":"MODULE","name":"@CONST-POOL@","addr":"(ZB)","loc":"a,0:0,0:0","isChecker":false,"isProgram":false,"hasGenericIface":false,"origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], + {"type":"MODULE","name":"@CONST-POOL@","addr":"(AC)","loc":"a,0:0,0:0","isChecker":false,"isProgram":false,"hasGenericIface":false,"origName":"@CONST-POOL@","level":0,"modPublic":false,"inLibrary":false,"dead":false,"recursiveClone":false,"recursive":false,"timeunit":"NONE","inlinesp": [], "stmtsp": [ - {"type":"SCOPE","name":"@CONST-POOL@","addr":"(AC)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(ZB)","varsp": [],"blocksp": [],"inlinesp": []} + {"type":"SCOPE","name":"@CONST-POOL@","addr":"(BC)","loc":"a,0:0,0:0","aboveScopep":"UNLINKED","aboveCellp":"UNLINKED","modp":"(AC)","varsp": [],"blocksp": [],"inlinesp": []} ]} ]} ]} diff --git a/test_regress/t/t_order_quad.v b/test_regress/t/t_order_quad.v index f33d48478..8652b8d05 100644 --- a/test_regress/t/t_order_quad.v +++ b/test_regress/t/t_order_quad.v @@ -7,11 +7,11 @@ // See issue #762 module t(a0, y); input [3:0] a0; + // verilator lint_off UNOPTFLAT output [44:0] y; assign y[40] = 0; assign y[30] = 0; - // verilator lint_off UNOPTFLAT assign { y[44:41], y[39:31], y[29:0] } = { 6'b000000, a0, 7'b0000000, y[40], y[30], y[30], y[30], y[30], 21'b000000000000000000000 }; endmodule diff --git a/test_regress/t/t_timing_intra_assign_func.v b/test_regress/t/t_timing_intra_assign_func.v index 30cd53d87..0e81f3f35 100644 --- a/test_regress/t/t_timing_intra_assign_func.v +++ b/test_regress/t/t_timing_intra_assign_func.v @@ -9,7 +9,7 @@ module t; wire signed [3:0] iufunc; // verilator lint_off WIDTH - assign #1 iufunc = int_func(ia); + assign #2 iufunc = int_func(ia); // verilator lint_on WIDTH function [31:0] int_func; @@ -17,9 +17,18 @@ module t; int_func = in * 2; endfunction + always @(iufunc) begin + if ($time > 0) begin + $display("time: %0t, iufunc: %0d", $time, iufunc); + if (iufunc != 4'd4) $stop; + if ($time != 3) $stop; + end + end + initial begin - #1 - if (iufunc != 4'd2) $stop; + #1; + ia = 4'd2; + #10; $write("*-* All Finished *-*\n"); $finish; end diff --git a/test_regress/t/t_unopt_combo_isolate.py b/test_regress/t/t_unopt_combo_isolate.py index fa5b040b2..6fa0cf8b4 100755 --- a/test_regress/t/t_unopt_combo_isolate.py +++ b/test_regress/t/t_unopt_combo_isolate.py @@ -17,7 +17,7 @@ out_filename = test.obj_dir + "/V" + test.name + ".tree.json" test.compile(verilator_flags2=["--no-json-edit-nums", "+define+ISOLATE", "--stats", "-fno-dfg"]) if test.vlt_all: - test.file_grep(test.stats, r'Optimizations, isolate_assignments blocks\s+3') + test.file_grep(test.stats, r'Optimizations, isolate_assignments blocks\s+4') test.file_grep( out_filename, r'{"type":"VAR","name":"t.b",.*"loc":"\w,23:[^"]*",.*"origName":"b",.*"attrIsolateAssign":true,.*"dtypeName":"logic"' diff --git a/test_regress/t/t_unopt_combo_isolate_vlt.py b/test_regress/t/t_unopt_combo_isolate_vlt.py index 70a35c8c2..a30b5b105 100755 --- a/test_regress/t/t_unopt_combo_isolate_vlt.py +++ b/test_regress/t/t_unopt_combo_isolate_vlt.py @@ -19,7 +19,7 @@ test.compile(verilator_flags2=[ ]) if test.vlt_all: - test.file_grep(test.stats, r'Optimizations, isolate_assignments blocks\s+3') + test.file_grep(test.stats, r'Optimizations, isolate_assignments blocks\s+4') test.file_grep( out_filename, r'{"type":"VAR","name":"t.b",.*"loc":"\w,23:[^"]*",.*"origName":"b",.*"attrIsolateAssign":true,.*"dtypeName":"logic"' diff --git a/test_regress/t/t_xml_first.out b/test_regress/t/t_xml_first.out index ae71f8a51..52f7c5d6d 100644 --- a/test_regress/t/t_xml_first.out +++ b/test_regress/t/t_xml_first.out @@ -49,10 +49,12 @@ - - - - + + + + + + diff --git a/test_regress/t/t_xml_flat.out b/test_regress/t/t_xml_flat.out index d6b15209e..13058eb77 100644 --- a/test_regress/t/t_xml_flat.out +++ b/test_regress/t/t_xml_flat.out @@ -40,53 +40,71 @@ - - - - + + + + + + - - - - + + + + + + - - - - + + + + + + - - - - + + + + + + - - - - + + + + + + - - - - + + + + + + - - - - + + + + + + - - - - + + + + + + - - - - + + + + + + @@ -98,10 +116,12 @@ - - - - + + + + + + diff --git a/test_regress/t/t_xml_flat_no_inline_mod.out b/test_regress/t/t_xml_flat_no_inline_mod.out index 770f4968b..64359a65f 100644 --- a/test_regress/t/t_xml_flat_no_inline_mod.out +++ b/test_regress/t/t_xml_flat_no_inline_mod.out @@ -22,15 +22,19 @@ - - - - + + + + + + - - - - + + + + + + diff --git a/test_regress/t/t_xml_flat_pub_mod.out b/test_regress/t/t_xml_flat_pub_mod.out index afccc6e85..68288d263 100644 --- a/test_regress/t/t_xml_flat_pub_mod.out +++ b/test_regress/t/t_xml_flat_pub_mod.out @@ -22,15 +22,19 @@ - - - - + + + + + + - - - - + + + + + + diff --git a/test_regress/t/t_xml_flat_vlvbound.out b/test_regress/t/t_xml_flat_vlvbound.out index 915788897..61b6d875d 100644 --- a/test_regress/t/t_xml_flat_vlvbound.out +++ b/test_regress/t/t_xml_flat_vlvbound.out @@ -30,25 +30,33 @@ - - - - + + + + + + - - - - + + + + + + - - - - + + + + + + - - - - + + + + + + @@ -116,10 +124,10 @@ - + - + @@ -180,10 +188,10 @@ - + - + diff --git a/test_regress/t/t_xml_tag.out b/test_regress/t/t_xml_tag.out index c07c60593..94f1a4420 100644 --- a/test_regress/t/t_xml_tag.out +++ b/test_regress/t/t_xml_tag.out @@ -25,10 +25,12 @@ - - - - + + + + + +