diff --git a/MANIFEST.SKIP b/MANIFEST.SKIP index 4e602ed87..4259ac3df 100644 --- a/MANIFEST.SKIP +++ b/MANIFEST.SKIP @@ -16,6 +16,8 @@ /obj_dir/ /obj_dbg/ /obj_opt/ +/obj_vlt/ +/obj_dist/ /INCA_libs/ /cov_work/ /logs/ diff --git a/test_regress/.gitignore b/test_regress/.gitignore index 105d838e2..78bd52977 100644 --- a/test_regress/.gitignore +++ b/test_regress/.gitignore @@ -1,5 +1,6 @@ *.old obj_dir +obj_* vcs.key csrc cov_work diff --git a/test_regress/Makefile b/test_regress/Makefile index 34cc1a5ee..84ed472bb 100644 --- a/test_regress/Makefile +++ b/test_regress/Makefile @@ -33,8 +33,6 @@ ifneq ($(NC_ROOT),) #PRODUCTS += --nc endif -PRODUCTS += --vlt - # Run tests in parallel. Requires Parallel::Forker to be installed. ifeq ($(CFG_WITH_LONGTESTS),yes) DRIVER_FLAGS += -j 0 @@ -46,7 +44,7 @@ endif .PHONY: test test: - $(PERL) driver.pl $(DRIVER_FLAGS) $(PRODUCTS) + $(PERL) driver.pl $(DRIVER_FLAGS) --vlt --dist ###################################################################### @@ -82,4 +80,4 @@ print-cxx-version: ###################################################################### maintainer-copy:: clean mostlyclean distclean maintainer-clean:: - -rm -rf obj_dir simv* simx* csrc cov_work INCA_libs *.log *.key logs vc_hdrs.h + -rm -rf obj_* simv* simx* csrc cov_work INCA_libs *.log *.key logs vc_hdrs.h diff --git a/test_regress/Makefile_obj b/test_regress/Makefile_obj index ee0bd6106..a08ed2b56 100644 --- a/test_regress/Makefile_obj +++ b/test_regress/Makefile_obj @@ -30,6 +30,7 @@ CPPFLAGS += -DVERILATOR=1 # Needed by tracing routines CPPFLAGS += -DVL_DEBUG=1 +CPPFLAGS += -DTEST_OBJ_DIR=$(TEST_OBJ_DIR) CPPFLAGS += -DVM_PREFIX=$(VM_PREFIX) CPPFLAGS += -DVM_PREFIX_INCLUDE="<$(VM_PREFIX).h>" CPPFLAGS += $(CPPFLAGS_DRIVER) diff --git a/test_regress/driver.pl b/test_regress/driver.pl old mode 100755 new mode 100644 index d05c6aa96..d8ec59486 --- a/test_regress/driver.pl +++ b/test_regress/driver.pl @@ -33,6 +33,18 @@ $SIG{TERM} = sub { $Fork->kill_tree_all('TERM') if $Fork; die "Quitting...\n"; } #====================================================================== +# Map of all scenarios, with the names used to enable them +our %All_Scenarios + = (dist => [ "dist"], + atsim => ["simulator", "atsim"], + ghdl => ["simulator", "ghdl"], + iv => ["simulator", "iv"], + ms => ["simulator", "ms"], + nc => ["simulator", "nc"], + vcs => ["simulator", "vcs"], + vlt => ["simulator", "vlt_all", "vlt"], + ); + #====================================================================== # main @@ -44,23 +56,18 @@ our @Orig_ARGV_Sw; foreach (@Orig_ARGV) { push @Orig_ARGV_Sw, $_ if /^-/ && !/^ our $Start = time(); $Debug = 0; -my $opt_atsim; my $opt_benchmark; my @opt_tests; +my $opt_dist; my $opt_gdb; my $opt_gdbbt; my $opt_gdbsim; -my $opt_ghdl; -my $opt_iv; my $opt_jobs = 1; -my $opt_ms; -my $opt_nc; my $opt_optimize; +my %opt_scenarios; my $opt_site; my $opt_stop; my $opt_trace; -my $opt_vlt; -my $opt_vcs; my $opt_verbose; my $Opt_Verilated_Debug; our $Opt_Unsupported; @@ -69,35 +76,35 @@ our @Opt_Driver_Verilator_Flags; Getopt::Long::config ("pass_through"); if (! GetOptions ( - "benchmark:i" => sub { $opt_benchmark = $_[1] ? $_[1] : 1; }, - "debug" => \&debug, - #debugi see parameter() - "atsim|athdl!"=> \$opt_atsim, - "gdb!" => \$opt_gdb, - "gdbbt!" => \$opt_gdbbt, - "gdbsim!" => \$opt_gdbsim, - "ghdl!" => \$opt_ghdl, - "golden!" => sub { $ENV{HARNESS_UPDATE_GOLDEN} = 1; }, - "help" => \&usage, - "iverilog!" => \$opt_iv, - "j=i" => \$opt_jobs, - "ms!" => \$opt_ms, - "nc!" => \$opt_nc, - "optimize:s" => \$opt_optimize, - "site!" => \$opt_site, - "stop!" => \$opt_stop, - "trace!" => \$opt_trace, - "unsupported!"=> \$Opt_Unsupported, - "v3!" => \$opt_vlt, # Old - "vl!" => \$opt_vlt, # Old - "vlt!" => \$opt_vlt, - "vcs!" => \$opt_vcs, - "verbose!" => \$opt_verbose, - "verilation!" => \$Opt_Verilation, # Undocumented debugging - "verilated_debug!" => \$Opt_Verilated_Debug, - #W see parameter() - "<>" => \¶meter, - )) { + "benchmark:i" => sub { $opt_benchmark = $_[1] ? $_[1] : 1; }, + "debug" => \&debug, + #debugi see parameter() + "gdb!" => \$opt_gdb, + "gdbbt!" => \$opt_gdbbt, + "gdbsim!" => \$opt_gdbsim, + "golden!" => sub { $ENV{HARNESS_UPDATE_GOLDEN} = 1; }, + "help" => \&usage, + "j=i" => \$opt_jobs, + "optimize:s" => \$opt_optimize, + "site!" => \$opt_site, + "stop!" => \$opt_stop, + "trace!" => \$opt_trace, + "unsupported!"=> \$Opt_Unsupported, + "verbose!" => \$opt_verbose, + "verilation!" => \$Opt_Verilation, # Undocumented debugging + "verilated_debug!" => \$Opt_Verilated_Debug, + #W see parameter() + # Scenarios + "atsim|athdl!"=> sub { $opt_scenarios{atsim} = $_[1]; }, + "dist!" => sub { $opt_scenarios{dist} = $_[1]; }, + "ghdl!" => sub { $opt_scenarios{ghdl} = $_[1]; }, + "iverilog!" => sub { $opt_scenarios{iverilog} = $_[1]; }, + "ms!" => sub { $opt_scenarios{ms} = $_[1]; }, + "nc!" => sub { $opt_scenarios{nc} = $_[1]; }, + "vlt!" => sub { $opt_scenarios{vlt} = $_[1]; }, + "vcs!" => sub { $opt_scenarios{vcs} = $_[1]; }, + "<>" => \¶meter, + )) { die "%Error: Bad usage, try '$0 --help'\n"; } @@ -105,8 +112,9 @@ $opt_jobs = calc_jobs() if defined $opt_jobs && $opt_jobs==0; $Fork->max_proc($opt_jobs); -if (!$opt_atsim && !$opt_ghdl && !$opt_iv && !$opt_vcs && !$opt_ms && !$opt_nc && !$opt_vlt) { - $opt_vlt = 1; +if ((scalar keys %opt_scenarios) < 1) { + $opt_scenarios{dist} = 1; + $opt_scenarios{vlt} = 1; } our @Test_Dirs = "t"; @@ -128,20 +136,17 @@ if ($#opt_tests>=2 && $opt_jobs>=2) { open(STDIN, "+>/dev/null"); } -mkdir "obj_dir"; -our $Log_Filename = "obj_dir/driver_".strftime("%Y%m%d_%H%M%S.log", localtime); +mkdir "obj_dist"; +our $Log_Filename = "obj_dist/driver_".strftime("%Y%m%d_%H%M%S.log", localtime); my $LeftCnt=0; my $OkCnt=0; my $FailCnt=0; my $SkipCnt=0; my $UnsupCnt=0; my @fails; foreach my $testpl (@opt_tests) { - one_test(pl_filename => $testpl, atsim=>1) if $opt_atsim; - one_test(pl_filename => $testpl, ghdl=>1) if $opt_ghdl; - one_test(pl_filename => $testpl, iv=>1) if $opt_iv; - one_test(pl_filename => $testpl, ms=>1) if $opt_ms; - one_test(pl_filename => $testpl, nc=>1) if $opt_nc; - one_test(pl_filename => $testpl, vcs=>1) if $opt_vcs; - one_test(pl_filename => $testpl, vlt=>1, 'v3'=>1) if $opt_vlt; + foreach my $scenario (sort keys %opt_scenarios) { + next if !$opt_scenarios{$scenario}; + one_test(pl_filename => $testpl, $scenario=>1); + } } $Fork->wait_all(); # Wait for all children to finish @@ -159,25 +164,18 @@ sub one_test { my $test = VTest->new(@params); $test->oprint("="x50,"\n"); unlink $test->{status_filename}; - $test->prep; - $test->read; - if ($test->ok) { - $test->oprint("Test PASSED\n"); - } elsif ($test->skips && !$test->errors) { - $test->oprint("%Skip: $test->{skips}\n"); - } elsif ($test->unsupporteds && !$test->errors) { - $test->oprint("%Unsupported: $test->{unsupporteds}\n"); - } else { - $test->error("Missing ok\n") if !$test->errors; - $test->oprint("%Error: $test->{errors}\n"); - } - $test->write_status; + $test->_prep; + $test->_read; + # Don't put anything other than _exit after _read, + # as may call _exit via another path + $test->_exit; }, run_on_finish => sub { my $test = VTest->new(@params); - $test->read_status; + $test->_read_status; if ($test->ok) { $OkCnt++; + } elsif ($test->scenario_off && !$test->errors) { } elsif ($test->skips && !$test->errors) { $SkipCnt++; } elsif ($test->unsupporteds && !$test->errors) { @@ -187,7 +185,9 @@ sub one_test { my $j = ($opt_jobs>1?" -j":""); push @fails, ("\t#".$test->soprint("%Error: $test->{errors}\n") ."\t\tmake$j && test_regress/" - .$test->{pl_filename}." ".join(' ',@Orig_ARGV_Sw)."\n"); + .$test->{pl_filename} + ." ".join(' ', _args_scenario()) + ." --".$test->{scenario}."\n"); $FailCnt++; report(\@fails, $Log_Filename); my $other = ""; @@ -278,6 +278,21 @@ sub report { int($delta/60),$delta%60); } +sub _args_scenario { + # Return command line with scenarios stripped + my @out; + arg: + foreach my $arg (@Orig_ARGV_Sw) { + foreach my $allsc (keys %All_Scenarios) { + foreach my $allscarg (@{$All_Scenarios{$allsc}}) { + next arg if ("--$allscarg" eq $arg); + } + } + push @out, $arg; + } + return @out; +} + ####################################################################### ####################################################################### ####################################################################### @@ -299,18 +314,19 @@ sub new { $self->{name} ||= $2 if $self->{pl_filename} =~ m!^(.*/)?([^/]*)\.pl$!; - $self->{mode} = ""; - $self->{mode} ||= "atsim" if $self->{atsim}; - $self->{mode} ||= "ghdl" if $self->{ghdl}; - $self->{mode} ||= "vcs" if $self->{vcs}; - $self->{mode} ||= "vlt" if $self->{vlt}; - $self->{mode} ||= "nc" if $self->{nc}; - $self->{mode} ||= "ms" if $self->{ms}; - $self->{mode} ||= "iv" if $self->{iv}; + $self->{scenario} = ""; + $self->{scenario} ||= "dist" if $self->{dist}; + $self->{scenario} ||= "atsim" if $self->{atsim}; + $self->{scenario} ||= "ghdl" if $self->{ghdl}; + $self->{scenario} ||= "vcs" if $self->{vcs}; + $self->{scenario} ||= "vlt" if $self->{vlt}; + $self->{scenario} ||= "nc" if $self->{nc}; + $self->{scenario} ||= "ms" if $self->{ms}; + $self->{scenario} ||= "iv" if $self->{iv}; # For backward compatibility, the verilator tests have no prefix - $self->{obj_dir} ||= ("obj_dir/".($self->{mode} eq 'vlt' ? "" : $self->{mode}."_") - ."$self->{name}"); + mkdir "obj_$self->{scenario}"; + $self->{obj_dir} ||= ("obj_$self->{scenario}/$self->{name}"); foreach my $dir (@::Test_Dirs) { # t_dir used both absolutely and under obj_dir @@ -339,6 +355,7 @@ sub new { v_flags => [split(/\s+/,(" -f input.vc " .($self->{t_dir} !~ m!/test_regress! # Don't include standard dir, only site's ? " +incdir+$self->{t_dir} -y $self->{t_dir}" : "") + . " +define+TEST_OBJ_DIR=$self->{obj_dir}" .($opt_verbose ? " +define+TEST_VERBOSE=1":"") .($opt_benchmark ? " +define+TEST_BENCHMARK=$opt_benchmark":"") .($opt_trace ? " +define+WAVES=1":"") @@ -425,46 +442,82 @@ sub new { } sub soprint { - my $self = shift; - my $str = "$self->{mode}/$self->{name}: ".join('',@_); + my $self = (ref $_[0] ? shift : $Self); + my $str = "$self->{scenario}/$self->{name}: ".join('',@_); $str =~ s/\n\n+$/\n/s; return $str; } sub oprint { - my $self = shift; + my $self = (ref $_[0] ? shift : $Self); print $self->soprint(@_); } sub error { - my $self = shift; + my $self = (ref $_[0] ? shift : $Self); my $msg = join('',@_); - warn "%Warning: $self->{mode}/$self->{name}: ".$msg."\n"; + # Called from tests as: error("Reason message"[, ...]); + warn "%Warning: $self->{scenario}/$self->{name}: ".$msg."\n"; $self->{errors} ||= $msg; } sub skip { - my $self = shift; + my $self = (ref $_[0] ? shift : $Self); my $msg = join('',@_); - warn "%Skip: $self->{mode}/$self->{name}: ".$msg."\n"; + # Called from tests as: skip("Reason message"[, ...]); + warn "-Skip: $self->{scenario}/$self->{name}: ".$msg."\n"; $self->{skips} ||= "Skip: ".$msg; } sub unsupported { - my $self = shift; + my $self = (ref $_[0] ? shift : $Self); my $msg = join('',@_); - warn "%Unsupported: $self->{mode}/$self->{name}: ".$msg."\n"; + # Called from tests as: unsupported("Reason message"[, ...]); + warn "-Unsupported: $self->{scenario}/$self->{name}: ".$msg."\n"; if (!$::Opt_Unsupported) { $self->{unsupporteds} ||= "Unsupported: ".$msg; } } -sub prep { +sub scenarios { + my $self = (ref $_[0] ? shift : $Self); + my %params = (@_); + # Called from tests as: scenarios(...); + # to specify which scenarios this test runs under. + # Where ... is one cases listed in All_Scenarios + if ((scalar keys %params) < 1) { + $params{simulators} = 1; + } + my %enabled_scenarios; + foreach my $scenario (keys %params) { + my $value = $params{$scenario}; + my $hit = 0; + foreach my $allsc (keys %All_Scenarios) { + foreach my $allscarg (@{$All_Scenarios{$allsc}}) { + if ($scenario eq $allscarg) { + $hit = 1; + $enabled_scenarios{$allsc} = 1; + } + } + } + if (!$hit) { + $self->error("scenarios('$scenario' => ...) has unknown scenario '$scenario',"); + } + } + + if (!$enabled_scenarios{$self->{scenario}}) { + $self->skip("scenario '$self->{scenario}' not enabled for test"); + $self->{scenario_off} ||= 1; + $self->_exit(); + } +} + +sub _prep { my $self = shift; mkdir $self->{obj_dir}; # Ok if already exists } -sub read { +sub _read { my $self = shift; # Read the control file (-r $self->{pl_filename}) @@ -474,7 +527,23 @@ sub read { require $self->{pl_filename}; } -sub write_status { +sub _exit { + my $self = shift; + if ($self->ok) { + $self->oprint("Self PASSED\n"); + } elsif ($self->skips && !$self->errors) { + $self->oprint("%Skip: $self->{skips}\n"); + } elsif ($self->unsupporteds && !$self->errors) { + $self->oprint("%Unsupported: $self->{unsupporteds}\n"); + } else { + $self->error("Missing ok\n") if !$self->errors; + $self->oprint("%Error: $self->{errors}\n"); + } + $self->_write_status; + exit(0); +} + +sub _write_status { my $self = shift; my $filename = $self->{status_filename}; my $fh = IO::File->new(">$filename") or die "%Error: $! $filename,"; @@ -483,7 +552,7 @@ sub write_status { $fh->close(); } -sub read_status { +sub _read_status { my $self = shift; my $filename = $self->{status_filename}; use vars qw($VAR1); @@ -686,6 +755,7 @@ sub compile { "-C ".$self->{obj_dir}, "-f ".getcwd()."/Makefile_obj", "VM_PREFIX=$self->{VM_PREFIX}", + "TEST_OBJ_DIR=$self->{obj_dir}", "CPPFLAGS_DRIVER=-D".uc($self->{name}), ($opt_verbose ? "CPPFLAGS_DRIVER2=-DTEST_VERBOSE=1":""), ($param{make_main}?"":"MAKE_MAIN=0"), @@ -696,7 +766,7 @@ sub compile { } } else { - $self->error("No compile step for this simulator"); + $self->error("No compile step defined for '$self->{scenario}' scenario"); } if ($param{make_pli}) { @@ -875,6 +945,11 @@ sub errors { return $self->{errors}; } +sub scenario_off { + my $self = (ref $_[0]? shift : $Self); + return $self->{scenario_off}; +} + sub skips { my $self = (ref $_[0]? shift : $Self); return $self->{skips}; @@ -1529,8 +1604,8 @@ sub cxx_version { our $_Cfg_With_Threaded; sub cfg_with_threaded { - my $out = `make -f ../Makefile print-cfg-with-threaded`; - return ($out =~ /yes/i) ? 1:0; + $_Cfg_With_Threaded ||= `make -f ../Makefile print-cfg-with-threaded`; + return ($_Cfg_With_Threaded =~ /yes/i) ? 1:0; } sub file_grep_not { @@ -1844,10 +1919,6 @@ not matter. This makes it easier to extend or modify the test in future. =over 4 -=item --atsim - -Run using ATSIM simulator. - =item --benchmark [] Show execution times of each step. If an optional number is given, @@ -1885,10 +1956,6 @@ print backtrace information. Requires --debug. Run Verilator generated executable under the debugger. -=item --ghdl - -Run using GHDL simulator. - =item --golden Update golden files, equivalent to setting HARNESS_UPDATE_GOLDEN=1. @@ -1897,23 +1964,11 @@ Update golden files, equivalent to setting HARNESS_UPDATE_GOLDEN=1. Displays this message and program version and exits. -=item --iverilog - -Run using Icarus Verilog simulator. - =item --j # Run number of parallel tests, or 0 to determine the count based on the number of cores installed. Requires Perl's Parallel::Forker package. -=item --ms - -Run using ModelSim simulator. - -=item --nc - -Run using Cadence NC-Verilog simulator. - =item --optimize Randomly turn on/off different optimizations. With specific flags, @@ -1935,18 +1990,52 @@ Set the simulator specific flags to request waveform tracing. Run tests even if marked as unsupported. -=item --vcs - -Run using Synopsys VCS simulator. - =item --verbose Compile and run the test in verbose mode. This means C will be defined for the test (Verilog and any C++/SystemC wrapper). +=back + +=head1 SCENARIO ARGUMENTS + +The following options control which simulator is used, and which tests are +run. Multiple flags may be used to run multiple simulators/scenarios +simultaneously. + +=over 4 + +=item --atsim + +Run ATSIM simulator tests. + +=item --dist + +Run simulator-agnostic distribution tests. + +=item --ghdl + +Run GHDL simulator tests. + +=item --iverilog + +Run Icarus Verilog simulator tests. + +=item --ms + +Run ModelSim simulator tests. + +=item --nc + +Run Cadence NC-Verilog simulator tests. + +=item --vcs + +Run Synopsys VCS simulator tests. + =item --vlt -Run using Verilator. Defaults set unless another simulator is requested. +Run Verilator tests. Default unless another scenario flag is provided. =back diff --git a/test_regress/t/bootstrap.pl b/test_regress/t/bootstrap.pl index c910b407a..a057f813c 100755 --- a/test_regress/t/bootstrap.pl +++ b/test_regress/t/bootstrap.pl @@ -19,4 +19,4 @@ $ENV{PWD} = Cwd::getcwd(); # Else chdir leaves the .. which confuses later comm @args = map { s!.*test_regress/!!; $_; } @args; exec("./driver.pl", @args); -die; +die "$!, in exec"; diff --git a/test_regress/t/t_EXAMPLE.pl b/test_regress/t/t_EXAMPLE.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_EXAMPLE.pl +++ b/test_regress/t/t_EXAMPLE.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_a_first_cc.pl b/test_regress/t/t_a_first_cc.pl index daf2ef1b5..3ec02ecfd 100755 --- a/test_regress/t/t_a_first_cc.pl +++ b/test_regress/t/t_a_first_cc.pl @@ -11,9 +11,11 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # after building so we make sure to run with --gdbbt, so if it dumps we'll # get a trace. +scenarios(simulator => 1); + $DEBUG_QUIET = "--debug --debugi 0 --gdbbt --no-dump-tree"; -$Self->run(cmd => ["perl", "../bin/verilator", $DEBUG_QUIET, "-V"]); +run(cmd => ["perl", "../bin/verilator", $DEBUG_QUIET, "-V"]); compile( verilator_flags2 => [$DEBUG_QUIET, "--trace"], diff --git a/test_regress/t/t_a_first_sc.pl b/test_regress/t/t_a_first_sc.pl index 826fe08e4..b09f932f0 100755 --- a/test_regress/t/t_a_first_sc.pl +++ b/test_regress/t/t_a_first_sc.pl @@ -11,6 +11,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # after building so we make sure to run with --gdbbt, so if it dumps we'll # get a trace. +scenarios(simulator => 1); + top_filename("t/t_a_first_cc.v"); $DEBUG_QUIET = "--debug --debugi 0 --gdbbt --no-dump-tree"; diff --git a/test_regress/t/t_altera_lpm_abs.pl b/test_regress/t/t_altera_lpm_abs.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_abs.pl +++ b/test_regress/t/t_altera_lpm_abs.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_add_sub.pl b/test_regress/t/t_altera_lpm_add_sub.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_add_sub.pl +++ b/test_regress/t/t_altera_lpm_add_sub.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_and.pl b/test_regress/t/t_altera_lpm_and.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_and.pl +++ b/test_regress/t/t_altera_lpm_and.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_bustri.pl b/test_regress/t/t_altera_lpm_bustri.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_bustri.pl +++ b/test_regress/t/t_altera_lpm_bustri.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_clshift.pl b/test_regress/t/t_altera_lpm_clshift.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_clshift.pl +++ b/test_regress/t/t_altera_lpm_clshift.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_compare.pl b/test_regress/t/t_altera_lpm_compare.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_compare.pl +++ b/test_regress/t/t_altera_lpm_compare.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_constant.pl b/test_regress/t/t_altera_lpm_constant.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_constant.pl +++ b/test_regress/t/t_altera_lpm_constant.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_counter.pl b/test_regress/t/t_altera_lpm_counter.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_counter.pl +++ b/test_regress/t/t_altera_lpm_counter.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_decode.pl b/test_regress/t/t_altera_lpm_decode.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_decode.pl +++ b/test_regress/t/t_altera_lpm_decode.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_divide.pl b/test_regress/t/t_altera_lpm_divide.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_divide.pl +++ b/test_regress/t/t_altera_lpm_divide.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_ff.pl b/test_regress/t/t_altera_lpm_ff.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_ff.pl +++ b/test_regress/t/t_altera_lpm_ff.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_fifo.pl b/test_regress/t/t_altera_lpm_fifo.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_fifo.pl +++ b/test_regress/t/t_altera_lpm_fifo.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_fifo_dc.pl b/test_regress/t/t_altera_lpm_fifo_dc.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_fifo_dc.pl +++ b/test_regress/t/t_altera_lpm_fifo_dc.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_inv.pl b/test_regress/t/t_altera_lpm_inv.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_inv.pl +++ b/test_regress/t/t_altera_lpm_inv.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_latch.pl b/test_regress/t/t_altera_lpm_latch.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_latch.pl +++ b/test_regress/t/t_altera_lpm_latch.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_mult.pl b/test_regress/t/t_altera_lpm_mult.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_mult.pl +++ b/test_regress/t/t_altera_lpm_mult.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_mux.pl b/test_regress/t/t_altera_lpm_mux.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_mux.pl +++ b/test_regress/t/t_altera_lpm_mux.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_or.pl b/test_regress/t/t_altera_lpm_or.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_or.pl +++ b/test_regress/t/t_altera_lpm_or.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_ram_dp.pl b/test_regress/t/t_altera_lpm_ram_dp.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_ram_dp.pl +++ b/test_regress/t/t_altera_lpm_ram_dp.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_ram_dq.pl b/test_regress/t/t_altera_lpm_ram_dq.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_ram_dq.pl +++ b/test_regress/t/t_altera_lpm_ram_dq.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_ram_io.pl b/test_regress/t/t_altera_lpm_ram_io.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_ram_io.pl +++ b/test_regress/t/t_altera_lpm_ram_io.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_rom.pl b/test_regress/t/t_altera_lpm_rom.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_rom.pl +++ b/test_regress/t/t_altera_lpm_rom.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_shiftreg.pl b/test_regress/t/t_altera_lpm_shiftreg.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_shiftreg.pl +++ b/test_regress/t/t_altera_lpm_shiftreg.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_altera_lpm_xor.pl b/test_regress/t/t_altera_lpm_xor.pl index bf103787b..20782a400 100755 --- a/test_regress/t/t_altera_lpm_xor.pl +++ b/test_regress/t/t_altera_lpm_xor.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_altera_lpm.v"); (my $module = $Self->{name}) =~ s/.*t_altera_//; diff --git a/test_regress/t/t_alw_combdly.pl b/test_regress/t/t_alw_combdly.pl index 288297072..b1acebe26 100755 --- a/test_regress/t/t_alw_combdly.pl +++ b/test_regress/t/t_alw_combdly.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_alw_dly.pl b/test_regress/t/t_alw_dly.pl index 5a1813bd6..a83c168ba 100755 --- a/test_regress/t/t_alw_dly.pl +++ b/test_regress/t/t_alw_dly.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["-Wno-CLKDATA"], ); diff --git a/test_regress/t/t_alw_split.pl b/test_regress/t/t_alw_split.pl index 46933eae2..89befb08e 100755 --- a/test_regress/t/t_alw_split.pl +++ b/test_regress/t/t_alw_split.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--stats"], ); diff --git a/test_regress/t/t_alw_split_rst.pl b/test_regress/t/t_alw_split_rst.pl index 4d955a7e9..5dbeceba1 100755 --- a/test_regress/t/t_alw_split_rst.pl +++ b/test_regress/t/t_alw_split_rst.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--stats"], ); diff --git a/test_regress/t/t_alw_splitord.pl b/test_regress/t/t_alw_splitord.pl index 4d955a7e9..5dbeceba1 100755 --- a/test_regress/t/t_alw_splitord.pl +++ b/test_regress/t/t_alw_splitord.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--stats"], ); diff --git a/test_regress/t/t_array_backw_index_bad.pl b/test_regress/t/t_array_backw_index_bad.pl index e8d311267..a4cdf3295 100755 --- a/test_regress/t/t_array_backw_index_bad.pl +++ b/test_regress/t/t_array_backw_index_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => 1, expect => diff --git a/test_regress/t/t_array_compare.pl b/test_regress/t/t_array_compare.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_array_compare.pl +++ b/test_regress/t/t_array_compare.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_array_interface.pl b/test_regress/t/t_array_interface.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_array_interface.pl +++ b/test_regress/t/t_array_interface.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_array_interface_noinl.pl b/test_regress/t/t_array_interface_noinl.pl index fab7789b0..1fa90dabe 100755 --- a/test_regress/t/t_array_interface_noinl.pl +++ b/test_regress/t/t_array_interface_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_array_interface.v"); compile( diff --git a/test_regress/t/t_array_packed_sysfunct.pl b/test_regress/t/t_array_packed_sysfunct.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_array_packed_sysfunct.pl +++ b/test_regress/t/t_array_packed_sysfunct.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_array_packed_write_read.pl b/test_regress/t/t_array_packed_write_read.pl index fe87fd4e3..928ac00f2 100755 --- a/test_regress/t/t_array_packed_write_read.pl +++ b/test_regress/t/t_array_packed_write_read.pl @@ -7,7 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} and $Self->unsupported("Verilator unsupported, bug446"); +scenarios(simulator => 1); + +$Self->{vlt} and unsupported("Verilator unsupported, bug446"); compile( ); diff --git a/test_regress/t/t_array_pattern_2d.pl b/test_regress/t/t_array_pattern_2d.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_array_pattern_2d.pl +++ b/test_regress/t/t_array_pattern_2d.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_array_pattern_packed.pl b/test_regress/t/t_array_pattern_packed.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_array_pattern_packed.pl +++ b/test_regress/t/t_array_pattern_packed.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_array_pattern_unpacked.pl b/test_regress/t/t_array_pattern_unpacked.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_array_pattern_unpacked.pl +++ b/test_regress/t/t_array_pattern_unpacked.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_array_query.pl b/test_regress/t/t_array_query.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_array_query.pl +++ b/test_regress/t/t_array_query.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_array_rev.pl b/test_regress/t/t_array_rev.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_array_rev.pl +++ b/test_regress/t/t_array_rev.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_array_type_methods.pl b/test_regress/t/t_array_type_methods.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_array_type_methods.pl +++ b/test_regress/t/t_array_type_methods.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_arraysel_wide.pl b/test_regress/t/t_arraysel_wide.pl index 8349a24d7..5c448de7c 100755 --- a/test_regress/t/t_arraysel_wide.pl +++ b/test_regress/t/t_arraysel_wide.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_assert_basic.pl b/test_regress/t/t_assert_basic.pl index 1f46d01e5..f97a8c4aa 100755 --- a/test_regress/t/t_assert_basic.pl +++ b/test_regress/t/t_assert_basic.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['--assert'], nc_flags2 => ['+assert'], diff --git a/test_regress/t/t_assert_basic_cover.pl b/test_regress/t/t_assert_basic_cover.pl index 5b66b560e..a92304bcf 100755 --- a/test_regress/t/t_assert_basic_cover.pl +++ b/test_regress/t/t_assert_basic_cover.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_assert_basic.v"); compile( diff --git a/test_regress/t/t_assert_basic_fail.pl b/test_regress/t/t_assert_basic_fail.pl index e579ea4fa..1cdff439e 100755 --- a/test_regress/t/t_assert_basic_fail.pl +++ b/test_regress/t/t_assert_basic_fail.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_assert_basic.v"); compile( diff --git a/test_regress/t/t_assert_basic_off.pl b/test_regress/t/t_assert_basic_off.pl index e6961278c..4945cc73c 100755 --- a/test_regress/t/t_assert_basic_off.pl +++ b/test_regress/t/t_assert_basic_off.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_assert_basic.v"); compile( diff --git a/test_regress/t/t_assert_casez.pl b/test_regress/t/t_assert_casez.pl index f8c1f43a7..be109d263 100755 --- a/test_regress/t/t_assert_casez.pl +++ b/test_regress/t/t_assert_casez.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['--assert'], ); diff --git a/test_regress/t/t_assert_comp.pl b/test_regress/t/t_assert_comp.pl index 1f46d01e5..f97a8c4aa 100755 --- a/test_regress/t/t_assert_comp.pl +++ b/test_regress/t/t_assert_comp.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['--assert'], nc_flags2 => ['+assert'], diff --git a/test_regress/t/t_assert_comp_bad.pl b/test_regress/t/t_assert_comp_bad.pl index 91ce7cc78..f332531a3 100755 --- a/test_regress/t/t_assert_comp_bad.pl +++ b/test_regress/t/t_assert_comp_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['--assert'], nc_flags2 => ['+assert'], diff --git a/test_regress/t/t_assert_cover.pl b/test_regress/t/t_assert_cover.pl index a2bd3240c..929ab0cc1 100755 --- a/test_regress/t/t_assert_cover.pl +++ b/test_regress/t/t_assert_cover.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_assert_cover.v"); compile( @@ -28,10 +30,10 @@ if ($Self->{nc}) { $fh->printf("report_html -both -instance * > $Self->{obj_dir}/${name}__nccover.html\n"); $fh->close; } - $Self->run(logfile => "$Self->{obj_dir}/${name}__nccover.log", - tee => 0, - cmd => [($ENV{VERILATOR_ICCR}||'iccr'), - "-test ${name} ${cf}"]); + run(logfile => "$Self->{obj_dir}/${name}__nccover.log", + tee => 0, + cmd => [($ENV{VERILATOR_ICCR}||'iccr'), + "-test ${name} ${cf}"]); } file_grep($Self->{run_log_filename}, qr/COVER: Cyc==4/); diff --git a/test_regress/t/t_assert_cover_off.pl b/test_regress/t/t_assert_cover_off.pl index cd2d2a301..5b0c97c27 100755 --- a/test_regress/t/t_assert_cover_off.pl +++ b/test_regress/t/t_assert_cover_off.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_assert_cover.v"); compile( diff --git a/test_regress/t/t_assert_dup_bad.pl b/test_regress/t/t_assert_dup_bad.pl index 0c6da315a..622515a19 100755 --- a/test_regress/t/t_assert_dup_bad.pl +++ b/test_regress/t/t_assert_dup_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_assert_elab.pl b/test_regress/t/t_assert_elab.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_assert_elab.pl +++ b/test_regress/t/t_assert_elab.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_assert_elab_bad.pl b/test_regress/t/t_assert_elab_bad.pl index 1cddc8230..93975dc6c 100755 --- a/test_regress/t/t_assert_elab_bad.pl +++ b/test_regress/t/t_assert_elab_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_assert_elab.v"); unlink("$Self->{obj_dir}/t_assert_elab_bad.log"); diff --git a/test_regress/t/t_assert_property.pl b/test_regress/t/t_assert_property.pl index ce9820a38..fd994540c 100755 --- a/test_regress/t/t_assert_property.pl +++ b/test_regress/t/t_assert_property.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['--assert --cc'], ); diff --git a/test_regress/t/t_assert_property_fail_1.pl b/test_regress/t/t_assert_property_fail_1.pl index 5b6daa8e7..ae67a4a1b 100755 --- a/test_regress/t/t_assert_property_fail_1.pl +++ b/test_regress/t/t_assert_property_fail_1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_assert_property.v"); compile( diff --git a/test_regress/t/t_assert_property_fail_2.pl b/test_regress/t/t_assert_property_fail_2.pl index 229425ac7..c5df8f7c3 100755 --- a/test_regress/t/t_assert_property_fail_2.pl +++ b/test_regress/t/t_assert_property_fail_2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_assert_property.v"); compile( diff --git a/test_regress/t/t_assert_question.pl b/test_regress/t/t_assert_question.pl index f8c1f43a7..be109d263 100755 --- a/test_regress/t/t_assert_question.pl +++ b/test_regress/t/t_assert_question.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['--assert'], ); diff --git a/test_regress/t/t_assert_synth.pl b/test_regress/t/t_assert_synth.pl index 1f46d01e5..f97a8c4aa 100755 --- a/test_regress/t/t_assert_synth.pl +++ b/test_regress/t/t_assert_synth.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['--assert'], nc_flags2 => ['+assert'], diff --git a/test_regress/t/t_assert_synth_full.pl b/test_regress/t/t_assert_synth_full.pl index 8176de925..a9b59a51a 100755 --- a/test_regress/t/t_assert_synth_full.pl +++ b/test_regress/t/t_assert_synth_full.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_assert_synth.v"); compile( diff --git a/test_regress/t/t_assert_synth_off.pl b/test_regress/t/t_assert_synth_off.pl index 404196e5d..173ff7ad5 100755 --- a/test_regress/t/t_assert_synth_off.pl +++ b/test_regress/t/t_assert_synth_off.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_assert_synth.v"); compile( diff --git a/test_regress/t/t_assert_synth_parallel.pl b/test_regress/t/t_assert_synth_parallel.pl index e306a448f..5a8a53857 100755 --- a/test_regress/t/t_assert_synth_parallel.pl +++ b/test_regress/t/t_assert_synth_parallel.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_assert_synth.v"); compile( diff --git a/test_regress/t/t_assign_inline.pl b/test_regress/t/t_assign_inline.pl index 6c98177d1..4a4b40f3b 100755 --- a/test_regress/t/t_assign_inline.pl +++ b/test_regress/t/t_assign_inline.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["-O0 -OG"], ); diff --git a/test_regress/t/t_attr_parenstar.pl b/test_regress/t/t_attr_parenstar.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_attr_parenstar.pl +++ b/test_regress/t/t_attr_parenstar.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_bench_mux4k.pl b/test_regress/t/t_bench_mux4k.pl index 0cfdb0ff2..af1ac5c7a 100755 --- a/test_regress/t/t_bench_mux4k.pl +++ b/test_regress/t/t_bench_mux4k.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--stats"], ); diff --git a/test_regress/t/t_bench_synmul.pl b/test_regress/t/t_bench_synmul.pl index 5f647eaf4..3073a4cf7 100755 --- a/test_regress/t/t_bench_synmul.pl +++ b/test_regress/t/t_bench_synmul.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_math_synmul.v"); $Self->{cycles} = $Self->{benchmark}||0; diff --git a/test_regress/t/t_bind.pl b/test_regress/t/t_bind.pl index 288297072..b1acebe26 100755 --- a/test_regress/t/t_bind.pl +++ b/test_regress/t/t_bind.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_bind2.pl b/test_regress/t/t_bind2.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_bind2.pl +++ b/test_regress/t/t_bind2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_bitsel_const_bad.pl b/test_regress/t/t_bitsel_const_bad.pl index 361d0502b..a6b950c20 100755 --- a/test_regress/t/t_bitsel_const_bad.pl +++ b/test_regress/t/t_bitsel_const_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_bitsel_enum.pl b/test_regress/t/t_bitsel_enum.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_bitsel_enum.pl +++ b/test_regress/t/t_bitsel_enum.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_bitsel_slice.pl b/test_regress/t/t_bitsel_slice.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_bitsel_slice.pl +++ b/test_regress/t/t_bitsel_slice.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_bitsel_struct.pl b/test_regress/t/t_bitsel_struct.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_bitsel_struct.pl +++ b/test_regress/t/t_bitsel_struct.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_bitsel_struct2.pl b/test_regress/t/t_bitsel_struct2.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_bitsel_struct2.pl +++ b/test_regress/t/t_bitsel_struct2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_bitsel_struct3.pl b/test_regress/t/t_bitsel_struct3.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_bitsel_struct3.pl +++ b/test_regress/t/t_bitsel_struct3.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_bitsel_wire_array_bad.pl b/test_regress/t/t_bitsel_wire_array_bad.pl index 44ffe8ad2..7a410958c 100755 --- a/test_regress/t/t_bitsel_wire_array_bad.pl +++ b/test_regress/t/t_bitsel_wire_array_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + # Comple time only test compile( diff --git a/test_regress/t/t_blocking.pl b/test_regress/t/t_blocking.pl index 288297072..b1acebe26 100755 --- a/test_regress/t/t_blocking.pl +++ b/test_regress/t/t_blocking.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_case_66bits.pl b/test_regress/t/t_case_66bits.pl index b0706aa71..89a4e77d9 100755 --- a/test_regress/t/t_case_66bits.pl +++ b/test_regress/t/t_case_66bits.pl @@ -1,5 +1,13 @@ #!/usr/bin/perl if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2003 by Wilson Snyder. This program is free software; you can +# redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. + +scenarios(simulator => 1); compile( ); diff --git a/test_regress/t/t_case_auto1.pl b/test_regress/t/t_case_auto1.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_case_auto1.pl +++ b/test_regress/t/t_case_auto1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_case_deep.pl b/test_regress/t/t_case_deep.pl index 2f7391ec0..803dca735 100755 --- a/test_regress/t/t_case_deep.pl +++ b/test_regress/t/t_case_deep.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--compiler msvc"], # We have deep expressions we want to test ); diff --git a/test_regress/t/t_case_default_bad.pl b/test_regress/t/t_case_default_bad.pl index 78fd8afb9..da769e338 100755 --- a/test_regress/t/t_case_default_bad.pl +++ b/test_regress/t/t_case_default_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_case_dupitems.pl b/test_regress/t/t_case_dupitems.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_case_dupitems.pl +++ b/test_regress/t/t_case_dupitems.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_case_genx_bad.pl b/test_regress/t/t_case_genx_bad.pl index 7ad465f34..4e0e02d6a 100755 --- a/test_regress/t/t_case_genx_bad.pl +++ b/test_regress/t/t_case_genx_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_case_group.pl b/test_regress/t/t_case_group.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_case_group.pl +++ b/test_regress/t/t_case_group.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_case_huge.pl b/test_regress/t/t_case_huge.pl index 2a127f16a..2dfb9cdc0 100755 --- a/test_regress/t/t_case_huge.pl +++ b/test_regress/t/t_case_huge.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--stats"], ); diff --git a/test_regress/t/t_case_huge_prof.pl b/test_regress/t/t_case_huge_prof.pl index 5c34cf688..4e34a208b 100755 --- a/test_regress/t/t_case_huge_prof.pl +++ b/test_regress/t/t_case_huge_prof.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_case_huge.v"); @@ -29,14 +29,14 @@ execute( my $gmon_path; $gmon_path = $_ foreach (glob "$Self->{obj_dir}/gmon.out.*"); -$gmon_path or $Self->error("Profiler did not create a gmon.out"); +$gmon_path or error("Profiler did not create a gmon.out"); (my $gmon_base = $gmon_path) =~ s!.*[/\\]!!; -$Self->run(cmd => ["cd $Self->{obj_dir} && gprof $Self->{VM_PREFIX} $gmon_base > gprof.out"], - check_finished => 0); +run(cmd => ["cd $Self->{obj_dir} && gprof $Self->{VM_PREFIX} $gmon_base > gprof.out"], + check_finished => 0); -$Self->run(cmd => ["cd $Self->{obj_dir} && $ENV{VERILATOR_ROOT}/bin/verilator_profcfunc gprof.out > cfuncs.out"], - check_finished => 0); +run(cmd => ["cd $Self->{obj_dir} && $ENV{VERILATOR_ROOT}/bin/verilator_profcfunc gprof.out > cfuncs.out"], + check_finished => 0); file_grep ("$Self->{obj_dir}/cfuncs.out", qr/Overall summary by/); diff --git a/test_regress/t/t_case_inside.pl b/test_regress/t/t_case_inside.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_case_inside.pl +++ b/test_regress/t/t_case_inside.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_case_itemwidth.pl b/test_regress/t/t_case_itemwidth.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_case_itemwidth.pl +++ b/test_regress/t/t_case_itemwidth.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_case_nest.pl b/test_regress/t/t_case_nest.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_case_nest.pl +++ b/test_regress/t/t_case_nest.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_case_onehot.pl b/test_regress/t/t_case_onehot.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_case_onehot.pl +++ b/test_regress/t/t_case_onehot.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_case_orig.pl b/test_regress/t/t_case_orig.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_case_orig.pl +++ b/test_regress/t/t_case_orig.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_case_reducer.pl b/test_regress/t/t_case_reducer.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_case_reducer.pl +++ b/test_regress/t/t_case_reducer.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_case_wild.pl b/test_regress/t/t_case_wild.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_case_wild.pl +++ b/test_regress/t/t_case_wild.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_case_write1.pl b/test_regress/t/t_case_write1.pl index 4ceff3845..50b2ba9d1 100755 --- a/test_regress/t/t_case_write1.pl +++ b/test_regress/t/t_case_write1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + $Self->{golden_out} ||= "t/$Self->{name}.out"; compile( diff --git a/test_regress/t/t_case_write1.v b/test_regress/t/t_case_write1.v index 8af138a90..a5856066c 100644 --- a/test_regress/t/t_case_write1.v +++ b/test_regress/t/t_case_write1.v @@ -5,6 +5,8 @@ `include "verilated.v" +`define STRINGIFY(x) `"x`" + module t (/*AUTOARG*/ // Inputs clk @@ -32,8 +34,8 @@ module t (/*AUTOARG*/ crc <= {crc[62:0], crc[63]^crc[2]^crc[0]}; if (cyc==1) begin crc <= 64'h00000000_00000097; - $write("Open obj_dir/t_case_write1/t_case_write1_logger.log\n"); - fdtmp = $fopen("obj_dir/t_case_write1/t_case_write1_logger.log", "w"); + $write("%s", {"Open ", `STRINGIFY(`TEST_OBJ_DIR), "/t_case_write1_logger.log\n"}); + fdtmp = $fopen({`STRINGIFY(`TEST_OBJ_DIR), "/t_case_write1_logger.log"}, "w"); fd <= fdtmp; end if (cyc==90) begin diff --git a/test_regress/t/t_case_write2.pl b/test_regress/t/t_case_write2.pl index c44a1288b..104eba11b 100755 --- a/test_regress/t/t_case_write2.pl +++ b/test_regress/t/t_case_write2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + $Self->{golden_out} ||= "t/$Self->{name}.out"; compile( diff --git a/test_regress/t/t_case_write2.v b/test_regress/t/t_case_write2.v index 84949130a..07215dd6d 100644 --- a/test_regress/t/t_case_write2.v +++ b/test_regress/t/t_case_write2.v @@ -5,6 +5,8 @@ `include "verilated.v" +`define STRINGIFY(x) `"x`" + module t (/*AUTOARG*/ // Inputs clk @@ -32,8 +34,8 @@ module t (/*AUTOARG*/ crc <= {crc[62:0], crc[63]^crc[2]^crc[0]}; if (cyc==1) begin crc <= 64'h00000000_00000097; - $write("Open obj_dir/t_case_write2/t_case_write2_logger.log\n"); - fdtmp = $fopen("obj_dir/t_case_write2/t_case_write2_logger.log", "w"); + $write("%s", {"Open ", `STRINGIFY(`TEST_OBJ_DIR), "/t_case_write2_logger.log\n"}); + fdtmp = $fopen({`STRINGIFY(`TEST_OBJ_DIR), "/t_case_write2_logger.log"}, "w"); fd <= fdtmp; end if (cyc==90) begin diff --git a/test_regress/t/t_case_x.pl b/test_regress/t/t_case_x.pl index 44df4ffd5..53262ac3e 100755 --- a/test_regress/t/t_case_x.pl +++ b/test_regress/t/t_case_x.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--x-assign 0"], ); diff --git a/test_regress/t/t_case_x_bad.pl b/test_regress/t/t_case_x_bad.pl index 75b9fa31d..770624d54 100755 --- a/test_regress/t/t_case_x_bad.pl +++ b/test_regress/t/t_case_x_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_case_zx_bad.pl b/test_regress/t/t_case_zx_bad.pl index f12a28989..f7d269d18 100755 --- a/test_regress/t/t_case_zx_bad.pl +++ b/test_regress/t/t_case_zx_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_cast.pl b/test_regress/t/t_cast.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_cast.pl +++ b/test_regress/t/t_cast.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_cdc_async_bad.pl b/test_regress/t/t_cdc_async_bad.pl index d80cce5ac..4c7f7e1f0 100755 --- a/test_regress/t/t_cdc_async_bad.pl +++ b/test_regress/t/t_cdc_async_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(vlt => 1); + compile( v_flags => ['--cdc'], verilator_make_gcc => 0, @@ -14,7 +16,7 @@ compile( expect => '%Warning-CDCRSTLOGIC: t/t_cdc_async_bad.v:\d+: Logic in path that feeds async reset, via signal: t.rst2_bad_n %Warning-CDCRSTLOGIC: Use "/\* verilator lint_off CDCRSTLOGIC \*/" and lint_on around source to disable this message. -%Warning-CDCRSTLOGIC: See details in obj_dir/t_cdc_async_bad/Vt_cdc_async_bad__cdc.txt +%Warning-CDCRSTLOGIC: See details in obj_vlt/t_cdc_async_bad/Vt_cdc_async_bad__cdc.txt %Warning-CDCRSTLOGIC: t/t_cdc_async_bad.v:\d+: Logic in path that feeds async reset, via signal: t.rst6a_bad_n %Warning-CDCRSTLOGIC: t/t_cdc_async_bad.v:\d+: Logic in path that feeds async reset, via signal: t.rst6b_bad_n %Error: Exiting due to.*', diff --git a/test_regress/t/t_cellarray.pl b/test_regress/t/t_cellarray.pl index 88f80771e..804a7e23f 100755 --- a/test_regress/t/t_cellarray.pl +++ b/test_regress/t/t_cellarray.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--stats"], ); diff --git a/test_regress/t/t_chg_first.pl b/test_regress/t/t_chg_first.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_chg_first.pl +++ b/test_regress/t/t_chg_first.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_clk_2in.pl b/test_regress/t/t_clk_2in.pl index f9f4b1694..9189ff808 100755 --- a/test_regress/t/t_clk_2in.pl +++ b/test_regress/t/t_clk_2in.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( make_top_shell => 0, make_main => 0, diff --git a/test_regress/t/t_clk_2in_vec.pl b/test_regress/t/t_clk_2in_vec.pl index 3df3d85d9..e39511b25 100755 --- a/test_regress/t/t_clk_2in_vec.pl +++ b/test_regress/t/t_clk_2in_vec.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_clk_2in.v"); compile( diff --git a/test_regress/t/t_clk_concat.pl b/test_regress/t/t_clk_concat.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_clk_concat.pl +++ b/test_regress/t/t_clk_concat.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_clk_concat2.pl b/test_regress/t/t_clk_concat2.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_clk_concat2.pl +++ b/test_regress/t/t_clk_concat2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_clk_concat3.pl b/test_regress/t/t_clk_concat3.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_clk_concat3.pl +++ b/test_regress/t/t_clk_concat3.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_clk_concat4.pl b/test_regress/t/t_clk_concat4.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_clk_concat4.pl +++ b/test_regress/t/t_clk_concat4.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_clk_concat5.pl b/test_regress/t/t_clk_concat5.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_clk_concat5.pl +++ b/test_regress/t/t_clk_concat5.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_clk_concat6.pl b/test_regress/t/t_clk_concat6.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_clk_concat6.pl +++ b/test_regress/t/t_clk_concat6.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_clk_condflop.pl b/test_regress/t/t_clk_condflop.pl index 323c9bbce..48b77b922 100755 --- a/test_regress/t/t_clk_condflop.pl +++ b/test_regress/t/t_clk_condflop.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_clk_condflop_nord.pl b/test_regress/t/t_clk_condflop_nord.pl index 551eb449d..7835b7a30 100755 --- a/test_regress/t/t_clk_condflop_nord.pl +++ b/test_regress/t/t_clk_condflop_nord.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2=>["-no-order-clock-delay"], ); diff --git a/test_regress/t/t_clk_dpulse.pl b/test_regress/t/t_clk_dpulse.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_clk_dpulse.pl +++ b/test_regress/t/t_clk_dpulse.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_clk_dsp.pl b/test_regress/t/t_clk_dsp.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_clk_dsp.pl +++ b/test_regress/t/t_clk_dsp.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_clk_first.pl b/test_regress/t/t_clk_first.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_clk_first.pl +++ b/test_regress/t/t_clk_first.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_clk_gater.pl b/test_regress/t/t_clk_gater.pl index 19373df58..0acb40826 100755 --- a/test_regress/t/t_clk_gater.pl +++ b/test_regress/t/t_clk_gater.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--stats"], ); diff --git a/test_regress/t/t_clk_gen.pl b/test_regress/t/t_clk_gen.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_clk_gen.pl +++ b/test_regress/t/t_clk_gen.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_clk_latch.pl b/test_regress/t/t_clk_latch.pl index 32d6afe0f..11b8aeb6b 100755 --- a/test_regress/t/t_clk_latch.pl +++ b/test_regress/t/t_clk_latch.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + my $fail = ($Self->{vlt} && verilator_version() !~ /\(ord\)/); compile( diff --git a/test_regress/t/t_clk_latch_edgestyle.pl b/test_regress/t/t_clk_latch_edgestyle.pl index fb504514a..59fa5393b 100755 --- a/test_regress/t/t_clk_latch_edgestyle.pl +++ b/test_regress/t/t_clk_latch_edgestyle.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_clk_latch.v"); my $fail = ($Self->{vlt} && verilator_version() !~ /\(ord\)/); diff --git a/test_regress/t/t_clk_latchgate.pl b/test_regress/t/t_clk_latchgate.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_clk_latchgate.pl +++ b/test_regress/t/t_clk_latchgate.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_clk_powerdn.pl b/test_regress/t/t_clk_powerdn.pl index 323c9bbce..48b77b922 100755 --- a/test_regress/t/t_clk_powerdn.pl +++ b/test_regress/t/t_clk_powerdn.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_clk_vecgen1.pl b/test_regress/t/t_clk_vecgen1.pl index c37ca55ae..2605f221a 100755 --- a/test_regress/t/t_clk_vecgen1.pl +++ b/test_regress/t/t_clk_vecgen1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_clk_vecgen1.v"); compile( diff --git a/test_regress/t/t_clk_vecgen2.pl b/test_regress/t/t_clk_vecgen2.pl index 7ac6d9a29..fce42e49d 100755 --- a/test_regress/t/t_clk_vecgen2.pl +++ b/test_regress/t/t_clk_vecgen2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_clk_vecgen1.v"); compile( diff --git a/test_regress/t/t_clk_vecgen3.pl b/test_regress/t/t_clk_vecgen3.pl index 4d9cf2879..4cb74b187 100755 --- a/test_regress/t/t_clk_vecgen3.pl +++ b/test_regress/t/t_clk_vecgen3.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_clk_vecgen1.v"); compile( diff --git a/test_regress/t/t_clocker.pl b/test_regress/t/t_clocker.pl index e18579eea..8997efa90 100755 --- a/test_regress/t/t_clocker.pl +++ b/test_regress/t/t_clocker.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( # verilator_flags2 => ["-Wno-UNOPTFLAT"] ); diff --git a/test_regress/t/t_concat_large.pl b/test_regress/t/t_concat_large.pl index 288297072..b1acebe26 100755 --- a/test_regress/t/t_concat_large.pl +++ b/test_regress/t/t_concat_large.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_concat_large_bad.pl b/test_regress/t/t_concat_large_bad.pl index 9f33061e6..410c391bf 100755 --- a/test_regress/t/t_concat_large_bad.pl +++ b/test_regress/t/t_concat_large_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_concat_opt.pl b/test_regress/t/t_concat_opt.pl index 288297072..b1acebe26 100755 --- a/test_regress/t/t_concat_opt.pl +++ b/test_regress/t/t_concat_opt.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_const_dec_mixed_bad.pl b/test_regress/t/t_const_dec_mixed_bad.pl index 90527239c..822214e6c 100755 --- a/test_regress/t/t_const_dec_mixed_bad.pl +++ b/test_regress/t/t_const_dec_mixed_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_const_overflow_bad.pl b/test_regress/t/t_const_overflow_bad.pl index 3ce7adce5..2fc1f09dd 100755 --- a/test_regress/t/t_const_overflow_bad.pl +++ b/test_regress/t/t_const_overflow_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_cover_line_cc.pl b/test_regress/t/t_cover_line_cc.pl index 804667e12..1a4e7318a 100755 --- a/test_regress/t/t_cover_line_cc.pl +++ b/test_regress/t/t_cover_line_cc.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_cover_line.v"); compile( @@ -20,11 +22,10 @@ execute( # Read the input .v file and do any CHECK_COVER requests inline_checks(); -$Self->run(cmd => ["../bin/verilator_coverage", - "--annotate", "$Self->{obj_dir}/annotated", - "$Self->{obj_dir}/coverage.dat", - ], - ); +run(cmd => ["../bin/verilator_coverage", + "--annotate", "$Self->{obj_dir}/annotated", + "$Self->{obj_dir}/coverage.dat", + ]); ok(files_identical("$Self->{obj_dir}/annotated/t_cover_line.v", "t/t_cover_line.out")); diff --git a/test_regress/t/t_cover_line_sc.pl b/test_regress/t/t_cover_line_sc.pl index 846acd95d..cde76f21f 100755 --- a/test_regress/t/t_cover_line_sc.pl +++ b/test_regress/t/t_cover_line_sc.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_cover_line.v"); compile( diff --git a/test_regress/t/t_cover_sva_notflat.pl b/test_regress/t/t_cover_sva_notflat.pl index 443ec83c6..b5f179331 100755 --- a/test_regress/t/t_cover_sva_notflat.pl +++ b/test_regress/t/t_cover_sva_notflat.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['--assert --cc --coverage-user'], ); diff --git a/test_regress/t/t_cover_toggle.pl b/test_regress/t/t_cover_toggle.pl index 4e822b133..39b21de2a 100755 --- a/test_regress/t/t_cover_toggle.pl +++ b/test_regress/t/t_cover_toggle.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['--cc --coverage-toggle --stats'], ); diff --git a/test_regress/t/t_crazy_sel.pl b/test_regress/t/t_crazy_sel.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_crazy_sel.pl +++ b/test_regress/t/t_crazy_sel.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_debug_fatalsrc_bad.pl b/test_regress/t/t_debug_fatalsrc_bad.pl index 124ae308f..85490cb46 100755 --- a/test_regress/t/t_debug_fatalsrc_bad.pl +++ b/test_regress/t/t_debug_fatalsrc_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( verilator_flags2 => ["--debug-fatalsrc"], diff --git a/test_regress/t/t_debug_fatalsrc_bt_bad.pl b/test_regress/t/t_debug_fatalsrc_bt_bad.pl index 87db56e92..9179fb9e1 100755 --- a/test_regress/t/t_debug_fatalsrc_bt_bad.pl +++ b/test_regress/t/t_debug_fatalsrc_bt_bad.pl @@ -7,7 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$ENV{VERILATOR_TEST_NO_GDB} and $Self->skip("Skipping due to VERILATOR_TEST_NO_GDB"); +scenarios(vlt => 1); +$ENV{VERILATOR_TEST_NO_GDB} and skip("Skipping due to VERILATOR_TEST_NO_GDB"); compile( v_flags2 => ["--lint-only --debug --gdbbt --debug-fatalsrc"], diff --git a/test_regress/t/t_debug_sigsegv_bad.pl b/test_regress/t/t_debug_sigsegv_bad.pl index 7c5e89a18..0a634bb0b 100755 --- a/test_regress/t/t_debug_sigsegv_bad.pl +++ b/test_regress/t/t_debug_sigsegv_bad.pl @@ -7,11 +7,11 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); -$ENV{VERILATOR_TEST_NO_GDB} and $Self->skip("Skipping due to VERILATOR_TEST_NO_GDB"); +scenarios(vlt => 1); +$ENV{VERILATOR_TEST_NO_GDB} and skip("Skipping due to VERILATOR_TEST_NO_GDB"); compile( - verilator_flags2 => ["--debug-sigsegv"], + v_flags => ["--debug-sigsegv"], fails => $Self->{vlt}, expect => '%Error: Verilator internal fault, sorry. Consider trying --debug --gdbbt diff --git a/test_regress/t/t_debug_sigsegv_bt_bad.pl b/test_regress/t/t_debug_sigsegv_bt_bad.pl index c1eb03835..a6085df84 100755 --- a/test_regress/t/t_debug_sigsegv_bt_bad.pl +++ b/test_regress/t/t_debug_sigsegv_bt_bad.pl @@ -7,7 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$ENV{VERILATOR_TEST_NO_GDB} and $Self->skip("Skipping due to VERILATOR_TEST_NO_GDB"); +scenarios(vlt => 1); +$ENV{VERILATOR_TEST_NO_GDB} and skip("Skipping due to VERILATOR_TEST_NO_GDB"); compile( v_flags2 => ["--lint-only --debug --gdbbt --debug-sigsegv"], diff --git a/test_regress/t/t_dedupe_clk_gate.pl b/test_regress/t/t_dedupe_clk_gate.pl index 8a8548685..b392f2b3b 100755 --- a/test_regress/t/t_dedupe_clk_gate.pl +++ b/test_regress/t/t_dedupe_clk_gate.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--stats"], ); diff --git a/test_regress/t/t_dedupe_seq_logic.pl b/test_regress/t/t_dedupe_seq_logic.pl index 26208d877..a01f1a898 100755 --- a/test_regress/t/t_dedupe_seq_logic.pl +++ b/test_regress/t/t_dedupe_seq_logic.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--stats"], ); diff --git a/test_regress/t/t_delay.pl b/test_regress/t/t_delay.pl index 171f33f1f..c56a826e8 100755 --- a/test_regress/t/t_delay.pl +++ b/test_regress/t/t_delay.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['-Wno-STMTDLY -Wno-ASSIGNDLY'], ); diff --git a/test_regress/t/t_delay_stmtdly_bad.pl b/test_regress/t/t_delay_stmtdly_bad.pl index 985234ae9..3bd5845cc 100755 --- a/test_regress/t/t_delay_stmtdly_bad.pl +++ b/test_regress/t/t_delay_stmtdly_bad.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_delay.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_delay.v"); compile( verilator_flags2 => ['-Wall -Wno-DECLFILENAME'], diff --git a/test_regress/t/t_detectarray_1.pl b/test_regress/t/t_detectarray_1.pl index e9035e225..0a3b50107 100755 --- a/test_regress/t/t_detectarray_1.pl +++ b/test_regress/t/t_detectarray_1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["-Wno-CLKDATA"] ); diff --git a/test_regress/t/t_detectarray_2.pl b/test_regress/t/t_detectarray_2.pl index e9035e225..0a3b50107 100755 --- a/test_regress/t/t_detectarray_2.pl +++ b/test_regress/t/t_detectarray_2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["-Wno-CLKDATA"] ); diff --git a/test_regress/t/t_detectarray_3.pl b/test_regress/t/t_detectarray_3.pl index 5db9c8df2..4fd888569 100755 --- a/test_regress/t/t_detectarray_3.pl +++ b/test_regress/t/t_detectarray_3.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["-Wno-UNOPTFLAT -Wno-WIDTH"] ); diff --git a/test_regress/t/t_display.pl b/test_regress/t/t_display.pl index f89cdf3a3..f2d31d473 100755 --- a/test_regress/t/t_display.pl +++ b/test_regress/t/t_display.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_display_bad.pl b/test_regress/t/t_display_bad.pl index aa4246099..796da5092 100755 --- a/test_regress/t/t_display_bad.pl +++ b/test_regress/t/t_display_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_display_l.pl b/test_regress/t/t_display_l.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_display_l.pl +++ b/test_regress/t/t_display_l.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_display_mcd.pl b/test_regress/t/t_display_mcd.pl index 054947483..26266f883 100755 --- a/test_regress/t/t_display_mcd.pl +++ b/test_regress/t/t_display_mcd.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_display_merge.pl b/test_regress/t/t_display_merge.pl index 7bd478532..9e3d22c1f 100755 --- a/test_regress/t/t_display_merge.pl +++ b/test_regress/t/t_display_merge.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2=>["--stats"], ); diff --git a/test_regress/t/t_display_noopt.pl b/test_regress/t/t_display_noopt.pl index 8d979e5f5..ee29d6649 100755 --- a/test_regress/t/t_display_noopt.pl +++ b/test_regress/t/t_display_noopt.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_display.v"); compile( diff --git a/test_regress/t/t_display_real.pl b/test_regress/t/t_display_real.pl index 5c0d0ac26..98aee4fa0 100755 --- a/test_regress/t/t_display_real.pl +++ b/test_regress/t/t_display_real.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_display_real_noopt.pl b/test_regress/t/t_display_real_noopt.pl index 5f1698335..2b8ccddf9 100755 --- a/test_regress/t/t_display_real_noopt.pl +++ b/test_regress/t/t_display_real_noopt.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_display_real.v"); compile( diff --git a/test_regress/t/t_display_signed.pl b/test_regress/t/t_display_signed.pl index d3deb98fc..7fbb489b5 100755 --- a/test_regress/t/t_display_signed.pl +++ b/test_regress/t/t_display_signed.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_display_signed_noopt.pl b/test_regress/t/t_display_signed_noopt.pl index ade47f86f..104839544 100755 --- a/test_regress/t/t_display_signed_noopt.pl +++ b/test_regress/t/t_display_signed_noopt.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_display_signed.v"); compile( diff --git a/test_regress/t/t_display_time.pl b/test_regress/t/t_display_time.pl index 9c1bbf2fc..6bdd258fc 100755 --- a/test_regress/t/t_display_time.pl +++ b/test_regress/t/t_display_time.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + $Self->{vl_time_multiplier} = 1000; compile( diff --git a/test_regress/t/t_display_wide.pl b/test_regress/t/t_display_wide.pl index 95757ef23..d27f62e4e 100755 --- a/test_regress/t/t_display_wide.pl +++ b/test_regress/t/t_display_wide.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + $Self->{vl_time_multiplier} = 1000; compile( diff --git a/test_regress/t/t_dist_cinclude.pl b/test_regress/t/t_dist_cinclude.pl index f264c5cd7..b6585d475 100755 --- a/test_regress/t/t_dist_cinclude.pl +++ b/test_regress/t/t_dist_cinclude.pl @@ -9,11 +9,13 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di use IO::File; +scenarios(dist => 1); + my $root = ".."; my $Debug; if (!-r "$root/.git") { - $Self->skip("Not in a git repository"); + skip("Not in a git repository"); } else { ### Must trim output before and after our file list my $files = `cd $root && git ls-files --exclude-standard`; @@ -47,7 +49,7 @@ if (!-r "$root/.git") { } if (keys %names) { - $Self->error("Files like stdint.h instead of cstdint: ",join(' ',sort keys %names)); + error("Files like stdint.h instead of cstdint: ",join(' ',sort keys %names)); } } diff --git a/test_regress/t/t_dist_fixme.pl b/test_regress/t/t_dist_fixme.pl index 45f2a8be9..92256ce87 100755 --- a/test_regress/t/t_dist_fixme.pl +++ b/test_regress/t/t_dist_fixme.pl @@ -9,11 +9,13 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di use IO::File; +scenarios(dist => 1); + my $root = ".."; my $Debug; if (!-r "$root/.git") { - $Self->skip("Not in a git repository"); + skip("Not in a git repository"); } else { ### Must trim output before and after our file list my $files = `cd $root && git ls-files --exclude-standard`; @@ -27,7 +29,7 @@ if (!-r "$root/.git") { foreach my $line (split /\n/, $grep) { $names{$1} = 1 if $line =~ /^([^:]+)/; } - $Self->error("Files with FIX"."MEs: ",join(' ',sort keys %names)); + error("Files with FIX"."MEs: ",join(' ',sort keys %names)); } } diff --git a/test_regress/t/t_dist_install.pl b/test_regress/t/t_dist_install.pl index b5e93beac..90a2679c2 100755 --- a/test_regress/t/t_dist_install.pl +++ b/test_regress/t/t_dist_install.pl @@ -9,21 +9,23 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di use Cwd; +scenarios(dist => 1); + my $root = ".."; my $Debug; if (!-r "$root/.git") { - $Self->skip("Not in a git repository"); + skip("Not in a git repository"); } else { my $cwd = getcwd(); my $destdir = "$cwd/".$Self->{obj_dir}; # Start clean - $Self->run(cmd => ["rm -rf $destdir && mkdir -p $destdir"], - check_finished => 0); + run(cmd => ["rm -rf $destdir && mkdir -p $destdir"], + check_finished => 0); # Install into temp area print "Install...\n"; - $Self->run(cmd => ["cd $root && make DESTDIR=$destdir install-all"], - check_finished => 0); + run(cmd => ["cd $root && make DESTDIR=$destdir install-all"], + check_finished => 0); # Check we can run a test # Unfortunately the prefix was hardcoded in the exec at a different place, @@ -32,8 +34,8 @@ if (!-r "$root/.git") { # Uninstall print "Uninstall...\n"; - $Self->run(cmd => ["cd $root && make DESTDIR=$destdir uninstall"], - check_finished => 0); + run(cmd => ["cd $root && make DESTDIR=$destdir uninstall"], + check_finished => 0); # Check empty my @files; @@ -45,7 +47,7 @@ if (!-r "$root/.git") { push @files, $file; } if ($#files >= 0) { - $Self->error("Uninstall missed files: ",join(' ',@files)); + error("Uninstall missed files: ",join(' ',@files)); } } diff --git a/test_regress/t/t_dist_manifest.pl b/test_regress/t/t_dist_manifest.pl index 884d2267f..7bc4d0462 100755 --- a/test_regress/t/t_dist_manifest.pl +++ b/test_regress/t/t_dist_manifest.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(dist => 1); + my $root = ".."; my $Debug; @@ -53,9 +55,9 @@ foreach my $file (sort keys %files) { if (keys %warns) { # First warning lists everything as that's shown in the driver summary - $Self->error("Files mismatch with manifest: ",join(' ',sort keys %warns)); + error("Files mismatch with manifest: ",join(' ',sort keys %warns)); foreach my $file (sort keys %warns) { - $Self->error($warns{$file}); + error($warns{$file}); } } diff --git a/test_regress/t/t_dist_portability.pl b/test_regress/t/t_dist_portability.pl index 48a0cee84..036925c5e 100755 --- a/test_regress/t/t_dist_portability.pl +++ b/test_regress/t/t_dist_portability.pl @@ -9,11 +9,13 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di use IO::File; +scenarios(dist => 1); + my $root = ".."; my $Debug; if (!-r "$root/.git") { - $Self->skip("Not in a git repository"); + skip("Not in a git repository"); } else { uint(); printfll(); @@ -44,7 +46,7 @@ sub uint { } } if (keys %names) { - $Self->error("Files with uint32*_t instead of vluint32s: ",join(' ',sort keys %names)); + error("Files with uint32*_t instead of vluint32s: ",join(' ',sort keys %names)); } } @@ -65,7 +67,7 @@ sub printfll { } } if (keys %names) { - $Self->error("Files with %ll instead of VL_PRI64: ",join(' ',sort keys %names)); + error("Files with %ll instead of VL_PRI64: ",join(' ',sort keys %names)); } } @@ -83,7 +85,7 @@ sub cstr { } } if (keys %names) { - $Self->error("Files with potential c_str() lifetime issue: ",join(' ',sort keys %names)); + error("Files with potential c_str() lifetime issue: ",join(' ',sort keys %names)); } } @@ -102,7 +104,7 @@ sub vsnprintf { } } if (keys %names) { - $Self->error("Files with vsnprintf, use VL_VSNPRINTF: ",join(' ',sort keys %names)); + error("Files with vsnprintf, use VL_VSNPRINTF: ",join(' ',sort keys %names)); } } diff --git a/test_regress/t/t_dist_tabs.pl b/test_regress/t/t_dist_tabs.pl index 142e5eb51..90915eaf1 100755 --- a/test_regress/t/t_dist_tabs.pl +++ b/test_regress/t/t_dist_tabs.pl @@ -7,10 +7,12 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(dist => 1); + my $root = ".."; if (!-r "$root/.git") { - $Self->skip("Not in a git repository"); + skip("Not in a git repository"); } else { ### Must trim output before and after our file list my %warns; @@ -46,9 +48,9 @@ if (!-r "$root/.git") { } if (keys %warns) { # First warning lists everything as that's shown in the driver summary - $Self->error($summary." ",join(' ',sort keys %warns)); + error($summary." ",join(' ',sort keys %warns)); foreach my $file (sort keys %warns) { - $Self->error($warns{$file}); + error($warns{$file}); } } } diff --git a/test_regress/t/t_dist_untracked.pl b/test_regress/t/t_dist_untracked.pl index 839454bbf..a0e8e57be 100755 --- a/test_regress/t/t_dist_untracked.pl +++ b/test_regress/t/t_dist_untracked.pl @@ -7,11 +7,13 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(dist => 1); + my $root = ".."; my $Debug; if (!-r "$root/.git") { - $Self->skip("Not in a git repository"); + skip("Not in a git repository"); } else { ### Must trim output before and after our file list my %warns; @@ -33,9 +35,9 @@ if (!-r "$root/.git") { } if (keys %warns) { # First warning lists everything as that's shown in the driver summary - $Self->error($summary." ",join(' ',sort keys %warns)); + error($summary." ",join(' ',sort keys %warns)); foreach my $file (sort keys %warns) { - $Self->error($warns{$file}); + error($warns{$file}); } } } diff --git a/test_regress/t/t_dist_whitespace.pl b/test_regress/t/t_dist_whitespace.pl index 925b5a5d6..35a72b280 100755 --- a/test_regress/t/t_dist_whitespace.pl +++ b/test_regress/t/t_dist_whitespace.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(dist => 1); + my $root = ".."; my $Debug; @@ -45,14 +47,14 @@ foreach my $file (sort keys %files) { if (keys %warns) { # First warning lists everything as that's shown in the driver summary if ($ENV{HARNESS_UPDATE_GOLDEN}) { - $Self->error("Updated files with whitespace errors: ",join(' ',sort keys %warns)); - $Self->error("To auto-fix: HARNESS_UPDATE_GOLDEN=1 {command} or --golden"); + error("Updated files with whitespace errors: ",join(' ',sort keys %warns)); + error("To auto-fix: HARNESS_UPDATE_GOLDEN=1 {command} or --golden"); } else { - $Self->error("Files have whitespace errors: ",join(' ',sort keys %warns)); - $Self->error("To auto-fix: HARNESS_UPDATE_GOLDEN=1 {command} or --golden"); + error("Files have whitespace errors: ",join(' ',sort keys %warns)); + error("To auto-fix: HARNESS_UPDATE_GOLDEN=1 {command} or --golden"); } foreach my $file (sort keys %warns) { - $Self->error($warns{$file}); + error($warns{$file}); } } diff --git a/test_regress/t/t_dos.pl b/test_regress/t/t_dos.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_dos.pl +++ b/test_regress/t/t_dos.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_dpi_2exp_bad.pl b/test_regress/t/t_dpi_2exp_bad.pl index 69a08ad4c..e8f108ede 100755 --- a/test_regress/t/t_dpi_2exp_bad.pl +++ b/test_regress/t/t_dpi_2exp_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => $Self->{vlt}, diff --git a/test_regress/t/t_dpi_accessors.pl b/test_regress/t/t_dpi_accessors.pl index e80b50cf5..d2741cc06 100755 --- a/test_regress/t/t_dpi_accessors.pl +++ b/test_regress/t/t_dpi_accessors.pl @@ -10,6 +10,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # 8-Mar-2012: Modifications for this test contributed by Jeremy Bennett and # Jie Xu. +scenarios(simulator => 1); + compile( make_top_shell => 0, make_main => 0, diff --git a/test_regress/t/t_dpi_context.pl b/test_regress/t/t_dpi_context.pl index c9920d4ba..10cc5c36c 100755 --- a/test_regress/t/t_dpi_context.pl +++ b/test_regress/t/t_dpi_context.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["t/t_dpi_context_c.cpp"], ); diff --git a/test_regress/t/t_dpi_context_noopt.pl b/test_regress/t/t_dpi_context_noopt.pl index ec7cdcbaf..ed5d502ed 100755 --- a/test_regress/t/t_dpi_context_noopt.pl +++ b/test_regress/t/t_dpi_context_noopt.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_dpi_context.v"); compile( diff --git a/test_regress/t/t_dpi_display.pl b/test_regress/t/t_dpi_display.pl index fe58ffc64..4999351dd 100755 --- a/test_regress/t/t_dpi_display.pl +++ b/test_regress/t/t_dpi_display.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["t/t_dpi_display_c.cpp"], ); diff --git a/test_regress/t/t_dpi_dup_bad.pl b/test_regress/t/t_dpi_dup_bad.pl index 05bd2719c..071d02ca0 100755 --- a/test_regress/t/t_dpi_dup_bad.pl +++ b/test_regress/t/t_dpi_dup_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => $Self->{vlt}, diff --git a/test_regress/t/t_dpi_exp_bad.pl b/test_regress/t/t_dpi_exp_bad.pl index 335f665fa..eb1b354e2 100755 --- a/test_regress/t/t_dpi_exp_bad.pl +++ b/test_regress/t/t_dpi_exp_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => $Self->{vlt}, diff --git a/test_regress/t/t_dpi_export.pl b/test_regress/t/t_dpi_export.pl index 01b80fca1..a665b7335 100755 --- a/test_regress/t/t_dpi_export.pl +++ b/test_regress/t/t_dpi_export.pl @@ -9,6 +9,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # irun -sv top.v t_dpi_export.v -cpost t_dpi_export_c.c -end +scenarios(simulator => 1); + compile( # Amazingly VCS, NC and Verilator all just accept the C file here! v_flags2 => ["t/t_dpi_export_c.cpp"], diff --git a/test_regress/t/t_dpi_imp_gen.pl b/test_regress/t/t_dpi_imp_gen.pl index f88074cb5..7232ad5ee 100755 --- a/test_regress/t/t_dpi_imp_gen.pl +++ b/test_regress/t/t_dpi_imp_gen.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["t/t_dpi_imp_gen_c.cpp"], ); diff --git a/test_regress/t/t_dpi_import.pl b/test_regress/t/t_dpi_import.pl index 305a6bf95..ce88e2ad0 100755 --- a/test_regress/t/t_dpi_import.pl +++ b/test_regress/t/t_dpi_import.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( # Amazingly VCS, NC and Verilator all just accept the C file here! v_flags2 => ["t/t_dpi_import_c.cpp"], diff --git a/test_regress/t/t_dpi_lib.pl b/test_regress/t/t_dpi_lib.pl index b2d0305f7..292f84bce 100755 --- a/test_regress/t/t_dpi_lib.pl +++ b/test_regress/t/t_dpi_lib.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["t/t_dpi_lib_c.cpp"], verilator_flags2 => ["-Wall -Wno-DECLFILENAME"], diff --git a/test_regress/t/t_dpi_logic_bad.pl b/test_regress/t/t_dpi_logic_bad.pl index 7e62e5c16..15509c064 100755 --- a/test_regress/t/t_dpi_logic_bad.pl +++ b/test_regress/t/t_dpi_logic_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => $Self->{vlt}, diff --git a/test_regress/t/t_dpi_name_bad.pl b/test_regress/t/t_dpi_name_bad.pl index 7991d6c57..18d746405 100755 --- a/test_regress/t/t_dpi_name_bad.pl +++ b/test_regress/t/t_dpi_name_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => $Self->{vlt}, diff --git a/test_regress/t/t_dpi_open.pl b/test_regress/t/t_dpi_open.pl index 41fe609de..c4ca90723 100755 --- a/test_regress/t/t_dpi_open.pl +++ b/test_regress/t/t_dpi_open.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["t/t_dpi_open_c.cpp"], verilator_flags2 => ["-Wall -Wno-DECLFILENAME -unroll-count 1"], diff --git a/test_regress/t/t_dpi_openfirst.pl b/test_regress/t/t_dpi_openfirst.pl index f1e175e98..0e6e42ea9 100755 --- a/test_regress/t/t_dpi_openfirst.pl +++ b/test_regress/t/t_dpi_openfirst.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["t/t_dpi_openfirst_c.cpp"], verilator_flags2 => ["-Wall -Wno-DECLFILENAME"], diff --git a/test_regress/t/t_dpi_openreg_bad.pl b/test_regress/t/t_dpi_openreg_bad.pl index f0c2ba27d..f02701726 100755 --- a/test_regress/t/t_dpi_openreg_bad.pl +++ b/test_regress/t/t_dpi_openreg_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => $Self->{vlt}, diff --git a/test_regress/t/t_dpi_qw.pl b/test_regress/t/t_dpi_qw.pl index 4cdd01d33..5f46d7150 100755 --- a/test_regress/t/t_dpi_qw.pl +++ b/test_regress/t/t_dpi_qw.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["t/t_dpi_qw_c.cpp"], verilator_flags2 => ["-Wall -Wno-DECLFILENAME -no-l2name"], diff --git a/test_regress/t/t_dpi_shortcircuit.pl b/test_regress/t/t_dpi_shortcircuit.pl index bdaf45a58..d065fdda9 100755 --- a/test_regress/t/t_dpi_shortcircuit.pl +++ b/test_regress/t/t_dpi_shortcircuit.pl @@ -7,7 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} and $Self->unsupported("Verilator unsupported, bug413 short circuit"); +scenarios(simulator => 1); +$Self->{vlt} and unsupported("Verilator unsupported, bug413 short circuit"); compile( # Amazingly VCS, NC and Verilator all just accept the C file here! diff --git a/test_regress/t/t_dpi_shortcircuit2.pl b/test_regress/t/t_dpi_shortcircuit2.pl index 2f657dd92..c076932ad 100755 --- a/test_regress/t/t_dpi_shortcircuit2.pl +++ b/test_regress/t/t_dpi_shortcircuit2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( # Amazingly VCS, NC and Verilator all just accept the C file here! v_flags2 => ["t/t_dpi_shortcircuit_c.cpp"], diff --git a/test_regress/t/t_dpi_string.pl b/test_regress/t/t_dpi_string.pl index 93c371c10..9e6086334 100755 --- a/test_regress/t/t_dpi_string.pl +++ b/test_regress/t/t_dpi_string.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["t/t_dpi_string_c.cpp"], ); diff --git a/test_regress/t/t_dpi_sys.pl b/test_regress/t/t_dpi_sys.pl index cd76a2550..baee7849c 100755 --- a/test_regress/t/t_dpi_sys.pl +++ b/test_regress/t/t_dpi_sys.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["t/t_dpi_sys_c.cpp"], ); diff --git a/test_regress/t/t_dpi_vams.pl b/test_regress/t/t_dpi_vams.pl index dd53fe12b..66b07eadf 100755 --- a/test_regress/t/t_dpi_vams.pl +++ b/test_regress/t/t_dpi_vams.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( make_top_shell => 0, make_main => 0, diff --git a/test_regress/t/t_dpi_var.pl b/test_regress/t/t_dpi_var.pl index 6c3f431a3..699b48d9e 100755 --- a/test_regress/t/t_dpi_var.pl +++ b/test_regress/t/t_dpi_var.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( make_top_shell => 0, make_main => 0, diff --git a/test_regress/t/t_embed1.pl b/test_regress/t/t_embed1.pl index b85c8db24..9bdb42848 100755 --- a/test_regress/t/t_embed1.pl +++ b/test_regress/t/t_embed1.pl @@ -9,6 +9,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di use File::Spec; +scenarios(simulator => 1); + my $self = $Self; my $child_dir = "$Self->{obj_dir}_child"; mkdir $child_dir; @@ -21,19 +23,19 @@ mkdir $child_dir; verilator_flags => ["-cc", "-Mdir", "${child_dir}", "--debug-check"], ); - $Self->run(logfile => "${child_dir}/vlt_compile.log", - cmd => \@cmdargs); + run(logfile => "${child_dir}/vlt_compile.log", + cmd => \@cmdargs); - $Self->run(logfile => "${child_dir}/vlt_gcc.log", - cmd => ["cd ${child_dir} && ", - "make", "-f".getcwd()."/Makefile_obj", - "CPPFLAGS_DRIVER=-D".uc($self->{name}), - ($opt_verbose ? "CPPFLAGS_DRIVER2=-DTEST_VERBOSE=1":""), - "MAKE_MAIN=0", - "VM_PREFIX=$self->{VM_PREFIX}_child", - "V$self->{name}_child__ALL.a", # bypass default rule, make archive - ($param{make_flags}||""), - ]); + run(logfile => "${child_dir}/vlt_gcc.log", + cmd => ["cd ${child_dir} && ", + "make", "-f".getcwd()."/Makefile_obj", + "CPPFLAGS_DRIVER=-D".uc($self->{name}), + ($opt_verbose ? "CPPFLAGS_DRIVER2=-DTEST_VERBOSE=1":""), + "MAKE_MAIN=0", + "VM_PREFIX=$self->{VM_PREFIX}_child", + "V$self->{name}_child__ALL.a", # bypass default rule, make archive + ($param{make_flags}||""), + ]); } # Compile the parent (might be with other than verilator) diff --git a/test_regress/t/t_emit_constw.pl b/test_regress/t/t_emit_constw.pl index 843e79772..ed09e4347 100755 --- a/test_regress/t/t_emit_constw.pl +++ b/test_regress/t/t_emit_constw.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['--Ox'], ); diff --git a/test_regress/t/t_emit_memb_limit.pl b/test_regress/t/t_emit_memb_limit.pl index 892b99625..713d6af9e 100755 --- a/test_regress/t/t_emit_memb_limit.pl +++ b/test_regress/t/t_emit_memb_limit.pl @@ -8,6 +8,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Version 2.0. use IO::File; +scenarios(simulator => 1); + sub gen { my $filename = shift; my $n = shift; diff --git a/test_regress/t/t_enum.pl b/test_regress/t/t_enum.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_enum.pl +++ b/test_regress/t/t_enum.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_enum_func.pl b/test_regress/t/t_enum_func.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_enum_func.pl +++ b/test_regress/t/t_enum_func.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_enum_int.pl b/test_regress/t/t_enum_int.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_enum_int.pl +++ b/test_regress/t/t_enum_int.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_enum_large_methods.pl b/test_regress/t/t_enum_large_methods.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_enum_large_methods.pl +++ b/test_regress/t/t_enum_large_methods.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_enum_name2.pl b/test_regress/t/t_enum_name2.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_enum_name2.pl +++ b/test_regress/t/t_enum_name2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_enum_name3.pl b/test_regress/t/t_enum_name3.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_enum_name3.pl +++ b/test_regress/t/t_enum_name3.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_enum_overlap_bad.pl b/test_regress/t/t_enum_overlap_bad.pl index 2f9dc8ef3..08bf8eb06 100755 --- a/test_regress/t/t_enum_overlap_bad.pl +++ b/test_regress/t/t_enum_overlap_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_enum_public.pl b/test_regress/t/t_enum_public.pl index 92da43742..0560d989d 100755 --- a/test_regress/t/t_enum_public.pl +++ b/test_regress/t/t_enum_public.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + if ($Self->{vlt}) { compile( verilator_flags2 => ["--exe $Self->{t_dir}/$Self->{name}.cpp"], diff --git a/test_regress/t/t_enum_type_methods.pl b/test_regress/t/t_enum_type_methods.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_enum_type_methods.pl +++ b/test_regress/t/t_enum_type_methods.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_enum_type_pins.pl b/test_regress/t/t_enum_type_pins.pl index e962a5897..0b6418428 100755 --- a/test_regress/t/t_enum_type_pins.pl +++ b/test_regress/t/t_enum_type_pins.pl @@ -7,8 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); # Not yet working on Verilator -$Self->{vlt} and $Self->unsupported("Verilator unsupported"); +$Self->{vlt} and unsupported("Verilator unsupported"); compile( ); diff --git a/test_regress/t/t_enumeration.pl b/test_regress/t/t_enumeration.pl index cbddd6586..7ea54cef9 100755 --- a/test_regress/t/t_enumeration.pl +++ b/test_regress/t/t_enumeration.pl @@ -7,7 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} and $Self->unsupported("Verilator unsupported, bug460"); +scenarios(simulator => 1); +$Self->{vlt} and unsupported("Verilator unsupported, bug460"); compile( ); diff --git a/test_regress/t/t_extend.pl b/test_regress/t/t_extend.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_extend.pl +++ b/test_regress/t/t_extend.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_extend_class.pl b/test_regress/t/t_extend_class.pl index ae4f595bc..6da737e5a 100755 --- a/test_regress/t/t_extend_class.pl +++ b/test_regress/t/t_extend_class.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( make_flags => "CPPFLAGS_ADD=-I$Self->{t_dir}", diff --git a/test_regress/t/t_final.pl b/test_regress/t/t_final.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_final.pl +++ b/test_regress/t/t_final.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_flag_bboxsys.pl b/test_regress/t/t_flag_bboxsys.pl index a06291cb5..607c39a55 100755 --- a/test_regress/t/t_flag_bboxsys.pl +++ b/test_regress/t/t_flag_bboxsys.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( verilator_flags2 => ["--bbox-sys"], diff --git a/test_regress/t/t_flag_csplit.pl b/test_regress/t/t_flag_csplit.pl index ed6023554..334edeb17 100755 --- a/test_regress/t/t_flag_csplit.pl +++ b/test_regress/t/t_flag_csplit.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--trace --output-split 1 --output-split-cfuncs 1"], @@ -22,7 +22,7 @@ foreach my $file (glob("$Self->{obj_dir}/*.cpp")) { $got1 = 1 if $file =~ /__1/; check($file); } -$got1 or $Self->error("No __1 split file found"); +$got1 or error("No __1 split file found"); ok(1); 1; @@ -32,7 +32,7 @@ sub check { my $filename = shift; my $size = -s $filename; printf " File %6d %s\n", $size, $filename if $Self->{verbose}; - my $fh = IO::File->new("<$filename") or $Self->error("$! $filenme"); + my $fh = IO::File->new("<$filename") or error("$! $filenme"); my @funcs; while (defined (my $line = $fh->getline)) { if ($line =~ /^(void|IData)\s+(.*::.*)/) { @@ -50,6 +50,6 @@ sub check { } } if ($#funcs > 0) { - $Self->error("Split had multiple functions in $filename\n\t".join("\n\t",@funcs)); + error("Split had multiple functions in $filename\n\t".join("\n\t",@funcs)); } } diff --git a/test_regress/t/t_flag_debug_noleak.pl b/test_regress/t/t_flag_debug_noleak.pl index f56393e9e..2b48967e4 100755 --- a/test_regress/t/t_flag_debug_noleak.pl +++ b/test_regress/t/t_flag_debug_noleak.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( v_flags2 => ["--debug --no-debug-leak"], diff --git a/test_regress/t/t_flag_define.pl b/test_regress/t/t_flag_define.pl index 4b17fb63c..59d1d460b 100755 --- a/test_regress/t/t_flag_define.pl +++ b/test_regress/t/t_flag_define.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(vlt => 1); + compile( v_flags2 => ["-f t/t_flag_define.vc"], ); diff --git a/test_regress/t/t_flag_f.pl b/test_regress/t/t_flag_f.pl index 422c02b63..d153e1bfe 100755 --- a/test_regress/t/t_flag_f.pl +++ b/test_regress/t/t_flag_f.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(vlt => 1); + compile( v_flags2 => ["-f t/t_flag_f.vc"], ); diff --git a/test_regress/t/t_flag_fi.pl b/test_regress/t/t_flag_fi.pl index af5209d5f..8acd952d7 100755 --- a/test_regress/t/t_flag_fi.pl +++ b/test_regress/t/t_flag_fi.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( make_top_shell => 0, diff --git a/test_regress/t/t_flag_future.pl b/test_regress/t/t_flag_future.pl index 2c012e988..056d0c358 100755 --- a/test_regress/t/t_flag_future.pl +++ b/test_regress/t/t_flag_future.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(vlt => 1); + compile( make_top_shell => 0, make_main => 0, diff --git a/test_regress/t/t_flag_getenv.pl b/test_regress/t/t_flag_getenv.pl index f7467af9d..46a0f5603 100755 --- a/test_regress/t/t_flag_getenv.pl +++ b/test_regress/t/t_flag_getenv.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); $ENV{FOOBARTEST} = "gotit"; diff --git a/test_regress/t/t_flag_language.pl b/test_regress/t/t_flag_language.pl index 639e6b03f..f0482a0e5 100755 --- a/test_regress/t/t_flag_language.pl +++ b/test_regress/t/t_flag_language.pl @@ -1,5 +1,13 @@ #!/usr/bin/perl if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2008 by Wilson Snyder. This program is free software; you can +# redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. + +scenarios(vlt => 1); compile( verilator_flags2 => ['--language 1364-2001'], diff --git a/test_regress/t/t_flag_ldflags.pl b/test_regress/t/t_flag_ldflags.pl index 14e106286..dd0a85cd2 100755 --- a/test_regress/t/t_flag_ldflags.pl +++ b/test_regress/t/t_flag_ldflags.pl @@ -7,17 +7,17 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); -$Self->run(cmd => ["cd $Self->{obj_dir}" - ." && c++ -c ../../t/t_flag_ldflags_a.cpp" - ." && ar r t_flag_ldflags_a.a t_flag_ldflags_a.o" - ." && ranlib t_flag_ldflags_a.a "], - check_finished => 0); -$Self->run(cmd => ["cd $Self->{obj_dir}" - ." && c++ -fPIC -c ../../t/t_flag_ldflags_so.cpp" - ." && c++ -shared -o t_flag_ldflags_so.so -lc t_flag_ldflags_so.o"], - check_finished => 0); +run(cmd => ["cd $Self->{obj_dir}" + ." && c++ -c ../../t/t_flag_ldflags_a.cpp" + ." && ar r t_flag_ldflags_a.a t_flag_ldflags_a.o" + ." && ranlib t_flag_ldflags_a.a "], + check_finished => 0); +run(cmd => ["cd $Self->{obj_dir}" + ." && c++ -fPIC -c ../../t/t_flag_ldflags_so.cpp" + ." && c++ -shared -o t_flag_ldflags_so.so -lc t_flag_ldflags_so.o"], + check_finished => 0); compile( # Pass multiple -D's so we check quoting works properly diff --git a/test_regress/t/t_flag_lib.pl b/test_regress/t/t_flag_lib.pl index 09f602b6a..70632d38a 100755 --- a/test_regress/t/t_flag_lib.pl +++ b/test_regress/t/t_flag_lib.pl @@ -1,5 +1,13 @@ #!/usr/bin/perl if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2003 by Wilson Snyder. This program is free software; you can +# redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. + +scenarios(vlt => 1); compile( v_flags2 => ['-v', 't/t_flag_libinc.v'], diff --git a/test_regress/t/t_flag_names.pl b/test_regress/t/t_flag_names.pl index a159ac5db..150ac0668 100755 --- a/test_regress/t/t_flag_names.pl +++ b/test_regress/t/t_flag_names.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(vlt => 1); + compile( verilator_flags2 => ["--mod-prefix modPrefix --top-module t --l2-name l2Name"], ); diff --git a/test_regress/t/t_flag_nomod_bad.pl b/test_regress/t/t_flag_nomod_bad.pl index 378bb7913..93832c31a 100755 --- a/test_regress/t/t_flag_nomod_bad.pl +++ b/test_regress/t/t_flag_nomod_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_flag_parameter.pl b/test_regress/t/t_flag_parameter.pl index ae89a9384..2b3c896c1 100755 --- a/test_regress/t/t_flag_parameter.pl +++ b/test_regress/t/t_flag_parameter.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(vlt => 1); + compile( # It is not possible to put them into the options file v_flags2 => ['-Gstring1="\"New String\"" -pvalue+string2="\"New String\"" -f t/t_flag_parameter.vc'], diff --git a/test_regress/t/t_flag_relinc.pl b/test_regress/t/t_flag_relinc.pl index d24b16b45..6471e8f90 100755 --- a/test_regress/t/t_flag_relinc.pl +++ b/test_regress/t/t_flag_relinc.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( v_flags2 => ["--relative-includes", diff --git a/test_regress/t/t_flag_skipidentical.pl b/test_regress/t/t_flag_skipidentical.pl index 6e9723ccd..9b05be5ee 100755 --- a/test_regress/t/t_flag_skipidentical.pl +++ b/test_regress/t/t_flag_skipidentical.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); { compile(); @@ -15,7 +15,7 @@ $Self->{vlt} or $Self->skip("Verilator only test"); my $outfile = "$Self->{obj_dir}/V".$Self->{name}.".cpp"; my @oldstats = stat($outfile); print "Old mtime=",$oldstats[9],"\n"; - $oldstats[9] or $Self->error("No output file found: $outfile\n"); + $oldstats[9] or error("No output file found: $outfile\n"); sleep (1); # Or else it might take < 1 second to compile and see no diff. @@ -25,7 +25,7 @@ $Self->{vlt} or $Self->skip("Verilator only test"); print "New mtime=",$newstats[9],"\n"; ($oldstats[9] == $newstats[9]) - or $Self->error("--skip-identical was ignored -- recompiled\n"); + or error("--skip-identical was ignored -- recompiled\n"); } ok(1); diff --git a/test_regress/t/t_flag_stats.pl b/test_regress/t/t_flag_stats.pl index 7bc0f1abc..addaf5a47 100755 --- a/test_regress/t/t_flag_stats.pl +++ b/test_regress/t/t_flag_stats.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( verilator_flags2 => ["--stats --stats-vars"], diff --git a/test_regress/t/t_flag_topmod2_bad.pl b/test_regress/t/t_flag_topmod2_bad.pl index af1eacc8e..e749ecd73 100755 --- a/test_regress/t/t_flag_topmod2_bad.pl +++ b/test_regress/t/t_flag_topmod2_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( v_flags2 => ["--top-module a "], diff --git a/test_regress/t/t_flag_topmodule.pl b/test_regress/t/t_flag_topmodule.pl index 19bd10bde..8a29e5b05 100755 --- a/test_regress/t/t_flag_topmodule.pl +++ b/test_regress/t/t_flag_topmodule.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( v_flags2 => ["--top-module b "], diff --git a/test_regress/t/t_flag_topmodule_bad.pl b/test_regress/t/t_flag_topmodule_bad.pl index 444745f90..f65d2074e 100755 --- a/test_regress/t/t_flag_topmodule_bad.pl +++ b/test_regress/t/t_flag_topmodule_bad.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_flag_topmodule.v"); +scenarios(vlt => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_flag_topmodule.v"); compile( fails => $Self->{vlt}, diff --git a/test_regress/t/t_flag_topmodule_bad2.pl b/test_regress/t/t_flag_topmodule_bad2.pl index 106452daf..58f804727 100755 --- a/test_regress/t/t_flag_topmodule_bad2.pl +++ b/test_regress/t/t_flag_topmodule_bad2.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_flag_topmodule.v"); +scenarios(vlt => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_flag_topmodule.v"); compile( fails => $Self->{vlt}, diff --git a/test_regress/t/t_flag_topmodule_inline.pl b/test_regress/t/t_flag_topmodule_inline.pl index 9dc0cac99..71014e108 100755 --- a/test_regress/t/t_flag_topmodule_inline.pl +++ b/test_regress/t/t_flag_topmodule_inline.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( v_flags2 => ["--top-module b"], diff --git a/test_regress/t/t_flag_werror_bad1.pl b/test_regress/t/t_flag_werror_bad1.pl index 74bf805f1..9a952a724 100755 --- a/test_regress/t/t_flag_werror_bad1.pl +++ b/test_regress/t/t_flag_werror_bad1.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_flag_werror.v"); +scenarios(vlt => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_flag_werror.v"); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_flag_werror_bad2.pl b/test_regress/t/t_flag_werror_bad2.pl index 744ad4ac0..11653d135 100755 --- a/test_regress/t/t_flag_werror_bad2.pl +++ b/test_regress/t/t_flag_werror_bad2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(vlt => 1); + top_filename("t/t_flag_werror.v"); compile( diff --git a/test_regress/t/t_flag_wfatal.pl b/test_regress/t/t_flag_wfatal.pl index e94ba9a52..62c21941c 100755 --- a/test_regress/t/t_flag_wfatal.pl +++ b/test_regress/t/t_flag_wfatal.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_flag_wfatal.v"); +scenarios(vlt => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_flag_wfatal.v"); compile( v_flags2 => ["--lint-only -Wno-fatal"], diff --git a/test_regress/t/t_flag_woff.pl b/test_regress/t/t_flag_woff.pl index 97b05acef..7bbcf0f32 100755 --- a/test_regress/t/t_flag_woff.pl +++ b/test_regress/t/t_flag_woff.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( v_flags2 => ["--lint-only -Wno-WIDTH"], diff --git a/test_regress/t/t_flag_xinitial_0.pl b/test_regress/t/t_flag_xinitial_0.pl index 3ea8513bc..ea707b500 100755 --- a/test_regress/t/t_flag_xinitial_0.pl +++ b/test_regress/t/t_flag_xinitial_0.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(vlt_all => 1); + compile( verilator_flags2 => ["--x-initial 0"], ); diff --git a/test_regress/t/t_flag_xinitial_unique.pl b/test_regress/t/t_flag_xinitial_unique.pl index 6fd96d2fb..5af7cb2e3 100755 --- a/test_regress/t/t_flag_xinitial_unique.pl +++ b/test_regress/t/t_flag_xinitial_unique.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(vlt_all => 1); + compile( verilator_flags2 => ["--x-initial unique"], ); diff --git a/test_regress/t/t_for_break.pl b/test_regress/t/t_for_break.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_for_break.pl +++ b/test_regress/t/t_for_break.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_for_count.pl b/test_regress/t/t_for_count.pl index 288297072..b1acebe26 100755 --- a/test_regress/t/t_for_count.pl +++ b/test_regress/t/t_for_count.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_for_funcbound.pl b/test_regress/t/t_for_funcbound.pl index d2191f578..808880367 100755 --- a/test_regress/t/t_for_funcbound.pl +++ b/test_regress/t/t_for_funcbound.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_for_init_bug.pl b/test_regress/t/t_for_init_bug.pl index 66bfb6c0b..47d4dd0ff 100755 --- a/test_regress/t/t_for_init_bug.pl +++ b/test_regress/t/t_for_init_bug.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_for_local.pl b/test_regress/t/t_for_local.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_for_local.pl +++ b/test_regress/t/t_for_local.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_for_loop.pl b/test_regress/t/t_for_loop.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_for_loop.pl +++ b/test_regress/t/t_for_loop.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_foreach.pl b/test_regress/t/t_foreach.pl index 2a055d4ee..676fb4191 100755 --- a/test_regress/t/t_foreach.pl +++ b/test_regress/t/t_foreach.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['--assert'] ); diff --git a/test_regress/t/t_func.pl b/test_regress/t/t_func.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func.pl +++ b/test_regress/t/t_func.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_bad.pl b/test_regress/t/t_func_bad.pl index 53229b529..7d8f21362 100755 --- a/test_regress/t/t_func_bad.pl +++ b/test_regress/t/t_func_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_func_bad2.pl b/test_regress/t/t_func_bad2.pl index 670e97c92..d14343d8d 100755 --- a/test_regress/t/t_func_bad2.pl +++ b/test_regress/t/t_func_bad2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => $Self->{vlt}, expect => diff --git a/test_regress/t/t_func_bad_width.pl b/test_regress/t/t_func_bad_width.pl index 1681f58b8..499ff7a4e 100755 --- a/test_regress/t/t_func_bad_width.pl +++ b/test_regress/t/t_func_bad_width.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => $Self->{vlt}, diff --git a/test_regress/t/t_func_begin2.pl b/test_regress/t/t_func_begin2.pl index b19b51e32..acfde8d55 100755 --- a/test_regress/t/t_func_begin2.pl +++ b/test_regress/t/t_func_begin2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only --inline-mult 1"], verilator_make_gcc => 0, diff --git a/test_regress/t/t_func_check.pl b/test_regress/t/t_func_check.pl index 1fc174264..06f37f010 100755 --- a/test_regress/t/t_func_check.pl +++ b/test_regress/t/t_func_check.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + $Self->{verilated_randReset} = 1; compile( diff --git a/test_regress/t/t_func_const.pl b/test_regress/t/t_func_const.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_const.pl +++ b/test_regress/t/t_func_const.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_const2_bad.pl b/test_regress/t/t_func_const2_bad.pl index e49c29e3b..83548112c 100755 --- a/test_regress/t/t_func_const2_bad.pl +++ b/test_regress/t/t_func_const2_bad.pl @@ -6,7 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -# + +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_func_const_bad.pl b/test_regress/t/t_func_const_bad.pl index 7c4f2e8b1..ac440c192 100755 --- a/test_regress/t/t_func_const_bad.pl +++ b/test_regress/t/t_func_const_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_func_const_packed_array_bad.pl b/test_regress/t/t_func_const_packed_array_bad.pl index beff91128..1c4925e5a 100755 --- a/test_regress/t/t_func_const_packed_array_bad.pl +++ b/test_regress/t/t_func_const_packed_array_bad.pl @@ -6,7 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -# + +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_func_const_packed_struct_bad.pl b/test_regress/t/t_func_const_packed_struct_bad.pl index 55e3c09ba..b07a16aa8 100755 --- a/test_regress/t/t_func_const_packed_struct_bad.pl +++ b/test_regress/t/t_func_const_packed_struct_bad.pl @@ -6,7 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -# + +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_func_const_packed_struct_bad2.pl b/test_regress/t/t_func_const_packed_struct_bad2.pl index 9564105a8..d61e7daeb 100755 --- a/test_regress/t/t_func_const_packed_struct_bad2.pl +++ b/test_regress/t/t_func_const_packed_struct_bad2.pl @@ -6,7 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -# + +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_func_const_struct_bad.pl b/test_regress/t/t_func_const_struct_bad.pl index 94952366d..5395c257e 100755 --- a/test_regress/t/t_func_const_struct_bad.pl +++ b/test_regress/t/t_func_const_struct_bad.pl @@ -6,7 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -# + +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_func_crc.pl b/test_regress/t/t_func_crc.pl index 2f7391ec0..803dca735 100755 --- a/test_regress/t/t_func_crc.pl +++ b/test_regress/t/t_func_crc.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--compiler msvc"], # We have deep expressions we want to test ); diff --git a/test_regress/t/t_func_default_warn.pl b/test_regress/t/t_func_default_warn.pl index 22dd02032..5f07268a6 100755 --- a/test_regress/t/t_func_default_warn.pl +++ b/test_regress/t/t_func_default_warn.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["-Wno-WIDTH"], ); diff --git a/test_regress/t/t_func_defaults.pl b/test_regress/t/t_func_defaults.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_defaults.pl +++ b/test_regress/t/t_func_defaults.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_dotted_inl0.pl b/test_regress/t/t_func_dotted_inl0.pl index c17b7aca0..af2faf33f 100755 --- a/test_regress/t/t_func_dotted_inl0.pl +++ b/test_regress/t/t_func_dotted_inl0.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_func_dotted.v"); compile( diff --git a/test_regress/t/t_func_dotted_inl1.pl b/test_regress/t/t_func_dotted_inl1.pl index 1e7459337..0f9ea98bd 100755 --- a/test_regress/t/t_func_dotted_inl1.pl +++ b/test_regress/t/t_func_dotted_inl1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_func_dotted.v"); compile( diff --git a/test_regress/t/t_func_dotted_inl2.pl b/test_regress/t/t_func_dotted_inl2.pl index f5b7370b7..fc71dbbca 100755 --- a/test_regress/t/t_func_dotted_inl2.pl +++ b/test_regress/t/t_func_dotted_inl2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_func_dotted.v"); compile( diff --git a/test_regress/t/t_func_endian.pl b/test_regress/t/t_func_endian.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_endian.pl +++ b/test_regress/t/t_func_endian.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_first.pl b/test_regress/t/t_func_first.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_first.pl +++ b/test_regress/t/t_func_first.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_flip.pl b/test_regress/t/t_func_flip.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_flip.pl +++ b/test_regress/t/t_func_flip.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_gen.pl b/test_regress/t/t_func_gen.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_gen.pl +++ b/test_regress/t/t_func_gen.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_graphcirc.pl b/test_regress/t/t_func_graphcirc.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_graphcirc.pl +++ b/test_regress/t/t_func_graphcirc.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_grey.pl b/test_regress/t/t_func_grey.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_grey.pl +++ b/test_regress/t/t_func_grey.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_lib.pl b/test_regress/t/t_func_lib.pl index 455ec9a40..e002e1c21 100755 --- a/test_regress/t/t_func_lib.pl +++ b/test_regress/t/t_func_lib.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ['-v', 't/t_func_lib_sub.v'], ); diff --git a/test_regress/t/t_func_lib_sub.pl b/test_regress/t/t_func_lib_sub.pl index d2692039d..95facfefc 100755 --- a/test_regress/t/t_func_lib_sub.pl +++ b/test_regress/t/t_func_lib_sub.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( ); diff --git a/test_regress/t/t_func_mlog2.pl b/test_regress/t/t_func_mlog2.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_mlog2.pl +++ b/test_regress/t/t_func_mlog2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_named.pl b/test_regress/t/t_func_named.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_named.pl +++ b/test_regress/t/t_func_named.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_noinl.pl b/test_regress/t/t_func_noinl.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_noinl.pl +++ b/test_regress/t/t_func_noinl.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_numones.pl b/test_regress/t/t_func_numones.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_numones.pl +++ b/test_regress/t/t_func_numones.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_outfirst.pl b/test_regress/t/t_func_outfirst.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_outfirst.pl +++ b/test_regress/t/t_func_outfirst.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_outp.pl b/test_regress/t/t_func_outp.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_outp.pl +++ b/test_regress/t/t_func_outp.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_paramed.pl b/test_regress/t/t_func_paramed.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_paramed.pl +++ b/test_regress/t/t_func_paramed.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_plog.pl b/test_regress/t/t_func_plog.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_plog.pl +++ b/test_regress/t/t_func_plog.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_public.pl b/test_regress/t/t_func_public.pl index e04fdad0e..a29ba45ad 100755 --- a/test_regress/t/t_func_public.pl +++ b/test_regress/t/t_func_public.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['+define+VERILATOR_PUBLIC_TASKS'], fails => $fail, diff --git a/test_regress/t/t_func_public_trace.pl b/test_regress/t/t_func_public_trace.pl index 88081e0e5..74b4c78ea 100755 --- a/test_regress/t/t_func_public_trace.pl +++ b/test_regress/t/t_func_public_trace.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + my $pubtask = ($Self->{vlt} && verilator_version() =~ /\(public_tasks\)/); # TBD top_filename("t/t_func_public.v"); diff --git a/test_regress/t/t_func_rand.pl b/test_regress/t/t_func_rand.pl index 4ca3f4d1d..6c781245e 100755 --- a/test_regress/t/t_func_rand.pl +++ b/test_regress/t/t_func_rand.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( make_top_shell => 0, diff --git a/test_regress/t/t_func_range.pl b/test_regress/t/t_func_range.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_range.pl +++ b/test_regress/t/t_func_range.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_real_abs.pl b/test_regress/t/t_func_real_abs.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_real_abs.pl +++ b/test_regress/t/t_func_real_abs.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_real_param.pl b/test_regress/t/t_func_real_param.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_real_param.pl +++ b/test_regress/t/t_func_real_param.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_regfirst.pl b/test_regress/t/t_func_regfirst.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_regfirst.pl +++ b/test_regress/t/t_func_regfirst.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_return.pl b/test_regress/t/t_func_return.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_return.pl +++ b/test_regress/t/t_func_return.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_sum.pl b/test_regress/t/t_func_sum.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_sum.pl +++ b/test_regress/t/t_func_sum.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_task_bad.pl b/test_regress/t/t_func_task_bad.pl index bbadd477b..0c15dee26 100755 --- a/test_regress/t/t_func_task_bad.pl +++ b/test_regress/t/t_func_task_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_func_tie_bad.pl b/test_regress/t/t_func_tie_bad.pl index 2dd4c5631..46d459dcb 100755 --- a/test_regress/t/t_func_tie_bad.pl +++ b/test_regress/t/t_func_tie_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_func_twocall.pl b/test_regress/t/t_func_twocall.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_twocall.pl +++ b/test_regress/t/t_func_twocall.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_types.pl b/test_regress/t/t_func_types.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_types.pl +++ b/test_regress/t/t_func_types.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_under.pl b/test_regress/t/t_func_under.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_under.pl +++ b/test_regress/t/t_func_under.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_under2.pl b/test_regress/t/t_func_under2.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_under2.pl +++ b/test_regress/t/t_func_under2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_v.pl b/test_regress/t/t_func_v.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_v.pl +++ b/test_regress/t/t_func_v.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_v_noinl.pl b/test_regress/t/t_func_v_noinl.pl index c28702143..fd294abcb 100755 --- a/test_regress/t/t_func_v_noinl.pl +++ b/test_regress/t/t_func_v_noinl.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_func_v.v"); compile( diff --git a/test_regress/t/t_func_wide.pl b/test_regress/t/t_func_wide.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_func_wide.pl +++ b/test_regress/t/t_func_wide.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_func_wide_out_bad.pl b/test_regress/t/t_func_wide_out_bad.pl index a001a5b70..ec42e1260 100755 --- a/test_regress/t/t_func_wide_out_bad.pl +++ b/test_regress/t/t_func_wide_out_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_gate_array.pl b/test_regress/t/t_gate_array.pl index 288297072..b1acebe26 100755 --- a/test_regress/t/t_gate_array.pl +++ b/test_regress/t/t_gate_array.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gate_basic.pl b/test_regress/t/t_gate_basic.pl index 288297072..b1acebe26 100755 --- a/test_regress/t/t_gate_basic.pl +++ b/test_regress/t/t_gate_basic.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gate_chained.pl b/test_regress/t/t_gate_chained.pl index b3d787168..f77d4afd1 100755 --- a/test_regress/t/t_gate_chained.pl +++ b/test_regress/t/t_gate_chained.pl @@ -8,6 +8,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Version 2.0. use IO::File; +scenarios(simulator => 1); + sub gen { my $filename = shift; diff --git a/test_regress/t/t_gate_elim.pl b/test_regress/t/t_gate_elim.pl index 288297072..b1acebe26 100755 --- a/test_regress/t/t_gate_elim.pl +++ b/test_regress/t/t_gate_elim.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gate_implicit.pl b/test_regress/t/t_gate_implicit.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_gate_implicit.pl +++ b/test_regress/t/t_gate_implicit.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gate_unsup.pl b/test_regress/t/t_gate_unsup.pl index 3d58f93b4..a45d22739 100755 --- a/test_regress/t/t_gate_unsup.pl +++ b/test_regress/t/t_gate_unsup.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( # Unsupported: UDP Tables make_top_shell => 0, diff --git a/test_regress/t/t_gated_clk_1.pl b/test_regress/t/t_gated_clk_1.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_gated_clk_1.pl +++ b/test_regress/t/t_gated_clk_1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gen_alw.pl b/test_regress/t/t_gen_alw.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_gen_alw.pl +++ b/test_regress/t/t_gen_alw.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gen_assign.pl b/test_regress/t/t_gen_assign.pl index 22db7a207..45e24b34a 100755 --- a/test_regress/t/t_gen_assign.pl +++ b/test_regress/t/t_gen_assign.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( ); diff --git a/test_regress/t/t_gen_cond_bitrange.pl b/test_regress/t/t_gen_cond_bitrange.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_gen_cond_bitrange.pl +++ b/test_regress/t/t_gen_cond_bitrange.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gen_cond_bitrange_bad.pl b/test_regress/t/t_gen_cond_bitrange_bad.pl index 10e95021d..3cec319f2 100755 --- a/test_regress/t/t_gen_cond_bitrange_bad.pl +++ b/test_regress/t/t_gen_cond_bitrange_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_gen_cond_const.pl b/test_regress/t/t_gen_cond_const.pl index d6924188c..549d3c8f8 100755 --- a/test_regress/t/t_gen_cond_const.pl +++ b/test_regress/t/t_gen_cond_const.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--language 1364-2001"] ); diff --git a/test_regress/t/t_gen_defparam.pl b/test_regress/t/t_gen_defparam.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_gen_defparam.pl +++ b/test_regress/t/t_gen_defparam.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gen_div0.pl b/test_regress/t/t_gen_div0.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_gen_div0.pl +++ b/test_regress/t/t_gen_div0.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gen_for.pl b/test_regress/t/t_gen_for.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_gen_for.pl +++ b/test_regress/t/t_gen_for.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gen_for0.pl b/test_regress/t/t_gen_for0.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_gen_for0.pl +++ b/test_regress/t/t_gen_for0.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gen_for1.pl b/test_regress/t/t_gen_for1.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_gen_for1.pl +++ b/test_regress/t/t_gen_for1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gen_for2.pl b/test_regress/t/t_gen_for2.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_gen_for2.pl +++ b/test_regress/t/t_gen_for2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gen_for_overlap.pl b/test_regress/t/t_gen_for_overlap.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_gen_for_overlap.pl +++ b/test_regress/t/t_gen_for_overlap.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gen_for_shuffle.pl b/test_regress/t/t_gen_for_shuffle.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_gen_for_shuffle.pl +++ b/test_regress/t/t_gen_for_shuffle.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gen_forif.pl b/test_regress/t/t_gen_forif.pl index e107cadd7..26ef67a0b 100755 --- a/test_regress/t/t_gen_forif.pl +++ b/test_regress/t/t_gen_forif.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( nc_flags2 => ['+access+r'], ); diff --git a/test_regress/t/t_gen_if.pl b/test_regress/t/t_gen_if.pl index 48ab44e95..33ff6d6c0 100755 --- a/test_regress/t/t_gen_if.pl +++ b/test_regress/t/t_gen_if.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( ); diff --git a/test_regress/t/t_gen_inc.pl b/test_regress/t/t_gen_inc.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_gen_inc.pl +++ b/test_regress/t/t_gen_inc.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gen_index.pl b/test_regress/t/t_gen_index.pl index 5401dfb17..b9cf6967c 100755 --- a/test_regress/t/t_gen_index.pl +++ b/test_regress/t/t_gen_index.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + # Compile time only test compile( ); diff --git a/test_regress/t/t_gen_intdot.pl b/test_regress/t/t_gen_intdot.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_gen_intdot.pl +++ b/test_regress/t/t_gen_intdot.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gen_intdot.v b/test_regress/t/t_gen_intdot.v index 54c18423d..fe457f57d 100644 --- a/test_regress/t/t_gen_intdot.v +++ b/test_regress/t/t_gen_intdot.v @@ -3,6 +3,8 @@ // This file ONLY is placed into the Public Domain, for any use, // without warranty, 2003-2007 by Wilson Snyder. +`define STRINGIFY(x) `"x`" + module t (/*AUTOARG*/ // Inputs clk @@ -40,7 +42,7 @@ module t (/*AUTOARG*/ //`define WAVES `ifdef WAVES initial begin - $dumpfile("obj_dir/t_gen_intdot/t_gen_intdot.vcd"); + $dumpfile({`STRINGIFY(`TEST_OBJ_DIR),"/simx.vcd"}); $dumpvars(12, t); end `endif diff --git a/test_regress/t/t_gen_intdot2.pl b/test_regress/t/t_gen_intdot2.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_gen_intdot2.pl +++ b/test_regress/t/t_gen_intdot2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gen_intdot2.v b/test_regress/t/t_gen_intdot2.v index f0212e91a..75a4d51ab 100644 --- a/test_regress/t/t_gen_intdot2.v +++ b/test_regress/t/t_gen_intdot2.v @@ -3,6 +3,8 @@ // This file ONLY is placed into the Public Domain, for any use, // without warranty, 2003-2007 by Wilson Snyder. +`define STRINGIFY(x) `"x`" + module t (/*AUTOARG*/ // Inputs clk @@ -33,7 +35,7 @@ module t (/*AUTOARG*/ //`define WAVES `ifdef WAVES initial begin - $dumpfile("obj_dir/t_gen_intdot2/t_gen_intdot.vcd"); + $dumpfile({`STRINGIFY(`TEST_OBJ_DIR),"/simx.vcd"}); $dumpvars(12, t); end `endif diff --git a/test_regress/t/t_gen_local.pl b/test_regress/t/t_gen_local.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_gen_local.pl +++ b/test_regress/t/t_gen_local.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gen_lsb.pl b/test_regress/t/t_gen_lsb.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_gen_lsb.pl +++ b/test_regress/t/t_gen_lsb.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gen_mislevel.pl b/test_regress/t/t_gen_mislevel.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_gen_mislevel.pl +++ b/test_regress/t/t_gen_mislevel.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gen_missing.pl b/test_regress/t/t_gen_missing.pl index 00d81ee58..5582e61c4 100755 --- a/test_regress/t/t_gen_missing.pl +++ b/test_regress/t/t_gen_missing.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ['+define+T_GEN_MISSING'], ); diff --git a/test_regress/t/t_gen_missing_bad.pl b/test_regress/t/t_gen_missing_bad.pl index d2ad1ecb7..407bc7eb2 100755 --- a/test_regress/t/t_gen_missing_bad.pl +++ b/test_regress/t/t_gen_missing_bad.pl @@ -7,9 +7,10 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(vlt => 1); + top_filename("t/t_gen_missing.v"); -$Self->{vlt} or $Self->skip("Verilator only test"); compile( v_flags2 => ['+define+T_GEN_MISSING_BAD'], fails => 1, diff --git a/test_regress/t/t_gen_upscope.pl b/test_regress/t/t_gen_upscope.pl index a88d3ddb8..7b502e256 100755 --- a/test_regress/t/t_gen_upscope.pl +++ b/test_regress/t/t_gen_upscope.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_gen_var_bad.pl b/test_regress/t/t_gen_var_bad.pl index fe669c2e6..c4230c3cf 100755 --- a/test_regress/t/t_gen_var_bad.pl +++ b/test_regress/t/t_gen_var_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_genfor_hier.pl b/test_regress/t/t_genfor_hier.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_genfor_hier.pl +++ b/test_regress/t/t_genfor_hier.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_genvar_misuse_bad.pl b/test_regress/t/t_genvar_misuse_bad.pl index f5fbc8f0e..4bac96d95 100755 --- a/test_regress/t/t_genvar_misuse_bad.pl +++ b/test_regress/t/t_genvar_misuse_bad.pl @@ -7,7 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} and $Self->unsupported("Verilator unsupported, bug408"); +scenarios(simulator => 1); +$Self->{vlt} and unsupported("Verilator unsupported, bug408"); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_help.pl b/test_regress/t/t_help.pl index fca8cba8e..057320634 100755 --- a/test_regress/t/t_help.pl +++ b/test_regress/t/t_help.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(dist => 1); foreach my $prog ( "../bin/verilator", @@ -15,11 +15,11 @@ foreach my $prog ( "../bin/verilator_difftree", "../bin/verilator_profcfunc", ) { - $Self->run(fails => 1, - cmd => ["perl",$prog, - "--help"], - logfile => "$Self->{obj_dir}/t_help.log", - tee => 0, + run(fails => 1, + cmd => ["perl",$prog, + "--help"], + logfile => "$Self->{obj_dir}/t_help.log", + tee => 0, ); file_grep ("$Self->{obj_dir}/t_help.log", qr/DISTRIBUTION/i); } diff --git a/test_regress/t/t_hierarchy_identifier.pl b/test_regress/t/t_hierarchy_identifier.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_hierarchy_identifier.pl +++ b/test_regress/t/t_hierarchy_identifier.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_hierarchy_identifier_bad.pl b/test_regress/t/t_hierarchy_identifier_bad.pl index 8f51efc22..5f1ed88d5 100755 --- a/test_regress/t/t_hierarchy_identifier_bad.pl +++ b/test_regress/t/t_hierarchy_identifier_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_hierarchy_unnamed.pl b/test_regress/t/t_hierarchy_unnamed.pl index 93f9e834f..dd4decbdb 100755 --- a/test_regress/t/t_hierarchy_unnamed.pl +++ b/test_regress/t/t_hierarchy_unnamed.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], make_top_shell => 0, diff --git a/test_regress/t/t_if_deep.pl b/test_regress/t/t_if_deep.pl index 2f7391ec0..803dca735 100755 --- a/test_regress/t/t_if_deep.pl +++ b/test_regress/t/t_if_deep.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--compiler msvc"], # We have deep expressions we want to test ); diff --git a/test_regress/t/t_init_concat.pl b/test_regress/t/t_init_concat.pl index 288297072..b1acebe26 100755 --- a/test_regress/t/t_init_concat.pl +++ b/test_regress/t/t_init_concat.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_initarray_nonarray.pl b/test_regress/t/t_initarray_nonarray.pl index 8349a24d7..5c448de7c 100755 --- a/test_regress/t/t_initarray_nonarray.pl +++ b/test_regress/t/t_initarray_nonarray.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_initial.pl b/test_regress/t/t_initial.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_initial.pl +++ b/test_regress/t/t_initial.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_initial_dlyass.pl b/test_regress/t/t_initial_dlyass.pl index 173a35b9d..8b4eb7d5d 100755 --- a/test_regress/t/t_initial_dlyass.pl +++ b/test_regress/t/t_initial_dlyass.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['-Wno-INITIALDLY'], ); diff --git a/test_regress/t/t_initial_dlyass_bad.pl b/test_regress/t/t_initial_dlyass_bad.pl index 3bd169cd2..ab06709d5 100755 --- a/test_regress/t/t_initial_dlyass_bad.pl +++ b/test_regress/t/t_initial_dlyass_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t_initial_dlyass.v"); compile( diff --git a/test_regress/t/t_initial_edge.pl b/test_regress/t/t_initial_edge.pl index 37ac962ae..a07391fc7 100755 --- a/test_regress/t/t_initial_edge.pl +++ b/test_regress/t/t_initial_edge.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--x-initial-edge"] ); diff --git a/test_regress/t/t_initial_edge_bad.pl b/test_regress/t/t_initial_edge_bad.pl index 72f4e129f..3a4a9fa92 100755 --- a/test_regress/t/t_initial_edge_bad.pl +++ b/test_regress/t/t_initial_edge_bad.pl @@ -7,11 +7,12 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_initial_edge.v"); - -# This works with other simulators, we we don't run it for them. It should +# This works with other vlt_alls, we we don't run it for them. It should # fail with Verilator if --x-initial-edge is not specified. -$Self->{vlt} or $Self->skip("Verilator only test"); + +scenarios(vlt_all => 1); + +top_filename("t/t_initial_edge.v"); compile( ); diff --git a/test_regress/t/t_inside.pl b/test_regress/t/t_inside.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_inside.pl +++ b/test_regress/t/t_inside.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_inside_wild.pl b/test_regress/t/t_inside_wild.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_inside_wild.pl +++ b/test_regress/t/t_inside_wild.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_inst_aport.pl b/test_regress/t/t_inst_aport.pl index dd9759158..adbfdeea8 100755 --- a/test_regress/t/t_inst_aport.pl +++ b/test_regress/t/t_inst_aport.pl @@ -7,7 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->unsupported("Verilator/commercial slice unsupported, bug711"); +scenarios(simulator => 1); +unsupported("Verilator/commercial slice unsupported, bug711"); compile( ); diff --git a/test_regress/t/t_inst_array_bad.pl b/test_regress/t/t_inst_array_bad.pl index 02a795e66..dc544d3d6 100755 --- a/test_regress/t/t_inst_array_bad.pl +++ b/test_regress/t/t_inst_array_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_inst_array_inl0.pl b/test_regress/t/t_inst_array_inl0.pl index ad84e8052..c4cccd0b0 100755 --- a/test_regress/t/t_inst_array_inl0.pl +++ b/test_regress/t/t_inst_array_inl0.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_array.v"); compile( diff --git a/test_regress/t/t_inst_array_inl1.pl b/test_regress/t/t_inst_array_inl1.pl index 8c164ac99..497533ec6 100755 --- a/test_regress/t/t_inst_array_inl1.pl +++ b/test_regress/t/t_inst_array_inl1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_array.v"); compile( diff --git a/test_regress/t/t_inst_array_partial.pl b/test_regress/t/t_inst_array_partial.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_inst_array_partial.pl +++ b/test_regress/t/t_inst_array_partial.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_inst_ccall.pl b/test_regress/t/t_inst_ccall.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_inst_ccall.pl +++ b/test_regress/t/t_inst_ccall.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_inst_comma_inl0.pl b/test_regress/t/t_inst_comma_inl0.pl index 1d9ff0731..b9b6bc01a 100755 --- a/test_regress/t/t_inst_comma_inl0.pl +++ b/test_regress/t/t_inst_comma_inl0.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_comma.v"); compile( diff --git a/test_regress/t/t_inst_comma_inl1.pl b/test_regress/t/t_inst_comma_inl1.pl index 84cb1470c..553b96107 100755 --- a/test_regress/t/t_inst_comma_inl1.pl +++ b/test_regress/t/t_inst_comma_inl1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_comma.v"); compile( diff --git a/test_regress/t/t_inst_darray.pl b/test_regress/t/t_inst_darray.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_inst_darray.pl +++ b/test_regress/t/t_inst_darray.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_inst_dff.pl b/test_regress/t/t_inst_dff.pl index 288297072..b1acebe26 100755 --- a/test_regress/t/t_inst_dff.pl +++ b/test_regress/t/t_inst_dff.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_inst_dtree_inla.pl b/test_regress/t/t_inst_dtree_inla.pl index 806edc6d9..3862d5166 100755 --- a/test_regress/t/t_inst_dtree_inla.pl +++ b/test_regress/t/t_inst_dtree_inla.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_dtree.v"); compile( diff --git a/test_regress/t/t_inst_dtree_inlab.pl b/test_regress/t/t_inst_dtree_inlab.pl index 5f782fafc..a17eec491 100755 --- a/test_regress/t/t_inst_dtree_inlab.pl +++ b/test_regress/t/t_inst_dtree_inlab.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_dtree.v"); compile( diff --git a/test_regress/t/t_inst_dtree_inlac.pl b/test_regress/t/t_inst_dtree_inlac.pl index f9897df88..31f5e113c 100755 --- a/test_regress/t/t_inst_dtree_inlac.pl +++ b/test_regress/t/t_inst_dtree_inlac.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_dtree.v"); compile( diff --git a/test_regress/t/t_inst_dtree_inlad.pl b/test_regress/t/t_inst_dtree_inlad.pl index 95a30d8e3..c9aa2cfec 100755 --- a/test_regress/t/t_inst_dtree_inlad.pl +++ b/test_regress/t/t_inst_dtree_inlad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_dtree.v"); compile( diff --git a/test_regress/t/t_inst_dtree_inlb.pl b/test_regress/t/t_inst_dtree_inlb.pl index 260a3474e..ddf31e7f3 100755 --- a/test_regress/t/t_inst_dtree_inlb.pl +++ b/test_regress/t/t_inst_dtree_inlb.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_dtree.v"); compile( diff --git a/test_regress/t/t_inst_dtree_inlbc.pl b/test_regress/t/t_inst_dtree_inlbc.pl index 4f5048f28..f2046ecfb 100755 --- a/test_regress/t/t_inst_dtree_inlbc.pl +++ b/test_regress/t/t_inst_dtree_inlbc.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_dtree.v"); compile( diff --git a/test_regress/t/t_inst_dtree_inlbd.pl b/test_regress/t/t_inst_dtree_inlbd.pl index 81cf6d495..d9a539536 100755 --- a/test_regress/t/t_inst_dtree_inlbd.pl +++ b/test_regress/t/t_inst_dtree_inlbd.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_dtree.v"); compile( diff --git a/test_regress/t/t_inst_dtree_inlc.pl b/test_regress/t/t_inst_dtree_inlc.pl index 292e63ce4..c36be6cda 100755 --- a/test_regress/t/t_inst_dtree_inlc.pl +++ b/test_regress/t/t_inst_dtree_inlc.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_dtree.v"); compile( diff --git a/test_regress/t/t_inst_dtree_inlcd.pl b/test_regress/t/t_inst_dtree_inlcd.pl index 3447cc78a..fcc73c54d 100755 --- a/test_regress/t/t_inst_dtree_inlcd.pl +++ b/test_regress/t/t_inst_dtree_inlcd.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_dtree.v"); compile( diff --git a/test_regress/t/t_inst_dtree_inld.pl b/test_regress/t/t_inst_dtree_inld.pl index 43bfcb63c..5c16b1988 100755 --- a/test_regress/t/t_inst_dtree_inld.pl +++ b/test_regress/t/t_inst_dtree_inld.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_dtree.v"); compile( diff --git a/test_regress/t/t_inst_first.pl b/test_regress/t/t_inst_first.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_inst_first.pl +++ b/test_regress/t/t_inst_first.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_inst_implicit.pl b/test_regress/t/t_inst_implicit.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_inst_implicit.pl +++ b/test_regress/t/t_inst_implicit.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_inst_misarray_bad.pl b/test_regress/t/t_inst_misarray_bad.pl index 7b19fb325..b87a8f781 100755 --- a/test_regress/t/t_inst_misarray_bad.pl +++ b/test_regress/t/t_inst_misarray_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_inst_mism.pl b/test_regress/t/t_inst_mism.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_inst_mism.pl +++ b/test_regress/t/t_inst_mism.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_inst_missing.pl b/test_regress/t/t_inst_missing.pl index bb68645ed..20cf980fd 100755 --- a/test_regress/t/t_inst_missing.pl +++ b/test_regress/t/t_inst_missing.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only --Wall -Wno-DECLFILENAME"], verilator_make_gcc => 0, diff --git a/test_regress/t/t_inst_missing_bad.pl b/test_regress/t/t_inst_missing_bad.pl index 4ba3b1905..cac41ce28 100755 --- a/test_regress/t/t_inst_missing_bad.pl +++ b/test_regress/t/t_inst_missing_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only --Wall -Wno-DECLFILENAME"], fails => 1, diff --git a/test_regress/t/t_inst_mnpipe.pl b/test_regress/t/t_inst_mnpipe.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_inst_mnpipe.pl +++ b/test_regress/t/t_inst_mnpipe.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_inst_notunsized.pl b/test_regress/t/t_inst_notunsized.pl index ffcdffcca..7654e1a4e 100755 --- a/test_regress/t/t_inst_notunsized.pl +++ b/test_regress/t/t_inst_notunsized.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2=>["-Wno-IMPLICIT"], ); diff --git a/test_regress/t/t_inst_overwide.pl b/test_regress/t/t_inst_overwide.pl index 7054838c2..dfea2018b 100755 --- a/test_regress/t/t_inst_overwide.pl +++ b/test_regress/t/t_inst_overwide.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( make_top_shell => 0, verilator_flags2 => [qw(-sc -Wno-WIDTH)], diff --git a/test_regress/t/t_inst_overwide_bad.pl b/test_regress/t/t_inst_overwide_bad.pl index 0f23ac1d1..89c911e01 100755 --- a/test_regress/t/t_inst_overwide_bad.pl +++ b/test_regress/t/t_inst_overwide_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_overwide.v"); compile( diff --git a/test_regress/t/t_inst_port_array.pl b/test_regress/t/t_inst_port_array.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_inst_port_array.pl +++ b/test_regress/t/t_inst_port_array.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_inst_prepost.pl b/test_regress/t/t_inst_prepost.pl index 8349a24d7..5c448de7c 100755 --- a/test_regress/t/t_inst_prepost.pl +++ b/test_regress/t/t_inst_prepost.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_inst_recurse2_bad.pl b/test_regress/t/t_inst_recurse2_bad.pl index 98da2bfe6..95e358ccc 100755 --- a/test_regress/t/t_inst_recurse2_bad.pl +++ b/test_regress/t/t_inst_recurse2_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => 1, expect => diff --git a/test_regress/t/t_inst_recurse_bad.pl b/test_regress/t/t_inst_recurse_bad.pl index 7f8b31c42..e0a1fb933 100755 --- a/test_regress/t/t_inst_recurse_bad.pl +++ b/test_regress/t/t_inst_recurse_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => 1, expect => diff --git a/test_regress/t/t_inst_signed.pl b/test_regress/t/t_inst_signed.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_inst_signed.pl +++ b/test_regress/t/t_inst_signed.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_inst_signed1.pl b/test_regress/t/t_inst_signed1.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_inst_signed1.pl +++ b/test_regress/t/t_inst_signed1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_inst_slice.pl b/test_regress/t/t_inst_slice.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_inst_slice.pl +++ b/test_regress/t/t_inst_slice.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_inst_slice_noinl.pl b/test_regress/t/t_inst_slice_noinl.pl index 9afb3301d..d9c0024d1 100755 --- a/test_regress/t/t_inst_slice_noinl.pl +++ b/test_regress/t/t_inst_slice_noinl.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_slice.v"); compile( diff --git a/test_regress/t/t_inst_sv.pl b/test_regress/t/t_inst_sv.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_inst_sv.pl +++ b/test_regress/t/t_inst_sv.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_inst_tree_inl0_pub0.pl b/test_regress/t/t_inst_tree_inl0_pub0.pl index 508dd509a..b9f879e8b 100755 --- a/test_regress/t/t_inst_tree_inl0_pub0.pl +++ b/test_regress/t/t_inst_tree_inl0_pub0.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_tree.v"); compile( diff --git a/test_regress/t/t_inst_tree_inl0_pub1.pl b/test_regress/t/t_inst_tree_inl0_pub1.pl index 52cada4fd..cd76d7848 100755 --- a/test_regress/t/t_inst_tree_inl0_pub1.pl +++ b/test_regress/t/t_inst_tree_inl0_pub1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_tree.v"); compile( @@ -27,9 +29,9 @@ sub checkRelativeRefs { } if ($found_relative != $expect_relative) { - $Self->error("$file " . - ($found_relative ? "has" : "does not have") . - " relative variable references."); + error("$file " . + ($found_relative ? "has" : "does not have") . + " relative variable references."); } } diff --git a/test_regress/t/t_inst_tree_inl0_pub1_norelcfuncs.pl b/test_regress/t/t_inst_tree_inl0_pub1_norelcfuncs.pl index 168e81d10..1b5c64c08 100755 --- a/test_regress/t/t_inst_tree_inl0_pub1_norelcfuncs.pl +++ b/test_regress/t/t_inst_tree_inl0_pub1_norelcfuncs.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_tree.v"); compile( @@ -25,7 +27,7 @@ if ($Self->{vlt}) { my $text = file_contents($file); $text =~ s/this->__VlSymsp//g; if ($text =~ m/this->/) { - $Self->error("$file has unexpected this-> refs when --norelative-cfuncs"); + error("$file has unexpected this-> refs when --norelative-cfuncs"); } } } diff --git a/test_regress/t/t_inst_tree_inl1_pub0.pl b/test_regress/t/t_inst_tree_inl1_pub0.pl index 3bc2fedf4..fe266c45e 100755 --- a/test_regress/t/t_inst_tree_inl1_pub0.pl +++ b/test_regress/t/t_inst_tree_inl1_pub0.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_tree.v"); compile( diff --git a/test_regress/t/t_inst_tree_inl1_pub1.pl b/test_regress/t/t_inst_tree_inl1_pub1.pl index 7c199eb17..4d0910915 100755 --- a/test_regress/t/t_inst_tree_inl1_pub1.pl +++ b/test_regress/t/t_inst_tree_inl1_pub1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_inst_tree.v"); compile( diff --git a/test_regress/t/t_inst_v2k.pl b/test_regress/t/t_inst_v2k.pl index 2ead82e4a..42bec2a5b 100755 --- a/test_regress/t/t_inst_v2k.pl +++ b/test_regress/t/t_inst_v2k.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ['+libext+.vi+.extranoneed'], nc => 0, # Error: Multiple +libext flags found diff --git a/test_regress/t/t_inst_wideconst.pl b/test_regress/t/t_inst_wideconst.pl index 722cc5024..60667b1d5 100755 --- a/test_regress/t/t_inst_wideconst.pl +++ b/test_regress/t/t_inst_wideconst.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['-public'], ); diff --git a/test_regress/t/t_interface.pl b/test_regress/t/t_interface.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_interface.pl +++ b/test_regress/t/t_interface.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface1.pl b/test_regress/t/t_interface1.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_interface1.pl +++ b/test_regress/t/t_interface1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface1_modport.pl b/test_regress/t/t_interface1_modport.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_interface1_modport.pl +++ b/test_regress/t/t_interface1_modport.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface1_modport_nansi.pl b/test_regress/t/t_interface1_modport_nansi.pl index 5abe697ae..29c3e909c 100755 --- a/test_regress/t/t_interface1_modport_nansi.pl +++ b/test_regress/t/t_interface1_modport_nansi.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_interface1_modport.v"); compile( diff --git a/test_regress/t/t_interface1_modport_noinl.pl b/test_regress/t/t_interface1_modport_noinl.pl index 9bb980ea7..a04b71dbe 100755 --- a/test_regress/t/t_interface1_modport_noinl.pl +++ b/test_regress/t/t_interface1_modport_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface1_modport.v"); compile( diff --git a/test_regress/t/t_interface1_modport_trace.pl b/test_regress/t/t_interface1_modport_trace.pl index 41c452479..336f9b7e8 100755 --- a/test_regress/t/t_interface1_modport_trace.pl +++ b/test_regress/t/t_interface1_modport_trace.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_interface1_modport.v"); compile( diff --git a/test_regress/t/t_interface1_noinl.pl b/test_regress/t/t_interface1_noinl.pl index 85f88ceb4..addef5226 100755 --- a/test_regress/t/t_interface1_noinl.pl +++ b/test_regress/t/t_interface1_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface1.v"); compile( diff --git a/test_regress/t/t_interface2.pl b/test_regress/t/t_interface2.pl index 42043920e..ccc8e57db 100755 --- a/test_regress/t/t_interface2.pl +++ b/test_regress/t/t_interface2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--top-module t"], ); diff --git a/test_regress/t/t_interface2_noinl.pl b/test_regress/t/t_interface2_noinl.pl index da165b1dc..782f20df7 100755 --- a/test_regress/t/t_interface2_noinl.pl +++ b/test_regress/t/t_interface2_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface2.v"); compile( diff --git a/test_regress/t/t_interface_array.pl b/test_regress/t/t_interface_array.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_interface_array.pl +++ b/test_regress/t/t_interface_array.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_array_bad.pl b/test_regress/t/t_interface_array_bad.pl index 7ec3ba965..292c6da02 100755 --- a/test_regress/t/t_interface_array_bad.pl +++ b/test_regress/t/t_interface_array_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => 1, expect => diff --git a/test_regress/t/t_interface_array_modport.pl b/test_regress/t/t_interface_array_modport.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_interface_array_modport.pl +++ b/test_regress/t/t_interface_array_modport.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_array_nocolon.pl b/test_regress/t/t_interface_array_nocolon.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_interface_array_nocolon.pl +++ b/test_regress/t/t_interface_array_nocolon.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_array_nocolon_bad.pl b/test_regress/t/t_interface_array_nocolon_bad.pl index 6866f90cd..51241455e 100755 --- a/test_regress/t/t_interface_array_nocolon_bad.pl +++ b/test_regress/t/t_interface_array_nocolon_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => $Self->{vlt}, diff --git a/test_regress/t/t_interface_array_noinl.pl b/test_regress/t/t_interface_array_noinl.pl index 658a02eed..740409044 100755 --- a/test_regress/t/t_interface_array_noinl.pl +++ b/test_regress/t/t_interface_array_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface_array.v"); compile( diff --git a/test_regress/t/t_interface_arraymux.pl b/test_regress/t/t_interface_arraymux.pl index a73f50323..62c359668 100755 --- a/test_regress/t/t_interface_arraymux.pl +++ b/test_regress/t/t_interface_arraymux.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--lint-only"], fails => 0, diff --git a/test_regress/t/t_interface_bind_public.pl b/test_regress/t/t_interface_bind_public.pl index 722cc5024..60667b1d5 100755 --- a/test_regress/t/t_interface_bind_public.pl +++ b/test_regress/t/t_interface_bind_public.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['-public'], ); diff --git a/test_regress/t/t_interface_down.pl b/test_regress/t/t_interface_down.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_interface_down.pl +++ b/test_regress/t/t_interface_down.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_down_gen.pl b/test_regress/t/t_interface_down_gen.pl index 3a59c6dd4..cc504c708 100755 --- a/test_regress/t/t_interface_down_gen.pl +++ b/test_regress/t/t_interface_down_gen.pl @@ -7,8 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} and $Self->unsupported("Verilator unsupported, interface generates changing types"); -$Self->{vcs} and $Self->unsupported("Commercially unsupported, interface crossrefs"); +scenarios(simulator => 1); +$Self->{vlt} and unsupported("Verilator unsupported, interface generates changing types"); +$Self->{vcs} and unsupported("Commercially unsupported, interface crossrefs"); compile( ); diff --git a/test_regress/t/t_interface_down_inla.pl b/test_regress/t/t_interface_down_inla.pl index c112414cf..091f1e378 100755 --- a/test_regress/t/t_interface_down_inla.pl +++ b/test_regress/t/t_interface_down_inla.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_interface_down.v"); compile( diff --git a/test_regress/t/t_interface_down_inlab.pl b/test_regress/t/t_interface_down_inlab.pl index f7812c90c..d656df509 100755 --- a/test_regress/t/t_interface_down_inlab.pl +++ b/test_regress/t/t_interface_down_inlab.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_interface_down.v"); compile( diff --git a/test_regress/t/t_interface_down_inlac.pl b/test_regress/t/t_interface_down_inlac.pl index 53cd2495e..1480307b8 100755 --- a/test_regress/t/t_interface_down_inlac.pl +++ b/test_regress/t/t_interface_down_inlac.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_interface_down.v"); compile( diff --git a/test_regress/t/t_interface_down_inlad.pl b/test_regress/t/t_interface_down_inlad.pl index a51717c80..e406a91a9 100755 --- a/test_regress/t/t_interface_down_inlad.pl +++ b/test_regress/t/t_interface_down_inlad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_interface_down.v"); compile( diff --git a/test_regress/t/t_interface_down_inlb.pl b/test_regress/t/t_interface_down_inlb.pl index ca673ab2e..ed32d18c8 100755 --- a/test_regress/t/t_interface_down_inlb.pl +++ b/test_regress/t/t_interface_down_inlb.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_interface_down.v"); compile( diff --git a/test_regress/t/t_interface_down_inlbc.pl b/test_regress/t/t_interface_down_inlbc.pl index ab807961c..42584154b 100755 --- a/test_regress/t/t_interface_down_inlbc.pl +++ b/test_regress/t/t_interface_down_inlbc.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_interface_down.v"); compile( diff --git a/test_regress/t/t_interface_down_inlbd.pl b/test_regress/t/t_interface_down_inlbd.pl index 83f41e125..76a90e4c6 100755 --- a/test_regress/t/t_interface_down_inlbd.pl +++ b/test_regress/t/t_interface_down_inlbd.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_interface_down.v"); compile( diff --git a/test_regress/t/t_interface_down_inlc.pl b/test_regress/t/t_interface_down_inlc.pl index aac6a3cc6..0c58dd9b8 100755 --- a/test_regress/t/t_interface_down_inlc.pl +++ b/test_regress/t/t_interface_down_inlc.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_interface_down.v"); compile( diff --git a/test_regress/t/t_interface_down_inlcd.pl b/test_regress/t/t_interface_down_inlcd.pl index 0bdc5eab6..b5b9ae967 100755 --- a/test_regress/t/t_interface_down_inlcd.pl +++ b/test_regress/t/t_interface_down_inlcd.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_interface_down.v"); compile( diff --git a/test_regress/t/t_interface_down_inld.pl b/test_regress/t/t_interface_down_inld.pl index 499f173f1..2fb5e886f 100755 --- a/test_regress/t/t_interface_down_inld.pl +++ b/test_regress/t/t_interface_down_inld.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_interface_down.v"); compile( diff --git a/test_regress/t/t_interface_down_noinl.pl b/test_regress/t/t_interface_down_noinl.pl index 36878e498..2921a7686 100755 --- a/test_regress/t/t_interface_down_noinl.pl +++ b/test_regress/t/t_interface_down_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface_down.v"); compile( diff --git a/test_regress/t/t_interface_gen.pl b/test_regress/t/t_interface_gen.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_interface_gen.pl +++ b/test_regress/t/t_interface_gen.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_gen10.pl b/test_regress/t/t_interface_gen10.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_interface_gen10.pl +++ b/test_regress/t/t_interface_gen10.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_gen10_noinl.pl b/test_regress/t/t_interface_gen10_noinl.pl index 7d8d30836..b2d964809 100755 --- a/test_regress/t/t_interface_gen10_noinl.pl +++ b/test_regress/t/t_interface_gen10_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface_gen10.v"); compile( diff --git a/test_regress/t/t_interface_gen11.pl b/test_regress/t/t_interface_gen11.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_interface_gen11.pl +++ b/test_regress/t/t_interface_gen11.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_gen11_noinl.pl b/test_regress/t/t_interface_gen11_noinl.pl index b7bbc5ebd..41dbe23b8 100755 --- a/test_regress/t/t_interface_gen11_noinl.pl +++ b/test_regress/t/t_interface_gen11_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface_gen11.v"); compile( diff --git a/test_regress/t/t_interface_gen12.pl b/test_regress/t/t_interface_gen12.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_interface_gen12.pl +++ b/test_regress/t/t_interface_gen12.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_gen12_noinl.pl b/test_regress/t/t_interface_gen12_noinl.pl index 6c4ddc98f..1bde2074b 100755 --- a/test_regress/t/t_interface_gen12_noinl.pl +++ b/test_regress/t/t_interface_gen12_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface_gen12.v"); compile( diff --git a/test_regress/t/t_interface_gen2.pl b/test_regress/t/t_interface_gen2.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_interface_gen2.pl +++ b/test_regress/t/t_interface_gen2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_gen2_noinl.pl b/test_regress/t/t_interface_gen2_noinl.pl index 51bb7bcf5..60b79c8ea 100755 --- a/test_regress/t/t_interface_gen2_noinl.pl +++ b/test_regress/t/t_interface_gen2_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface_gen2.v"); compile( diff --git a/test_regress/t/t_interface_gen3.pl b/test_regress/t/t_interface_gen3.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_interface_gen3.pl +++ b/test_regress/t/t_interface_gen3.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_gen3_noinl.pl b/test_regress/t/t_interface_gen3_noinl.pl index 15200fea4..423aae5dc 100755 --- a/test_regress/t/t_interface_gen3_noinl.pl +++ b/test_regress/t/t_interface_gen3_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface_gen3.v"); compile( diff --git a/test_regress/t/t_interface_gen4.pl b/test_regress/t/t_interface_gen4.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_interface_gen4.pl +++ b/test_regress/t/t_interface_gen4.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_gen4_noinl.pl b/test_regress/t/t_interface_gen4_noinl.pl index 77aa7fa5d..130c1e314 100755 --- a/test_regress/t/t_interface_gen4_noinl.pl +++ b/test_regress/t/t_interface_gen4_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface_gen4.v"); compile( diff --git a/test_regress/t/t_interface_gen5.pl b/test_regress/t/t_interface_gen5.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_interface_gen5.pl +++ b/test_regress/t/t_interface_gen5.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_gen5_noinl.pl b/test_regress/t/t_interface_gen5_noinl.pl index b8418b7c7..ea149c55b 100755 --- a/test_regress/t/t_interface_gen5_noinl.pl +++ b/test_regress/t/t_interface_gen5_noinl.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_interface_gen5.v"); compile( diff --git a/test_regress/t/t_interface_gen6.pl b/test_regress/t/t_interface_gen6.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_interface_gen6.pl +++ b/test_regress/t/t_interface_gen6.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_gen6_noinl.pl b/test_regress/t/t_interface_gen6_noinl.pl index 7b645bf48..63b10b62d 100755 --- a/test_regress/t/t_interface_gen6_noinl.pl +++ b/test_regress/t/t_interface_gen6_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface_gen6.v"); compile( diff --git a/test_regress/t/t_interface_gen7.pl b/test_regress/t/t_interface_gen7.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_interface_gen7.pl +++ b/test_regress/t/t_interface_gen7.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_gen7_noinl.pl b/test_regress/t/t_interface_gen7_noinl.pl index 30c946f1e..eb3a4b4bb 100755 --- a/test_regress/t/t_interface_gen7_noinl.pl +++ b/test_regress/t/t_interface_gen7_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface_gen7.v"); compile( diff --git a/test_regress/t/t_interface_gen8.pl b/test_regress/t/t_interface_gen8.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_interface_gen8.pl +++ b/test_regress/t/t_interface_gen8.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_gen8_noinl.pl b/test_regress/t/t_interface_gen8_noinl.pl index 421207c48..7cc674f2b 100755 --- a/test_regress/t/t_interface_gen8_noinl.pl +++ b/test_regress/t/t_interface_gen8_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface_gen8.v"); compile( diff --git a/test_regress/t/t_interface_gen9.pl b/test_regress/t/t_interface_gen9.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_interface_gen9.pl +++ b/test_regress/t/t_interface_gen9.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_gen9_noinl.pl b/test_regress/t/t_interface_gen9_noinl.pl index b0973edf7..c8a37074e 100755 --- a/test_regress/t/t_interface_gen9_noinl.pl +++ b/test_regress/t/t_interface_gen9_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface_gen9.v"); compile( diff --git a/test_regress/t/t_interface_gen_noinl.pl b/test_regress/t/t_interface_gen_noinl.pl index 7d9cd515b..3f5a8ebcb 100755 --- a/test_regress/t/t_interface_gen_noinl.pl +++ b/test_regress/t/t_interface_gen_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface_gen.v"); compile( diff --git a/test_regress/t/t_interface_inl.pl b/test_regress/t/t_interface_inl.pl index 935c834cc..a15b99c13 100755 --- a/test_regress/t/t_interface_inl.pl +++ b/test_regress/t/t_interface_inl.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_interface.v"); compile( diff --git a/test_regress/t/t_interface_mismodport_bad.pl b/test_regress/t/t_interface_mismodport_bad.pl index 1ef1a34cb..d5634a519 100755 --- a/test_regress/t/t_interface_mismodport_bad.pl +++ b/test_regress/t/t_interface_mismodport_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--lint-only"], verilator_make_gcc => 0, diff --git a/test_regress/t/t_interface_missing_bad.pl b/test_regress/t/t_interface_missing_bad.pl index c7a86cee6..5d14aaaa2 100755 --- a/test_regress/t/t_interface_missing_bad.pl +++ b/test_regress/t/t_interface_missing_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => 1, expect => diff --git a/test_regress/t/t_interface_modport.pl b/test_regress/t/t_interface_modport.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_interface_modport.pl +++ b/test_regress/t/t_interface_modport.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_modport_export.pl b/test_regress/t/t_interface_modport_export.pl index d0ff5d445..c10c500fa 100755 --- a/test_regress/t/t_interface_modport_export.pl +++ b/test_regress/t/t_interface_modport_export.pl @@ -7,7 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} and $Self->unsupported("Verilator unsupported, bug696"); +scenarios(simulator => 1); +$Self->{vlt} and unsupported("Verilator unsupported, bug696"); compile( ); diff --git a/test_regress/t/t_interface_modport_import.pl b/test_regress/t/t_interface_modport_import.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_interface_modport_import.pl +++ b/test_regress/t/t_interface_modport_import.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_modport_import_noinl.pl b/test_regress/t/t_interface_modport_import_noinl.pl index 41a252592..eb3847bf8 100755 --- a/test_regress/t/t_interface_modport_import_noinl.pl +++ b/test_regress/t/t_interface_modport_import_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface_modport_import.v"); compile( diff --git a/test_regress/t/t_interface_modport_inl.pl b/test_regress/t/t_interface_modport_inl.pl index ad24186de..559f782ee 100755 --- a/test_regress/t/t_interface_modport_inl.pl +++ b/test_regress/t/t_interface_modport_inl.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_interface_modport.v"); compile( diff --git a/test_regress/t/t_interface_modport_noinl.pl b/test_regress/t/t_interface_modport_noinl.pl index 5752e7c3b..d699c37b4 100755 --- a/test_regress/t/t_interface_modport_noinl.pl +++ b/test_regress/t/t_interface_modport_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface_modport.v"); compile( diff --git a/test_regress/t/t_interface_modportlist.pl b/test_regress/t/t_interface_modportlist.pl index 16ff2506f..7053c9dd7 100755 --- a/test_regress/t/t_interface_modportlist.pl +++ b/test_regress/t/t_interface_modportlist.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => 0, verilator_make_gcc => 0, diff --git a/test_regress/t/t_interface_mp_func.pl b/test_regress/t/t_interface_mp_func.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_interface_mp_func.pl +++ b/test_regress/t/t_interface_mp_func.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_mp_func_noinl.pl b/test_regress/t/t_interface_mp_func_noinl.pl index 49545e660..43973a543 100755 --- a/test_regress/t/t_interface_mp_func_noinl.pl +++ b/test_regress/t/t_interface_mp_func_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface_mp_func.v"); compile( diff --git a/test_regress/t/t_interface_nest.pl b/test_regress/t/t_interface_nest.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_interface_nest.pl +++ b/test_regress/t/t_interface_nest.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_nest_noinl.pl b/test_regress/t/t_interface_nest_noinl.pl index 53dc829d4..e1ba7a75d 100755 --- a/test_regress/t/t_interface_nest_noinl.pl +++ b/test_regress/t/t_interface_nest_noinl.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_interface_nest.v"); compile( diff --git a/test_regress/t/t_interface_noinl.pl b/test_regress/t/t_interface_noinl.pl index 3dec76ba3..4b521e5a0 100755 --- a/test_regress/t/t_interface_noinl.pl +++ b/test_regress/t/t_interface_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface.v"); compile( diff --git a/test_regress/t/t_interface_param1.pl b/test_regress/t/t_interface_param1.pl index 864583ae3..76bfa062d 100755 --- a/test_regress/t/t_interface_param1.pl +++ b/test_regress/t/t_interface_param1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], verilator_make_gcc => 0, diff --git a/test_regress/t/t_interface_param2.pl b/test_regress/t/t_interface_param2.pl index 1a8aded8a..911d981d1 100755 --- a/test_regress/t/t_interface_param2.pl +++ b/test_regress/t/t_interface_param2.pl @@ -7,7 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} and $Self->unsupported("Verilator unsupported, bug1104"); +scenarios(simulator => 1); +$Self->{vlt} and unsupported("Verilator unsupported, bug1104"); compile( ); diff --git a/test_regress/t/t_interface_param_another_bad.pl b/test_regress/t/t_interface_param_another_bad.pl index 00eac6ac7..cbe619317 100755 --- a/test_regress/t/t_interface_param_another_bad.pl +++ b/test_regress/t/t_interface_param_another_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => 1, expect => diff --git a/test_regress/t/t_interface_parameter_access.pl b/test_regress/t/t_interface_parameter_access.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_interface_parameter_access.pl +++ b/test_regress/t/t_interface_parameter_access.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_size_bad.pl b/test_regress/t/t_interface_size_bad.pl index 6222a8b66..e1c02a131 100755 --- a/test_regress/t/t_interface_size_bad.pl +++ b/test_regress/t/t_interface_size_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => 1, expect => diff --git a/test_regress/t/t_interface_star.pl b/test_regress/t/t_interface_star.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_interface_star.pl +++ b/test_regress/t/t_interface_star.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_top_bad.pl b/test_regress/t/t_interface_top_bad.pl index 81559ebe8..1fd71cfcd 100755 --- a/test_regress/t/t_interface_top_bad.pl +++ b/test_regress/t/t_interface_top_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--lint-only"], verilator_make_gcc => 0, diff --git a/test_regress/t/t_interface_twod.pl b/test_regress/t/t_interface_twod.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_interface_twod.pl +++ b/test_regress/t/t_interface_twod.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_interface_twod_noinl.pl b/test_regress/t/t_interface_twod_noinl.pl index 37af345df..af93c9ff2 100755 --- a/test_regress/t/t_interface_twod_noinl.pl +++ b/test_regress/t/t_interface_twod_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_interface_twod.v"); compile( diff --git a/test_regress/t/t_interface_typo_bad.pl b/test_regress/t/t_interface_typo_bad.pl index d37faab28..81d6fe926 100755 --- a/test_regress/t/t_interface_typo_bad.pl +++ b/test_regress/t/t_interface_typo_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--lint-only"], verilator_make_gcc => 0, diff --git a/test_regress/t/t_interface_wrong_bad.pl b/test_regress/t/t_interface_wrong_bad.pl index 3cdf79030..c97b5af9e 100755 --- a/test_regress/t/t_interface_wrong_bad.pl +++ b/test_regress/t/t_interface_wrong_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => 1, expect => diff --git a/test_regress/t/t_langext_1.pl b/test_regress/t/t_langext_1.pl index 985980c76..6fb45726f 100755 --- a/test_regress/t/t_langext_1.pl +++ b/test_regress/t/t_langext_1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + # This is a compile only test. compile( v_flags2 => ["+verilog2001ext+v"], diff --git a/test_regress/t/t_langext_1_bad.pl b/test_regress/t/t_langext_1_bad.pl index 82fc52e40..7cf98edc1 100755 --- a/test_regress/t/t_langext_1_bad.pl +++ b/test_regress/t/t_langext_1_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_langext_1.v"); # This is a compile only test. diff --git a/test_regress/t/t_langext_2.pl b/test_regress/t/t_langext_2.pl index 143efa764..688ab8c39 100755 --- a/test_regress/t/t_langext_2.pl +++ b/test_regress/t/t_langext_2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + # This is a compile only test. compile( v_flags2 => ["+systemverilogext+v"], diff --git a/test_regress/t/t_langext_2_bad.pl b/test_regress/t/t_langext_2_bad.pl index 9f059f8cb..d60988f7b 100755 --- a/test_regress/t/t_langext_2_bad.pl +++ b/test_regress/t/t_langext_2_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_langext_2.v"); # This is a compile only test. diff --git a/test_regress/t/t_langext_3.pl b/test_regress/t/t_langext_3.pl index 147a7fa7e..7dee7e04c 100755 --- a/test_regress/t/t_langext_3.pl +++ b/test_regress/t/t_langext_3.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + # This is a compile only test. compile( v_flags2 => ["+1364-2005ext+v"], diff --git a/test_regress/t/t_langext_3_bad.pl b/test_regress/t/t_langext_3_bad.pl index 475958771..20abe2276 100755 --- a/test_regress/t/t_langext_3_bad.pl +++ b/test_regress/t/t_langext_3_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_langext_3.v"); # This is a compile only test. diff --git a/test_regress/t/t_langext_4.pl b/test_regress/t/t_langext_4.pl index db32e0858..c21cc0c2a 100755 --- a/test_regress/t/t_langext_4.pl +++ b/test_regress/t/t_langext_4.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_langext_2.v"); # This is a compile only test. diff --git a/test_regress/t/t_langext_4_bad.pl b/test_regress/t/t_langext_4_bad.pl index a34f25d26..4552902ae 100755 --- a/test_regress/t/t_langext_4_bad.pl +++ b/test_regress/t/t_langext_4_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_langext_2.v"); # This is a compile only test. diff --git a/test_regress/t/t_leak.pl b/test_regress/t/t_leak.pl index 357c2f639..da60e8024 100755 --- a/test_regress/t/t_leak.pl +++ b/test_regress/t/t_leak.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( make_top_shell => 0, diff --git a/test_regress/t/t_lint_always_comb_bad.pl b/test_regress/t/t_lint_always_comb_bad.pl index c98419b89..da46dbfa0 100755 --- a/test_regress/t/t_lint_always_comb_bad.pl +++ b/test_regress/t/t_lint_always_comb_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--lint-only"], verilator_make_gcc => 0, diff --git a/test_regress/t/t_lint_always_comb_iface.pl b/test_regress/t/t_lint_always_comb_iface.pl index b2171bac8..3e882fd06 100755 --- a/test_regress/t/t_lint_always_comb_iface.pl +++ b/test_regress/t/t_lint_always_comb_iface.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_lint_blksync_bad.pl b/test_regress/t/t_lint_blksync_bad.pl index 325fcd12a..099a67126 100755 --- a/test_regress/t/t_lint_blksync_bad.pl +++ b/test_regress/t/t_lint_blksync_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only -Wwarn-BLKSEQ -Wwarn-COMBDLY"], diff --git a/test_regress/t/t_lint_blksync_loop.pl b/test_regress/t/t_lint_blksync_loop.pl index b1f95ac40..303cd448e 100755 --- a/test_regress/t/t_lint_blksync_loop.pl +++ b/test_regress/t/t_lint_blksync_loop.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( verilator_flags2 => ["--lint-only -Wwarn-BLKSEQ -Wwarn-COMBDLY"], diff --git a/test_regress/t/t_lint_block_redecl_bad.pl b/test_regress/t/t_lint_block_redecl_bad.pl index 2345a4565..ec741a3b4 100755 --- a/test_regress/t/t_lint_block_redecl_bad.pl +++ b/test_regress/t/t_lint_block_redecl_bad.pl @@ -7,8 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); -$Self->{vlt} and $Self->unsupported("Verilator unsupported, bug485, false begin due to WHILE conversion blocks duplicate name detection"); +scenarios(vlt_all => 1); +$Self->{vlt} and unsupported("Verilator unsupported, bug485, false begin due to WHILE conversion blocks duplicate name detection"); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_lint_bsspace_bad.pl b/test_regress/t/t_lint_bsspace_bad.pl index e15924d95..17d16fe0b 100755 --- a/test_regress/t/t_lint_bsspace_bad.pl +++ b/test_regress/t/t_lint_bsspace_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( verilator_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_lint_colonplus_bad.pl b/test_regress/t/t_lint_colonplus_bad.pl index 781937c07..7e04de051 100755 --- a/test_regress/t/t_lint_colonplus_bad.pl +++ b/test_regress/t/t_lint_colonplus_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( verilator_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_lint_comb_bad.pl b/test_regress/t/t_lint_comb_bad.pl index 4663c9e20..0fca86935 100755 --- a/test_regress/t/t_lint_comb_bad.pl +++ b/test_regress/t/t_lint_comb_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( verilator_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_lint_comb_use.pl b/test_regress/t/t_lint_comb_use.pl index 1e7264ae9..be0635e49 100755 --- a/test_regress/t/t_lint_comb_use.pl +++ b/test_regress/t/t_lint_comb_use.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( verilator_flags2 => ["--lint-only --bbox-sys"], diff --git a/test_regress/t/t_lint_declfilename.pl b/test_regress/t/t_lint_declfilename.pl index 0a7f354c9..cf67c444c 100755 --- a/test_regress/t/t_lint_declfilename.pl +++ b/test_regress/t/t_lint_declfilename.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_lint_declfilename_bad.pl b/test_regress/t/t_lint_declfilename_bad.pl index ef2e87a20..8a977b032 100755 --- a/test_regress/t/t_lint_declfilename_bad.pl +++ b/test_regress/t/t_lint_declfilename_bad.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_lint_declfilename.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_lint_declfilename.v"); compile( v_flags2 => ["--lint-only -Wall"], diff --git a/test_regress/t/t_lint_defparam.pl b/test_regress/t/t_lint_defparam.pl index 0a7f354c9..cf67c444c 100755 --- a/test_regress/t/t_lint_defparam.pl +++ b/test_regress/t/t_lint_defparam.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_lint_defparam_bad.pl b/test_regress/t/t_lint_defparam_bad.pl index df9151ae3..d67cfc4d1 100755 --- a/test_regress/t/t_lint_defparam_bad.pl +++ b/test_regress/t/t_lint_defparam_bad.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_lint_defparam.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_lint_defparam.v"); compile( v_flags2 => ["--lint-only -Wwarn-style -Wno-DECLFILENAME"], diff --git a/test_regress/t/t_lint_ifdepth_bad.pl b/test_regress/t/t_lint_ifdepth_bad.pl index 88fe4652d..3e3498652 100755 --- a/test_regress/t/t_lint_ifdepth_bad.pl +++ b/test_regress/t/t_lint_ifdepth_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only -Wall -Wno-DECLFILENAME --if-depth 10"], diff --git a/test_regress/t/t_lint_implicit.pl b/test_regress/t/t_lint_implicit.pl index 7cf20aa54..b757347fa 100755 --- a/test_regress/t/t_lint_implicit.pl +++ b/test_regress/t/t_lint_implicit.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( verilator_flags2 => ["-Wno-IMPLICIT"], diff --git a/test_regress/t/t_lint_implicit_bad.pl b/test_regress/t/t_lint_implicit_bad.pl index c53be9c54..541b8f1e5 100755 --- a/test_regress/t/t_lint_implicit_bad.pl +++ b/test_regress/t/t_lint_implicit_bad.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_lint_implicit.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_lint_implicit.v"); compile( v_flags2 => ["--lint-only -Wwarn-IMPLICIT"], diff --git a/test_regress/t/t_lint_implicit_def_bad.pl b/test_regress/t/t_lint_implicit_def_bad.pl index da555cab3..9d74fa4f5 100755 --- a/test_regress/t/t_lint_implicit_def_bad.pl +++ b/test_regress/t/t_lint_implicit_def_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( verilator_flags2 => ["--lint-only -Wall -Wno-DECLFILENAME"], diff --git a/test_regress/t/t_lint_implicit_port.pl b/test_regress/t/t_lint_implicit_port.pl index 0200ca84d..b132612a8 100755 --- a/test_regress/t/t_lint_implicit_port.pl +++ b/test_regress/t/t_lint_implicit_port.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["-Wno-IMPLICIT"], diff --git a/test_regress/t/t_lint_incabspath.pl b/test_regress/t/t_lint_incabspath.pl index e825c4921..decb90c06 100755 --- a/test_regress/t/t_lint_incabspath.pl +++ b/test_regress/t/t_lint_incabspath.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( verilator_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_lint_incabspath_bad.pl b/test_regress/t/t_lint_incabspath_bad.pl index ca0c5efac..b5bafa4e5 100755 --- a/test_regress/t/t_lint_incabspath_bad.pl +++ b/test_regress/t/t_lint_incabspath_bad.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_lint_incabspath.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_lint_incabspath.v"); compile( v_flags2 => ["--lint-only -Wall -Wno-DECLFILENAME"], diff --git a/test_regress/t/t_lint_infinite.pl b/test_regress/t/t_lint_infinite.pl index 9f0faa1b5..c717c1b23 100755 --- a/test_regress/t/t_lint_infinite.pl +++ b/test_regress/t/t_lint_infinite.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_lint_inherit.pl b/test_regress/t/t_lint_inherit.pl index 8349a24d7..5c448de7c 100755 --- a/test_regress/t/t_lint_inherit.pl +++ b/test_regress/t/t_lint_inherit.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_lint_input_eq_bad.pl b/test_regress/t/t_lint_input_eq_bad.pl index ed26f8c12..baefcc57c 100755 --- a/test_regress/t/t_lint_input_eq_bad.pl +++ b/test_regress/t/t_lint_input_eq_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_lint_latch_bad.pl b/test_regress/t/t_lint_latch_bad.pl index 41fc48457..518c711ae 100755 --- a/test_regress/t/t_lint_latch_bad.pl +++ b/test_regress/t/t_lint_latch_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only -Wwarn-style -Wno-DECLFILENAME"], diff --git a/test_regress/t/t_lint_literal_bad.pl b/test_regress/t/t_lint_literal_bad.pl index 0d4ca9cd5..6f2c81d8d 100755 --- a/test_regress/t/t_lint_literal_bad.pl +++ b/test_regress/t/t_lint_literal_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( fails => 1, diff --git a/test_regress/t/t_lint_modport_dir_bad.pl b/test_regress/t/t_lint_modport_dir_bad.pl index 8e541b816..5174323ac 100755 --- a/test_regress/t/t_lint_modport_dir_bad.pl +++ b/test_regress/t/t_lint_modport_dir_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -#$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(simulator => 1); compile( verilator_flags2 => ["--lint-only -Wno-DECLFILENAME"], diff --git a/test_regress/t/t_lint_multidriven_bad.pl b/test_regress/t/t_lint_multidriven_bad.pl index 94db851fb..627ccd56d 100755 --- a/test_regress/t/t_lint_multidriven_bad.pl +++ b/test_regress/t/t_lint_multidriven_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_lint_once_bad.pl b/test_regress/t/t_lint_once_bad.pl index a424a494a..3a4d5630a 100755 --- a/test_regress/t/t_lint_once_bad.pl +++ b/test_regress/t/t_lint_once_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( make_top_shell => 0, diff --git a/test_regress/t/t_lint_only.pl b/test_regress/t/t_lint_only.pl index 92d8fa5a2..c96b4b96e 100755 --- a/test_regress/t/t_lint_only.pl +++ b/test_regress/t/t_lint_only.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( make_top_shell => 0, @@ -19,7 +19,7 @@ compile( foreach my $file (glob("$Self->{obj_dir}/*t_lint_only*")) { next if $file =~ /simx_compile.log/; # Made by driver.pl, not Verilator next if $file =~ /\.status/; # Made by driver.pl, not Verilator - $Self->error("%Error: Created $file, but --lint-only shouldn't create files"); + error("%Error: Created $file, but --lint-only shouldn't create files"); } ok(1); diff --git a/test_regress/t/t_lint_pindup_bad.pl b/test_regress/t/t_lint_pindup_bad.pl index ff310005e..3015d3519 100755 --- a/test_regress/t/t_lint_pindup_bad.pl +++ b/test_regress/t/t_lint_pindup_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_lint_pkg_colon_bad.pl b/test_regress/t/t_lint_pkg_colon_bad.pl index 8a77d4df1..1a04c85a0 100755 --- a/test_regress/t/t_lint_pkg_colon_bad.pl +++ b/test_regress/t/t_lint_pkg_colon_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_lint_realcvt_bad.pl b/test_regress/t/t_lint_realcvt_bad.pl index d084d08f1..40a534f14 100755 --- a/test_regress/t/t_lint_realcvt_bad.pl +++ b/test_regress/t/t_lint_realcvt_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( make_top_shell => 0, diff --git a/test_regress/t/t_lint_repeat_bad.pl b/test_regress/t/t_lint_repeat_bad.pl index 5fb33f8c4..ed667e7ea 100755 --- a/test_regress/t/t_lint_repeat_bad.pl +++ b/test_regress/t/t_lint_repeat_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_lint_restore_bad.pl b/test_regress/t/t_lint_restore_bad.pl index 0046e2219..854be8e74 100755 --- a/test_regress/t/t_lint_restore_bad.pl +++ b/test_regress/t/t_lint_restore_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_lint_setout_bad.pl b/test_regress/t/t_lint_setout_bad.pl index a0810821a..a59e415d5 100755 --- a/test_regress/t/t_lint_setout_bad.pl +++ b/test_regress/t/t_lint_setout_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_lint_setout_bad_noinl.pl b/test_regress/t/t_lint_setout_bad_noinl.pl index 1f842a05e..f1d9328ed 100755 --- a/test_regress/t/t_lint_setout_bad_noinl.pl +++ b/test_regress/t/t_lint_setout_bad_noinl.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_lint_setout_bad.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_lint_setout_bad.v"); compile( v_flags2 => ["--lint-only -Oi"], diff --git a/test_regress/t/t_lint_syncasyncnet_bad.pl b/test_regress/t/t_lint_syncasyncnet_bad.pl index 0bb722af6..e907c3f4a 100755 --- a/test_regress/t/t_lint_syncasyncnet_bad.pl +++ b/test_regress/t/t_lint_syncasyncnet_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only -Wall -Wno-DECLFILENAME --if-depth 10"], diff --git a/test_regress/t/t_lint_unsized_bad.pl b/test_regress/t/t_lint_unsized_bad.pl index 2be10b2fd..96c9046aa 100755 --- a/test_regress/t/t_lint_unsized_bad.pl +++ b/test_regress/t/t_lint_unsized_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_lint_unsup_deassign.pl b/test_regress/t/t_lint_unsup_deassign.pl index 30baef3e7..e7cbe69d1 100755 --- a/test_regress/t/t_lint_unsup_deassign.pl +++ b/test_regress/t/t_lint_unsup_deassign.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only --bbox-unsup"], verilator_make_gcc => 0, diff --git a/test_regress/t/t_lint_unsup_mixed.pl b/test_regress/t/t_lint_unsup_mixed.pl index 30baef3e7..e7cbe69d1 100755 --- a/test_regress/t/t_lint_unsup_mixed.pl +++ b/test_regress/t/t_lint_unsup_mixed.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only --bbox-unsup"], verilator_make_gcc => 0, diff --git a/test_regress/t/t_lint_unused.pl b/test_regress/t/t_lint_unused.pl index 11f67ff54..07151b701 100755 --- a/test_regress/t/t_lint_unused.pl +++ b/test_regress/t/t_lint_unused.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only --bbox-sys --bbox-unsup -Wall -Wno-DECLFILENAME"], diff --git a/test_regress/t/t_lint_unused.v b/test_regress/t/t_lint_unused.v index ad4a23147..637f3cce7 100644 --- a/test_regress/t/t_lint_unused.v +++ b/test_regress/t/t_lint_unused.v @@ -3,6 +3,8 @@ // This file ONLY is placed into the Public Domain, for any use, // without warranty, 2010 by Wilson Snyder. +`define STRINGIFY(x) `"x`" + module t (/*AUTOARG*/ // Outputs out, @@ -26,10 +28,10 @@ module t (/*AUTOARG*/ integer infile; integer outfile; initial begin - outfile = $fopen("obj_dir/t_lint_unused_bad/open.log", "w"); + outfile = $fopen({`STRINGIFY(`TEST_OBJ_DIR),"/open.log"}, "w"); $fwrite(outfile, "1\n"); $fclose(outfile); - infile = $fopen("obj_dir/t_lint_unused_bad/open.log", "r"); + infile = $fopen({`STRINGIFY(`TEST_OBJ_DIR),"/open.log"}, "r"); if ($fgetc(infile) != "1") begin end end diff --git a/test_regress/t/t_lint_unused_bad.pl b/test_regress/t/t_lint_unused_bad.pl index 1507c6d7f..4def8e938 100755 --- a/test_regress/t/t_lint_unused_bad.pl +++ b/test_regress/t/t_lint_unused_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only --bbox-sys --bbox-unsup -Wall -Wno-DECLFILENAME"], diff --git a/test_regress/t/t_lint_unused_iface.pl b/test_regress/t/t_lint_unused_iface.pl index e13f1a713..053e32067 100755 --- a/test_regress/t/t_lint_unused_iface.pl +++ b/test_regress/t/t_lint_unused_iface.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--lint-only -Wall -Wno-DECLFILENAME"], fails => 0, diff --git a/test_regress/t/t_lint_unused_iface_bad.pl b/test_regress/t/t_lint_unused_iface_bad.pl index 79df447eb..0859fbe9e 100755 --- a/test_regress/t/t_lint_unused_iface_bad.pl +++ b/test_regress/t/t_lint_unused_iface_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( verilator_flags2 => ["--lint-only -Wall -Wno-DECLFILENAME"], diff --git a/test_regress/t/t_lint_width.pl b/test_regress/t/t_lint_width.pl index 99e3f6b9a..09495b14b 100755 --- a/test_regress/t/t_lint_width.pl +++ b/test_regress/t/t_lint_width.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_lint_width_bad.pl b/test_regress/t/t_lint_width_bad.pl index f3db82ff9..13284af33 100755 --- a/test_regress/t/t_lint_width_bad.pl +++ b/test_regress/t/t_lint_width_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_math_arith.pl b/test_regress/t/t_math_arith.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_arith.pl +++ b/test_regress/t/t_math_arith.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_clog2.pl b/test_regress/t/t_math_clog2.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_clog2.pl +++ b/test_regress/t/t_math_clog2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_cmp.pl b/test_regress/t/t_math_cmp.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_cmp.pl +++ b/test_regress/t/t_math_cmp.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_concat.pl b/test_regress/t/t_math_concat.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_concat.pl +++ b/test_regress/t/t_math_concat.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_concat0.pl b/test_regress/t/t_math_concat0.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_concat0.pl +++ b/test_regress/t/t_math_concat0.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_concat64.pl b/test_regress/t/t_math_concat64.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_concat64.pl +++ b/test_regress/t/t_math_concat64.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_cond_huge.pl b/test_regress/t/t_math_cond_huge.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_cond_huge.pl +++ b/test_regress/t/t_math_cond_huge.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_const.pl b/test_regress/t/t_math_const.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_const.pl +++ b/test_regress/t/t_math_const.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_div.pl b/test_regress/t/t_math_div.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_div.pl +++ b/test_regress/t/t_math_div.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_div0.pl b/test_regress/t/t_math_div0.pl index 182817857..525dd83c5 100755 --- a/test_regress/t/t_math_div0.pl +++ b/test_regress/t/t_math_div0.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['--x-assign 0'], ); diff --git a/test_regress/t/t_math_divw.pl b/test_regress/t/t_math_divw.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_divw.pl +++ b/test_regress/t/t_math_divw.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_eq.pl b/test_regress/t/t_math_eq.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_eq.pl +++ b/test_regress/t/t_math_eq.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_equal.pl b/test_regress/t/t_math_equal.pl index 288297072..b1acebe26 100755 --- a/test_regress/t/t_math_equal.pl +++ b/test_regress/t/t_math_equal.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_imm.pl b/test_regress/t/t_math_imm.pl index 2f7391ec0..803dca735 100755 --- a/test_regress/t/t_math_imm.pl +++ b/test_regress/t/t_math_imm.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--compiler msvc"], # We have deep expressions we want to test ); diff --git a/test_regress/t/t_math_imm2.pl b/test_regress/t/t_math_imm2.pl index 357c2f639..da60e8024 100755 --- a/test_regress/t/t_math_imm2.pl +++ b/test_regress/t/t_math_imm2.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( make_top_shell => 0, diff --git a/test_regress/t/t_math_msvc_64.pl b/test_regress/t/t_math_msvc_64.pl index 42c78ffac..4780ffb2e 100755 --- a/test_regress/t/t_math_msvc_64.pl +++ b/test_regress/t/t_math_msvc_64.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--compiler msvc"], # Bug requires msvc ); diff --git a/test_regress/t/t_math_mul.pl b/test_regress/t/t_math_mul.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_mul.pl +++ b/test_regress/t/t_math_mul.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_pick.pl b/test_regress/t/t_math_pick.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_pick.pl +++ b/test_regress/t/t_math_pick.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_pow.pl b/test_regress/t/t_math_pow.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_pow.pl +++ b/test_regress/t/t_math_pow.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_pow2.pl b/test_regress/t/t_math_pow2.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_pow2.pl +++ b/test_regress/t/t_math_pow2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_pow3.pl b/test_regress/t/t_math_pow3.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_pow3.pl +++ b/test_regress/t/t_math_pow3.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_pow4.pl b/test_regress/t/t_math_pow4.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_pow4.pl +++ b/test_regress/t/t_math_pow4.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_pow5.pl b/test_regress/t/t_math_pow5.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_pow5.pl +++ b/test_regress/t/t_math_pow5.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_pow6.pl b/test_regress/t/t_math_pow6.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_pow6.pl +++ b/test_regress/t/t_math_pow6.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_precedence.pl b/test_regress/t/t_math_precedence.pl index 637f45244..2eff63c2b 100755 --- a/test_regress/t/t_math_precedence.pl +++ b/test_regress/t/t_math_precedence.pl @@ -7,7 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -#!$Self->{vcs} or $Self->unsupported("VCS does ** wrong, fixed in 2014"); +scenarios(simulator => 1); + +#!$Self->{vcs} or unsupported("VCS does ** wrong, fixed in 2014"); compile( ); diff --git a/test_regress/t/t_math_real.pl b/test_regress/t/t_math_real.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_real.pl +++ b/test_regress/t/t_math_real.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_real_public.pl b/test_regress/t/t_math_real_public.pl index 278c53991..b362560d5 100755 --- a/test_regress/t/t_math_real_public.pl +++ b/test_regress/t/t_math_real_public.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['--cc --public'], ); diff --git a/test_regress/t/t_math_repl.pl b/test_regress/t/t_math_repl.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_repl.pl +++ b/test_regress/t/t_math_repl.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_reverse.pl b/test_regress/t/t_math_reverse.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_reverse.pl +++ b/test_regress/t/t_math_reverse.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_shift.pl b/test_regress/t/t_math_shift.pl index e9035e225..0a3b50107 100755 --- a/test_regress/t/t_math_shift.pl +++ b/test_regress/t/t_math_shift.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["-Wno-CLKDATA"] ); diff --git a/test_regress/t/t_math_shift_over_bad.pl b/test_regress/t/t_math_shift_over_bad.pl index 7b09a4b9b..0b8bbaaaa 100755 --- a/test_regress/t/t_math_shift_over_bad.pl +++ b/test_regress/t/t_math_shift_over_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( verilator_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_math_shift_rep.pl b/test_regress/t/t_math_shift_rep.pl index d75fe43d0..426086634 100755 --- a/test_regress/t/t_math_shift_rep.pl +++ b/test_regress/t/t_math_shift_rep.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["-CFLAGS '-DVL_DEBUG -ggdb -O0'"], ); diff --git a/test_regress/t/t_math_shift_sel.pl b/test_regress/t/t_math_shift_sel.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_shift_sel.pl +++ b/test_regress/t/t_math_shift_sel.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_shiftrs.pl b/test_regress/t/t_math_shiftrs.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_shiftrs.pl +++ b/test_regress/t/t_math_shiftrs.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_sign_extend.pl b/test_regress/t/t_math_sign_extend.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_sign_extend.pl +++ b/test_regress/t/t_math_sign_extend.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_signed.pl b/test_regress/t/t_math_signed.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_signed.pl +++ b/test_regress/t/t_math_signed.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_signed2.pl b/test_regress/t/t_math_signed2.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_signed2.pl +++ b/test_regress/t/t_math_signed2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_signed3.pl b/test_regress/t/t_math_signed3.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_signed3.pl +++ b/test_regress/t/t_math_signed3.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_signed4.pl b/test_regress/t/t_math_signed4.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_signed4.pl +++ b/test_regress/t/t_math_signed4.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_signed5.pl b/test_regress/t/t_math_signed5.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_signed5.pl +++ b/test_regress/t/t_math_signed5.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_signed6.pl b/test_regress/t/t_math_signed6.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_signed6.pl +++ b/test_regress/t/t_math_signed6.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_signed7.pl b/test_regress/t/t_math_signed7.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_signed7.pl +++ b/test_regress/t/t_math_signed7.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_signed_wire.pl b/test_regress/t/t_math_signed_wire.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_signed_wire.pl +++ b/test_regress/t/t_math_signed_wire.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_strwidth.pl b/test_regress/t/t_math_strwidth.pl index 24eb11352..1e3d87b0b 100755 --- a/test_regress/t/t_math_strwidth.pl +++ b/test_regress/t/t_math_strwidth.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => [], ); diff --git a/test_regress/t/t_math_svl.pl b/test_regress/t/t_math_svl.pl index 6b47bba9f..d10857db2 100755 --- a/test_regress/t/t_math_svl.pl +++ b/test_regress/t/t_math_svl.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_svl2.pl b/test_regress/t/t_math_svl2.pl index c852fb076..aa70994c6 100755 --- a/test_regress/t/t_math_svl2.pl +++ b/test_regress/t/t_math_svl2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_swap.pl b/test_regress/t/t_math_swap.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_swap.pl +++ b/test_regress/t/t_math_swap.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_synmul.pl b/test_regress/t/t_math_synmul.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_synmul.pl +++ b/test_regress/t/t_math_synmul.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_tri.pl b/test_regress/t/t_math_tri.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_tri.pl +++ b/test_regress/t/t_math_tri.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_trig.pl b/test_regress/t/t_math_trig.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_trig.pl +++ b/test_regress/t/t_math_trig.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_vgen.pl b/test_regress/t/t_math_vgen.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_vgen.pl +++ b/test_regress/t/t_math_vgen.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_vliw.pl b/test_regress/t/t_math_vliw.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_vliw.pl +++ b/test_regress/t/t_math_vliw.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_math_width.pl b/test_regress/t/t_math_width.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_math_width.pl +++ b/test_regress/t/t_math_width.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mem.pl b/test_regress/t/t_mem.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_mem.pl +++ b/test_regress/t/t_mem.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mem_banks.pl b/test_regress/t/t_mem_banks.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_mem_banks.pl +++ b/test_regress/t/t_mem_banks.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mem_cond.pl b/test_regress/t/t_mem_cond.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_mem_cond.pl +++ b/test_regress/t/t_mem_cond.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mem_fifo.pl b/test_regress/t/t_mem_fifo.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_mem_fifo.pl +++ b/test_regress/t/t_mem_fifo.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mem_file.pl b/test_regress/t/t_mem_file.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_mem_file.pl +++ b/test_regress/t/t_mem_file.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mem_first.pl b/test_regress/t/t_mem_first.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_mem_first.pl +++ b/test_regress/t/t_mem_first.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mem_func.pl b/test_regress/t/t_mem_func.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_mem_func.pl +++ b/test_regress/t/t_mem_func.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mem_iforder.pl b/test_regress/t/t_mem_iforder.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_mem_iforder.pl +++ b/test_regress/t/t_mem_iforder.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mem_multi_io.pl b/test_regress/t/t_mem_multi_io.pl index 40b10936f..6ab567600 100755 --- a/test_regress/t/t_mem_multi_io.pl +++ b/test_regress/t/t_mem_multi_io.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( # Disable inlining, this test is trivial without it verilator_flags2 => ["-Oi --trace"], diff --git a/test_regress/t/t_mem_multi_io2_cc.pl b/test_regress/t/t_mem_multi_io2_cc.pl index eea041937..83a749623 100755 --- a/test_regress/t/t_mem_multi_io2_cc.pl +++ b/test_regress/t/t_mem_multi_io2_cc.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_mem_multi_io2.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_mem_multi_io2.v"); compile( make_top_shell => 0, diff --git a/test_regress/t/t_mem_multi_io2_sc.pl b/test_regress/t/t_mem_multi_io2_sc.pl index 2cd66475e..e5d7f745b 100755 --- a/test_regress/t/t_mem_multi_io2_sc.pl +++ b/test_regress/t/t_mem_multi_io2_sc.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_mem_multi_io2.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_mem_multi_io2.v"); compile( make_top_shell => 0, diff --git a/test_regress/t/t_mem_multi_io3_cc.pl b/test_regress/t/t_mem_multi_io3_cc.pl index 79575577e..57f12c140 100755 --- a/test_regress/t/t_mem_multi_io3_cc.pl +++ b/test_regress/t/t_mem_multi_io3_cc.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_mem_multi_io3.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_mem_multi_io3.v"); compile( make_top_shell => 0, diff --git a/test_regress/t/t_mem_multi_io3_sc.pl b/test_regress/t/t_mem_multi_io3_sc.pl index c97e3567c..1ecc7694f 100755 --- a/test_regress/t/t_mem_multi_io3_sc.pl +++ b/test_regress/t/t_mem_multi_io3_sc.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_mem_multi_io3.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_mem_multi_io3.v"); compile( make_top_shell => 0, diff --git a/test_regress/t/t_mem_multi_ref_bad.pl b/test_regress/t/t_mem_multi_ref_bad.pl index d6bdb5898..8f8522429 100755 --- a/test_regress/t/t_mem_multi_ref_bad.pl +++ b/test_regress/t/t_mem_multi_ref_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => $Self->{vlt}, nc => 0, # Need to get it not to give the prompt diff --git a/test_regress/t/t_mem_multidim.pl b/test_regress/t/t_mem_multidim.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_mem_multidim.pl +++ b/test_regress/t/t_mem_multidim.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mem_multidim_Ox.pl b/test_regress/t/t_mem_multidim_Ox.pl index 04f4d0b62..31172a9fc 100755 --- a/test_regress/t/t_mem_multidim_Ox.pl +++ b/test_regress/t/t_mem_multidim_Ox.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_mem_multidim.v"); compile( diff --git a/test_regress/t/t_mem_multidim_trace.pl b/test_regress/t/t_mem_multidim_trace.pl index c381e72be..e337974fe 100755 --- a/test_regress/t/t_mem_multidim_trace.pl +++ b/test_regress/t/t_mem_multidim_trace.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_mem_multidim.v"); compile( diff --git a/test_regress/t/t_mem_multiwire.pl b/test_regress/t/t_mem_multiwire.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_mem_multiwire.pl +++ b/test_regress/t/t_mem_multiwire.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mem_packed.pl b/test_regress/t/t_mem_packed.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_mem_packed.pl +++ b/test_regress/t/t_mem_packed.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mem_packed_assign.pl b/test_regress/t/t_mem_packed_assign.pl index cbdfe0403..ede6d329a 100755 --- a/test_regress/t/t_mem_packed_assign.pl +++ b/test_regress/t/t_mem_packed_assign.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mem_packed_bad.pl b/test_regress/t/t_mem_packed_bad.pl index b6c473411..96651ae1c 100755 --- a/test_regress/t/t_mem_packed_bad.pl +++ b/test_regress/t/t_mem_packed_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( verilator_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_mem_shift.pl b/test_regress/t/t_mem_shift.pl index 31681e77b..752d4c34f 100755 --- a/test_regress/t/t_mem_shift.pl +++ b/test_regress/t/t_mem_shift.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--stats"], ); diff --git a/test_regress/t/t_mem_slice.pl b/test_regress/t/t_mem_slice.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_mem_slice.pl +++ b/test_regress/t/t_mem_slice.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mem_slice_bad.pl b/test_regress/t/t_mem_slice_bad.pl index a17211f2a..9ae1f10e9 100755 --- a/test_regress/t/t_mem_slice_bad.pl +++ b/test_regress/t/t_mem_slice_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_mem_slice_conc_bad.pl b/test_regress/t/t_mem_slice_conc_bad.pl index d8a0b861a..9b64617a5 100755 --- a/test_regress/t/t_mem_slice_conc_bad.pl +++ b/test_regress/t/t_mem_slice_conc_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_mem_slice_dtype_bad.pl b/test_regress/t/t_mem_slice_dtype_bad.pl index ca907d01f..2c72e1d70 100755 --- a/test_regress/t/t_mem_slice_dtype_bad.pl +++ b/test_regress/t/t_mem_slice_dtype_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => 1, expect => diff --git a/test_regress/t/t_mem_slot.pl b/test_regress/t/t_mem_slot.pl index 357c2f639..da60e8024 100755 --- a/test_regress/t/t_mem_slot.pl +++ b/test_regress/t/t_mem_slot.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( make_top_shell => 0, diff --git a/test_regress/t/t_mem_twoedge.pl b/test_regress/t/t_mem_twoedge.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_mem_twoedge.pl +++ b/test_regress/t/t_mem_twoedge.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_metacmt_onoff.pl b/test_regress/t/t_metacmt_onoff.pl index b7789a77e..89a5cd459 100755 --- a/test_regress/t/t_metacmt_onoff.pl +++ b/test_regress/t/t_metacmt_onoff.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => [qw(--lint-only)], verilator_make_gcc => 0, diff --git a/test_regress/t/t_mod_dup_bad.pl b/test_regress/t/t_mod_dup_bad.pl index eb20f791d..1ebee5d1a 100755 --- a/test_regress/t/t_mod_dup_bad.pl +++ b/test_regress/t/t_mod_dup_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( fails => 1, diff --git a/test_regress/t/t_mod_dup_ign.pl b/test_regress/t/t_mod_dup_ign.pl index 853893a7f..cb7f7d6fe 100755 --- a/test_regress/t/t_mod_dup_ign.pl +++ b/test_regress/t/t_mod_dup_ign.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( make_top_shell => 0, diff --git a/test_regress/t/t_mod_interface_array.pl b/test_regress/t/t_mod_interface_array.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_mod_interface_array.pl +++ b/test_regress/t/t_mod_interface_array.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mod_interface_array1.pl b/test_regress/t/t_mod_interface_array1.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_mod_interface_array1.pl +++ b/test_regress/t/t_mod_interface_array1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mod_interface_array1_noinl.pl b/test_regress/t/t_mod_interface_array1_noinl.pl index c71b43e4c..a08606902 100755 --- a/test_regress/t/t_mod_interface_array1_noinl.pl +++ b/test_regress/t/t_mod_interface_array1_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_mod_interface_array1.v"); compile( diff --git a/test_regress/t/t_mod_interface_array2.pl b/test_regress/t/t_mod_interface_array2.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_mod_interface_array2.pl +++ b/test_regress/t/t_mod_interface_array2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mod_interface_array2_noinl.pl b/test_regress/t/t_mod_interface_array2_noinl.pl index 1163d6124..588fdf3fb 100755 --- a/test_regress/t/t_mod_interface_array2_noinl.pl +++ b/test_regress/t/t_mod_interface_array2_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_mod_interface_array2.v"); compile( diff --git a/test_regress/t/t_mod_interface_array_noinl.pl b/test_regress/t/t_mod_interface_array_noinl.pl index 4eecf3106..e4adc68ac 100755 --- a/test_regress/t/t_mod_interface_array_noinl.pl +++ b/test_regress/t/t_mod_interface_array_noinl.pl @@ -6,6 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. + +scenarios(simulator => 1); + top_filename("t/t_mod_interface_array.v"); compile( diff --git a/test_regress/t/t_mod_longname.pl b/test_regress/t/t_mod_longname.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_mod_longname.pl +++ b/test_regress/t/t_mod_longname.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mod_recurse.pl b/test_regress/t/t_mod_recurse.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_mod_recurse.pl +++ b/test_regress/t/t_mod_recurse.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_mod_recurse1.pl b/test_regress/t/t_mod_recurse1.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_mod_recurse1.pl +++ b/test_regress/t/t_mod_recurse1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_optm_if_array.pl b/test_regress/t/t_optm_if_array.pl index f499f0361..37ce368a9 100755 --- a/test_regress/t/t_optm_if_array.pl +++ b/test_regress/t/t_optm_if_array.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_optm_redor.pl b/test_regress/t/t_optm_redor.pl index f499f0361..37ce368a9 100755 --- a/test_regress/t/t_optm_redor.pl +++ b/test_regress/t/t_optm_redor.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_order.pl b/test_regress/t/t_order.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_order.pl +++ b/test_regress/t/t_order.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_order_2d.pl b/test_regress/t/t_order_2d.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_order_2d.pl +++ b/test_regress/t/t_order_2d.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_order_clkinst.pl b/test_regress/t/t_order_clkinst.pl index 32d6afe0f..11b8aeb6b 100755 --- a/test_regress/t/t_order_clkinst.pl +++ b/test_regress/t/t_order_clkinst.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + my $fail = ($Self->{vlt} && verilator_version() !~ /\(ord\)/); compile( diff --git a/test_regress/t/t_order_clkinst_bad.pl b/test_regress/t/t_order_clkinst_bad.pl index b7d685bf8..51f214681 100755 --- a/test_regress/t/t_order_clkinst_bad.pl +++ b/test_regress/t/t_order_clkinst_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_order_clkinst.v"); compile( diff --git a/test_regress/t/t_order_comboclkloop.pl b/test_regress/t/t_order_comboclkloop.pl index 9b0776857..1be18a66c 100755 --- a/test_regress/t/t_order_comboclkloop.pl +++ b/test_regress/t/t_order_comboclkloop.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_order_comboloop.pl b/test_regress/t/t_order_comboloop.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_order_comboloop.pl +++ b/test_regress/t/t_order_comboloop.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_order_doubleloop.pl b/test_regress/t/t_order_doubleloop.pl index 32d6afe0f..11b8aeb6b 100755 --- a/test_regress/t/t_order_doubleloop.pl +++ b/test_regress/t/t_order_doubleloop.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + my $fail = ($Self->{vlt} && verilator_version() !~ /\(ord\)/); compile( diff --git a/test_regress/t/t_order_first.pl b/test_regress/t/t_order_first.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_order_first.pl +++ b/test_regress/t/t_order_first.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_order_loop_bad.pl b/test_regress/t/t_order_loop_bad.pl index 36308df6a..a29a29006 100755 --- a/test_regress/t/t_order_loop_bad.pl +++ b/test_regress/t/t_order_loop_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_order_multialways.pl b/test_regress/t/t_order_multialways.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_order_multialways.pl +++ b/test_regress/t/t_order_multialways.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_order_multidriven.cpp b/test_regress/t/t_order_multidriven.cpp index 86068112d..7fae07638 100644 --- a/test_regress/t/t_order_multidriven.cpp +++ b/test_regress/t/t_order_multidriven.cpp @@ -5,6 +5,9 @@ #include "verilated.h" #include "verilated_vcd_c.h" +#define STRINGIFY(x) STRINGIFY2(x) +#define STRINGIFY2(x) #x + Vt_order_multidriven * vcore; VerilatedVcdC * vcd; vluint64_t vtime; @@ -43,7 +46,7 @@ int main() { vcd = new VerilatedVcdC; vcore->trace( vcd, 99 ); - vcd->open( "obj_dir/t_order_multidriven/sim.vcd" ); + vcd->open(STRINGIFY(TEST_OBJ_DIR) "/simx.vcd" ); vcore->i_clk_wr = 0; vcore->i_clk_rd = 0; diff --git a/test_regress/t/t_order_multidriven.pl b/test_regress/t/t_order_multidriven.pl index 693c587b7..7881a8cb4 100755 --- a/test_regress/t/t_order_multidriven.pl +++ b/test_regress/t/t_order_multidriven.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( make_top_shell => 0, diff --git a/test_regress/t/t_order_quad.pl b/test_regress/t/t_order_quad.pl index dd53fe12b..66b07eadf 100755 --- a/test_regress/t/t_order_quad.pl +++ b/test_regress/t/t_order_quad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( make_top_shell => 0, make_main => 0, diff --git a/test_regress/t/t_order_wireloop.pl b/test_regress/t/t_order_wireloop.pl index 6a81d9e59..964e674f5 100755 --- a/test_regress/t/t_order_wireloop.pl +++ b/test_regress/t/t_order_wireloop.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => $Self->{vlt}, # Used to be %Error: t/t_order_wireloop.v:\d+: Wire inputs its own output, creating circular logic .wire x=x. diff --git a/test_regress/t/t_package.pl b/test_regress/t/t_package.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_package.pl +++ b/test_regress/t/t_package.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_package_abs.pl b/test_regress/t/t_package_abs.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_package_abs.pl +++ b/test_regress/t/t_package_abs.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_package_ddecl.pl b/test_regress/t/t_package_ddecl.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_package_ddecl.pl +++ b/test_regress/t/t_package_ddecl.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_package_dimport.pl b/test_regress/t/t_package_dimport.pl index 78cdf9e06..bff7cfb29 100755 --- a/test_regress/t/t_package_dimport.pl +++ b/test_regress/t/t_package_dimport.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_package_dot.pl b/test_regress/t/t_package_dot.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_package_dot.pl +++ b/test_regress/t/t_package_dot.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_package_enum.pl b/test_regress/t/t_package_enum.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_package_enum.pl +++ b/test_regress/t/t_package_enum.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_package_export.pl b/test_regress/t/t_package_export.pl index 1587507b3..939096741 100755 --- a/test_regress/t/t_package_export.pl +++ b/test_regress/t/t_package_export.pl @@ -7,7 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vcs} and $Self->unsupported("VCS unsupported"); +scenarios(simulator => 1); +$Self->{vcs} and unsupported("VCS unsupported"); compile( v_flags2 => ['+define+T_PACKAGE_EXPORT',], diff --git a/test_regress/t/t_package_export_bad.pl b/test_regress/t/t_package_export_bad.pl index 88e799ed4..d34ace777 100755 --- a/test_regress/t/t_package_export_bad.pl +++ b/test_regress/t/t_package_export_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_package_export.v"); compile( diff --git a/test_regress/t/t_package_param.pl b/test_regress/t/t_package_param.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_package_param.pl +++ b/test_regress/t/t_package_param.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_package_twodeep.pl b/test_regress/t/t_package_twodeep.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_package_twodeep.pl +++ b/test_regress/t/t_package_twodeep.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_package_verb.pl b/test_regress/t/t_package_verb.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_package_verb.pl +++ b/test_regress/t/t_package_verb.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param.pl b/test_regress/t/t_param.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param.pl +++ b/test_regress/t/t_param.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_array.pl b/test_regress/t/t_param_array.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_array.pl +++ b/test_regress/t/t_param_array.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_array2.pl b/test_regress/t/t_param_array2.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_array2.pl +++ b/test_regress/t/t_param_array2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_avec.pl b/test_regress/t/t_param_avec.pl index a0adfd239..39fa482ec 100755 --- a/test_regress/t/t_param_avec.pl +++ b/test_regress/t/t_param_avec.pl @@ -7,7 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} and $Self->unsupported("Verilator unsupported, bug477"); +scenarios(simulator => 1); +$Self->{vlt} and unsupported("Verilator unsupported, bug477"); compile( ); diff --git a/test_regress/t/t_param_bit_sel.pl b/test_regress/t/t_param_bit_sel.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_bit_sel.pl +++ b/test_regress/t/t_param_bit_sel.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_ceil.pl b/test_regress/t/t_param_ceil.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_ceil.pl +++ b/test_regress/t/t_param_ceil.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_chain.pl b/test_regress/t/t_param_chain.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_chain.pl +++ b/test_regress/t/t_param_chain.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_circ_bad.pl b/test_regress/t/t_param_circ_bad.pl index 43387f489..c0997311d 100755 --- a/test_regress/t/t_param_circ_bad.pl +++ b/test_regress/t/t_param_circ_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_param_concat.pl b/test_regress/t/t_param_concat.pl index 0a92d08f2..f018b8d24 100755 --- a/test_regress/t/t_param_concat.pl +++ b/test_regress/t/t_param_concat.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--Wno-WIDTHCONCAT"], ); diff --git a/test_regress/t/t_param_concat_bad.pl b/test_regress/t/t_param_concat_bad.pl index 1f960c3f4..27e7256ad 100755 --- a/test_regress/t/t_param_concat_bad.pl +++ b/test_regress/t/t_param_concat_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_param_concat.v"); compile( diff --git a/test_regress/t/t_param_const_part.pl b/test_regress/t/t_param_const_part.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_const_part.pl +++ b/test_regress/t/t_param_const_part.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_ddeep_width.pl b/test_regress/t/t_param_ddeep_width.pl index c344b006e..a6554ba87 100755 --- a/test_regress/t/t_param_ddeep_width.pl +++ b/test_regress/t/t_param_ddeep_width.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--lint-only"], verilator_make_gcc => 0, diff --git a/test_regress/t/t_param_default.pl b/test_regress/t/t_param_default.pl old mode 100644 new mode 100755 index aa9052a35..990186320 --- a/test_regress/t/t_param_default.pl +++ b/test_regress/t/t_param_default.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_default_bad.pl b/test_regress/t/t_param_default_bad.pl index c6d00dbc7..0d35c2868 100755 --- a/test_regress/t/t_param_default_bad.pl +++ b/test_regress/t/t_param_default_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => 1, expect => diff --git a/test_regress/t/t_param_first.pl b/test_regress/t/t_param_first.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_first.pl +++ b/test_regress/t/t_param_first.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_func.pl b/test_regress/t/t_param_func.pl index 8349a24d7..5c448de7c 100755 --- a/test_regress/t/t_param_func.pl +++ b/test_regress/t/t_param_func.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_if_blk.pl b/test_regress/t/t_param_if_blk.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_if_blk.pl +++ b/test_regress/t/t_param_if_blk.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_local.pl b/test_regress/t/t_param_local.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_local.pl +++ b/test_regress/t/t_param_local.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_long.pl b/test_regress/t/t_param_long.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_long.pl +++ b/test_regress/t/t_param_long.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_mem_attr.pl b/test_regress/t/t_param_mem_attr.pl index b6ace5129..3a7763b0d 100755 --- a/test_regress/t/t_param_mem_attr.pl +++ b/test_regress/t/t_param_mem_attr.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + # Compile only test. compile( ); diff --git a/test_regress/t/t_param_module.pl b/test_regress/t/t_param_module.pl index 423f76c16..39c42f7d0 100755 --- a/test_regress/t/t_param_module.pl +++ b/test_regress/t/t_param_module.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + # Compile only test compile( ); diff --git a/test_regress/t/t_param_named.pl b/test_regress/t/t_param_named.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_named.pl +++ b/test_regress/t/t_param_named.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_named_2.pl b/test_regress/t/t_param_named_2.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_named_2.pl +++ b/test_regress/t/t_param_named_2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_no_parentheses.pl b/test_regress/t/t_param_no_parentheses.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_no_parentheses.pl +++ b/test_regress/t/t_param_no_parentheses.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_package.pl b/test_regress/t/t_param_package.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_package.pl +++ b/test_regress/t/t_param_package.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_public.pl b/test_regress/t/t_param_public.pl index 92da43742..0560d989d 100755 --- a/test_regress/t/t_param_public.pl +++ b/test_regress/t/t_param_public.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + if ($Self->{vlt}) { compile( verilator_flags2 => ["--exe $Self->{t_dir}/$Self->{name}.cpp"], diff --git a/test_regress/t/t_param_real.pl b/test_regress/t/t_param_real.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_real.pl +++ b/test_regress/t/t_param_real.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_repl.pl b/test_regress/t/t_param_repl.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_repl.pl +++ b/test_regress/t/t_param_repl.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_seg.pl b/test_regress/t/t_param_seg.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_seg.pl +++ b/test_regress/t/t_param_seg.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_sel.pl b/test_regress/t/t_param_sel.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_sel.pl +++ b/test_regress/t/t_param_sel.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_sel_range.pl b/test_regress/t/t_param_sel_range.pl index 18bf71ffa..d3139fae5 100755 --- a/test_regress/t/t_param_sel_range.pl +++ b/test_regress/t/t_param_sel_range.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only -Wno-SELRANGE"], diff --git a/test_regress/t/t_param_sel_range_bad.pl b/test_regress/t/t_param_sel_range_bad.pl index 30544a89f..0e1114afa 100755 --- a/test_regress/t/t_param_sel_range_bad.pl +++ b/test_regress/t/t_param_sel_range_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_param_sel_range.v"); compile( diff --git a/test_regress/t/t_param_shift.pl b/test_regress/t/t_param_shift.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_shift.pl +++ b/test_regress/t/t_param_shift.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_type.pl b/test_regress/t/t_param_type.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_type.pl +++ b/test_regress/t/t_param_type.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_type2.pl b/test_regress/t/t_param_type2.pl index a0402c0a7..73dc10324 100755 --- a/test_regress/t/t_param_type2.pl +++ b/test_regress/t/t_param_type2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_up_bad.pl b/test_regress/t/t_param_up_bad.pl index 1e0748a48..4dde9334b 100755 --- a/test_regress/t/t_param_up_bad.pl +++ b/test_regress/t/t_param_up_bad.pl @@ -7,7 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); + compile( v_flags2 => ["--lint-only"], fails => 1, diff --git a/test_regress/t/t_param_value.pl b/test_regress/t/t_param_value.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_value.pl +++ b/test_regress/t/t_param_value.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_while.pl b/test_regress/t/t_param_while.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_param_while.pl +++ b/test_regress/t/t_param_while.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_param_wide_io.pl b/test_regress/t/t_param_wide_io.pl index a515987b1..fc7eccce3 100755 --- a/test_regress/t/t_param_wide_io.pl +++ b/test_regress/t/t_param_wide_io.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_parse_delay.pl b/test_regress/t/t_parse_delay.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_parse_delay.pl +++ b/test_regress/t/t_parse_delay.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_pipe_exit_bad.pl b/test_regress/t/t_pipe_exit_bad.pl index c1c528ffb..c190c3dd7 100755 --- a/test_regress/t/t_pipe_exit_bad.pl +++ b/test_regress/t/t_pipe_exit_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); top_filename("t/t_pipe_filter.v"); diff --git a/test_regress/t/t_pipe_filter.pl b/test_regress/t/t_pipe_filter.pl index dae377d86..e33936e57 100755 --- a/test_regress/t/t_pipe_filter.pl +++ b/test_regress/t/t_pipe_filter.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); $Self->{golden_out} ||= "t/$Self->{name}.out"; my $stdout_filename = "$Self->{obj_dir}/$Self->{name}__test.vpp"; diff --git a/test_regress/t/t_pp_circdef_bad.pl b/test_regress/t/t_pp_circdef_bad.pl index 50f1d3c70..28672314c 100755 --- a/test_regress/t/t_pp_circdef_bad.pl +++ b/test_regress/t/t_pp_circdef_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_pp_display.pl b/test_regress/t/t_pp_display.pl index b77333fc9..77c3ca8a0 100755 --- a/test_regress/t/t_pp_display.pl +++ b/test_regress/t/t_pp_display.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(vlt => 1); + compile( ); diff --git a/test_regress/t/t_pp_dupdef.pl b/test_regress/t/t_pp_dupdef.pl index 597349ad4..b9555f527 100755 --- a/test_regress/t/t_pp_dupdef.pl +++ b/test_regress/t/t_pp_dupdef.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( verilator_flags2 => ["-Wno-REDEFMACRO"], diff --git a/test_regress/t/t_pp_dupdef_bad.pl b/test_regress/t/t_pp_dupdef_bad.pl index df0cd221c..91f18972a 100755 --- a/test_regress/t/t_pp_dupdef_bad.pl +++ b/test_regress/t/t_pp_dupdef_bad.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_pp_dupdef.v"); +scenarios(vlt => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_pp_dupdef.v"); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_pp_lib.pl b/test_regress/t/t_pp_lib.pl index 3e6d6e857..9d820dc30 100755 --- a/test_regress/t/t_pp_lib.pl +++ b/test_regress/t/t_pp_lib.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(vlt => 1); + compile( v_flags2 => ['-v', 't/t_pp_lib_library.v'], ); diff --git a/test_regress/t/t_pp_misdef_bad.pl b/test_regress/t/t_pp_misdef_bad.pl index 3669cb172..1b89ea0fe 100755 --- a/test_regress/t/t_pp_misdef_bad.pl +++ b/test_regress/t/t_pp_misdef_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_pp_pragmas.pl b/test_regress/t/t_pp_pragmas.pl index 7194e75f5..beafec13a 100755 --- a/test_regress/t/t_pp_pragmas.pl +++ b/test_regress/t/t_pp_pragmas.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(vlt => 1); + compile( ); diff --git a/test_regress/t/t_pp_underline_bad.pl b/test_regress/t/t_pp_underline_bad.pl index f3354d179..795815f25 100755 --- a/test_regress/t/t_pp_underline_bad.pl +++ b/test_regress/t/t_pp_underline_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( verilator_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_preproc.pl b/test_regress/t/t_preproc.pl index 70a0f9888..f2c515d08 100755 --- a/test_regress/t/t_preproc.pl +++ b/test_regress/t/t_preproc.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); $Self->{golden_out} ||= "t/$Self->{name}.out"; my $stdout_filename = "$Self->{obj_dir}/$Self->{name}__test.vpp"; @@ -46,14 +46,14 @@ sub preproc_check { if ($line =~ /^Line_Preproc_Check\s+(\d+)/) { my $linecmt = $1; my $check = shift @Line_Checks; - if (!$check) { $Self->error("$filename2:$.: Extra Line_Preproc_Check\n"); } - if ($linecmt != $check) { $Self->error("$filename2:$.: __LINE__ inserted $linecmt, exp=$check\n"); } - if ($lineno != $check) { $Self->error("$filename2:$.: __LINE__ on `line $lineno, exp=$check\n"); } + if (!$check) { error("$filename2:$.: Extra Line_Preproc_Check\n"); } + if ($linecmt != $check) { error("$filename2:$.: __LINE__ inserted $linecmt, exp=$check\n"); } + if ($lineno != $check) { error("$filename2:$.: __LINE__ on `line $lineno, exp=$check\n"); } } } $fh->close; } - if ($Line_Checks[0]) { $Self->error("$filename2: Missing a Line_Preproc_Check\n"); } + if ($Line_Checks[0]) { error("$filename2: Missing a Line_Preproc_Check\n"); } return 1; } diff --git a/test_regress/t/t_preproc_def09.pl b/test_regress/t/t_preproc_def09.pl index 40bca3c47..a44da8bdb 100755 --- a/test_regress/t/t_preproc_def09.pl +++ b/test_regress/t/t_preproc_def09.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); my $stdout_filename = "$Self->{obj_dir}/$Self->{name}__test.vpp"; diff --git a/test_regress/t/t_preproc_dos.pl b/test_regress/t/t_preproc_dos.pl index 04041384c..c43722876 100755 --- a/test_regress/t/t_preproc_dos.pl +++ b/test_regress/t/t_preproc_dos.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("$Self->{obj_dir}/$Self->{name}.v"); # Rather then having to maintain a new .v and .out, simply add returns diff --git a/test_regress/t/t_preproc_ifdef.pl b/test_regress/t/t_preproc_ifdef.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_preproc_ifdef.pl +++ b/test_regress/t/t_preproc_ifdef.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_preproc_inc_bad.pl b/test_regress/t/t_preproc_inc_bad.pl index 564c20d7b..70c332798 100755 --- a/test_regress/t/t_preproc_inc_bad.pl +++ b/test_regress/t/t_preproc_inc_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( verilator_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_preproc_kwd.pl b/test_regress/t/t_preproc_kwd.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_preproc_kwd.pl +++ b/test_regress/t/t_preproc_kwd.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_preproc_noline.pl b/test_regress/t/t_preproc_noline.pl index 88af90c95..6f878a40c 100755 --- a/test_regress/t/t_preproc_noline.pl +++ b/test_regress/t/t_preproc_noline.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); my $stdout_filename = "$Self->{obj_dir}/$Self->{name}__test.vpp"; diff --git a/test_regress/t/t_preproc_persist.pl b/test_regress/t/t_preproc_persist.pl index dc15565d9..bdb83fc1e 100755 --- a/test_regress/t/t_preproc_persist.pl +++ b/test_regress/t/t_preproc_persist.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); my $stdout_filename = "$Self->{obj_dir}/$Self->{name}__test.vpp"; diff --git a/test_regress/t/t_preproc_ttempty.pl b/test_regress/t/t_preproc_ttempty.pl index fce5f9ff2..c17481020 100755 --- a/test_regress/t/t_preproc_ttempty.pl +++ b/test_regress/t/t_preproc_ttempty.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); my $stdout_filename = "$Self->{obj_dir}/$Self->{name}__test.vpp"; diff --git a/test_regress/t/t_preproc_undefineall.pl b/test_regress/t/t_preproc_undefineall.pl index 18712b372..51080a82b 100755 --- a/test_regress/t/t_preproc_undefineall.pl +++ b/test_regress/t/t_preproc_undefineall.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ['+define+PREDEF_COMMAND_LINE'], verilator_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_program.pl b/test_regress/t/t_program.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_program.pl +++ b/test_regress/t/t_program.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_repeat.pl b/test_regress/t/t_repeat.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_repeat.pl +++ b/test_regress/t/t_repeat.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_rnd.pl b/test_regress/t/t_rnd.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_rnd.pl +++ b/test_regress/t/t_rnd.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_savable.pl b/test_regress/t/t_savable.pl index b8317adc1..942feae4d 100755 --- a/test_regress/t/t_savable.pl +++ b/test_regress/t/t_savable.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--savable"], save_time => 500, @@ -17,7 +19,7 @@ execute( all_run_flags => ['+save_time=500'], ); --r "$Self->{obj_dir}/saved.vltsv" or $Self->error("Saved.vltsv not created\n"); +-r "$Self->{obj_dir}/saved.vltsv" or error("Saved.vltsv not created\n"); execute( all_run_flags => ['+save_restore=1'], diff --git a/test_regress/t/t_scope_map.cpp b/test_regress/t/t_scope_map.cpp index 4c8ebdd20..9b7863f0c 100644 --- a/test_regress/t/t_scope_map.cpp +++ b/test_regress/t/t_scope_map.cpp @@ -13,6 +13,9 @@ #include "Vt_scope_map.h" +#define STRINGIFY(x) STRINGIFY2(x) +#define STRINGIFY2(x) #x + using namespace std; unsigned long long main_time = 0; @@ -30,7 +33,7 @@ int main(int argc, char **argv, char **env) { VerilatedVcdC* tfp = new VerilatedVcdC; top->trace(tfp,99); - tfp->open("obj_dir/t_scope_map/simx.vcd"); + tfp->open(STRINGIFY(TEST_OBJ_DIR) "/simx.vcd"); top->CLK = 0; top->eval(); diff --git a/test_regress/t/t_scope_map.pl b/test_regress/t/t_scope_map.pl index 096a36ddc..e93d68454 100755 --- a/test_regress/t/t_scope_map.pl +++ b/test_regress/t/t_scope_map.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( make_top_shell => 0, diff --git a/test_regress/t/t_select_bad_msb.pl b/test_regress/t/t_select_bad_msb.pl index 02d94f5a5..8fe0627aa 100755 --- a/test_regress/t/t_select_bad_msb.pl +++ b/test_regress/t/t_select_bad_msb.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( fails => 1, diff --git a/test_regress/t/t_select_bad_range.pl b/test_regress/t/t_select_bad_range.pl index d3d09a848..9fcd9df23 100755 --- a/test_regress/t/t_select_bad_range.pl +++ b/test_regress/t/t_select_bad_range.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => $Self->{vlt}, diff --git a/test_regress/t/t_select_bad_range2.pl b/test_regress/t/t_select_bad_range2.pl index 5a8f380ae..9f7ddd7b2 100755 --- a/test_regress/t/t_select_bad_range2.pl +++ b/test_regress/t/t_select_bad_range2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => $Self->{vlt}, diff --git a/test_regress/t/t_select_bad_range3.pl b/test_regress/t/t_select_bad_range3.pl index 04ea20e77..9f8ee62e7 100755 --- a/test_regress/t/t_select_bad_range3.pl +++ b/test_regress/t/t_select_bad_range3.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => $Self->{vlt}, diff --git a/test_regress/t/t_select_bad_tri.pl b/test_regress/t/t_select_bad_tri.pl index 5a5224b04..a6879d9cf 100755 --- a/test_regress/t/t_select_bad_tri.pl +++ b/test_regress/t/t_select_bad_tri.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => $Self->{vlt}, diff --git a/test_regress/t/t_select_bound1.pl b/test_regress/t/t_select_bound1.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_select_bound1.pl +++ b/test_regress/t/t_select_bound1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_select_bound2.pl b/test_regress/t/t_select_bound2.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_select_bound2.pl +++ b/test_regress/t/t_select_bound2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_select_index.pl b/test_regress/t/t_select_index.pl index 6d1e10c18..c9adf6d32 100755 --- a/test_regress/t/t_select_index.pl +++ b/test_regress/t/t_select_index.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_select_index2.pl b/test_regress/t/t_select_index2.pl index 93f9e834f..dd4decbdb 100755 --- a/test_regress/t/t_select_index2.pl +++ b/test_regress/t/t_select_index2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], make_top_shell => 0, diff --git a/test_regress/t/t_select_lhs_oob.pl b/test_regress/t/t_select_lhs_oob.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_select_lhs_oob.pl +++ b/test_regress/t/t_select_lhs_oob.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_select_lhs_oob2.pl b/test_regress/t/t_select_lhs_oob2.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_select_lhs_oob2.pl +++ b/test_regress/t/t_select_lhs_oob2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_select_little.pl b/test_regress/t/t_select_little.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_select_little.pl +++ b/test_regress/t/t_select_little.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_select_little_pack.pl b/test_regress/t/t_select_little_pack.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_select_little_pack.pl +++ b/test_regress/t/t_select_little_pack.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_select_loop.pl b/test_regress/t/t_select_loop.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_select_loop.pl +++ b/test_regress/t/t_select_loop.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_select_negative.pl b/test_regress/t/t_select_negative.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_select_negative.pl +++ b/test_regress/t/t_select_negative.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_select_param.pl b/test_regress/t/t_select_param.pl index 6d1e10c18..c9adf6d32 100755 --- a/test_regress/t/t_select_param.pl +++ b/test_regress/t/t_select_param.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_select_plus.pl b/test_regress/t/t_select_plus.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_select_plus.pl +++ b/test_regress/t/t_select_plus.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_select_plusloop.pl b/test_regress/t/t_select_plusloop.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_select_plusloop.pl +++ b/test_regress/t/t_select_plusloop.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_select_runtime_range.pl b/test_regress/t/t_select_runtime_range.pl index 6d1e10c18..c9adf6d32 100755 --- a/test_regress/t/t_select_runtime_range.pl +++ b/test_regress/t/t_select_runtime_range.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_select_set.pl b/test_regress/t/t_select_set.pl index 6d1e10c18..c9adf6d32 100755 --- a/test_regress/t/t_select_set.pl +++ b/test_regress/t/t_select_set.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_slice_cond.pl b/test_regress/t/t_slice_cond.pl index 8349a24d7..5c448de7c 100755 --- a/test_regress/t/t_slice_cond.pl +++ b/test_regress/t/t_slice_cond.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_slice_init.pl b/test_regress/t/t_slice_init.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_slice_init.pl +++ b/test_regress/t/t_slice_init.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_slice_struct_array_modport.pl b/test_regress/t/t_slice_struct_array_modport.pl index a73f50323..62c359668 100755 --- a/test_regress/t/t_slice_struct_array_modport.pl +++ b/test_regress/t/t_slice_struct_array_modport.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--lint-only"], fails => 0, diff --git a/test_regress/t/t_static_elab.pl b/test_regress/t/t_static_elab.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_static_elab.pl +++ b/test_regress/t/t_static_elab.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_stream.pl b/test_regress/t/t_stream.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_stream.pl +++ b/test_regress/t/t_stream.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_stream2.pl b/test_regress/t/t_stream2.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_stream2.pl +++ b/test_regress/t/t_stream2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_stream3.pl b/test_regress/t/t_stream3.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_stream3.pl +++ b/test_regress/t/t_stream3.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_string.pl b/test_regress/t/t_string.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_string.pl +++ b/test_regress/t/t_string.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_string_type_methods.pl b/test_regress/t/t_string_type_methods.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_string_type_methods.pl +++ b/test_regress/t/t_string_type_methods.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_struct_anon.pl b/test_regress/t/t_struct_anon.pl index 864583ae3..76bfa062d 100755 --- a/test_regress/t/t_struct_anon.pl +++ b/test_regress/t/t_struct_anon.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], verilator_make_gcc => 0, diff --git a/test_regress/t/t_struct_array.pl b/test_regress/t/t_struct_array.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_struct_array.pl +++ b/test_regress/t/t_struct_array.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_struct_init.pl b/test_regress/t/t_struct_init.pl index 6d1e10c18..c9adf6d32 100755 --- a/test_regress/t/t_struct_init.pl +++ b/test_regress/t/t_struct_init.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_struct_init_bad.pl b/test_regress/t/t_struct_init_bad.pl index 28fb56293..cb1856bf2 100755 --- a/test_regress/t/t_struct_init_bad.pl +++ b/test_regress/t/t_struct_init_bad.pl @@ -7,8 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_struct_init.v"); +scenarios(simulator => 1); +top_filename("t/t_struct_init.v"); compile( v_flags2 => ['+define+T_STRUCT_INIT_BAD'], diff --git a/test_regress/t/t_struct_init_trace.pl b/test_regress/t/t_struct_init_trace.pl index e9bcd7a9f..972550651 100755 --- a/test_regress/t/t_struct_init_trace.pl +++ b/test_regress/t/t_struct_init_trace.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_struct_init.v"); compile( diff --git a/test_regress/t/t_struct_nest.pl b/test_regress/t/t_struct_nest.pl index 864583ae3..76bfa062d 100755 --- a/test_regress/t/t_struct_nest.pl +++ b/test_regress/t/t_struct_nest.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], verilator_make_gcc => 0, diff --git a/test_regress/t/t_struct_notfound_bad.pl b/test_regress/t/t_struct_notfound_bad.pl index 5077de331..54190c119 100755 --- a/test_regress/t/t_struct_notfound_bad.pl +++ b/test_regress/t/t_struct_notfound_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => 1, expect => diff --git a/test_regress/t/t_struct_packed_sysfunct.pl b/test_regress/t/t_struct_packed_sysfunct.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_struct_packed_sysfunct.pl +++ b/test_regress/t/t_struct_packed_sysfunct.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_struct_packed_value_list.pl b/test_regress/t/t_struct_packed_value_list.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_struct_packed_value_list.pl +++ b/test_regress/t/t_struct_packed_value_list.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_struct_packed_write_read.pl b/test_regress/t/t_struct_packed_write_read.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_struct_packed_write_read.pl +++ b/test_regress/t/t_struct_packed_write_read.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_struct_param.pl b/test_regress/t/t_struct_param.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_struct_param.pl +++ b/test_regress/t/t_struct_param.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_struct_pat_width.pl b/test_regress/t/t_struct_pat_width.pl index 6d1e10c18..c9adf6d32 100755 --- a/test_regress/t/t_struct_pat_width.pl +++ b/test_regress/t/t_struct_pat_width.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_struct_port.pl b/test_regress/t/t_struct_port.pl index 6d1e10c18..c9adf6d32 100755 --- a/test_regress/t/t_struct_port.pl +++ b/test_regress/t/t_struct_port.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_struct_portsel.pl b/test_regress/t/t_struct_portsel.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_struct_portsel.pl +++ b/test_regress/t/t_struct_portsel.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_struct_unaligned.pl b/test_regress/t/t_struct_unaligned.pl index 9102ca22d..35849af98 100755 --- a/test_regress/t/t_struct_unaligned.pl +++ b/test_regress/t/t_struct_unaligned.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + # Note: need to run at a higher optimization level to reproduce the issue $Self->{benchmark} = 1; diff --git a/test_regress/t/t_struct_unpacked.pl b/test_regress/t/t_struct_unpacked.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_struct_unpacked.pl +++ b/test_regress/t/t_struct_unpacked.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_struct_unpacked_bad.pl b/test_regress/t/t_struct_unpacked_bad.pl index 763ec5870..27d15d1fe 100755 --- a/test_regress/t/t_struct_unpacked_bad.pl +++ b/test_regress/t/t_struct_unpacked_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => $Self->{vlt}, expect => diff --git a/test_regress/t/t_sv_bus_mux_demux.pl b/test_regress/t/t_sv_bus_mux_demux.pl index 807609600..b1562d434 100755 --- a/test_regress/t/t_sv_bus_mux_demux.pl +++ b/test_regress/t/t_sv_bus_mux_demux.pl @@ -7,7 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} and $Self->unsupported("Verilator unsupported, bug181"); +scenarios(simulator => 1); +$Self->{vlt} and unsupported("Verilator unsupported, bug181"); compile( ); diff --git a/test_regress/t/t_sv_conditional.pl b/test_regress/t/t_sv_conditional.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_sv_conditional.pl +++ b/test_regress/t/t_sv_conditional.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_sv_cpu.pl b/test_regress/t/t_sv_cpu.pl index d67773e82..4ffed6304 100755 --- a/test_regress/t/t_sv_cpu.pl +++ b/test_regress/t/t_sv_cpu.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + # 22-Mar-2012: Modifications for this test contributed by Jeremy Bennett, # Embecosm. diff --git a/test_regress/t/t_sys_file_autoflush.pl b/test_regress/t/t_sys_file_autoflush.pl index d79a8715f..db9de3301 100755 --- a/test_regress/t/t_sys_file_autoflush.pl +++ b/test_regress/t/t_sys_file_autoflush.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_sys_file_basic.v"); compile( diff --git a/test_regress/t/t_sys_file_basic.pl b/test_regress/t/t_sys_file_basic.pl index f341f6e29..8d31206d5 100755 --- a/test_regress/t/t_sys_file_basic.pl +++ b/test_regress/t/t_sys_file_basic.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + unlink("$Self->{obj_dir}/t_sys_file_basic_test.log"); compile( diff --git a/test_regress/t/t_sys_file_basic.v b/test_regress/t/t_sys_file_basic.v index 182e8fa27..13b477d95 100644 --- a/test_regress/t/t_sys_file_basic.v +++ b/test_regress/t/t_sys_file_basic.v @@ -5,6 +5,8 @@ `include "verilated.v" +`define STRINGIFY(x) `"x`" + module t; `verilator_file_descriptor file; @@ -36,10 +38,10 @@ module t; `ifdef AUTOFLUSH // The "w" is required so we get a FD not a MFD - file = $fopen("obj_dir/t_sys_file_autoflush/t_sys_file_autoflush.log","w"); + file = $fopen({`STRINGIFY(`TEST_OBJ_DIR),"/t_sys_file_autoflush.log"},"w"); `else // The "w" is required so we get a FD not a MFD - file = $fopen("obj_dir/t_sys_file_basic/t_sys_file_basic_test.log","w"); + file = $fopen({`STRINGIFY(`TEST_OBJ_DIR),"/t_sys_file_basic_test.log"},"w"); `endif if ($feof(file)) $stop; @@ -56,7 +58,7 @@ module t; begin // Check for opening errors // The "r" is required so we get a FD not a MFD - file = $fopen("obj_dir/t_sys_file_basic/DOES_NOT_EXIST","r"); + file = $fopen("DOES_NOT_EXIST","r"); if (|file) $stop; // Should not exist, IE must return 0 end diff --git a/test_regress/t/t_sys_file_scan.pl b/test_regress/t/t_sys_file_scan.pl index 76f6464f1..037bb339b 100755 --- a/test_regress/t/t_sys_file_scan.pl +++ b/test_regress/t/t_sys_file_scan.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + unlink("$Self->{obj_dir}/t_sys_file_scan_test.log"); compile( diff --git a/test_regress/t/t_sys_file_scan.v b/test_regress/t/t_sys_file_scan.v index 1bb4ea4a6..30efafadf 100644 --- a/test_regress/t/t_sys_file_scan.v +++ b/test_regress/t/t_sys_file_scan.v @@ -5,13 +5,15 @@ `include "verilated.v" +`define STRINGIFY(x) `"x`" + module t; `verilator_file_descriptor infile, outfile; integer count, a; initial begin infile = $fopen("t/t_sys_file_scan_input.dat", "r"); - outfile = $fopen("obj_dir/t_sys_file_scan/t_sys_file_scan_test.log", "w"); + outfile = $fopen({`STRINGIFY(`TEST_OBJ_DIR),"/t_sys_file_scan_test.log"}, "w"); count = 1234; `ifdef TEST_VERBOSE diff --git a/test_regress/t/t_sys_plusargs.pl b/test_regress/t/t_sys_plusargs.pl index 9d370ac85..587bd97e3 100755 --- a/test_regress/t/t_sys_plusargs.pl +++ b/test_regress/t/t_sys_plusargs.pl @@ -1,5 +1,13 @@ #!/usr/bin/perl if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2003 by Wilson Snyder. This program is free software; you can +# redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. + +scenarios(simulator => 1); compile( v_flags2 => ['-v', 't/t_flag_libinc.v'], diff --git a/test_regress/t/t_sys_plusargs_bad.pl b/test_regress/t/t_sys_plusargs_bad.pl index 6d1e10c18..c9adf6d32 100755 --- a/test_regress/t/t_sys_plusargs_bad.pl +++ b/test_regress/t/t_sys_plusargs_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_sys_rand.pl b/test_regress/t/t_sys_rand.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_sys_rand.pl +++ b/test_regress/t/t_sys_rand.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_sys_readmem.pl b/test_regress/t/t_sys_readmem.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_sys_readmem.pl +++ b/test_regress/t/t_sys_readmem.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_sys_readmem_bad_addr.pl b/test_regress/t/t_sys_readmem_bad_addr.pl index 3e5b6fbd6..f2a330eeb 100755 --- a/test_regress/t/t_sys_readmem_bad_addr.pl +++ b/test_regress/t/t_sys_readmem_bad_addr.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_sys_readmem_bad_digit.pl b/test_regress/t/t_sys_readmem_bad_digit.pl index 5784da3c4..e9af99d49 100755 --- a/test_regress/t/t_sys_readmem_bad_digit.pl +++ b/test_regress/t/t_sys_readmem_bad_digit.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_sys_readmem_bad_end.pl b/test_regress/t/t_sys_readmem_bad_end.pl index 3c943b561..17603bbbf 100755 --- a/test_regress/t/t_sys_readmem_bad_end.pl +++ b/test_regress/t/t_sys_readmem_bad_end.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_sys_readmem_bad_notfound.pl b/test_regress/t/t_sys_readmem_bad_notfound.pl index b07ec1962..30677cb48 100755 --- a/test_regress/t/t_sys_readmem_bad_notfound.pl +++ b/test_regress/t/t_sys_readmem_bad_notfound.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_sys_sformat.pl b/test_regress/t/t_sys_sformat.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_sys_sformat.pl +++ b/test_regress/t/t_sys_sformat.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_sys_sformat_noopt.pl b/test_regress/t/t_sys_sformat_noopt.pl index 8f216a2a2..dc7e03f51 100755 --- a/test_regress/t/t_sys_sformat_noopt.pl +++ b/test_regress/t/t_sys_sformat_noopt.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_sys_sformat.v"); compile( @@ -16,7 +18,7 @@ compile( if ($Self->cxx_version =~ /clang version ([0-9]+\.[0-9]+)/ && ($1 >= 3.8 && $1 <= 5.0)) { - $Self->skip("Known clang bug"); + skip("Known clang bug"); #Here: if (VL_UNLIKELY(VL_NEQ_W(12, __Vtemp1, vlSymsp->TOP__t.__PVT__str))) } else{ execute( diff --git a/test_regress/t/t_sys_system.pl b/test_regress/t/t_sys_system.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_sys_system.pl +++ b/test_regress/t/t_sys_system.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_sys_time.pl b/test_regress/t/t_sys_time.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_sys_time.pl +++ b/test_regress/t/t_sys_time.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_sys_writemem.pl b/test_regress/t/t_sys_writemem.pl index 825078596..29bdb8210 100755 --- a/test_regress/t/t_sys_writemem.pl +++ b/test_regress/t/t_sys_writemem.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_sys_readmem.v"); # Use random reset to ensure we're fully initializing arrays before @@ -33,7 +35,7 @@ for (my $i = 1; $i <= 5; $i++) { my @diffs = `diff $gold $out`; if (0 < scalar @diffs) { print @diffs; - $Self->error("Got unexpected diffs against gold."); + error("Got unexpected diffs against gold."); } } diff --git a/test_regress/t/t_table_fsm.pl b/test_regress/t/t_table_fsm.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_table_fsm.pl +++ b/test_regress/t/t_table_fsm.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_threads_counter_0.pl b/test_regress/t/t_threads_counter_0.pl index db8f010b9..b7ad02b32 100755 --- a/test_regress/t/t_threads_counter_0.pl +++ b/test_regress/t/t_threads_counter_0.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_threads_counter.v"); compile( diff --git a/test_regress/t/t_threads_counter_1.pl b/test_regress/t/t_threads_counter_1.pl index b967d9372..2f4f64743 100755 --- a/test_regress/t/t_threads_counter_1.pl +++ b/test_regress/t/t_threads_counter_1.pl @@ -7,7 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->cfg_with_threaded or $Self->skip("No thread support"); +scenarios(simulator => 1); +$Self->cfg_with_threaded or skip("No thread support"); top_filename("t/t_threads_counter.v"); diff --git a/test_regress/t/t_threads_counter_2.pl b/test_regress/t/t_threads_counter_2.pl index 4f52e4ed0..e016b8253 100755 --- a/test_regress/t/t_threads_counter_2.pl +++ b/test_regress/t/t_threads_counter_2.pl @@ -7,7 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->cfg_with_threaded or $Self->skip("No thread support"); +scenarios(simulator => 1); +$Self->cfg_with_threaded or skip("No thread support"); top_filename("t/t_threads_counter.v"); diff --git a/test_regress/t/t_trace_array.pl b/test_regress/t/t_trace_array.pl index dfb9ab428..09cc25625 100755 --- a/test_regress/t/t_trace_array.pl +++ b/test_regress/t/t_trace_array.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['--cc --trace --trace-structs'], ); diff --git a/test_regress/t/t_trace_cat.cpp b/test_regress/t/t_trace_cat.cpp index 27978f647..dc8256350 100644 --- a/test_regress/t/t_trace_cat.cpp +++ b/test_regress/t/t_trace_cat.cpp @@ -10,6 +10,9 @@ #include VM_PREFIX_INCLUDE +#define STRINGIFY(x) STRINGIFY2(x) +#define STRINGIFY2(x) #x + unsigned long long main_time = 0; double sc_time_stamp() { return (double)main_time; @@ -17,15 +20,7 @@ double sc_time_stamp() { const char* trace_name() { static char name[1000]; -#if defined(T_TRACE_CAT) - VL_SNPRINTF(name,1000,"obj_dir/t_trace_cat/simpart_%04d.vcd", (int)main_time); -#elif defined(T_TRACE_CAT_REOPEN) - VL_SNPRINTF(name,1000,"obj_dir/t_trace_cat_reopen/simpart_%04d.vcd", (int)main_time); -#elif defined(T_TRACE_CAT_RENEW) - VL_SNPRINTF(name,1000,"obj_dir/t_trace_cat_renew/simpart_%04d.vcd", (int)main_time); -#else -# error "Unknown test" -#endif + VL_SNPRINTF(name, 1000, STRINGIFY(TEST_OBJ_DIR) "/simpart_%04d.vcd", (int)main_time); return name; } diff --git a/test_regress/t/t_trace_cat.pl b/test_regress/t/t_trace_cat.pl index 470dca3e3..8ff497018 100755 --- a/test_regress/t/t_trace_cat.pl +++ b/test_regress/t/t_trace_cat.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( make_top_shell => 0, diff --git a/test_regress/t/t_trace_cat_renew.pl b/test_regress/t/t_trace_cat_renew.pl index 5cf22d478..7ab142802 100755 --- a/test_regress/t/t_trace_cat_renew.pl +++ b/test_regress/t/t_trace_cat_renew.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t_trace_cat.v"); diff --git a/test_regress/t/t_trace_cat_reopen.pl b/test_regress/t/t_trace_cat_reopen.pl index 5cf22d478..7ab142802 100755 --- a/test_regress/t/t_trace_cat_reopen.pl +++ b/test_regress/t/t_trace_cat_reopen.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t_trace_cat.v"); diff --git a/test_regress/t/t_trace_complex.pl b/test_regress/t/t_trace_complex.pl index 3c73a6b34..fa1699b30 100755 --- a/test_regress/t/t_trace_complex.pl +++ b/test_regress/t/t_trace_complex.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['--cc --trace'], ); diff --git a/test_regress/t/t_trace_complex_params.pl b/test_regress/t/t_trace_complex_params.pl index 18a34e84c..48a06b82e 100755 --- a/test_regress/t/t_trace_complex_params.pl +++ b/test_regress/t/t_trace_complex_params.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t_trace_complex.v"); compile( diff --git a/test_regress/t/t_trace_complex_structs.pl b/test_regress/t/t_trace_complex_structs.pl index f9c7fd5bd..7f0530dca 100755 --- a/test_regress/t/t_trace_complex_structs.pl +++ b/test_regress/t/t_trace_complex_structs.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t_trace_complex.v"); compile( diff --git a/test_regress/t/t_trace_decoration.pl b/test_regress/t/t_trace_decoration.pl index 7cfdb7d8b..f63f24f0a 100755 --- a/test_regress/t/t_trace_decoration.pl +++ b/test_regress/t/t_trace_decoration.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['--cc --trace --no-decoration'], ); diff --git a/test_regress/t/t_trace_ena_cc.pl b/test_regress/t/t_trace_ena_cc.pl index cff20cc4a..c26fdb7fe 100755 --- a/test_regress/t/t_trace_ena_cc.pl +++ b/test_regress/t/t_trace_ena_cc.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_trace_ena.v"); compile( diff --git a/test_regress/t/t_trace_ena_sc.pl b/test_regress/t/t_trace_ena_sc.pl index 2ba05d74d..d05bb369b 100755 --- a/test_regress/t/t_trace_ena_sc.pl +++ b/test_regress/t/t_trace_ena_sc.pl @@ -7,8 +7,10 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + if (!$Self->have_sc) { - $Self->skip("No SystemC installed"); + skip("No SystemC installed"); } else { top_filename("t/t_trace_ena.v"); diff --git a/test_regress/t/t_trace_off_cc.pl b/test_regress/t/t_trace_off_cc.pl index 0419f8c7d..33c430ccb 100755 --- a/test_regress/t/t_trace_off_cc.pl +++ b/test_regress/t/t_trace_off_cc.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_trace_ena.v"); compile( @@ -18,7 +20,7 @@ execute( ); if ($Self->{vlt}) { - !-r "$Self->{obj_dir}/simx.vcd" or $Self->error("Tracing should be off\n"); + !-r "$Self->{obj_dir}/simx.vcd" or error("Tracing should be off\n"); } ok(1); diff --git a/test_regress/t/t_trace_off_sc.pl b/test_regress/t/t_trace_off_sc.pl index 54f18cd3b..e1865f560 100755 --- a/test_regress/t/t_trace_off_sc.pl +++ b/test_regress/t/t_trace_off_sc.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_trace_ena.v"); compile( @@ -18,7 +20,7 @@ execute( ); if ($Self->{vlt}) { - !-r "$Self->{obj_dir}/simx.vcd" or $Self->error("Tracing should be off\n"); + !-r "$Self->{obj_dir}/simx.vcd" or error("Tracing should be off\n"); } ok(1); diff --git a/test_regress/t/t_trace_packed_struct.pl b/test_regress/t/t_trace_packed_struct.pl index 66633b71c..a25a14034 100755 --- a/test_regress/t/t_trace_packed_struct.pl +++ b/test_regress/t/t_trace_packed_struct.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--trace"] ); diff --git a/test_regress/t/t_trace_param.pl b/test_regress/t/t_trace_param.pl index 585429dd9..527cc3283 100755 --- a/test_regress/t/t_trace_param.pl +++ b/test_regress/t/t_trace_param.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--trace"], diff --git a/test_regress/t/t_trace_primitive.pl b/test_regress/t/t_trace_primitive.pl index 0cef3dcfc..ad5c14ca8 100755 --- a/test_regress/t/t_trace_primitive.pl +++ b/test_regress/t/t_trace_primitive.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--trace"], ); diff --git a/test_regress/t/t_trace_public_func.cpp b/test_regress/t/t_trace_public_func.cpp index a69bbca8d..e47283715 100644 --- a/test_regress/t/t_trace_public_func.cpp +++ b/test_regress/t/t_trace_public_func.cpp @@ -12,6 +12,9 @@ #include "Vt_trace_public_func_t.h" #include "Vt_trace_public_func_glbl.h" +#define STRINGIFY(x) STRINGIFY2(x) +#define STRINGIFY2(x) #x + unsigned long long main_time = 0; double sc_time_stamp() { return (double)main_time; @@ -27,7 +30,7 @@ int main(int argc, char **argv, char **env) { VerilatedVcdC* tfp = new VerilatedVcdC; top->trace(tfp,99); - tfp->open("obj_dir/t_trace_public_func/simx.vcd"); + tfp->open(STRINGIFY(TEST_OBJ_DIR) "/simx.vcd"); while (main_time <= 20) { top->CLK = (main_time/dt_2)%2; diff --git a/test_regress/t/t_trace_public_func.pl b/test_regress/t/t_trace_public_func.pl index f444afeb6..a8fa99330 100755 --- a/test_regress/t/t_trace_public_func.pl +++ b/test_regress/t/t_trace_public_func.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_trace_public.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_trace_public.v"); compile( make_top_shell => 0, diff --git a/test_regress/t/t_trace_public_sig.cpp b/test_regress/t/t_trace_public_sig.cpp index 03283a514..2a437729a 100644 --- a/test_regress/t/t_trace_public_sig.cpp +++ b/test_regress/t/t_trace_public_sig.cpp @@ -12,6 +12,9 @@ #include "Vt_trace_public_sig_t.h" #include "Vt_trace_public_sig_glbl.h" +#define STRINGIFY(x) STRINGIFY2(x) +#define STRINGIFY2(x) #x + unsigned long long main_time = 0; double sc_time_stamp() { return (double)main_time; @@ -27,7 +30,7 @@ int main(int argc, char **argv, char **env) { VerilatedVcdC* tfp = new VerilatedVcdC; top->trace(tfp,99); - tfp->open("obj_dir/t_trace_public_sig/simx.vcd"); + tfp->open(STRINGIFY(TEST_OBJ_DIR) "/simx.vcd"); while (main_time <= 20) { top->CLK = (main_time/dt_2)%2; diff --git a/test_regress/t/t_trace_public_sig.pl b/test_regress/t/t_trace_public_sig.pl index 1f5b27ba4..7d69b207c 100755 --- a/test_regress/t/t_trace_public_sig.pl +++ b/test_regress/t/t_trace_public_sig.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_trace_public.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_trace_public.v"); compile( make_top_shell => 0, diff --git a/test_regress/t/t_trace_scstruct.pl b/test_regress/t/t_trace_scstruct.pl index 8b4a2abfb..51382a932 100755 --- a/test_regress/t/t_trace_scstruct.pl +++ b/test_regress/t/t_trace_scstruct.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['--sc --trace --trace-structs --pins-bv 2'], ); diff --git a/test_regress/t/t_trace_timescale.cpp b/test_regress/t/t_trace_timescale.cpp index 284a8515a..d1bddcd38 100644 --- a/test_regress/t/t_trace_timescale.cpp +++ b/test_regress/t/t_trace_timescale.cpp @@ -10,6 +10,9 @@ #include VM_PREFIX_INCLUDE +#define STRINGIFY(x) STRINGIFY2(x) +#define STRINGIFY2(x) #x + unsigned long long main_time = 0; double sc_time_stamp() { return ((double)main_time) / VL_TIME_MULTIPLIER; @@ -27,7 +30,7 @@ int main(int argc, char **argv, char **env) { top->trace(tfp,99); - tfp->open("obj_dir/t_trace_timescale/t_trace_timescale.vcd"); + tfp->open(STRINGIFY(TEST_OBJ_DIR) "/simx.vcd"); top->clk = 0; diff --git a/test_regress/t/t_trace_timescale.pl b/test_regress/t/t_trace_timescale.pl index 7300978e4..6d186b276 100755 --- a/test_regress/t/t_trace_timescale.pl +++ b/test_regress/t/t_trace_timescale.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( make_top_shell => 0, @@ -20,7 +20,7 @@ execute( check_finished => 1, ); -vcd_identical("$Self->{obj_dir}/$Self->{name}.vcd", +vcd_identical("$Self->{obj_dir}/simx.vcd", "t/$Self->{name}.out"); ok(1); diff --git a/test_regress/t/t_tri_array.pl b/test_regress/t/t_tri_array.pl index 7811404af..3dc957163 100755 --- a/test_regress/t/t_tri_array.pl +++ b/test_regress/t/t_tri_array.pl @@ -7,8 +7,10 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + # When fix, update ifdefs in t_sv_cpu files; search for t_tri_array -$Self->{vlt} and $Self->unsupported("Verilator unsupported, tristate arrays"); +$Self->{vlt} and unsupported("Verilator unsupported, tristate arrays"); compile( ); diff --git a/test_regress/t/t_tri_array_bufif.pl b/test_regress/t/t_tri_array_bufif.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_tri_array_bufif.pl +++ b/test_regress/t/t_tri_array_bufif.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_tri_array_pull.pl b/test_regress/t/t_tri_array_pull.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_tri_array_pull.pl +++ b/test_regress/t/t_tri_array_pull.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_tri_dangle.pl b/test_regress/t/t_tri_dangle.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_tri_dangle.pl +++ b/test_regress/t/t_tri_dangle.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_tri_eqcase.pl b/test_regress/t/t_tri_eqcase.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_tri_eqcase.pl +++ b/test_regress/t/t_tri_eqcase.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_tri_gate_bufif0.pl b/test_regress/t/t_tri_gate_bufif0.pl index 7f6cee4aa..f7f617e73 100755 --- a/test_regress/t/t_tri_gate_bufif0.pl +++ b/test_regress/t/t_tri_gate_bufif0.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_tri_gate.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_tri_gate.v"); compile( make_top_shell => 0, diff --git a/test_regress/t/t_tri_gate_bufif1.pl b/test_regress/t/t_tri_gate_bufif1.pl index 089c62db7..1e1ba6013 100755 --- a/test_regress/t/t_tri_gate_bufif1.pl +++ b/test_regress/t/t_tri_gate_bufif1.pl @@ -1,5 +1,4 @@ #!/usr/bin/perl -if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } # DESCRIPTION: Verilator: Verilog Test driver/expect definition # # Copyright 2003-2009 by Wilson Snyder. This program is free software; you can @@ -7,9 +6,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_tri_gate.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_tri_gate.v"); compile( make_top_shell => 0, diff --git a/test_regress/t/t_tri_gate_cond.pl b/test_regress/t/t_tri_gate_cond.pl index 83ea0f756..41695b762 100755 --- a/test_regress/t/t_tri_gate_cond.pl +++ b/test_regress/t/t_tri_gate_cond.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_tri_gate.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_tri_gate.v"); compile( make_top_shell => 0, diff --git a/test_regress/t/t_tri_gate_nmos.pl b/test_regress/t/t_tri_gate_nmos.pl index 34443e80b..024edd03e 100755 --- a/test_regress/t/t_tri_gate_nmos.pl +++ b/test_regress/t/t_tri_gate_nmos.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_tri_gate.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_tri_gate.v"); compile( make_top_shell => 0, diff --git a/test_regress/t/t_tri_gate_notif0.pl b/test_regress/t/t_tri_gate_notif0.pl index 46a816fb9..d0bd5f0ec 100755 --- a/test_regress/t/t_tri_gate_notif0.pl +++ b/test_regress/t/t_tri_gate_notif0.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_tri_gate.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_tri_gate.v"); compile( make_top_shell => 0, diff --git a/test_regress/t/t_tri_gate_notif1.pl b/test_regress/t/t_tri_gate_notif1.pl index ec2c4e986..ba4d5997e 100755 --- a/test_regress/t/t_tri_gate_notif1.pl +++ b/test_regress/t/t_tri_gate_notif1.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_tri_gate.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_tri_gate.v"); compile( make_top_shell => 0, diff --git a/test_regress/t/t_tri_gate_pmos.pl b/test_regress/t/t_tri_gate_pmos.pl index 2bcb1d866..5cc799f74 100755 --- a/test_regress/t/t_tri_gate_pmos.pl +++ b/test_regress/t/t_tri_gate_pmos.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_tri_gate.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_tri_gate.v"); compile( make_top_shell => 0, diff --git a/test_regress/t/t_tri_gen.pl b/test_regress/t/t_tri_gen.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_tri_gen.pl +++ b/test_regress/t/t_tri_gen.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_tri_graph.pl b/test_regress/t/t_tri_graph.pl index ea8b111b5..6312119ef 100755 --- a/test_regress/t/t_tri_graph.pl +++ b/test_regress/t/t_tri_graph.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + # Compile only test compile( ); diff --git a/test_regress/t/t_tri_ifbegin.pl b/test_regress/t/t_tri_ifbegin.pl index 4a505f45b..96d9ccfa3 100755 --- a/test_regress/t/t_tri_ifbegin.pl +++ b/test_regress/t/t_tri_ifbegin.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_tri_inout.pl b/test_regress/t/t_tri_inout.pl index 4ca3f4d1d..6c781245e 100755 --- a/test_regress/t/t_tri_inout.pl +++ b/test_regress/t/t_tri_inout.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( make_top_shell => 0, diff --git a/test_regress/t/t_tri_inout2.pl b/test_regress/t/t_tri_inout2.pl index 84cabed3f..9904360ae 100755 --- a/test_regress/t/t_tri_inout2.pl +++ b/test_regress/t/t_tri_inout2.pl @@ -6,6 +6,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # redistribute it and/or modify it under the terms of either the GNU # General Public License or the Perl Artistic License. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_tri_inz.pl b/test_regress/t/t_tri_inz.pl index 57ecb8e93..e50597b5e 100755 --- a/test_regress/t/t_tri_inz.pl +++ b/test_regress/t/t_tri_inz.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( make_top_shell => 0, diff --git a/test_regress/t/t_tri_public.pl b/test_regress/t/t_tri_public.pl index ea8b111b5..6312119ef 100755 --- a/test_regress/t/t_tri_public.pl +++ b/test_regress/t/t_tri_public.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + # Compile only test compile( ); diff --git a/test_regress/t/t_tri_pull01.pl b/test_regress/t/t_tri_pull01.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_tri_pull01.pl +++ b/test_regress/t/t_tri_pull01.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_tri_pull2_bad.pl b/test_regress/t/t_tri_pull2_bad.pl index 0ddb5a071..c2de1b6e9 100755 --- a/test_regress/t/t_tri_pull2_bad.pl +++ b/test_regress/t/t_tri_pull2_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => $Self->{vlt}, expect => diff --git a/test_regress/t/t_tri_pull_bad.pl b/test_regress/t/t_tri_pull_bad.pl index c7b8ea641..1e7247324 100755 --- a/test_regress/t/t_tri_pull_bad.pl +++ b/test_regress/t/t_tri_pull_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => $Self->{vlt}, expect => diff --git a/test_regress/t/t_tri_pullup.pl b/test_regress/t/t_tri_pullup.pl index 4ca3f4d1d..6c781245e 100755 --- a/test_regress/t/t_tri_pullup.pl +++ b/test_regress/t/t_tri_pullup.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( make_top_shell => 0, diff --git a/test_regress/t/t_tri_pullvec_bad.pl b/test_regress/t/t_tri_pullvec_bad.pl index 0d0edc1dd..ebc9922ca 100755 --- a/test_regress/t/t_tri_pullvec_bad.pl +++ b/test_regress/t/t_tri_pullvec_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_tri_select.pl b/test_regress/t/t_tri_select.pl index 4ca3f4d1d..6c781245e 100755 --- a/test_regress/t/t_tri_select.pl +++ b/test_regress/t/t_tri_select.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( make_top_shell => 0, diff --git a/test_regress/t/t_tri_select_unsized.pl b/test_regress/t/t_tri_select_unsized.pl index bb15a3498..bbe51465d 100755 --- a/test_regress/t/t_tri_select_unsized.pl +++ b/test_regress/t/t_tri_select_unsized.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + # Compile only test compile( verilator_flags2 => ["-Wno-WIDTH"], diff --git a/test_regress/t/t_tri_unconn.pl b/test_regress/t/t_tri_unconn.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_tri_unconn.pl +++ b/test_regress/t/t_tri_unconn.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_tri_various.pl b/test_regress/t/t_tri_various.pl index b0706aa71..1be18a66c 100755 --- a/test_regress/t/t_tri_various.pl +++ b/test_regress/t/t_tri_various.pl @@ -1,5 +1,13 @@ #!/usr/bin/perl if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2003-2009 by Wilson Snyder. This program is free software; you can +# redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. + +scenarios(simulator => 1); compile( ); diff --git a/test_regress/t/t_typedef.pl b/test_regress/t/t_typedef.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_typedef.pl +++ b/test_regress/t/t_typedef.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_typedef_array.pl b/test_regress/t/t_typedef_array.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_typedef_array.pl +++ b/test_regress/t/t_typedef_array.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_typedef_param.pl b/test_regress/t/t_typedef_param.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_typedef_param.pl +++ b/test_regress/t/t_typedef_param.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_typedef_port.pl b/test_regress/t/t_typedef_port.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_typedef_port.pl +++ b/test_regress/t/t_typedef_port.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_typedef_signed.pl b/test_regress/t/t_typedef_signed.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_typedef_signed.pl +++ b/test_regress/t/t_typedef_signed.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_udp_bad.pl b/test_regress/t/t_udp_bad.pl index 2e2c11bd3..cf7375ce5 100755 --- a/test_regress/t/t_udp_bad.pl +++ b/test_regress/t/t_udp_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_udp.v"); compile( diff --git a/test_regress/t/t_udp_lint.pl b/test_regress/t/t_udp_lint.pl index 257eea2df..38f3852a1 100755 --- a/test_regress/t/t_udp_lint.pl +++ b/test_regress/t/t_udp_lint.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_udp.v"); compile( diff --git a/test_regress/t/t_udp_noname.pl b/test_regress/t/t_udp_noname.pl index 320e5f2dc..e1cea4cf7 100755 --- a/test_regress/t/t_udp_noname.pl +++ b/test_regress/t/t_udp_noname.pl @@ -7,7 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} and $Self->unsupported("Verilator unsupported, bug468"); +scenarios(simulator => 1); +$Self->{vlt} and unsupported("Verilator unsupported, bug468"); compile( ); diff --git a/test_regress/t/t_uniqueif.pl b/test_regress/t/t_uniqueif.pl index 1f46d01e5..f97a8c4aa 100755 --- a/test_regress/t/t_uniqueif.pl +++ b/test_regress/t/t_uniqueif.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['--assert'], nc_flags2 => ['+assert'], diff --git a/test_regress/t/t_uniqueif_fail1.pl b/test_regress/t/t_uniqueif_fail1.pl index 8d54aa3cd..5f05d5e83 100755 --- a/test_regress/t/t_uniqueif_fail1.pl +++ b/test_regress/t/t_uniqueif_fail1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_uniqueif.v"); compile( diff --git a/test_regress/t/t_uniqueif_fail2.pl b/test_regress/t/t_uniqueif_fail2.pl index 31e4f9a21..621a91162 100755 --- a/test_regress/t/t_uniqueif_fail2.pl +++ b/test_regress/t/t_uniqueif_fail2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_uniqueif.v"); compile( diff --git a/test_regress/t/t_uniqueif_fail3.pl b/test_regress/t/t_uniqueif_fail3.pl index bf10d56d8..aa60344b8 100755 --- a/test_regress/t/t_uniqueif_fail3.pl +++ b/test_regress/t/t_uniqueif_fail3.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_uniqueif.v"); compile( diff --git a/test_regress/t/t_uniqueif_fail4.pl b/test_regress/t/t_uniqueif_fail4.pl index 305af154c..e6aa8eaa2 100755 --- a/test_regress/t/t_uniqueif_fail4.pl +++ b/test_regress/t/t_uniqueif_fail4.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_uniqueif.v"); compile( diff --git a/test_regress/t/t_unopt_array.pl b/test_regress/t/t_unopt_array.pl index 846d180d1..e9c629464 100755 --- a/test_regress/t/t_unopt_array.pl +++ b/test_regress/t/t_unopt_array.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2=>["-Wno-UNOPTFLAT"], ); diff --git a/test_regress/t/t_unopt_array_csplit.pl b/test_regress/t/t_unopt_array_csplit.pl index a52d3c176..27ec9551f 100755 --- a/test_regress/t/t_unopt_array_csplit.pl +++ b/test_regress/t/t_unopt_array_csplit.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_unopt_array.v"); compile( diff --git a/test_regress/t/t_unopt_bound.pl b/test_regress/t/t_unopt_bound.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_unopt_bound.pl +++ b/test_regress/t/t_unopt_bound.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_unopt_combo.pl b/test_regress/t/t_unopt_combo.pl index edb2a36cf..d8968a2c2 100755 --- a/test_regress/t/t_unopt_combo.pl +++ b/test_regress/t/t_unopt_combo.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ['+define+ALLOW_UNOPT'], ); diff --git a/test_regress/t/t_unopt_combo_bad.pl b/test_regress/t/t_unopt_combo_bad.pl index 4070003d6..d1bd76527 100755 --- a/test_regress/t/t_unopt_combo_bad.pl +++ b/test_regress/t/t_unopt_combo_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_unopt_combo.v"); compile( diff --git a/test_regress/t/t_unopt_combo_isolate.pl b/test_regress/t/t_unopt_combo_isolate.pl index 85d92a373..1279cfb42 100755 --- a/test_regress/t/t_unopt_combo_isolate.pl +++ b/test_regress/t/t_unopt_combo_isolate.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_unopt_combo.v"); compile( diff --git a/test_regress/t/t_unopt_converge_initial_run_bad.pl b/test_regress/t/t_unopt_converge_initial_run_bad.pl index 0dd4e1779..b6ce226bf 100755 --- a/test_regress/t/t_unopt_converge_initial_run_bad.pl +++ b/test_regress/t/t_unopt_converge_initial_run_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_unopt_converge_initial.v"); compile( diff --git a/test_regress/t/t_unopt_converge_print_bad.pl b/test_regress/t/t_unopt_converge_print_bad.pl index 4b8ea1ea1..98597ca0b 100755 --- a/test_regress/t/t_unopt_converge_print_bad.pl +++ b/test_regress/t/t_unopt_converge_print_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_unopt_converge.v"); #$Self->{verilated_debug} = 1; diff --git a/test_regress/t/t_unopt_converge_run_bad.pl b/test_regress/t/t_unopt_converge_run_bad.pl index 917399513..380c08025 100755 --- a/test_regress/t/t_unopt_converge_run_bad.pl +++ b/test_regress/t/t_unopt_converge_run_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_unopt_converge.v"); compile( diff --git a/test_regress/t/t_unopt_converge_unopt_bad.pl b/test_regress/t/t_unopt_converge_unopt_bad.pl index ad123fa9b..517b15153 100755 --- a/test_regress/t/t_unopt_converge_unopt_bad.pl +++ b/test_regress/t/t_unopt_converge_unopt_bad.pl @@ -7,9 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -top_filename("t/t_unopt_converge.v"); +scenarios(vlt_all => 1); -$Self->{vlt} or $Self->skip("Verilator only test"); +top_filename("t/t_unopt_converge.v"); compile( fails => 1, diff --git a/test_regress/t/t_unoptflat_simple_2_bad.pl b/test_regress/t/t_unoptflat_simple_2_bad.pl index 30f11d3a3..6323be90f 100755 --- a/test_regress/t/t_unoptflat_simple_2_bad.pl +++ b/test_regress/t/t_unoptflat_simple_2_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_unoptflat_simple_2.v"); # Compile only diff --git a/test_regress/t/t_unoptflat_simple_3_bad.pl b/test_regress/t/t_unoptflat_simple_3_bad.pl index 7fbe293e6..a16bb204b 100755 --- a/test_regress/t/t_unoptflat_simple_3_bad.pl +++ b/test_regress/t/t_unoptflat_simple_3_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_unoptflat_simple_3.v"); # Compile only diff --git a/test_regress/t/t_unoptflat_simple_bad.pl b/test_regress/t/t_unoptflat_simple_bad.pl index 0bb0159a9..179c80e5a 100755 --- a/test_regress/t/t_unoptflat_simple_bad.pl +++ b/test_regress/t/t_unoptflat_simple_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_unoptflat_simple.v"); # Compile only diff --git a/test_regress/t/t_unpacked_array_order.pl b/test_regress/t/t_unpacked_array_order.pl index f408b146f..89a4e77d9 100755 --- a/test_regress/t/t_unpacked_array_order.pl +++ b/test_regress/t/t_unpacked_array_order.pl @@ -7,12 +7,14 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( -); + ); execute( check_finished => 1, -); + ); ok(1); 1; diff --git a/test_regress/t/t_unroll_complexcond.pl b/test_regress/t/t_unroll_complexcond.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_unroll_complexcond.pl +++ b/test_regress/t/t_unroll_complexcond.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_unroll_forfor.pl b/test_regress/t/t_unroll_forfor.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_unroll_forfor.pl +++ b/test_regress/t/t_unroll_forfor.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_unroll_genf.pl b/test_regress/t/t_unroll_genf.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_unroll_genf.pl +++ b/test_regress/t/t_unroll_genf.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_unroll_signed.pl b/test_regress/t/t_unroll_signed.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_unroll_signed.pl +++ b/test_regress/t/t_unroll_signed.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_vams_basic.pl b/test_regress/t/t_vams_basic.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_vams_basic.pl +++ b/test_regress/t/t_vams_basic.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_vams_wreal.pl b/test_regress/t/t_vams_wreal.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_vams_wreal.pl +++ b/test_regress/t/t_vams_wreal.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_var_assign_landr.pl b/test_regress/t/t_var_assign_landr.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_var_assign_landr.pl +++ b/test_regress/t/t_var_assign_landr.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_var_bad_hide.pl b/test_regress/t/t_var_bad_hide.pl index 111b5a7d1..731d091d7 100755 --- a/test_regress/t/t_var_bad_hide.pl +++ b/test_regress/t/t_var_bad_hide.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only -Wwarn-VARHIDDEN"], fails => $Self->{vlt}, diff --git a/test_regress/t/t_var_bad_hide2.pl b/test_regress/t/t_var_bad_hide2.pl index 1746ceca4..5c8578c4b 100755 --- a/test_regress/t/t_var_bad_hide2.pl +++ b/test_regress/t/t_var_bad_hide2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only -Wwarn-VARHIDDEN"], fails => $Self->{vlt}, diff --git a/test_regress/t/t_var_bad_sameas.pl b/test_regress/t/t_var_bad_sameas.pl index 3f6ac6f55..474386b36 100755 --- a/test_regress/t/t_var_bad_sameas.pl +++ b/test_regress/t/t_var_bad_sameas.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => 1, expect => diff --git a/test_regress/t/t_var_bad_sv.pl b/test_regress/t/t_var_bad_sv.pl index c442f0dda..d9fc51154 100755 --- a/test_regress/t/t_var_bad_sv.pl +++ b/test_regress/t/t_var_bad_sv.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => $Self->{vlt}, diff --git a/test_regress/t/t_var_const.pl b/test_regress/t/t_var_const.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_var_const.pl +++ b/test_regress/t/t_var_const.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_var_const_bad.pl b/test_regress/t/t_var_const_bad.pl index 6da1a1ed5..0dc969173 100755 --- a/test_regress/t/t_var_const_bad.pl +++ b/test_regress/t/t_var_const_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only"], diff --git a/test_regress/t/t_var_dotted_inl0.pl b/test_regress/t/t_var_dotted_inl0.pl index 5f4809576..73474fc47 100755 --- a/test_regress/t/t_var_dotted_inl0.pl +++ b/test_regress/t/t_var_dotted_inl0.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_var_dotted.v"); compile( diff --git a/test_regress/t/t_var_dotted_inl1.pl b/test_regress/t/t_var_dotted_inl1.pl index 7e63a98a7..2dca3e9a2 100755 --- a/test_regress/t/t_var_dotted_inl1.pl +++ b/test_regress/t/t_var_dotted_inl1.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_var_dotted.v"); compile( diff --git a/test_regress/t/t_var_dotted_inl2.pl b/test_regress/t/t_var_dotted_inl2.pl index dc61fdf11..9d713d5ee 100755 --- a/test_regress/t/t_var_dotted_inl2.pl +++ b/test_regress/t/t_var_dotted_inl2.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_var_dotted.v"); compile( diff --git a/test_regress/t/t_var_escape.pl b/test_regress/t/t_var_escape.pl index 9a7ab84e5..0c36855e8 100755 --- a/test_regress/t/t_var_escape.pl +++ b/test_regress/t/t_var_escape.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( # Access is so we can dump waves v_flags2 => [$Self->{vlt}?'-trace':' +access+rwc'], diff --git a/test_regress/t/t_var_in_assign.pl b/test_regress/t/t_var_in_assign.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_var_in_assign.pl +++ b/test_regress/t/t_var_in_assign.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_var_in_assign_bad.pl b/test_regress/t/t_var_in_assign_bad.pl index 33a15da24..798ea257b 100755 --- a/test_regress/t/t_var_in_assign_bad.pl +++ b/test_regress/t/t_var_in_assign_bad.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); compile( v_flags2 => ["--lint-only --Mdir obj_lint_only"], @@ -18,6 +18,6 @@ compile( %Error: Exiting due to.*', ); -(!-d "obj_lint_only") or $Self->error("%Error: lint-only shouldn't make output directory"); +(!-d "obj_lint_only") or error("%Error: lint-only shouldn't make output directory"); ok(1); 1; diff --git a/test_regress/t/t_var_init.pl b/test_regress/t/t_var_init.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_var_init.pl +++ b/test_regress/t/t_var_init.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_var_life.pl b/test_regress/t/t_var_life.pl index 24e270064..8e4600cdd 100755 --- a/test_regress/t/t_var_life.pl +++ b/test_regress/t/t_var_life.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--stats"], ); diff --git a/test_regress/t/t_var_local.pl b/test_regress/t/t_var_local.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_var_local.pl +++ b/test_regress/t/t_var_local.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_var_nonamebegin.pl b/test_regress/t/t_var_nonamebegin.pl index 18db3a178..1c424c79c 100755 --- a/test_regress/t/t_var_nonamebegin.pl +++ b/test_regress/t/t_var_nonamebegin.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ['-trace'], ); diff --git a/test_regress/t/t_var_notfound_bad.pl b/test_regress/t/t_var_notfound_bad.pl index c8187e0e6..458a8d8e5 100755 --- a/test_regress/t/t_var_notfound_bad.pl +++ b/test_regress/t/t_var_notfound_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => 1, expect => diff --git a/test_regress/t/t_var_outoforder.pl b/test_regress/t/t_var_outoforder.pl index 288297072..b1acebe26 100755 --- a/test_regress/t/t_var_outoforder.pl +++ b/test_regress/t/t_var_outoforder.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_var_overcmp.pl b/test_regress/t/t_var_overcmp.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_var_overcmp.pl +++ b/test_regress/t/t_var_overcmp.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_var_overwidth_bad.pl b/test_regress/t/t_var_overwidth_bad.pl index 5a4b5c765..ae65608f2 100755 --- a/test_regress/t/t_var_overwidth_bad.pl +++ b/test_regress/t/t_var_overwidth_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( make_main => 0, verilator_flags2 => ["--exe $Self->{t_dir}/t_var_overwidth_bad.cpp"], diff --git a/test_regress/t/t_var_overzero.pl b/test_regress/t/t_var_overzero.pl index d09ed3b07..8477d8386 100755 --- a/test_regress/t/t_var_overzero.pl +++ b/test_regress/t/t_var_overzero.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--x-initial fast"], ); diff --git a/test_regress/t/t_var_pins_cc.pl b/test_regress/t/t_var_pins_cc.pl index fbe955349..2ec1c5ae7 100755 --- a/test_regress/t/t_var_pins_cc.pl +++ b/test_regress/t/t_var_pins_cc.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_var_pinsizes.v"); diff --git a/test_regress/t/t_var_pins_sc1.pl b/test_regress/t/t_var_pins_sc1.pl index 5d43bba0b..cd4079487 100755 --- a/test_regress/t/t_var_pins_sc1.pl +++ b/test_regress/t/t_var_pins_sc1.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_var_pinsizes.v"); diff --git a/test_regress/t/t_var_pins_sc2.pl b/test_regress/t/t_var_pins_sc2.pl index 6c42838c2..a7091e0dd 100755 --- a/test_regress/t/t_var_pins_sc2.pl +++ b/test_regress/t/t_var_pins_sc2.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_var_pinsizes.v"); diff --git a/test_regress/t/t_var_pins_sc32.pl b/test_regress/t/t_var_pins_sc32.pl index 09887ca76..4f1338a3c 100755 --- a/test_regress/t/t_var_pins_sc32.pl +++ b/test_regress/t/t_var_pins_sc32.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_var_pinsizes.v"); diff --git a/test_regress/t/t_var_pins_sc64.pl b/test_regress/t/t_var_pins_sc64.pl index bdba57ec2..401e56be1 100755 --- a/test_regress/t/t_var_pins_sc64.pl +++ b/test_regress/t/t_var_pins_sc64.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_var_pinsizes.v"); diff --git a/test_regress/t/t_var_pins_sc_biguint.pl b/test_regress/t/t_var_pins_sc_biguint.pl index 0dd1c118f..5313eac05 100755 --- a/test_regress/t/t_var_pins_sc_biguint.pl +++ b/test_regress/t/t_var_pins_sc_biguint.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_var_pinsizes.v"); diff --git a/test_regress/t/t_var_pins_sc_uint.pl b/test_regress/t/t_var_pins_sc_uint.pl index 80eaefea7..8646cd9f1 100755 --- a/test_regress/t/t_var_pins_sc_uint.pl +++ b/test_regress/t/t_var_pins_sc_uint.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_var_pinsizes.v"); diff --git a/test_regress/t/t_var_pins_sc_uint_biguint.pl b/test_regress/t/t_var_pins_sc_uint_biguint.pl index f60ea8baa..39b2455a2 100755 --- a/test_regress/t/t_var_pins_sc_uint_biguint.pl +++ b/test_regress/t/t_var_pins_sc_uint_biguint.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_var_pinsizes.v"); diff --git a/test_regress/t/t_var_pins_scui.pl b/test_regress/t/t_var_pins_scui.pl index fcfd14cc9..46fc561ed 100755 --- a/test_regress/t/t_var_pins_scui.pl +++ b/test_regress/t/t_var_pins_scui.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt_all => 1); top_filename("t/t_var_pinsizes.v"); diff --git a/test_regress/t/t_var_port_bad.pl b/test_regress/t/t_var_port_bad.pl index 63fd695ea..e9f3c1e38 100755 --- a/test_regress/t/t_var_port_bad.pl +++ b/test_regress/t/t_var_port_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( fails => 1, expect => diff --git a/test_regress/t/t_var_rsvd.pl b/test_regress/t/t_var_rsvd.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_var_rsvd.pl +++ b/test_regress/t/t_var_rsvd.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_var_rsvd_bad.pl b/test_regress/t/t_var_rsvd_bad.pl index 17a0159ad..6a2e4a9fb 100755 --- a/test_regress/t/t_var_rsvd_bad.pl +++ b/test_regress/t/t_var_rsvd_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + top_filename("t/t_var_rsvd_port.v"); compile( diff --git a/test_regress/t/t_var_rsvd_port.pl b/test_regress/t/t_var_rsvd_port.pl index 617ae7668..816372e7e 100755 --- a/test_regress/t/t_var_rsvd_port.pl +++ b/test_regress/t/t_var_rsvd_port.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2=>["-Wno-SYMRSVDWORD"], ); diff --git a/test_regress/t/t_var_set_link.pl b/test_regress/t/t_var_set_link.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_var_set_link.pl +++ b/test_regress/t/t_var_set_link.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_var_static.pl b/test_regress/t/t_var_static.pl index 6036187b0..73c135498 100755 --- a/test_regress/t/t_var_static.pl +++ b/test_regress/t/t_var_static.pl @@ -7,7 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} and $Self->unsupported("Verilator unsupported, bug546"); +scenarios(simulator => 1); +$Self->{vlt} and unsupported("Verilator unsupported, bug546"); compile( ); diff --git a/test_regress/t/t_var_tieout.pl b/test_regress/t/t_var_tieout.pl index 7194e75f5..89a4e77d9 100755 --- a/test_regress/t/t_var_tieout.pl +++ b/test_regress/t/t_var_tieout.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( ); diff --git a/test_regress/t/t_var_types.pl b/test_regress/t/t_var_types.pl index fc9c619a3..2ac96a92f 100755 --- a/test_regress/t/t_var_types.pl +++ b/test_regress/t/t_var_types.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + $Self->{verilated_randReset} = 1; # allow checking if we initialize vars to zero only when needed compile( diff --git a/test_regress/t/t_var_types_bad.pl b/test_regress/t/t_var_types_bad.pl index b081bdb4b..fb26beffd 100755 --- a/test_regress/t/t_var_types_bad.pl +++ b/test_regress/t/t_var_types_bad.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + $Self->{verilated_randReset} = 1; # allow checking if we initialize vars to zero only when needed compile( diff --git a/test_regress/t/t_var_vec_sel.pl b/test_regress/t/t_var_vec_sel.pl index c167a6caa..0a3119351 100755 --- a/test_regress/t/t_var_vec_sel.pl +++ b/test_regress/t/t_var_vec_sel.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( v_flags2 => ["--lint-only"], fails => 0, diff --git a/test_regress/t/t_var_xref_gen.pl b/test_regress/t/t_var_xref_gen.pl index cc05912bd..1f7906aae 100755 --- a/test_regress/t/t_var_xref_gen.pl +++ b/test_regress/t/t_var_xref_gen.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( verilator_flags2 => ["--debug-check"], ); diff --git a/test_regress/t/t_verilated_all.pl b/test_regress/t/t_verilated_all.pl index a77d73116..1e4721eb0 100755 --- a/test_regress/t/t_verilated_all.pl +++ b/test_regress/t/t_verilated_all.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(vlt => 1); + my $root = ".."; compile( @@ -36,7 +38,7 @@ foreach my $dfile (glob("$Self->{obj_dir}/*.d")) { foreach my $file (sort keys %hit) { if (!$hit{$file} && $file !~ /_sc/) { - $Self->error("Include file not covered by t_verilated_all test: ",$file); + error("Include file not covered by t_verilated_all test: ",$file); } } diff --git a/test_regress/t/t_verilated_all_newest.pl b/test_regress/t/t_verilated_all_newest.pl index 5240d983a..a763d7ab2 100755 --- a/test_regress/t/t_verilated_all_newest.pl +++ b/test_regress/t/t_verilated_all_newest.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(vlt => 1); + top_filename("t/t_verilated_all.v"); my $root = ".."; diff --git a/test_regress/t/t_verilated_all_oldest.pl b/test_regress/t/t_verilated_all_oldest.pl index 4a539d114..131f80c6f 100755 --- a/test_regress/t/t_verilated_all_oldest.pl +++ b/test_regress/t/t_verilated_all_oldest.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(dist => 1); + # This test now does nothing, because using DRIVER_STD=oldest tends to blow up glibc. ok(1); diff --git a/test_regress/t/t_verilated_debug.pl b/test_regress/t/t_verilated_debug.pl index bc445f7cb..508e62ae0 100755 --- a/test_regress/t/t_verilated_debug.pl +++ b/test_regress/t/t_verilated_debug.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(vlt_all => 1); + $Self->{verilated_debug} = 1; compile( diff --git a/test_regress/t/t_verilated_threaded.pl b/test_regress/t/t_verilated_threaded.pl index 53e7b3dae..a61548dbc 100755 --- a/test_regress/t/t_verilated_threaded.pl +++ b/test_regress/t/t_verilated_threaded.pl @@ -7,7 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->cfg_with_threaded or $Self->skip("No thread support"); +scenarios(simulator => 1); +$Self->cfg_with_threaded or skip("No thread support"); top_filename("t/t_verilated_all.v"); diff --git a/test_regress/t/t_vgen.pl b/test_regress/t/t_vgen.pl index fba16aa5e..6ebbec5f2 100755 --- a/test_regress/t/t_vgen.pl +++ b/test_regress/t/t_vgen.pl @@ -7,13 +7,15 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -if (eval "use Bit::Vector; return 2;" != 2) { $Self->error("Please install Bit::Vector"); } +scenarios(simulator => 1); + +if (eval "use Bit::Vector; return 2;" != 2) { error("Please install Bit::Vector"); } top_filename("$Self->{obj_dir}/vgen.v"); -$Self->run(cmd => ["./vgen.pl", - "-o $Self->{top_filename}", - #"--seed 0", +run(cmd => ["./vgen.pl", + "-o $Self->{top_filename}", + #"--seed 0", ]); compile( diff --git a/test_regress/t/t_vlcov_merge.pl b/test_regress/t/t_vlcov_merge.pl index 57a57bdbf..6a0e57121 100755 --- a/test_regress/t/t_vlcov_merge.pl +++ b/test_regress/t/t_vlcov_merge.pl @@ -7,24 +7,22 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(dist => 1); -$Self->run(cmd => ["../bin/verilator_coverage", - "--write", "$Self->{obj_dir}/coverage.dat", - "t/t_vlcov_data_a.dat", - "t/t_vlcov_data_b.dat", - "t/t_vlcov_data_c.dat", - "t/t_vlcov_data_d.dat", - ], - ); +run(cmd => ["../bin/verilator_coverage", + "--write", "$Self->{obj_dir}/coverage.dat", + "t/t_vlcov_data_a.dat", + "t/t_vlcov_data_b.dat", + "t/t_vlcov_data_c.dat", + "t/t_vlcov_data_d.dat", + ]); # Older clib's didn't properly sort maps, but the coverage data doesn't # really care about ordering. So avoid false failures by sorting. -$Self->run(cmd => ["sort", - "$Self->{obj_dir}/coverage.dat", - "> $Self->{obj_dir}/coverage-sort.dat", - ], - ); +run(cmd => ["sort", + "$Self->{obj_dir}/coverage.dat", + "> $Self->{obj_dir}/coverage-sort.dat", + ]); ok(files_identical("$Self->{obj_dir}/coverage-sort.dat", "t/$Self->{name}.out")); 1; diff --git a/test_regress/t/t_vlcov_rank.pl b/test_regress/t/t_vlcov_rank.pl index d0c326689..9f5eefe72 100755 --- a/test_regress/t/t_vlcov_rank.pl +++ b/test_regress/t/t_vlcov_rank.pl @@ -7,17 +7,18 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(dist => 1); -$Self->run(cmd => ["../bin/verilator_coverage", - "--rank", - "t/t_vlcov_data_a.dat", - "t/t_vlcov_data_b.dat", - "t/t_vlcov_data_c.dat", - "t/t_vlcov_data_d.dat", - ], - logfile => "$Self->{obj_dir}/vlcov.log", - tee => 0, +run(cmd => ["../bin/verilator_coverage", + "--rank", + "t/t_vlcov_data_a.dat", + "t/t_vlcov_data_b.dat", + "t/t_vlcov_data_c.dat", + "t/t_vlcov_data_d.dat", + ], + logfile => "$Self->{obj_dir}/vlcov.log", + tee => 0, ); + ok(files_identical("$Self->{obj_dir}/vlcov.log", "t/$Self->{name}.out")); 1; diff --git a/test_regress/t/t_vlcov_rewrite.pl b/test_regress/t/t_vlcov_rewrite.pl index 6e5f213bb..d636c45a4 100755 --- a/test_regress/t/t_vlcov_rewrite.pl +++ b/test_regress/t/t_vlcov_rewrite.pl @@ -7,18 +7,18 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(dist => 1); foreach my $basename ("t_vlcov_data_a.dat", "t_vlcov_data_b.dat", "t_vlcov_data_c.dat", "t_vlcov_data_d.dat", ) { - $Self->run(cmd => ["../bin/verilator_coverage", - "t/${basename}", - "--write", "$Self->{obj_dir}/${basename}" - ], - tee => 0, + run(cmd => ["../bin/verilator_coverage", + "t/${basename}", + "--write", "$Self->{obj_dir}/${basename}" + ], + tee => 0, ); ok(files_identical("$Self->{obj_dir}/${basename}", "t/${basename}")); } diff --git a/test_regress/t/t_vlt_warn.pl b/test_regress/t/t_vlt_warn.pl index 682a0895f..0888801bc 100755 --- a/test_regress/t/t_vlt_warn.pl +++ b/test_regress/t/t_vlt_warn.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); compile( make_top_shell => 0, diff --git a/test_regress/t/t_vpi_get.cpp b/test_regress/t/t_vpi_get.cpp index e862d321d..4cd41d87a 100644 --- a/test_regress/t/t_vpi_get.cpp +++ b/test_regress/t/t_vpi_get.cpp @@ -46,6 +46,9 @@ using namespace std; unsigned int main_time = false; +#define STRINGIFY(x) STRINGIFY2(x) +#define STRINGIFY2(x) #x + //====================================================================== #define CHECK_RESULT_VH(got, exp) \ @@ -248,8 +251,8 @@ int main(int argc, char **argv, char **env) { Verilated::traceEverOn(true); VL_PRINTF("Enabling waves...\n"); VerilatedVcdC* tfp = new VerilatedVcdC; - topp->trace (tfp, 99); - tfp->open ("obj_dir/t_vpi_var/simx.vcd"); + topp->trace(tfp, 99); + tfp->open(STRINGIFY(TEST_OBJ_DIR) "/simx.vcd"); #endif topp->eval(); diff --git a/test_regress/t/t_vpi_get.pl b/test_regress/t/t_vpi_get.pl index b98dbd13a..893ef732c 100755 --- a/test_regress/t/t_vpi_get.pl +++ b/test_regress/t/t_vpi_get.pl @@ -7,7 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->skip("Known compiler limitation") +scenarios(simulator => 1); + +skip("Known compiler limitation") if $Self->cxx_version =~ /\(GCC\) 4.4/; compile( diff --git a/test_regress/t/t_vpi_memory.cpp b/test_regress/t/t_vpi_memory.cpp index a6277a5b7..fe7ed580f 100644 --- a/test_regress/t/t_vpi_memory.cpp +++ b/test_regress/t/t_vpi_memory.cpp @@ -46,6 +46,9 @@ using namespace std; unsigned int main_time = false; +#define STRINGIFY(x) STRINGIFY2(x) +#define STRINGIFY2(x) #x + //====================================================================== #define CHECK_RESULT_VH(got, exp) \ @@ -231,8 +234,8 @@ int main(int argc, char **argv, char **env) { Verilated::traceEverOn(true); VL_PRINTF("Enabling waves...\n"); VerilatedVcdC* tfp = new VerilatedVcdC; - topp->trace (tfp, 99); - tfp->open ("obj_dir/t_vpi_var/simx.vcd"); + topp->trace(tfp, 99); + tfp->open(STRINGIFY(TEST_OBJ_DIR) "/simx.vcd"); #endif topp->eval(); diff --git a/test_regress/t/t_vpi_memory.pl b/test_regress/t/t_vpi_memory.pl index 474765990..4e1d4d3e6 100755 --- a/test_regress/t/t_vpi_memory.pl +++ b/test_regress/t/t_vpi_memory.pl @@ -7,7 +7,9 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->skip("Known compiler limitation") +scenarios(simulator => 1); + +skip("Known compiler limitation") if $Self->cxx_version =~ /\(GCC\) 4.4/; compile( diff --git a/test_regress/t/t_vpi_sc.pl b/test_regress/t/t_vpi_sc.pl index bd0e8d7cd..bc9248d9f 100755 --- a/test_regress/t/t_vpi_sc.pl +++ b/test_regress/t/t_vpi_sc.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( # Must not make shell/main or hides bug make_top_shell => 0, diff --git a/test_regress/t/t_vpi_unimpl.cpp b/test_regress/t/t_vpi_unimpl.cpp index 15c05eee9..8e19d6ef3 100644 --- a/test_regress/t/t_vpi_unimpl.cpp +++ b/test_regress/t/t_vpi_unimpl.cpp @@ -34,6 +34,9 @@ unsigned int main_time = false; unsigned int callback_count = false; +#define STRINGIFY(x) STRINGIFY2(x) +#define STRINGIFY2(x) #x + //====================================================================== #define CHECK_RESULT_VH(got, exp) \ @@ -162,8 +165,8 @@ int main(int argc, char **argv, char **env) { Verilated::traceEverOn(true); VL_PRINTF("Enabling waves...\n"); VerilatedVcdC* tfp = new VerilatedVcdC; - topp->trace (tfp, 99); - tfp->open ("obj_dir/t_vpi_var/simx.vcd"); + topp->trace(tfp, 99); + tfp->open(STRINGIFY(TEST_OBJ_DIR) "/simx.vcd"); #endif topp->eval(); diff --git a/test_regress/t/t_vpi_unimpl.pl b/test_regress/t/t_vpi_unimpl.pl index 24d16080a..0b01888b4 100755 --- a/test_regress/t/t_vpi_unimpl.pl +++ b/test_regress/t/t_vpi_unimpl.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( make_top_shell => 0, make_main => 0, diff --git a/test_regress/t/t_vpi_var.cpp b/test_regress/t/t_vpi_var.cpp index 2b28a1d35..dd87ddcae 100644 --- a/test_regress/t/t_vpi_var.cpp +++ b/test_regress/t/t_vpi_var.cpp @@ -91,11 +91,14 @@ unsigned int callback_count_strs_max = 500; #define CHECK_RESULT_CSTR_STRIP(got, exp) \ CHECK_RESULT_CSTR(got+strspn(got, " "), exp) +#define STRINGIFY(x) STRINGIFY2(x) +#define STRINGIFY2(x) #x + int _mon_check_mcd() { PLI_INT32 status; PLI_UINT32 mcd; - PLI_BYTE8* filename = (PLI_BYTE8*)"obj_dir/t_vpi_var/mcd_open.tmp"; + PLI_BYTE8* filename = (PLI_BYTE8*)(STRINGIFY(TEST_OBJ_DIR) "/mcd_open.tmp"); mcd = vpi_mcd_open(filename); CHECK_RESULT_NZ(mcd); @@ -622,8 +625,8 @@ int main(int argc, char **argv, char **env) { Verilated::traceEverOn(true); VL_PRINTF("Enabling waves...\n"); VerilatedVcdC* tfp = new VerilatedVcdC; - topp->trace (tfp, 99); - tfp->open ("obj_dir/t_vpi_var/simx.vcd"); + topp->trace(tfp, 99); + tfp->open(STRINGIFY(TEST_OBJ_DIR) "/simx.vcd"); #endif topp->eval(); diff --git a/test_regress/t/t_vpi_var.pl b/test_regress/t/t_vpi_var.pl index a568e0855..48387ade3 100755 --- a/test_regress/t/t_vpi_var.pl +++ b/test_regress/t/t_vpi_var.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + compile( make_top_shell => 0, make_main => 0, diff --git a/test_regress/t/t_wire_types.pl b/test_regress/t/t_wire_types.pl index fc9c619a3..2ac96a92f 100755 --- a/test_regress/t/t_wire_types.pl +++ b/test_regress/t/t_wire_types.pl @@ -7,6 +7,8 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. +scenarios(simulator => 1); + $Self->{verilated_randReset} = 1; # allow checking if we initialize vars to zero only when needed compile( diff --git a/test_regress/t/t_xml_first.out b/test_regress/t/t_xml_first.out index a8c29db29..76575f0ca 100644 --- a/test_regress/t/t_xml_first.out +++ b/test_regress/t/t_xml_first.out @@ -4,67 +4,68 @@ + - + - - - - - - - - + + + + + + + + - - + + - - + + - - - + + + - - + + - - + + - - - - - - - - + + + + + + + + - - - + + + - - - - - - - + + + + + + + - - + + diff --git a/test_regress/t/t_xml_first.pl b/test_regress/t/t_xml_first.pl index 2d7355400..8d800ff69 100755 --- a/test_regress/t/t_xml_first.pl +++ b/test_regress/t/t_xml_first.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml"; diff --git a/test_regress/t/t_xml_tag.out b/test_regress/t/t_xml_tag.out index 0edec2e4a..8ab36d6b3 100644 --- a/test_regress/t/t_xml_tag.out +++ b/test_regress/t/t_xml_tag.out @@ -4,38 +4,39 @@ + - + - - - - - - + + + + + + - - - - - - - + + + + + + + - - - - - - - - + + + + + + + + - + diff --git a/test_regress/t/t_xml_tag.pl b/test_regress/t/t_xml_tag.pl index c87976fc3..58526cc8e 100755 --- a/test_regress/t/t_xml_tag.pl +++ b/test_regress/t/t_xml_tag.pl @@ -7,7 +7,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. -$Self->{vlt} or $Self->skip("Verilator only test"); +scenarios(vlt => 1); my $out_filename = "$Self->{obj_dir}/V$Self->{name}.xml";