diff --git a/Changes b/Changes
index 7ed5eefc7..202700367 100644
--- a/Changes
+++ b/Changes
@@ -91,7 +91,7 @@ indicates the contributor was also the author of the fix; Thanks!
*** Support Verilog 2005 `begin_keywords and `end_keywords.
-*** Updated list of SystemVerilog keywords to correspond to IEEE 1800-2005.
+*** Updated list of SystemVerilog keywords to correspond to IEEE 1800-2008.
*** Add /*verilator public_flat*/. [Eugene Weber]
@@ -1131,7 +1131,7 @@ $Id$
This uses outline mode in Emacs. See C-h m [M-x describe-mode].
-Copyright 2001-2007 by Wilson Snyder. This program is free software;
+Copyright 2001-2008 by Wilson Snyder. This program is free software;
you can redistribute it and/or modify it under the terms of either the GNU
General Public License or the Perl Artistic License.
diff --git a/Makefile.in b/Makefile.in
index 6a0424143..143b408ce 100644
--- a/Makefile.in
+++ b/Makefile.in
@@ -10,7 +10,7 @@
#
#*****************************************************************************
#
-# Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+# Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
# redistribute it and/or modify it under the terms of either the GNU
# General Public License or the Perl Artistic License.
#
diff --git a/TODO b/TODO
index db801773a..a76c935ff 100644
--- a/TODO
+++ b/TODO
@@ -1,7 +1,7 @@
// $Id$
// DESCRIPTION: Verilator: List of To Do issues.
//
-// Copyright 2004-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2004-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
diff --git a/bin/verilator b/bin/verilator
index 70414a0e1..4e130ba74 100755
--- a/bin/verilator
+++ b/bin/verilator
@@ -4,7 +4,7 @@ eval 'exec perl -wS $0 ${1+"$@"}'
# $Id$
######################################################################
#
-# Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+# Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
# redistribute it and/or modify it under the terms of either the GNU
# General Public License or the Perl Artistic License.
#
@@ -1012,20 +1012,20 @@ includes signed numbers, "always @*", comma separated sensitivity lists,
generate statements, multidimensional arrays, localparam, and C-style
declarations inside port lists.
-=head1 VERILOG 2005 (IEEE 1364-2005) SUPPORT
+=head1 VERILOG 2005 (IEEE 1364-2008) SUPPORT
Verilator supports the `begin_keywords and `end_keywords compiler
directives.
Verilator partially supports the uwire keyword.
-=head1 SYSTEMVERILOG (IEEE 1800-2005) SUPPORT
+=head1 SYSTEMVERILOG (IEEE 1800-2008) SUPPORT
Verilator currently has very minimal support for SystemVerilog. As
SystemVerilog features enter common usage they will be added. Contact the
author if a feature you need is missing.
-Verilator implements the full SystemVerilog 1800-2005 preprocessor,
+Verilator implements the full SystemVerilog 1800-2008 preprocessor,
including function call-like preprocessor defines.
Verilator supports ==? and !=? operators, $bits, $countones, $error,
@@ -1982,9 +1982,9 @@ using --debug), see if your machine is paging; most likely you need to run
it on a machine with more memory. Verilator is a full 64 bit application
and may use more than 4GB, but about 1GB is the maximum typically needed.
-=item How do I generate waveforms (traces) in C++?
+=item How do I generate waveforms (traces) in C++ or SystemC?
-See the next question for tracing in SystemC mode.
+See the next question for tracing in SystemPerl mode.
Add the --trace switch to Verilator, and make sure the SystemPerl package
is installed. SystemC itself does not need to be installed for C++ only
@@ -2001,7 +2001,7 @@ distribution.
You also need to compile SpTraceVcdC.cpp and add it to your link. This is
done for you if using the Verilator --exe flag.
-=item How do I generate waveforms (traces) in SystemC?
+=item How do I generate waveforms (traces) in SystemPerl?
Add the --trace switch to Verilator, and make sure the SystemPerl package
is installed.
@@ -2218,7 +2218,7 @@ Eugene Weber, Leon Wildman, and Mat Zeno.
The latest version is available from L.
-Copyright 2003-2007 by Wilson Snyder. Verilator is free software; you can
+Copyright 2003-2008 by Wilson Snyder. Verilator is free software; you can
redistribute it and/or modify it under the terms of either the GNU Lesser
General Public License or the Perl Artistic License.
diff --git a/bin/verilator_difftree b/bin/verilator_difftree
index 1eb305272..0b89f2053 100755
--- a/bin/verilator_difftree
+++ b/bin/verilator_difftree
@@ -4,7 +4,7 @@ eval 'exec perl -wS $0 ${1+"$@"}'
# $Id$
######################################################################
#
-# Copyright 2005-2007 by Wilson Snyder . This
+# Copyright 2005-2008 by Wilson Snyder . This
# program is free software; you can redistribute it and/or modify it under
# the terms of either the GNU Lesser General Public License or the Perl
# Artistic License.
@@ -180,7 +180,7 @@ Displays this message and program version and exits.
The latest version is available from L.
-Copyright 2005-2007 by Wilson Snyder. This package is free software; you
+Copyright 2005-2008 by Wilson Snyder. This package is free software; you
can redistribute it and/or modify it under the terms of either the GNU
Lesser General Public License or the Perl Artistic License.
diff --git a/bin/verilator_includer b/bin/verilator_includer
index 605439c32..bb0cb2852 100755
--- a/bin/verilator_includer
+++ b/bin/verilator_includer
@@ -4,7 +4,7 @@ eval 'exec perl -wS $0 ${1+"$@"}'
# $Id$
# DESCRIPTION: Print include statements for each ARGV
#
-# Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+# Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
# redistribute it and/or modify it under the terms of either the GNU
# General Public License or the Perl Artistic License.
######################################################################
diff --git a/bin/verilator_profcfunc b/bin/verilator_profcfunc
index 66ebba05a..0b1786ce6 100755
--- a/bin/verilator_profcfunc
+++ b/bin/verilator_profcfunc
@@ -4,7 +4,7 @@ eval 'exec perl -wS $0 ${1+"$@"}'
# $Id$
######################################################################
#
-# Copyright 2007-2007 by Wilson Snyder . This
+# Copyright 2007-2008 by Wilson Snyder . This
# program is free software; you can redistribute it and/or modify it under
# the terms of either the GNU Lesser General Public License or the Perl
# Artistic License.
@@ -191,7 +191,7 @@ Displays this message and program version and exits.
The latest version is available from L.
-Copyright 2007-2007 by Wilson Snyder. This package is free software; you
+Copyright 2007-2008 by Wilson Snyder. This package is free software; you
can redistribute it and/or modify it under the terms of either the GNU
Lesser General Public License or the Perl Artistic License.
diff --git a/configure.ac b/configure.ac
index d1d862151..d15b6186d 100644
--- a/configure.ac
+++ b/configure.ac
@@ -1,6 +1,6 @@
dnl $Id$
dnl DESCRIPTION: Process this file with autoconf to produce a configure script.
-dnl Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+dnl Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
dnl redistribute it and/or modify it under the terms of either the GNU
dnl General Public License or the Perl Artistic License.
diff --git a/include/verilated.cpp b/include/verilated.cpp
index 5c7baa3b6..b0f552405 100644
--- a/include/verilated.cpp
+++ b/include/verilated.cpp
@@ -1,7 +1,7 @@
// $Id$ -*- C++ -*-
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// Lesser General Public License or the Perl Artistic License.
//
diff --git a/include/verilated.h b/include/verilated.h
index b7232af89..5a6d95a70 100644
--- a/include/verilated.h
+++ b/include/verilated.h
@@ -1,7 +1,7 @@
// $Id$ -*- C++ -*-
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// Lesser General Public License or the Perl Artistic License.
//
diff --git a/include/verilated.mk.in b/include/verilated.mk.in
index ac75c459f..53fb3f72e 100644
--- a/include/verilated.mk.in
+++ b/include/verilated.mk.in
@@ -2,7 +2,7 @@
######################################################################
# DESCRIPTION: Makefile commands for all verilated target files
#
-# Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+# Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
# redistribute it and/or modify it under the terms of either the GNU
# Lesser General Public License or the Perl Artistic License.
######################################################################
diff --git a/include/verilated.v b/include/verilated.v
index 8f942c206..6d84332f8 100644
--- a/include/verilated.v
+++ b/include/verilated.v
@@ -5,7 +5,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// Lesser General Public License or the Perl Artistic License.
//
diff --git a/include/verilatedos.h b/include/verilatedos.h
index 558eb7e97..7667e786f 100644
--- a/include/verilatedos.h
+++ b/include/verilatedos.h
@@ -1,7 +1,7 @@
// $Id$ -*- C++ -*-
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// Lesser General Public License or the Perl Artistic License.
//
diff --git a/nodist/bisonreader b/nodist/bisonreader
index fa4d172ea..75eed82d0 100755
--- a/nodist/bisonreader
+++ b/nodist/bisonreader
@@ -2,7 +2,7 @@
#$Id$
######################################################################
#
-# Copyright 2007-2007 by Wilson Snyder.
+# Copyright 2007-2008 by Wilson Snyder.
#
# This program is free software; you can redistribute it and/or modify
# it under the terms of either the GNU General Public License or the
diff --git a/nodist/dot_importer b/nodist/dot_importer
index f0f609bdc..8b4b8b86c 100755
--- a/nodist/dot_importer
+++ b/nodist/dot_importer
@@ -2,7 +2,7 @@
# $Id$
######################################################################
#
-# Copyright 2005-2007 by Wilson Snyder . This
+# Copyright 2005-2008 by Wilson Snyder . This
# program is free software; you can redistribute it and/or modify it under
# the terms of either the GNU Lesser General Public License or the Perl
# Artistic License.
@@ -156,7 +156,7 @@ Displays this message and program version and exits.
=head1 DISTRIBUTION
-Copyright 2005-2007 by Wilson Snyder. This package is free software; you
+Copyright 2005-2008 by Wilson Snyder. This package is free software; you
can redistribute it and/or modify it under the terms of either the GNU
Lesser General Public License or the Perl Artistic License.
diff --git a/nodist/dot_pruner b/nodist/dot_pruner
index 73d37baae..0faa2b3bf 100755
--- a/nodist/dot_pruner
+++ b/nodist/dot_pruner
@@ -2,7 +2,7 @@
# $Id$
######################################################################
#
-# Copyright 2005-2007 by Wilson Snyder . This
+# Copyright 2005-2008 by Wilson Snyder . This
# program is free software; you can redistribute it and/or modify it under
# the terms of either the GNU Lesser General Public License or the Perl
# Artistic License.
@@ -197,7 +197,7 @@ Displays this message and program version and exits.
=head1 DISTRIBUTION
-Copyright 2005-2007 by Wilson Snyder. This package is free software; you
+Copyright 2005-2008 by Wilson Snyder. This package is free software; you
can redistribute it and/or modify it under the terms of either the GNU
Lesser General Public License or the Perl Artistic License.
diff --git a/nodist/invoke_ncverilog b/nodist/invoke_ncverilog
index 13a140dff..15aa2d9ae 100755
--- a/nodist/invoke_ncverilog
+++ b/nodist/invoke_ncverilog
@@ -2,7 +2,7 @@
# $Id$
######################################################################
#
-# Copyright 2007-2007 by Wilson Snyder . This
+# Copyright 2007-2008 by Wilson Snyder . This
# program is free software; you can redistribute it and/or modify it under
# the terms of either the GNU Lesser General Public License or the Perl
# Artistic License.
@@ -52,7 +52,7 @@ invoke_ncverilog - Invoke tool under "modules" command
=head1 DISTRIBUTION
-Copyright 2007-2007 by Wilson Snyder. This package is free software; you
+Copyright 2007-2008 by Wilson Snyder. This package is free software; you
can redistribute it and/or modify it under the terms of either the GNU
Lesser General Public License or the Perl Artistic License.
diff --git a/nodist/vtree_importer b/nodist/vtree_importer
index 5fddba351..e59eeb554 100755
--- a/nodist/vtree_importer
+++ b/nodist/vtree_importer
@@ -2,7 +2,7 @@
# $Id$
######################################################################
#
-# Copyright 2005-2007 by Wilson Snyder . This
+# Copyright 2005-2008 by Wilson Snyder . This
# program is free software; you can redistribute it and/or modify it under
# the terms of either the GNU Lesser General Public License or the Perl
# Artistic License.
@@ -344,7 +344,7 @@ Displays this message and program version and exits.
=head1 DISTRIBUTION
-Copyright 2005-2007 by Wilson Snyder. This package is free software; you
+Copyright 2005-2008 by Wilson Snyder. This package is free software; you
can redistribute it and/or modify it under the terms of either the GNU
Lesser General Public License or the Perl Artistic License.
diff --git a/readme.texi b/readme.texi
index 95af516af..4d96ebb01 100644
--- a/readme.texi
+++ b/readme.texi
@@ -27,7 +27,7 @@ This is the Verilator Package.
@node Copyright, Description, Top, Top
@section Copyright
-This package is Copyright 2003-2007 by Wilson Snyder @email{wsnyder@@wsnyder.org}.
+This package is Copyright 2003-2008 by Wilson Snyder @email{wsnyder@@wsnyder.org}.
You may distribute under the terms of either the GNU General Public License
or the Artistic License, as specified in the Perl README file.
diff --git a/src/Makefile.in b/src/Makefile.in
index 82bca2efc..de1b6512c 100644
--- a/src/Makefile.in
+++ b/src/Makefile.in
@@ -7,7 +7,7 @@
#
#*****************************************************************************
#
-# Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+# Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
# redistribute it and/or modify it under the terms of either the GNU
# General Public License or the Perl Artistic License.
#
diff --git a/src/Makefile_obj.in b/src/Makefile_obj.in
index 48d0b3432..a328d5913 100644
--- a/src/Makefile_obj.in
+++ b/src/Makefile_obj.in
@@ -7,7 +7,7 @@
#
#*****************************************************************************
#
-# Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+# Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
# redistribute it and/or modify it under the terms of either the GNU
# General Public License or the Perl Artistic License.
#
diff --git a/src/V3Active.cpp b/src/V3Active.cpp
index b07b3c67f..8c6598424 100644
--- a/src/V3Active.cpp
+++ b/src/V3Active.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Active.h b/src/V3Active.h
index ecc9e4a8e..5c3747550 100644
--- a/src/V3Active.h
+++ b/src/V3Active.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3ActiveTop.cpp b/src/V3ActiveTop.cpp
index 445d86509..bee13eed2 100644
--- a/src/V3ActiveTop.cpp
+++ b/src/V3ActiveTop.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3ActiveTop.h b/src/V3ActiveTop.h
index b8e5f1488..1139ffcea 100644
--- a/src/V3ActiveTop.h
+++ b/src/V3ActiveTop.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Assert.cpp b/src/V3Assert.cpp
index 95af49ace..67c45e518 100644
--- a/src/V3Assert.cpp
+++ b/src/V3Assert.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2005-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2005-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Assert.h b/src/V3Assert.h
index f55f85ffc..24ee87b9b 100644
--- a/src/V3Assert.h
+++ b/src/V3Assert.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2005-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2005-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3AssertPre.cpp b/src/V3AssertPre.cpp
index 46a6f3c6d..d09362928 100644
--- a/src/V3AssertPre.cpp
+++ b/src/V3AssertPre.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2005-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2005-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3AssertPre.h b/src/V3AssertPre.h
index bd2f22bb5..a77583cd9 100644
--- a/src/V3AssertPre.h
+++ b/src/V3AssertPre.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2005-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2005-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Ast.cpp b/src/V3Ast.cpp
index 87709cc50..603764760 100644
--- a/src/V3Ast.cpp
+++ b/src/V3Ast.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Ast.h b/src/V3Ast.h
index 6a9fd16ce..42408a567 100644
--- a/src/V3Ast.h
+++ b/src/V3Ast.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3AstNodes.cpp b/src/V3AstNodes.cpp
index 3dde53f90..f41de7b68 100644
--- a/src/V3AstNodes.cpp
+++ b/src/V3AstNodes.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3AstNodes.h b/src/V3AstNodes.h
index fe8a9a854..3cd8a9f5b 100644
--- a/src/V3AstNodes.h
+++ b/src/V3AstNodes.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Begin.cpp b/src/V3Begin.cpp
index 8a5a22869..e595f36be 100644
--- a/src/V3Begin.cpp
+++ b/src/V3Begin.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Begin.h b/src/V3Begin.h
index f96b59e73..fce15acdd 100644
--- a/src/V3Begin.h
+++ b/src/V3Begin.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Branch.cpp b/src/V3Branch.cpp
index 3fcc9384c..7b15dab1d 100644
--- a/src/V3Branch.cpp
+++ b/src/V3Branch.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Branch.h b/src/V3Branch.h
index 140696835..6322c16c1 100644
--- a/src/V3Branch.h
+++ b/src/V3Branch.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Broken.cpp b/src/V3Broken.cpp
index b7344c3fe..5e316f789 100644
--- a/src/V3Broken.cpp
+++ b/src/V3Broken.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Broken.h b/src/V3Broken.h
index a64db8d83..f2c25d140 100644
--- a/src/V3Broken.h
+++ b/src/V3Broken.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Case.cpp b/src/V3Case.cpp
index efc472604..5bfe7eeb2 100644
--- a/src/V3Case.cpp
+++ b/src/V3Case.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Case.h b/src/V3Case.h
index 4b1f6ea85..5410e6246 100644
--- a/src/V3Case.h
+++ b/src/V3Case.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Cast.cpp b/src/V3Cast.cpp
index 421b1193b..b89712b99 100644
--- a/src/V3Cast.cpp
+++ b/src/V3Cast.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2004-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2004-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Cast.h b/src/V3Cast.h
index 5f49e0a9e..6d58765dd 100644
--- a/src/V3Cast.h
+++ b/src/V3Cast.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2004-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2004-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Changed.cpp b/src/V3Changed.cpp
index ba4cec191..60bd24ddb 100644
--- a/src/V3Changed.cpp
+++ b/src/V3Changed.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Changed.h b/src/V3Changed.h
index 81c4dbaf9..65f93b6b1 100644
--- a/src/V3Changed.h
+++ b/src/V3Changed.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Clean.cpp b/src/V3Clean.cpp
index 04515c071..601bf4ca8 100644
--- a/src/V3Clean.cpp
+++ b/src/V3Clean.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Clean.h b/src/V3Clean.h
index 6b81e3c9a..18e11ff9d 100644
--- a/src/V3Clean.h
+++ b/src/V3Clean.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Clock.cpp b/src/V3Clock.cpp
index 02569efe7..66df2f572 100644
--- a/src/V3Clock.cpp
+++ b/src/V3Clock.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Clock.h b/src/V3Clock.h
index 3e6d44e20..a5f26ca7b 100644
--- a/src/V3Clock.h
+++ b/src/V3Clock.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Combine.cpp b/src/V3Combine.cpp
index b317ffce4..40182332c 100644
--- a/src/V3Combine.cpp
+++ b/src/V3Combine.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Combine.h b/src/V3Combine.h
index 502564a5b..43a70db13 100644
--- a/src/V3Combine.h
+++ b/src/V3Combine.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Const.cpp b/src/V3Const.cpp
index b4581f920..54d5ebff7 100644
--- a/src/V3Const.cpp
+++ b/src/V3Const.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Const.h b/src/V3Const.h
index 79e51688b..582e30541 100644
--- a/src/V3Const.h
+++ b/src/V3Const.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Coverage.cpp b/src/V3Coverage.cpp
index 0351b77c1..4034b0d14 100644
--- a/src/V3Coverage.cpp
+++ b/src/V3Coverage.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Coverage.h b/src/V3Coverage.h
index 66c34abfd..0b4d139bf 100644
--- a/src/V3Coverage.h
+++ b/src/V3Coverage.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Dead.cpp b/src/V3Dead.cpp
index 125442814..aff08a534 100644
--- a/src/V3Dead.cpp
+++ b/src/V3Dead.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Dead.h b/src/V3Dead.h
index 59b48d562..cd45c3e71 100644
--- a/src/V3Dead.h
+++ b/src/V3Dead.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Delayed.cpp b/src/V3Delayed.cpp
index e1f85675c..0a64ba9ec 100644
--- a/src/V3Delayed.cpp
+++ b/src/V3Delayed.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Delayed.h b/src/V3Delayed.h
index cf33fa407..5754ff88e 100644
--- a/src/V3Delayed.h
+++ b/src/V3Delayed.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Depth.cpp b/src/V3Depth.cpp
index 3a9c884c3..4ce7d0219 100644
--- a/src/V3Depth.cpp
+++ b/src/V3Depth.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Depth.h b/src/V3Depth.h
index f9bc19531..3c8ce4176 100644
--- a/src/V3Depth.h
+++ b/src/V3Depth.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3DepthBlock.cpp b/src/V3DepthBlock.cpp
index 9d95b7ba7..d4b7b8184 100644
--- a/src/V3DepthBlock.cpp
+++ b/src/V3DepthBlock.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3DepthBlock.h b/src/V3DepthBlock.h
index 7e441a9ff..08ac3d925 100644
--- a/src/V3DepthBlock.h
+++ b/src/V3DepthBlock.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Descope.cpp b/src/V3Descope.cpp
index e40002226..2fd9bb888 100644
--- a/src/V3Descope.cpp
+++ b/src/V3Descope.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Descope.h b/src/V3Descope.h
index ca65e845d..3b9599e81 100644
--- a/src/V3Descope.h
+++ b/src/V3Descope.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3EmitC.cpp b/src/V3EmitC.cpp
index 17a9bb31b..9d2bda471 100644
--- a/src/V3EmitC.cpp
+++ b/src/V3EmitC.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3EmitC.h b/src/V3EmitC.h
index de870fb1f..0b32f99d3 100644
--- a/src/V3EmitC.h
+++ b/src/V3EmitC.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3EmitCBase.h b/src/V3EmitCBase.h
index 2d3f10380..1b07b2663 100644
--- a/src/V3EmitCBase.h
+++ b/src/V3EmitCBase.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3EmitCInlines.cpp b/src/V3EmitCInlines.cpp
index 2ee8e8dfb..70176f89a 100644
--- a/src/V3EmitCInlines.cpp
+++ b/src/V3EmitCInlines.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3EmitCSyms.cpp b/src/V3EmitCSyms.cpp
index 7cd9de229..6a939391e 100644
--- a/src/V3EmitCSyms.cpp
+++ b/src/V3EmitCSyms.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3EmitMk.cpp b/src/V3EmitMk.cpp
index cce7cc52c..47ec035a1 100644
--- a/src/V3EmitMk.cpp
+++ b/src/V3EmitMk.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2004-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2004-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3EmitMk.h b/src/V3EmitMk.h
index 4094cb928..8b5510806 100644
--- a/src/V3EmitMk.h
+++ b/src/V3EmitMk.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3EmitV.cpp b/src/V3EmitV.cpp
index e859c10eb..54eaa0eed 100644
--- a/src/V3EmitV.cpp
+++ b/src/V3EmitV.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2004-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2004-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3EmitV.h b/src/V3EmitV.h
index 417cfb12e..f2eef4873 100644
--- a/src/V3EmitV.h
+++ b/src/V3EmitV.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Error.cpp b/src/V3Error.cpp
index 59bb7b56e..334224550 100644
--- a/src/V3Error.cpp
+++ b/src/V3Error.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Error.h b/src/V3Error.h
index 449a3df0d..bfae5fa84 100644
--- a/src/V3Error.h
+++ b/src/V3Error.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Expand.cpp b/src/V3Expand.cpp
index dccd193b4..5b60aa990 100644
--- a/src/V3Expand.cpp
+++ b/src/V3Expand.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2004-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2004-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Expand.h b/src/V3Expand.h
index c45594e5f..c4523fe4f 100644
--- a/src/V3Expand.h
+++ b/src/V3Expand.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3File.cpp b/src/V3File.cpp
index c58fdd5b8..6c053c8dc 100644
--- a/src/V3File.cpp
+++ b/src/V3File.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3File.h b/src/V3File.h
index 3281da598..6d5835005 100644
--- a/src/V3File.h
+++ b/src/V3File.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Gate.cpp b/src/V3Gate.cpp
index 14ad72a56..c7476bf1d 100644
--- a/src/V3Gate.cpp
+++ b/src/V3Gate.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Gate.h b/src/V3Gate.h
index d07cfd38a..0373422d7 100644
--- a/src/V3Gate.h
+++ b/src/V3Gate.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3GenClk.cpp b/src/V3GenClk.cpp
index c2e1f3d35..240aa0749 100644
--- a/src/V3GenClk.cpp
+++ b/src/V3GenClk.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3GenClk.h b/src/V3GenClk.h
index e068436af..0a60dc17e 100644
--- a/src/V3GenClk.h
+++ b/src/V3GenClk.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Global.h b/src/V3Global.h
index 709946cb7..3b4412890 100644
--- a/src/V3Global.h
+++ b/src/V3Global.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Graph.cpp b/src/V3Graph.cpp
index 7bcd22201..dca2de984 100644
--- a/src/V3Graph.cpp
+++ b/src/V3Graph.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Graph.h b/src/V3Graph.h
index c82b451de..d597ef85e 100644
--- a/src/V3Graph.h
+++ b/src/V3Graph.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3GraphAcyc.cpp b/src/V3GraphAcyc.cpp
index b74c34515..47278f3b9 100644
--- a/src/V3GraphAcyc.cpp
+++ b/src/V3GraphAcyc.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3GraphAlg.cpp b/src/V3GraphAlg.cpp
index e28c53fed..e64f30b3e 100644
--- a/src/V3GraphAlg.cpp
+++ b/src/V3GraphAlg.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3GraphAlg.h b/src/V3GraphAlg.h
index acc3007cd..7744a4b67 100644
--- a/src/V3GraphAlg.h
+++ b/src/V3GraphAlg.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3GraphDfa.cpp b/src/V3GraphDfa.cpp
index ff55d0c49..cc747ca47 100644
--- a/src/V3GraphDfa.cpp
+++ b/src/V3GraphDfa.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2005-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2005-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3GraphDfa.h b/src/V3GraphDfa.h
index f92792eeb..9bb96a030 100644
--- a/src/V3GraphDfa.h
+++ b/src/V3GraphDfa.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3GraphTest.cpp b/src/V3GraphTest.cpp
index d150e1df0..931a34dca 100644
--- a/src/V3GraphTest.cpp
+++ b/src/V3GraphTest.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Hashed.cpp b/src/V3Hashed.cpp
index 7522bc229..5d2948f54 100644
--- a/src/V3Hashed.cpp
+++ b/src/V3Hashed.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Hashed.h b/src/V3Hashed.h
index 2768bc067..dca60df75 100644
--- a/src/V3Hashed.h
+++ b/src/V3Hashed.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2005-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2005-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Inline.cpp b/src/V3Inline.cpp
index 3b6060ed2..059933e5c 100644
--- a/src/V3Inline.cpp
+++ b/src/V3Inline.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Inline.h b/src/V3Inline.h
index 971a80821..817def680 100644
--- a/src/V3Inline.h
+++ b/src/V3Inline.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Inst.cpp b/src/V3Inst.cpp
index d1d93c8f4..bd2d84d6d 100644
--- a/src/V3Inst.cpp
+++ b/src/V3Inst.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Inst.h b/src/V3Inst.h
index 7cf45bb0a..08414dd32 100644
--- a/src/V3Inst.h
+++ b/src/V3Inst.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3LanguageWords.h b/src/V3LanguageWords.h
index 9a3ac78f4..1cadce40e 100644
--- a/src/V3LanguageWords.h
+++ b/src/V3LanguageWords.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2005-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2005-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Life.cpp b/src/V3Life.cpp
index 981d0f51d..aa56bf27e 100644
--- a/src/V3Life.cpp
+++ b/src/V3Life.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Life.h b/src/V3Life.h
index 76851b6e9..e8cbe54a1 100644
--- a/src/V3Life.h
+++ b/src/V3Life.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3LifePost.cpp b/src/V3LifePost.cpp
index 89179146d..75e99fcf6 100644
--- a/src/V3LifePost.cpp
+++ b/src/V3LifePost.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3LifePost.h b/src/V3LifePost.h
index a0a73b886..ea4630b5f 100644
--- a/src/V3LifePost.h
+++ b/src/V3LifePost.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Link.cpp b/src/V3Link.cpp
index e2e2ba339..ec1ecb66e 100644
--- a/src/V3Link.cpp
+++ b/src/V3Link.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Link.h b/src/V3Link.h
index 5c420dec4..04bf48dbf 100644
--- a/src/V3Link.h
+++ b/src/V3Link.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3LinkCells.cpp b/src/V3LinkCells.cpp
index 692826a7b..d73064dd8 100644
--- a/src/V3LinkCells.cpp
+++ b/src/V3LinkCells.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3LinkCells.h b/src/V3LinkCells.h
index e55129fc0..2fe5feffb 100644
--- a/src/V3LinkCells.h
+++ b/src/V3LinkCells.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3LinkDot.cpp b/src/V3LinkDot.cpp
index b80195b94..44a527531 100644
--- a/src/V3LinkDot.cpp
+++ b/src/V3LinkDot.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3LinkDot.h b/src/V3LinkDot.h
index 65b94a7c1..349d8f655 100644
--- a/src/V3LinkDot.h
+++ b/src/V3LinkDot.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3LinkLValue.cpp b/src/V3LinkLValue.cpp
index 04599f0a9..17e4d0e53 100644
--- a/src/V3LinkLValue.cpp
+++ b/src/V3LinkLValue.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3LinkLValue.h b/src/V3LinkLValue.h
index 7867a11b8..4f997d6b2 100644
--- a/src/V3LinkLValue.h
+++ b/src/V3LinkLValue.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3LinkLevel.cpp b/src/V3LinkLevel.cpp
index 275d769e0..e44b0a61d 100644
--- a/src/V3LinkLevel.cpp
+++ b/src/V3LinkLevel.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3LinkLevel.h b/src/V3LinkLevel.h
index 4b928ce14..182a52b60 100644
--- a/src/V3LinkLevel.h
+++ b/src/V3LinkLevel.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3LinkParse.cpp b/src/V3LinkParse.cpp
index 53af185bc..e42f76d4f 100644
--- a/src/V3LinkParse.cpp
+++ b/src/V3LinkParse.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3LinkParse.h b/src/V3LinkParse.h
index df7cdb731..0b9cdd719 100644
--- a/src/V3LinkParse.h
+++ b/src/V3LinkParse.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3LinkResolve.cpp b/src/V3LinkResolve.cpp
index 8943d3c79..369971784 100644
--- a/src/V3LinkResolve.cpp
+++ b/src/V3LinkResolve.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3LinkResolve.h b/src/V3LinkResolve.h
index 2f6e7b60b..b2cd77310 100644
--- a/src/V3LinkResolve.h
+++ b/src/V3LinkResolve.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3List.h b/src/V3List.h
index f96103799..71dbc95e1 100644
--- a/src/V3List.h
+++ b/src/V3List.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Localize.cpp b/src/V3Localize.cpp
index 6f901cc73..b68b7350d 100644
--- a/src/V3Localize.cpp
+++ b/src/V3Localize.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Localize.h b/src/V3Localize.h
index 92a262ab7..1a9c842f3 100644
--- a/src/V3Localize.h
+++ b/src/V3Localize.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Name.cpp b/src/V3Name.cpp
index 90a6e5976..482925790 100644
--- a/src/V3Name.cpp
+++ b/src/V3Name.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Name.h b/src/V3Name.h
index bfbb12620..c590ecf04 100644
--- a/src/V3Name.h
+++ b/src/V3Name.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Number.cpp b/src/V3Number.cpp
index 9ae0ee935..656b28ae8 100644
--- a/src/V3Number.cpp
+++ b/src/V3Number.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Number.h b/src/V3Number.h
index f69bcc235..8f28346ec 100644
--- a/src/V3Number.h
+++ b/src/V3Number.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Number_test.cpp b/src/V3Number_test.cpp
index b9dc2c73b..60d50a685 100644
--- a/src/V3Number_test.cpp
+++ b/src/V3Number_test.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Options.cpp b/src/V3Options.cpp
index 232532e34..0ccf39c6b 100644
--- a/src/V3Options.cpp
+++ b/src/V3Options.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Options.h b/src/V3Options.h
index 91029c2f8..284330c01 100644
--- a/src/V3Options.h
+++ b/src/V3Options.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Order.cpp b/src/V3Order.cpp
index 802a69b29..161a9d77e 100644
--- a/src/V3Order.cpp
+++ b/src/V3Order.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Order.h b/src/V3Order.h
index b0d80ff06..c31b26929 100644
--- a/src/V3Order.h
+++ b/src/V3Order.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3OrderGraph.h b/src/V3OrderGraph.h
index 007d62f5c..b62983009 100644
--- a/src/V3OrderGraph.h
+++ b/src/V3OrderGraph.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Param.cpp b/src/V3Param.cpp
index 7d734c34d..471977631 100644
--- a/src/V3Param.cpp
+++ b/src/V3Param.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Param.h b/src/V3Param.h
index a54f52785..09e615467 100644
--- a/src/V3Param.h
+++ b/src/V3Param.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Parse.cpp b/src/V3Parse.cpp
index 566e6586b..dd55c9613 100644
--- a/src/V3Parse.cpp
+++ b/src/V3Parse.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3PreLex.h b/src/V3PreLex.h
index d8e555a3d..57cfb6e8b 100644
--- a/src/V3PreLex.h
+++ b/src/V3PreLex.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2000-2007 by Wilson Snyder. This program is free software;
+// Copyright 2000-2008 by Wilson Snyder. This program is free software;
// you can redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3PreLex.l b/src/V3PreLex.l
index 45c5ec97c..8309b0e58 100644
--- a/src/V3PreLex.l
+++ b/src/V3PreLex.l
@@ -6,7 +6,7 @@
*
**************************************************************************
*
- * Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+ * Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
* redistribute it and/or modify it under the terms of either the GNU
* General Public License or the Perl Artistic License.
*
diff --git a/src/V3PreProc.cpp b/src/V3PreProc.cpp
index 835f4cc71..0c4447293 100644
--- a/src/V3PreProc.cpp
+++ b/src/V3PreProc.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2000-2007 by Wilson Snyder. This program is free software;
+// Copyright 2000-2008 by Wilson Snyder. This program is free software;
// you can redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3PreProc.h b/src/V3PreProc.h
index 306d9363c..0bfef65b3 100644
--- a/src/V3PreProc.h
+++ b/src/V3PreProc.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2000-2007 by Wilson Snyder. This program is free software;
+// Copyright 2000-2008 by Wilson Snyder. This program is free software;
// you can redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3PreShell.cpp b/src/V3PreShell.cpp
index 857196b2d..995311226 100644
--- a/src/V3PreShell.cpp
+++ b/src/V3PreShell.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2004-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2004-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3PreShell.h b/src/V3PreShell.h
index ac08c1672..2620c873a 100644
--- a/src/V3PreShell.h
+++ b/src/V3PreShell.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2004-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2004-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Premit.cpp b/src/V3Premit.cpp
index 25efb636b..b0388c83d 100644
--- a/src/V3Premit.cpp
+++ b/src/V3Premit.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Premit.h b/src/V3Premit.h
index e6c69d43c..979129941 100644
--- a/src/V3Premit.h
+++ b/src/V3Premit.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Read.cpp b/src/V3Read.cpp
index 16e6009bc..02cbf5d7a 100644
--- a/src/V3Read.cpp
+++ b/src/V3Read.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Read.h b/src/V3Read.h
index a0346c80b..7393dad8b 100644
--- a/src/V3Read.h
+++ b/src/V3Read.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Scope.cpp b/src/V3Scope.cpp
index 28fa30289..e27af459b 100644
--- a/src/V3Scope.cpp
+++ b/src/V3Scope.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Scope.h b/src/V3Scope.h
index a47b0545d..a737e4890 100644
--- a/src/V3Scope.h
+++ b/src/V3Scope.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3SenTree.h b/src/V3SenTree.h
index ae543d78f..4a65680fc 100644
--- a/src/V3SenTree.h
+++ b/src/V3SenTree.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Signed.cpp b/src/V3Signed.cpp
index 3ef919e83..daf7917f1 100644
--- a/src/V3Signed.cpp
+++ b/src/V3Signed.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2005-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2005-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Signed.h b/src/V3Signed.h
index 1a4d42003..0c1f572f6 100644
--- a/src/V3Signed.h
+++ b/src/V3Signed.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2005-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2005-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Split.cpp b/src/V3Split.cpp
index 406885b4e..1ed93d623 100644
--- a/src/V3Split.cpp
+++ b/src/V3Split.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Split.h b/src/V3Split.h
index 9f048f18c..e987fffab 100644
--- a/src/V3Split.h
+++ b/src/V3Split.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3SplitAs.cpp b/src/V3SplitAs.cpp
index aa705d22e..942591123 100644
--- a/src/V3SplitAs.cpp
+++ b/src/V3SplitAs.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3SplitAs.h b/src/V3SplitAs.h
index 291b73212..4c4c4e283 100644
--- a/src/V3SplitAs.h
+++ b/src/V3SplitAs.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Stats.cpp b/src/V3Stats.cpp
index 472f4a636..54e872407 100644
--- a/src/V3Stats.cpp
+++ b/src/V3Stats.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2005-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2005-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Stats.h b/src/V3Stats.h
index fbcf56b6d..22a656ec7 100644
--- a/src/V3Stats.h
+++ b/src/V3Stats.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2005-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2005-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3StatsReport.cpp b/src/V3StatsReport.cpp
index cf4093abb..907323825 100644
--- a/src/V3StatsReport.cpp
+++ b/src/V3StatsReport.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2005-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2005-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Subst.cpp b/src/V3Subst.cpp
index 9aa946257..a96035e64 100644
--- a/src/V3Subst.cpp
+++ b/src/V3Subst.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2004-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2004-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Subst.h b/src/V3Subst.h
index 742fb9985..5937af79f 100644
--- a/src/V3Subst.h
+++ b/src/V3Subst.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3SymTable.h b/src/V3SymTable.h
index 093ba8cbb..e8cb8329c 100644
--- a/src/V3SymTable.h
+++ b/src/V3SymTable.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Table.cpp b/src/V3Table.cpp
index 34cf20071..f028a5c7e 100644
--- a/src/V3Table.cpp
+++ b/src/V3Table.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Table.h b/src/V3Table.h
index 03ff558ef..1e5706260 100644
--- a/src/V3Table.h
+++ b/src/V3Table.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Task.cpp b/src/V3Task.cpp
index 765faa897..1f8abd740 100644
--- a/src/V3Task.cpp
+++ b/src/V3Task.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Task.h b/src/V3Task.h
index c70ac8cde..f67ceeb2c 100644
--- a/src/V3Task.h
+++ b/src/V3Task.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Trace.cpp b/src/V3Trace.cpp
index bd37de14d..074d79a8e 100644
--- a/src/V3Trace.cpp
+++ b/src/V3Trace.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Trace.h b/src/V3Trace.h
index 6380843b3..aa0760310 100644
--- a/src/V3Trace.h
+++ b/src/V3Trace.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3TraceDecl.cpp b/src/V3TraceDecl.cpp
index 87f785074..7f964f95c 100644
--- a/src/V3TraceDecl.cpp
+++ b/src/V3TraceDecl.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3TraceDecl.h b/src/V3TraceDecl.h
index e0dc86443..65b84818c 100644
--- a/src/V3TraceDecl.h
+++ b/src/V3TraceDecl.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Unknown.cpp b/src/V3Unknown.cpp
index c96ec2766..dde64650b 100644
--- a/src/V3Unknown.cpp
+++ b/src/V3Unknown.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Unknown.h b/src/V3Unknown.h
index bb82ae9fc..2caf8ad8a 100644
--- a/src/V3Unknown.h
+++ b/src/V3Unknown.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Unroll.cpp b/src/V3Unroll.cpp
index 9b90f1260..62fd82c30 100644
--- a/src/V3Unroll.cpp
+++ b/src/V3Unroll.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Unroll.h b/src/V3Unroll.h
index a4507a015..253df30e5 100644
--- a/src/V3Unroll.h
+++ b/src/V3Unroll.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Width.cpp b/src/V3Width.cpp
index ce32ee554..bf4287325 100644
--- a/src/V3Width.cpp
+++ b/src/V3Width.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/V3Width.h b/src/V3Width.h
index 2fe3ab918..86ba63305 100644
--- a/src/V3Width.h
+++ b/src/V3Width.h
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/Verilator.cpp b/src/Verilator.cpp
index 2fd447694..958b514fc 100644
--- a/src/Verilator.cpp
+++ b/src/Verilator.cpp
@@ -8,7 +8,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/astgen b/src/astgen
index 25dc87e7c..05d1e60f2 100755
--- a/src/astgen
+++ b/src/astgen
@@ -2,7 +2,7 @@
#$Id$
######################################################################
#
-# Copyright 2002-2007 by Wilson Snyder.
+# Copyright 2002-2008 by Wilson Snyder.
#
# This program is free software; you can redistribute it and/or modify
# it under the terms of either the GNU General Public License or the
diff --git a/src/config_build.h.in b/src/config_build.h.in
index 74a73ba8d..b1db6f7db 100644
--- a/src/config_build.h.in
+++ b/src/config_build.h.in
@@ -10,7 +10,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/src/config_rev.pl b/src/config_rev.pl
index 2a15b7067..f38a7c46c 100755
--- a/src/config_rev.pl
+++ b/src/config_rev.pl
@@ -2,7 +2,7 @@
#$Id$
######################################################################
#
-# Copyright 2005-2007 by Wilson Snyder.
+# Copyright 2005-2008 by Wilson Snyder.
#
# This program is free software; you can redistribute it and/or modify
# it under the terms of either the GNU General Public License or the
diff --git a/src/flexfix b/src/flexfix
index e9d46e757..7837a10ec 100755
--- a/src/flexfix
+++ b/src/flexfix
@@ -2,7 +2,7 @@
#$Id$
######################################################################
#
-# Copyright 2002-2007 by Wilson Snyder.
+# Copyright 2002-2008 by Wilson Snyder.
#
# This program is free software; you can redistribute it and/or modify
# it under the terms of either the GNU General Public License or the
diff --git a/src/verilog.l b/src/verilog.l
index 0c1063f93..381ba4dbc 100644
--- a/src/verilog.l
+++ b/src/verilog.l
@@ -6,7 +6,7 @@
*
**************************************************************************
*
- * Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+ * Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
* redistribute it and/or modify it under the terms of either the GNU
* General Public License or the Perl Artistic License.
*
@@ -727,8 +727,8 @@ escid \\[^ \t\f\r\n]+
"`begin_keywords"[ \t]*\"1364-1995\" { yy_push_state(V95); V3Read::pushBeginKeywords(YY_START);}
"`begin_keywords"[ \t]*\"1364-2001\" { yy_push_state(V01); V3Read::pushBeginKeywords(YY_START);}
"`begin_keywords"[ \t]*\"1364-2001-noconfig\" { yy_push_state(V01); V3Read::pushBeginKeywords(YY_START);}
- "`begin_keywords"[ \t]*\"1364-2005\" { yy_push_state(V05); V3Read::pushBeginKeywords(YY_START);}
- "`begin_keywords"[ \t]*\"1800-2005\" { yy_push_state(S05); V3Read::pushBeginKeywords(YY_START);}
+ "`begin_keywords"[ \t]*\"1364-2008\" { yy_push_state(V05); V3Read::pushBeginKeywords(YY_START);}
+ "`begin_keywords"[ \t]*\"1800-2008\" { yy_push_state(S05); V3Read::pushBeginKeywords(YY_START);}
"`end_keywords" { yy_pop_state(); if (!V3Read::popBeginKeywords()) yyerrorf("`end_keywords when not inside `begin_keywords block"); }
}
diff --git a/src/verilog.y b/src/verilog.y
index fd6fe150a..0cd6a9085 100644
--- a/src/verilog.y
+++ b/src/verilog.y
@@ -6,7 +6,7 @@
//
//*************************************************************************
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//
diff --git a/test_c/Makefile b/test_c/Makefile
index a9bae021a..6fc924f04 100644
--- a/test_c/Makefile
+++ b/test_c/Makefile
@@ -6,7 +6,7 @@
# This calls the object directory makefile. That allows the objects to
# be placed in the "current directory" which simplifies the Makefile.
#
-# Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+# Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
# redistribute it and/or modify it under the terms of either the GNU
# General Public License or the Perl Artistic License.
#
diff --git a/test_c/Makefile_obj b/test_c/Makefile_obj
index b0984953c..3b3bca54f 100644
--- a/test_c/Makefile_obj
+++ b/test_c/Makefile_obj
@@ -5,7 +5,7 @@
#
# This is executed in the object directory, and called by ../Makefile
#
-# Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+# Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
# redistribute it and/or modify it under the terms of either the GNU
# General Public License or the Perl Artistic License.
#
diff --git a/test_c/sim_main.cpp b/test_c/sim_main.cpp
index 20a3807ec..309212c40 100644
--- a/test_c/sim_main.cpp
+++ b/test_c/sim_main.cpp
@@ -1,7 +1,7 @@
// $Id$
// DESCRIPTION: Verilator Example: Top level main for invoking model
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
diff --git a/test_regress/Makefile b/test_regress/Makefile
index 141080e33..525372b48 100644
--- a/test_regress/Makefile
+++ b/test_regress/Makefile
@@ -6,7 +6,7 @@
# This calls the object directory makefile. That allows the objects to
# be placed in the "current directory" which simplifies the Makefile.
#
-# Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+# Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
# redistribute it and/or modify it under the terms of either the GNU
# General Public License or the Perl Artistic License.
#
diff --git a/test_regress/Makefile_obj b/test_regress/Makefile_obj
index c73fac543..0adb9cf0c 100644
--- a/test_regress/Makefile_obj
+++ b/test_regress/Makefile_obj
@@ -5,7 +5,7 @@
#
# This is executed in the object directory, and called by ../Makefile
#
-# Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+# Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
# redistribute it and/or modify it under the terms of either the GNU
# General Public License or the Perl Artistic License.
#
diff --git a/test_regress/driver.pl b/test_regress/driver.pl
index 868dbfc19..852c2eec8 100755
--- a/test_regress/driver.pl
+++ b/test_regress/driver.pl
@@ -2,7 +2,7 @@
# $Id$
######################################################################
#
-# This program is Copyright 2003-2007 by Wilson Snyder.
+# This program is Copyright 2003-2008 by Wilson Snyder.
#
# This program is free software; you can redistribute it and/or modify
# it under the terms of either the GNU General Public License or the
diff --git a/test_sc/Makefile b/test_sc/Makefile
index 99ffb1fe2..de29fbcc0 100644
--- a/test_sc/Makefile
+++ b/test_sc/Makefile
@@ -6,7 +6,7 @@
# This calls the object directory makefile. That allows the objects to
# be placed in the "current directory" which simplifies the Makefile.
#
-# Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+# Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
# redistribute it and/or modify it under the terms of either the GNU
# General Public License or the Perl Artistic License.
#
diff --git a/test_sc/Makefile_obj b/test_sc/Makefile_obj
index aae953384..852b74f41 100644
--- a/test_sc/Makefile_obj
+++ b/test_sc/Makefile_obj
@@ -5,7 +5,7 @@
#
# This is executed in the object directory, and called by ../Makefile
#
-# Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+# Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
# redistribute it and/or modify it under the terms of either the GNU
# General Public License or the Perl Artistic License.
#
diff --git a/test_sp/Makefile b/test_sp/Makefile
index 38014f062..77109cfd9 100644
--- a/test_sp/Makefile
+++ b/test_sp/Makefile
@@ -6,7 +6,7 @@
# This calls the object directory makefile. That allows the objects to
# be placed in the "current directory" which simplifies the Makefile.
#
-# Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+# Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
# redistribute it and/or modify it under the terms of either the GNU
# General Public License or the Perl Artistic License.
#
@@ -62,5 +62,5 @@ obj_dir:
maintainer-copy::
clean mostlyclean distclean maintainer-clean::
- -rm -rf obj_dir *.log *.dmp *.vpd *.vcd core
+ -rm -rf obj_dir *.log *.dmp *.vpd *.vcd core logs
diff --git a/test_sp/Makefile_obj b/test_sp/Makefile_obj
index 252f6bf4f..3cdff8fe3 100644
--- a/test_sp/Makefile_obj
+++ b/test_sp/Makefile_obj
@@ -5,7 +5,7 @@
#
# This is executed in the object directory, and called by ../Makefile
#
-# Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+# Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
# redistribute it and/or modify it under the terms of either the GNU
# General Public License or the Perl Artistic License.
#
diff --git a/test_sp/sc_main.cpp b/test_sp/sc_main.cpp
index bdecadd50..ce62b7ba6 100644
--- a/test_sp/sc_main.cpp
+++ b/test_sp/sc_main.cpp
@@ -1,7 +1,7 @@
// $Id$ -*- SystemC -*-
// DESCRIPTION: Verilator Example: Top level main for invoking SystemC model
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
//====================================================================
diff --git a/test_verilated/sim_main.cpp b/test_verilated/sim_main.cpp
index eb4101f14..b59653119 100644
--- a/test_verilated/sim_main.cpp
+++ b/test_verilated/sim_main.cpp
@@ -1,7 +1,7 @@
// $Id$
// DESCRIPTION: Verilator Test: Top level main for invoking model
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
diff --git a/test_verilated/sim_main.v b/test_verilated/sim_main.v
index d5e799910..1110086c5 100644
--- a/test_verilated/sim_main.v
+++ b/test_verilated/sim_main.v
@@ -1,7 +1,7 @@
// $Id$
// DESCRIPTION: Verilator Test: Top level main for invoking model
//
-// Copyright 2003-2007 by Wilson Snyder. This program is free software; you can
+// Copyright 2003-2008 by Wilson Snyder. This program is free software; you can
// redistribute it and/or modify it under the terms of either the GNU
// General Public License or the Perl Artistic License.
diff --git a/test_verilated/vgen.pl b/test_verilated/vgen.pl
index 4acdd3423..98994a30e 100755
--- a/test_verilated/vgen.pl
+++ b/test_verilated/vgen.pl
@@ -2,7 +2,7 @@
#$Id$
######################################################################
#
-# This program is Copyright 2001-2007 by Wilson Snyder.
+# This program is Copyright 2001-2008 by Wilson Snyder.
#
# This program is free software; you can redistribute it and/or modify
# it under the terms of either the GNU General Public License or the