From 6acd5847e70e5b15383a042ae0f9c65a1c4b486d Mon Sep 17 00:00:00 2001 From: Yutetsu TAKATSUKASA Date: Tue, 25 Aug 2020 08:11:20 +0900 Subject: [PATCH] Fix range check in V3SplitVar to be consistent with #2507 (#2511) * test:Add more tests for checking split_var for unpacked array. * Fix range calculation of SliceSel and change to UASSERT_OBJ because the range check is done in V3Width beforehand. --- src/V3SplitVar.cpp | 24 +- test_regress/t/t_split_var_0.pl | 2 +- test_regress/t/t_split_var_0.v | 34 + test_regress/t/t_split_var_2_trace.out | 3755 ++++++++++++------------ test_regress/t/t_split_var_2_trace.pl | 2 +- 5 files changed, 1960 insertions(+), 1857 deletions(-) diff --git a/src/V3SplitVar.cpp b/src/V3SplitVar.cpp index 5858fdc41..8c8ef8a5a 100644 --- a/src/V3SplitVar.cpp +++ b/src/V3SplitVar.cpp @@ -275,10 +275,6 @@ public: return m_index; } AstNode* context() const { return m_contextp; } - std::pair range() const { - UASSERT_OBJ(VN_IS(m_nodep, SliceSel), m_nodep, "not slice sel"); - return std::make_pair(m_msb, m_lsb); - } bool lvalue() const { return m_lvalue; } bool ftask() const { return m_ftask; } bool operator<(const UnpackRef& other) const { @@ -584,16 +580,16 @@ class SplitUnpackedVarVisitor : public AstNVisitor, public SplitVarImpl { if (AstVarRef* refp = isTargetVref(nodep->fromp())) { AstUnpackArrayDType* dtypep = VN_CAST(refp->varp()->dtypep()->skipRefp(), UnpackArrayDType); - if (dtypep->lsb() <= nodep->declRange().lo() - && nodep->declRange().hi() <= dtypep->msb()) { // Range is ok - UINFO(4, "add " << nodep << " for " << refp->varp()->prettyName() << "\n"); - m_refs.tryAdd(m_contextp, refp, nodep, nodep->declRange().hi(), - nodep->declRange().lo(), m_inFTask); - } else { - nodep->v3warn(SPLITVAR, refp->prettyNameQ() - << notSplitMsg << "index if out of range.\n"); - m_refs.remove(refp->varp()); - } + // declRange() of AstSliceSel is shifted by dtypep->declRange().lo() in V3WidthSel.cpp + // restore the original decl range here. + const VNumRange selRange{nodep->declRange().hi() + dtypep->declRange().lo(), + nodep->declRange().lo() + dtypep->declRange().lo(), + nodep->declRange().littleEndian()}; + UASSERT_OBJ(dtypep->lsb() <= selRange.lo() && selRange.hi() <= dtypep->msb(), nodep, + "Range check for AstSliceSel must have been finished in V3Width.cpp"); + UINFO(4, "add " << nodep << " for " << refp->varp()->prettyName() << "\n"); + m_refs.tryAdd(m_contextp, refp, nodep, nodep->declRange().hi(), + nodep->declRange().lo(), m_inFTask); } else { iterateChildren(nodep); } diff --git a/test_regress/t/t_split_var_0.pl b/test_regress/t/t_split_var_0.pl index 0e94ef9ad..ee21699a3 100755 --- a/test_regress/t/t_split_var_0.pl +++ b/test_regress/t/t_split_var_0.pl @@ -23,6 +23,6 @@ execute( ); file_grep($Self->{stats}, qr/SplitVar,\s+Split packed variables\s+(\d+)/i, 13); -file_grep($Self->{stats}, qr/SplitVar,\s+Split unpacked arrays\s+(\d+)/i, 23); +file_grep($Self->{stats}, qr/SplitVar,\s+Split unpacked arrays\s+(\d+)/i, 27); ok(1); 1; diff --git a/test_regress/t/t_split_var_0.v b/test_regress/t/t_split_var_0.v index c28e03c67..08295706a 100644 --- a/test_regress/t/t_split_var_0.v +++ b/test_regress/t/t_split_var_0.v @@ -381,6 +381,39 @@ module through #(parameter WIDTH = 8) endmodule +module delay (input wire clk); + logic unpack_sig0 [10:16] /*verilator split_var*/; + logic unpack_sig1 [13:16] /*verilator split_var*/; + logic unpack_sig2 [16:10] /*verilator split_var*/; + logic unpack_sig3 [16:13] /*verilator split_var*/; + + always @(posedge clk) begin + if (c <= 5) begin + unpack_sig0[13] <= 1'b1; + unpack_sig1[13] <= 1'b1; + unpack_sig0 [13+1:16] <= unpack_sig0[13:16-1]; + unpack_sig1 [13+1:16] <= unpack_sig1[13:16-1]; + unpack_sig2[13] <= 1'b1; + unpack_sig3[13] <= 1'b1; + unpack_sig2 [16:13+1] <= unpack_sig2[16-1:13]; + unpack_sig3 [16:13+1] <= unpack_sig3[16-1:13]; + end + end + + int c = 0; + always @(posedge clk) begin + c <= c + 1; + if (c >= 4) begin + if (!unpack_sig0[16] || !unpack_sig1[16]) $stop; + if (!unpack_sig2[16] || !unpack_sig3[16]) $stop; + end else begin + if (unpack_sig0[16] || unpack_sig1[16]) $stop; + if (unpack_sig2[16] || unpack_sig3[16]) $stop; + end + end +endmodule + + module t(/*AUTOARG*/ clk); input clk; localparam DEPTH = 3; @@ -403,6 +436,7 @@ module t(/*AUTOARG*/ clk); barshift_1d_packed_struct shifter7(.in(in), .out(out[7]), .shift(shift)); barshift_bitslice #(.DEPTH(DEPTH)) shifter8(.in(in), .out(out[8]), .shift(shift)); through #(.WIDTH(WIDTH)) though0 (.in(out[8]), .out(through_tmp)); + delay delay0(.clk(clk)); var_decl_with_init i_var_decl_with_init(); t_array_rev i_t_array_rev(clk); diff --git a/test_regress/t/t_split_var_2_trace.out b/test_regress/t/t_split_var_2_trace.out index 6b7342255..7bbee9244 100644 --- a/test_regress/t/t_split_var_2_trace.out +++ b/test_regress/t/t_split_var_2_trace.out @@ -1,363 +1,389 @@ $version Generated by VerilatedVcd $end -$date Tue Feb 11 16:07:02 2020 +$date Mon Aug 24 21:54:18 2020 $end $timescale 1ps $end $scope module top $end - $var wire 1 o2 clk $end + $var wire 1 U" clk $end $scope module t $end - $var wire 32 w2 DEPTH [31:0] $end - $var wire 32 )3 NUMSUB [31:0] $end - $var wire 32 !3 WIDTH [31:0] $end - $var wire 1 o2 clk $end - $var wire 64 93 expc [63:0] $end - $var wire 8 13 in [7:0] $end - $var wire 8 M- out(0) [7:0] $end - $var wire 8 N- out(1) [7:0] $end - $var wire 8 O- out(2) [7:0] $end - $var wire 8 P- out(3) [7:0] $end - $var wire 8 Q- out(4) [7:0] $end - $var wire 8 R- out(5) [7:0] $end - $var wire 8 S- out(6) [7:0] $end - $var wire 8 T- out(7) [7:0] $end - $var wire 8 U- out(8) [7:0] $end - $var wire 3 s shift [2:0] $end - $var wire 8 ?. through_tmp [7:0] $end + $var wire 32 V" DEPTH [31:0] $end + $var wire 32 X" NUMSUB [31:0] $end + $var wire 32 W" WIDTH [31:0] $end + $var wire 1 U" clk $end + $var wire 64 Z" expc [63:0] $end + $var wire 8 Y" in [7:0] $end + $var wire 8 x! out(0) [7:0] $end + $var wire 8 y! out(1) [7:0] $end + $var wire 8 z! out(2) [7:0] $end + $var wire 8 {! out(3) [7:0] $end + $var wire 8 |! out(4) [7:0] $end + $var wire 8 }! out(5) [7:0] $end + $var wire 8 ~! out(6) [7:0] $end + $var wire 8 !" out(7) [7:0] $end + $var wire 8 "" out(8) [7:0] $end + $var wire 3 - shift [2:0] $end + $var wire 8 $" through_tmp [7:0] $end $scope module always_block $end - $var wire 1 O2 failed $end + $var wire 1 . failed $end $scope module unnamedblk1 $end - $var wire 32 W2 i [31:0] $end + $var wire 32 / i [31:0] $end $upscope $end $upscope $end + $scope module delay0 $end + $var wire 32 u! c [31:0] $end + $var wire 1 U" clk $end + $var wire 1 z" unpack_sig0(10) $end + $var wire 1 {" unpack_sig0(11) $end + $var wire 1 |" unpack_sig0(12) $end + $var wire 1 e! unpack_sig0(13) $end + $var wire 1 f! unpack_sig0(14) $end + $var wire 1 g! unpack_sig0(15) $end + $var wire 1 h! unpack_sig0(16) $end + $var wire 1 i! unpack_sig1(13) $end + $var wire 1 j! unpack_sig1(14) $end + $var wire 1 k! unpack_sig1(15) $end + $var wire 1 l! unpack_sig1(16) $end + $var wire 1 }" unpack_sig2(10) $end + $var wire 1 ~" unpack_sig2(11) $end + $var wire 1 !# unpack_sig2(12) $end + $var wire 1 m! unpack_sig2(13) $end + $var wire 1 n! unpack_sig2(14) $end + $var wire 1 o! unpack_sig2(15) $end + $var wire 1 p! unpack_sig2(16) $end + $var wire 1 q! unpack_sig3(13) $end + $var wire 1 r! unpack_sig3(14) $end + $var wire 1 s! unpack_sig3(15) $end + $var wire 1 t! unpack_sig3(16) $end + $upscope $end $scope module i_t_array_rev $end - $var wire 1 C arrd(0) $end - $var wire 1 K arrd(1) $end - $var wire 1 o2 clk $end - $var wire 32 }5 cyc [31:0] $end - $var wire 1 _2 localbkw(0) $end - $var wire 1 g2 localbkw(1) $end - $var wire 1 S y0 $end - $var wire 1 [ y1 $end + $var wire 1 ' arrd(0) $end + $var wire 1 ( arrd(1) $end + $var wire 1 U" clk $end + $var wire 32 "# cyc [31:0] $end + $var wire 1 v! localbkw(0) $end + $var wire 1 w! localbkw(1) $end + $var wire 1 ) y0 $end + $var wire 1 * y1 $end $scope module arr_rev_u $end - $var wire 1 c arrbkw(0) $end - $var wire 1 d arrbkw(1) $end - $var wire 1 S y0 $end - $var wire 1 [ y1 $end + $var wire 1 + arrbkw(0) $end + $var wire 1 , arrbkw(1) $end + $var wire 1 ) y0 $end + $var wire 1 * y1 $end $upscope $end $upscope $end $scope module i_var_decl_with_init $end $var wire 32 # var0 [-1:30] $end - $var wire 32 3 var1 [30:-1] $end - $var wire 32 + var2 [-1:30] $end - $var wire 32 ; var3 [30:-1] $end + $var wire 32 % var1 [30:-1] $end + $var wire 32 $ var2 [-1:30] $end + $var wire 32 & var3 [30:-1] $end $upscope $end $scope module shifter0 $end - $var wire 32 w2 DEPTH [31:0] $end - $var wire 32 I3 OFFSET [31:0] $end - $var wire 32 !3 WIDTH [31:0] $end - $var wire 8 13 in [7:0] $end - $var wire 8 { out [7:0] $end - $var wire 3 s shift [2:0] $end - $var wire 8 -! tmp(-1) [7:0] $end - $var wire 8 %! tmp(-2) [7:0] $end - $var wire 8 13 tmp(-3) [7:0] $end - $var wire 8 5! tmp(0) [7:0] $end + $var wire 32 V" DEPTH [31:0] $end + $var wire 32 \" OFFSET [31:0] $end + $var wire 32 W" WIDTH [31:0] $end + $var wire 8 Y" in [7:0] $end + $var wire 8 0 out [7:0] $end + $var wire 3 - shift [2:0] $end + $var wire 8 2 tmp(-1) [7:0] $end + $var wire 8 1 tmp(-2) [7:0] $end + $var wire 8 Y" tmp(-3) [7:0] $end + $var wire 8 3 tmp(0) [7:0] $end $upscope $end $scope module shifter1 $end - $var wire 32 w2 DEPTH [31:0] $end - $var wire 32 I3 OFFSET [31:0] $end - $var wire 32 !3 WIDTH [31:0] $end - $var wire 8 13 in [7:0] $end - $var wire 8 =! out [7:0] $end - $var wire 3 s shift [2:0] $end - $var wire 8 E! tmp(-1) [7:0] $end - $var wire 8 %! tmp(-2) [7:0] $end - $var wire 8 13 tmp(-3) [7:0] $end - $var wire 8 =! tmp(0) [7:0] $end + $var wire 32 V" DEPTH [31:0] $end + $var wire 32 \" OFFSET [31:0] $end + $var wire 32 W" WIDTH [31:0] $end + $var wire 8 Y" in [7:0] $end + $var wire 8 4 out [7:0] $end + $var wire 3 - shift [2:0] $end + $var wire 8 5 tmp(-1) [7:0] $end + $var wire 8 1 tmp(-2) [7:0] $end + $var wire 8 Y" tmp(-3) [7:0] $end + $var wire 8 4 tmp(0) [7:0] $end $upscope $end $scope module shifter2 $end - $var wire 32 w2 DEPTH [31:0] $end - $var wire 32 Q3 OFFSET [31:0] $end - $var wire 32 !3 WIDTH [31:0] $end - $var wire 8 13 in [7:0] $end - $var wire 8 M! out [7:0] $end - $var wire 3 s shift [2:0] $end - $var wire 8 13 tmp(1) [7:0] $end - $var wire 8 U! tmp(2) [7:0] $end - $var wire 8 ]! tmp(3) [7:0] $end - $var wire 8 M! tmp(4) [7:0] $end + $var wire 32 V" DEPTH [31:0] $end + $var wire 32 ]" OFFSET [31:0] $end + $var wire 32 W" WIDTH [31:0] $end + $var wire 8 Y" in [7:0] $end + $var wire 8 6 out [7:0] $end + $var wire 3 - shift [2:0] $end + $var wire 8 Y" tmp(1) [7:0] $end + $var wire 8 7 tmp(2) [7:0] $end + $var wire 8 8 tmp(3) [7:0] $end + $var wire 8 6 tmp(4) [7:0] $end $upscope $end $scope module shifter3 $end - $var wire 32 w2 DEPTH [31:0] $end - $var wire 32 w2 N [31:0] $end - $var wire 32 Q3 OFFSET [31:0] $end - $var wire 32 !3 WIDTH [31:0] $end - $var wire 8 13 in [7:0] $end - $var wire 8 G. out [7:0] $end - $var wire 3 s shift [2:0] $end - $var wire 8 13 tmp0(1)(1) [7:0] $end - $var wire 8 13 tmp0(1)(2) [7:0] $end - $var wire 8 13 tmp0(1)(3) [7:0] $end - $var wire 8 %! tmp0(2)(1) [7:0] $end - $var wire 8 %! tmp0(2)(2) [7:0] $end - $var wire 8 %! tmp0(2)(3) [7:0] $end - $var wire 8 e! tmp0(3)(1) [7:0] $end - $var wire 8 m! tmp0(3)(2) [7:0] $end - $var wire 8 u! tmp0(3)(3) [7:0] $end - $var wire 8 }! tmp0(4)(1) [7:0] $end - $var wire 8 '" tmp0(4)(2) [7:0] $end - $var wire 8 /" tmp0(4)(3) [7:0] $end - $var wire 8 7" tmp1(1)(1) [7:0] $end - $var wire 8 ?" tmp1(1)(2) [7:0] $end - $var wire 8 G" tmp1(1)(3) [7:0] $end - $var wire 8 O" tmp1(2)(1) [7:0] $end - $var wire 8 W" tmp1(2)(2) [7:0] $end - $var wire 8 _" tmp1(2)(3) [7:0] $end - $var wire 8 g" tmp1(3)(1) [7:0] $end - $var wire 8 o" tmp1(3)(2) [7:0] $end - $var wire 8 w" tmp1(3)(3) [7:0] $end - $var wire 8 !# tmp1(4)(1) [7:0] $end - $var wire 8 )# tmp1(4)(2) [7:0] $end - $var wire 8 1# tmp1(4)(3) [7:0] $end - $var wire 8 I+ tmp10(1)(1) [7:0] $end - $var wire 8 Q+ tmp10(1)(2) [7:0] $end - $var wire 8 Y+ tmp10(1)(3) [7:0] $end - $var wire 8 a+ tmp10(2)(1) [7:0] $end - $var wire 8 i+ tmp10(2)(2) [7:0] $end - $var wire 8 q+ tmp10(2)(3) [7:0] $end - $var wire 8 y+ tmp10(3)(1) [7:0] $end - $var wire 8 #, tmp10(3)(2) [7:0] $end - $var wire 8 +, tmp10(3)(3) [7:0] $end - $var wire 8 3, tmp10(4)(1) [7:0] $end - $var wire 8 ;, tmp10(4)(2) [7:0] $end - $var wire 8 C, tmp10(4)(3) [7:0] $end - $var wire 8 O. tmp12(-1)(1)(1) [7:0] $end - $var wire 8 W. tmp12(-1)(1)(2) [7:0] $end - $var wire 8 _. tmp12(-1)(1)(3) [7:0] $end - $var wire 8 g. tmp12(-1)(2)(1) [7:0] $end - $var wire 8 o. tmp12(-1)(2)(2) [7:0] $end - $var wire 8 w. tmp12(-1)(2)(3) [7:0] $end - $var wire 8 !/ tmp12(-1)(3)(1) [7:0] $end - $var wire 8 )/ tmp12(-1)(3)(2) [7:0] $end - $var wire 8 1/ tmp12(-1)(3)(3) [7:0] $end - $var wire 8 G. tmp12(-1)(4)(1) [7:0] $end - $var wire 8 9/ tmp12(-1)(4)(2) [7:0] $end - $var wire 8 A/ tmp12(-1)(4)(3) [7:0] $end - $var wire 8 I/ tmp12(0)(1)(1) [7:0] $end - $var wire 8 Q/ tmp12(0)(1)(2) [7:0] $end - $var wire 8 Y/ tmp12(0)(1)(3) [7:0] $end - $var wire 8 a/ tmp12(0)(2)(1) [7:0] $end - $var wire 8 i/ tmp12(0)(2)(2) [7:0] $end - $var wire 8 q/ tmp12(0)(2)(3) [7:0] $end - $var wire 8 y/ tmp12(0)(3)(1) [7:0] $end - $var wire 8 #0 tmp12(0)(3)(2) [7:0] $end - $var wire 8 +0 tmp12(0)(3)(3) [7:0] $end - $var wire 8 30 tmp12(0)(4)(1) [7:0] $end - $var wire 8 ;0 tmp12(0)(4)(2) [7:0] $end - $var wire 8 C0 tmp12(0)(4)(3) [7:0] $end - $var wire 8 [4 tmp13(1)(1) [7:0] $end - $var wire 8 c4 tmp13(1)(2) [7:0] $end - $var wire 8 k4 tmp13(1)(3) [7:0] $end - $var wire 8 s4 tmp13(2)(1) [7:0] $end - $var wire 8 {4 tmp13(2)(2) [7:0] $end - $var wire 8 %5 tmp13(2)(3) [7:0] $end - $var wire 8 -5 tmp13(3)(1) [7:0] $end - $var wire 8 55 tmp13(3)(2) [7:0] $end - $var wire 8 =5 tmp13(3)(3) [7:0] $end - $var wire 8 E5 tmp13(4)(1) [7:0] $end - $var wire 8 M5 tmp13(4)(2) [7:0] $end - $var wire 8 U5 tmp13(4)(3) [7:0] $end - $var wire 8 9# tmp2(1)(1) [7:0] $end - $var wire 8 A# tmp2(1)(2) [7:0] $end - $var wire 8 I# tmp2(1)(3) [7:0] $end - $var wire 8 Q# tmp2(2)(1) [7:0] $end - $var wire 8 Y# tmp2(2)(2) [7:0] $end - $var wire 8 a# tmp2(2)(3) [7:0] $end - $var wire 8 i# tmp2(3)(1) [7:0] $end - $var wire 8 q# tmp2(3)(2) [7:0] $end - $var wire 8 y# tmp2(3)(3) [7:0] $end - $var wire 8 #$ tmp2(4)(1) [7:0] $end - $var wire 8 +$ tmp2(4)(2) [7:0] $end - $var wire 8 3$ tmp2(4)(3) [7:0] $end - $var wire 8 ;$ tmp3(1)(1) [7:0] $end - $var wire 8 C$ tmp3(1)(2) [7:0] $end - $var wire 8 K$ tmp3(1)(3) [7:0] $end - $var wire 8 S$ tmp3(2)(1) [7:0] $end - $var wire 8 [$ tmp3(2)(2) [7:0] $end - $var wire 8 c$ tmp3(2)(3) [7:0] $end - $var wire 8 k$ tmp3(3)(1) [7:0] $end - $var wire 8 s$ tmp3(3)(2) [7:0] $end - $var wire 8 {$ tmp3(3)(3) [7:0] $end - $var wire 8 %% tmp3(4)(1) [7:0] $end - $var wire 8 -% tmp3(4)(2) [7:0] $end - $var wire 8 5% tmp3(4)(3) [7:0] $end - $var wire 8 =% tmp4(1)(1) [7:0] $end - $var wire 8 E% tmp4(1)(2) [7:0] $end - $var wire 8 M% tmp4(1)(3) [7:0] $end - $var wire 8 U% tmp4(2)(1) [7:0] $end - $var wire 8 ]% tmp4(2)(2) [7:0] $end - $var wire 8 e% tmp4(2)(3) [7:0] $end - $var wire 8 m% tmp4(3)(1) [7:0] $end - $var wire 8 u% tmp4(3)(2) [7:0] $end - $var wire 8 }% tmp4(3)(3) [7:0] $end - $var wire 8 '& tmp4(4)(1) [7:0] $end - $var wire 8 /& tmp4(4)(2) [7:0] $end - $var wire 8 7& tmp4(4)(3) [7:0] $end - $var wire 8 ?& tmp5(1)(1) [7:0] $end - $var wire 8 G& tmp5(1)(2) [7:0] $end - $var wire 8 O& tmp5(1)(3) [7:0] $end - $var wire 8 W& tmp5(2)(1) [7:0] $end - $var wire 8 _& tmp5(2)(2) [7:0] $end - $var wire 8 g& tmp5(2)(3) [7:0] $end - $var wire 8 o& tmp5(3)(1) [7:0] $end - $var wire 8 w& tmp5(3)(2) [7:0] $end - $var wire 8 !' tmp5(3)(3) [7:0] $end - $var wire 8 )' tmp5(4)(1) [7:0] $end - $var wire 8 1' tmp5(4)(2) [7:0] $end - $var wire 8 9' tmp5(4)(3) [7:0] $end - $var wire 8 A' tmp6(1)(1) [7:0] $end - $var wire 8 I' tmp6(1)(2) [7:0] $end - $var wire 8 Q' tmp6(1)(3) [7:0] $end - $var wire 8 Y' tmp6(2)(1) [7:0] $end - $var wire 8 a' tmp6(2)(2) [7:0] $end - $var wire 8 i' tmp6(2)(3) [7:0] $end - $var wire 8 q' tmp6(3)(1) [7:0] $end - $var wire 8 y' tmp6(3)(2) [7:0] $end - $var wire 8 #( tmp6(3)(3) [7:0] $end - $var wire 8 +( tmp6(4)(1) [7:0] $end - $var wire 8 3( tmp6(4)(2) [7:0] $end - $var wire 8 ;( tmp6(4)(3) [7:0] $end - $var wire 8 C( tmp7(2)(1) [7:0] $end - $var wire 8 K( tmp7(2)(2) [7:0] $end - $var wire 8 S( tmp7(2)(3) [7:0] $end - $var wire 8 [( tmp7(3)(1) [7:0] $end - $var wire 8 c( tmp7(3)(2) [7:0] $end - $var wire 8 k( tmp7(3)(3) [7:0] $end - $var wire 8 s( tmp7(4)(1) [7:0] $end - $var wire 8 {( tmp7(4)(2) [7:0] $end - $var wire 8 %) tmp7(4)(3) [7:0] $end - $var wire 8 -) tmp7(5)(1) [7:0] $end - $var wire 8 5) tmp7(5)(2) [7:0] $end - $var wire 8 =) tmp7(5)(3) [7:0] $end - $var wire 8 Y3 tmp8(0)(1) [7:0] $end - $var wire 8 a3 tmp8(0)(2) [7:0] $end - $var wire 8 i3 tmp8(0)(3) [7:0] $end - $var wire 8 q3 tmp8(1)(1) [7:0] $end - $var wire 8 y3 tmp8(1)(2) [7:0] $end - $var wire 8 #4 tmp8(1)(3) [7:0] $end - $var wire 8 E) tmp8(2)(1) [7:0] $end - $var wire 8 M) tmp8(2)(2) [7:0] $end - $var wire 8 U) tmp8(2)(3) [7:0] $end - $var wire 8 ]) tmp8(3)(1) [7:0] $end - $var wire 8 e) tmp8(3)(2) [7:0] $end - $var wire 8 m) tmp8(3)(3) [7:0] $end - $var wire 8 u) tmp8(4)(1) [7:0] $end - $var wire 8 }) tmp8(4)(2) [7:0] $end - $var wire 8 '* tmp8(4)(3) [7:0] $end - $var wire 8 /* tmp8(5)(1) [7:0] $end - $var wire 8 7* tmp8(5)(2) [7:0] $end - $var wire 8 ?* tmp8(5)(3) [7:0] $end - $var wire 8 +4 tmp8(6)(1) [7:0] $end - $var wire 8 34 tmp8(6)(2) [7:0] $end - $var wire 8 ;4 tmp8(6)(3) [7:0] $end - $var wire 8 C4 tmp8(7)(1) [7:0] $end - $var wire 8 K4 tmp8(7)(2) [7:0] $end - $var wire 8 S4 tmp8(7)(3) [7:0] $end - $var wire 8 G* tmp9(4)(1) [7:0] $end - $var wire 8 O* tmp9(4)(2) [7:0] $end - $var wire 8 W* tmp9(4)(3) [7:0] $end - $var wire 8 _* tmp9(5)(1) [7:0] $end - $var wire 8 g* tmp9(5)(2) [7:0] $end - $var wire 8 o* tmp9(5)(3) [7:0] $end - $var wire 8 w* tmp9(6)(1) [7:0] $end - $var wire 8 !+ tmp9(6)(2) [7:0] $end - $var wire 8 )+ tmp9(6)(3) [7:0] $end - $var wire 8 1+ tmp9(7)(1) [7:0] $end - $var wire 8 9+ tmp9(7)(2) [7:0] $end - $var wire 8 A+ tmp9(7)(3) [7:0] $end + $var wire 32 V" DEPTH [31:0] $end + $var wire 32 V" N [31:0] $end + $var wire 32 ]" OFFSET [31:0] $end + $var wire 32 W" WIDTH [31:0] $end + $var wire 8 Y" in [7:0] $end + $var wire 8 %" out [7:0] $end + $var wire 3 - shift [2:0] $end + $var wire 8 Y" tmp0(1)(1) [7:0] $end + $var wire 8 Y" tmp0(1)(2) [7:0] $end + $var wire 8 Y" tmp0(1)(3) [7:0] $end + $var wire 8 1 tmp0(2)(1) [7:0] $end + $var wire 8 1 tmp0(2)(2) [7:0] $end + $var wire 8 1 tmp0(2)(3) [7:0] $end + $var wire 8 9 tmp0(3)(1) [7:0] $end + $var wire 8 : tmp0(3)(2) [7:0] $end + $var wire 8 ; tmp0(3)(3) [7:0] $end + $var wire 8 < tmp0(4)(1) [7:0] $end + $var wire 8 = tmp0(4)(2) [7:0] $end + $var wire 8 > tmp0(4)(3) [7:0] $end + $var wire 8 ? tmp1(1)(1) [7:0] $end + $var wire 8 @ tmp1(1)(2) [7:0] $end + $var wire 8 A tmp1(1)(3) [7:0] $end + $var wire 8 B tmp1(2)(1) [7:0] $end + $var wire 8 C tmp1(2)(2) [7:0] $end + $var wire 8 D tmp1(2)(3) [7:0] $end + $var wire 8 E tmp1(3)(1) [7:0] $end + $var wire 8 F tmp1(3)(2) [7:0] $end + $var wire 8 G tmp1(3)(3) [7:0] $end + $var wire 8 H tmp1(4)(1) [7:0] $end + $var wire 8 I tmp1(4)(2) [7:0] $end + $var wire 8 J tmp1(4)(3) [7:0] $end + $var wire 8 M! tmp10(1)(1) [7:0] $end + $var wire 8 N! tmp10(1)(2) [7:0] $end + $var wire 8 O! tmp10(1)(3) [7:0] $end + $var wire 8 P! tmp10(2)(1) [7:0] $end + $var wire 8 Q! tmp10(2)(2) [7:0] $end + $var wire 8 R! tmp10(2)(3) [7:0] $end + $var wire 8 S! tmp10(3)(1) [7:0] $end + $var wire 8 T! tmp10(3)(2) [7:0] $end + $var wire 8 U! tmp10(3)(3) [7:0] $end + $var wire 8 V! tmp10(4)(1) [7:0] $end + $var wire 8 W! tmp10(4)(2) [7:0] $end + $var wire 8 X! tmp10(4)(3) [7:0] $end + $var wire 8 &" tmp12(-1)(1)(1) [7:0] $end + $var wire 8 '" tmp12(-1)(1)(2) [7:0] $end + $var wire 8 (" tmp12(-1)(1)(3) [7:0] $end + $var wire 8 )" tmp12(-1)(2)(1) [7:0] $end + $var wire 8 *" tmp12(-1)(2)(2) [7:0] $end + $var wire 8 +" tmp12(-1)(2)(3) [7:0] $end + $var wire 8 ," tmp12(-1)(3)(1) [7:0] $end + $var wire 8 -" tmp12(-1)(3)(2) [7:0] $end + $var wire 8 ." tmp12(-1)(3)(3) [7:0] $end + $var wire 8 %" tmp12(-1)(4)(1) [7:0] $end + $var wire 8 /" tmp12(-1)(4)(2) [7:0] $end + $var wire 8 0" tmp12(-1)(4)(3) [7:0] $end + $var wire 8 1" tmp12(0)(1)(1) [7:0] $end + $var wire 8 2" tmp12(0)(1)(2) [7:0] $end + $var wire 8 3" tmp12(0)(1)(3) [7:0] $end + $var wire 8 4" tmp12(0)(2)(1) [7:0] $end + $var wire 8 5" tmp12(0)(2)(2) [7:0] $end + $var wire 8 6" tmp12(0)(2)(3) [7:0] $end + $var wire 8 7" tmp12(0)(3)(1) [7:0] $end + $var wire 8 8" tmp12(0)(3)(2) [7:0] $end + $var wire 8 9" tmp12(0)(3)(3) [7:0] $end + $var wire 8 :" tmp12(0)(4)(1) [7:0] $end + $var wire 8 ;" tmp12(0)(4)(2) [7:0] $end + $var wire 8 <" tmp12(0)(4)(3) [7:0] $end + $var wire 8 j" tmp13(1)(1) [7:0] $end + $var wire 8 k" tmp13(1)(2) [7:0] $end + $var wire 8 l" tmp13(1)(3) [7:0] $end + $var wire 8 m" tmp13(2)(1) [7:0] $end + $var wire 8 n" tmp13(2)(2) [7:0] $end + $var wire 8 o" tmp13(2)(3) [7:0] $end + $var wire 8 p" tmp13(3)(1) [7:0] $end + $var wire 8 q" tmp13(3)(2) [7:0] $end + $var wire 8 r" tmp13(3)(3) [7:0] $end + $var wire 8 s" tmp13(4)(1) [7:0] $end + $var wire 8 t" tmp13(4)(2) [7:0] $end + $var wire 8 u" tmp13(4)(3) [7:0] $end + $var wire 8 K tmp2(1)(1) [7:0] $end + $var wire 8 L tmp2(1)(2) [7:0] $end + $var wire 8 M tmp2(1)(3) [7:0] $end + $var wire 8 N tmp2(2)(1) [7:0] $end + $var wire 8 O tmp2(2)(2) [7:0] $end + $var wire 8 P tmp2(2)(3) [7:0] $end + $var wire 8 Q tmp2(3)(1) [7:0] $end + $var wire 8 R tmp2(3)(2) [7:0] $end + $var wire 8 S tmp2(3)(3) [7:0] $end + $var wire 8 T tmp2(4)(1) [7:0] $end + $var wire 8 U tmp2(4)(2) [7:0] $end + $var wire 8 V tmp2(4)(3) [7:0] $end + $var wire 8 W tmp3(1)(1) [7:0] $end + $var wire 8 X tmp3(1)(2) [7:0] $end + $var wire 8 Y tmp3(1)(3) [7:0] $end + $var wire 8 Z tmp3(2)(1) [7:0] $end + $var wire 8 [ tmp3(2)(2) [7:0] $end + $var wire 8 \ tmp3(2)(3) [7:0] $end + $var wire 8 ] tmp3(3)(1) [7:0] $end + $var wire 8 ^ tmp3(3)(2) [7:0] $end + $var wire 8 _ tmp3(3)(3) [7:0] $end + $var wire 8 ` tmp3(4)(1) [7:0] $end + $var wire 8 a tmp3(4)(2) [7:0] $end + $var wire 8 b tmp3(4)(3) [7:0] $end + $var wire 8 c tmp4(1)(1) [7:0] $end + $var wire 8 d tmp4(1)(2) [7:0] $end + $var wire 8 e tmp4(1)(3) [7:0] $end + $var wire 8 f tmp4(2)(1) [7:0] $end + $var wire 8 g tmp4(2)(2) [7:0] $end + $var wire 8 h tmp4(2)(3) [7:0] $end + $var wire 8 i tmp4(3)(1) [7:0] $end + $var wire 8 j tmp4(3)(2) [7:0] $end + $var wire 8 k tmp4(3)(3) [7:0] $end + $var wire 8 l tmp4(4)(1) [7:0] $end + $var wire 8 m tmp4(4)(2) [7:0] $end + $var wire 8 n tmp4(4)(3) [7:0] $end + $var wire 8 o tmp5(1)(1) [7:0] $end + $var wire 8 p tmp5(1)(2) [7:0] $end + $var wire 8 q tmp5(1)(3) [7:0] $end + $var wire 8 r tmp5(2)(1) [7:0] $end + $var wire 8 s tmp5(2)(2) [7:0] $end + $var wire 8 t tmp5(2)(3) [7:0] $end + $var wire 8 u tmp5(3)(1) [7:0] $end + $var wire 8 v tmp5(3)(2) [7:0] $end + $var wire 8 w tmp5(3)(3) [7:0] $end + $var wire 8 x tmp5(4)(1) [7:0] $end + $var wire 8 y tmp5(4)(2) [7:0] $end + $var wire 8 z tmp5(4)(3) [7:0] $end + $var wire 8 { tmp6(1)(1) [7:0] $end + $var wire 8 | tmp6(1)(2) [7:0] $end + $var wire 8 } tmp6(1)(3) [7:0] $end + $var wire 8 ~ tmp6(2)(1) [7:0] $end + $var wire 8 !! tmp6(2)(2) [7:0] $end + $var wire 8 "! tmp6(2)(3) [7:0] $end + $var wire 8 #! tmp6(3)(1) [7:0] $end + $var wire 8 $! tmp6(3)(2) [7:0] $end + $var wire 8 %! tmp6(3)(3) [7:0] $end + $var wire 8 &! tmp6(4)(1) [7:0] $end + $var wire 8 '! tmp6(4)(2) [7:0] $end + $var wire 8 (! tmp6(4)(3) [7:0] $end + $var wire 8 )! tmp7(2)(1) [7:0] $end + $var wire 8 *! tmp7(2)(2) [7:0] $end + $var wire 8 +! tmp7(2)(3) [7:0] $end + $var wire 8 ,! tmp7(3)(1) [7:0] $end + $var wire 8 -! tmp7(3)(2) [7:0] $end + $var wire 8 .! tmp7(3)(3) [7:0] $end + $var wire 8 /! tmp7(4)(1) [7:0] $end + $var wire 8 0! tmp7(4)(2) [7:0] $end + $var wire 8 1! tmp7(4)(3) [7:0] $end + $var wire 8 2! tmp7(5)(1) [7:0] $end + $var wire 8 3! tmp7(5)(2) [7:0] $end + $var wire 8 4! tmp7(5)(3) [7:0] $end + $var wire 8 ^" tmp8(0)(1) [7:0] $end + $var wire 8 _" tmp8(0)(2) [7:0] $end + $var wire 8 `" tmp8(0)(3) [7:0] $end + $var wire 8 a" tmp8(1)(1) [7:0] $end + $var wire 8 b" tmp8(1)(2) [7:0] $end + $var wire 8 c" tmp8(1)(3) [7:0] $end + $var wire 8 5! tmp8(2)(1) [7:0] $end + $var wire 8 6! tmp8(2)(2) [7:0] $end + $var wire 8 7! tmp8(2)(3) [7:0] $end + $var wire 8 8! tmp8(3)(1) [7:0] $end + $var wire 8 9! tmp8(3)(2) [7:0] $end + $var wire 8 :! tmp8(3)(3) [7:0] $end + $var wire 8 ;! tmp8(4)(1) [7:0] $end + $var wire 8 ! tmp8(5)(1) [7:0] $end + $var wire 8 ?! tmp8(5)(2) [7:0] $end + $var wire 8 @! tmp8(5)(3) [7:0] $end + $var wire 8 d" tmp8(6)(1) [7:0] $end + $var wire 8 e" tmp8(6)(2) [7:0] $end + $var wire 8 f" tmp8(6)(3) [7:0] $end + $var wire 8 g" tmp8(7)(1) [7:0] $end + $var wire 8 h" tmp8(7)(2) [7:0] $end + $var wire 8 i" tmp8(7)(3) [7:0] $end + $var wire 8 A! tmp9(4)(1) [7:0] $end + $var wire 8 B! tmp9(4)(2) [7:0] $end + $var wire 8 C! tmp9(4)(3) [7:0] $end + $var wire 8 D! tmp9(5)(1) [7:0] $end + $var wire 8 E! tmp9(5)(2) [7:0] $end + $var wire 8 F! tmp9(5)(3) [7:0] $end + $var wire 8 G! tmp9(6)(1) [7:0] $end + $var wire 8 H! tmp9(6)(2) [7:0] $end + $var wire 8 I! tmp9(6)(3) [7:0] $end + $var wire 8 J! tmp9(7)(1) [7:0] $end + $var wire 8 K! tmp9(7)(2) [7:0] $end + $var wire 8 L! tmp9(7)(3) [7:0] $end $upscope $end $scope module shifter4 $end - $var wire 32 w2 DEPTH [31:0] $end - $var wire 32 ]5 OFFSET [31:0] $end - $var wire 32 !3 WIDTH [31:0] $end - $var wire 8 13 in [7:0] $end - $var wire 8 K, out [7:0] $end - $var wire 24 m5 pad [23:0] $end - $var wire 3 s shift [2:0] $end - $var wire 32 e5 tmp(2) [31:0] $end - $var wire 32 S, tmp(3) [31:0] $end - $var wire 32 [, tmp(4) [31:0] $end - $var wire 32 c, tmp(5) [31:0] $end + $var wire 32 V" DEPTH [31:0] $end + $var wire 32 v" OFFSET [31:0] $end + $var wire 32 W" WIDTH [31:0] $end + $var wire 8 Y" in [7:0] $end + $var wire 8 Y! out [7:0] $end + $var wire 24 x" pad [23:0] $end + $var wire 3 - shift [2:0] $end + $var wire 32 w" tmp(2) [31:0] $end + $var wire 32 Z! tmp(3) [31:0] $end + $var wire 32 [! tmp(4) [31:0] $end + $var wire 32 \! tmp(5) [31:0] $end $upscope $end $scope module shifter5 $end - $var wire 32 w2 DEPTH [31:0] $end - $var wire 32 u5 OFFSET [31:0] $end - $var wire 32 !3 WIDTH [31:0] $end - $var wire 8 13 in [7:0] $end - $var wire 8 k, out [7:0] $end - $var wire 3 s shift [2:0] $end - $var wire 32 s, tmp [31:0] $end + $var wire 32 V" DEPTH [31:0] $end + $var wire 32 y" OFFSET [31:0] $end + $var wire 32 W" WIDTH [31:0] $end + $var wire 8 Y" in [7:0] $end + $var wire 8 ]! out [7:0] $end + $var wire 3 - shift [2:0] $end + $var wire 32 ^! tmp [31:0] $end $upscope $end $scope module shifter6 $end - $var wire 32 w2 DEPTH [31:0] $end - $var wire 32 u5 OFFSET [31:0] $end - $var wire 32 !3 WIDTH [31:0] $end - $var wire 8 13 in [7:0] $end - $var wire 8 {, out [7:0] $end - $var wire 3 s shift [2:0] $end - $var wire 32 %- tmp [31:0] $end + $var wire 32 V" DEPTH [31:0] $end + $var wire 32 y" OFFSET [31:0] $end + $var wire 32 W" WIDTH [31:0] $end + $var wire 8 Y" in [7:0] $end + $var wire 8 _! out [7:0] $end + $var wire 3 - shift [2:0] $end + $var wire 32 `! tmp [31:0] $end $upscope $end $scope module shifter7 $end - $var wire 32 w2 DEPTH [31:0] $end - $var wire 32 !3 WIDTH [31:0] $end - $var wire 8 13 in [7:0] $end - $var wire 8 -- out [7:0] $end - $var wire 3 s shift [2:0] $end - $var wire 32 5- tmp [31:0] $end + $var wire 32 V" DEPTH [31:0] $end + $var wire 32 W" WIDTH [31:0] $end + $var wire 8 Y" in [7:0] $end + $var wire 8 a! out [7:0] $end + $var wire 3 - shift [2:0] $end + $var wire 32 b! tmp [31:0] $end $upscope $end $scope module shifter8 $end - $var wire 32 w2 DEPTH [31:0] $end - $var wire 32 !3 WIDTH [31:0] $end - $var wire 8 13 in [7:0] $end - $var wire 8 =- out [7:0] $end - $var wire 3 s shift [2:0] $end - $var wire 32 E- tmp [0:31] $end + $var wire 32 V" DEPTH [31:0] $end + $var wire 32 W" WIDTH [31:0] $end + $var wire 8 Y" in [7:0] $end + $var wire 8 c! out [7:0] $end + $var wire 3 - shift [2:0] $end + $var wire 32 d! tmp [0:31] $end $upscope $end $scope module though0 $end - $var wire 32 !3 WIDTH [31:0] $end - $var wire 8 7. in [7:0] $end - $var wire 8 ?. out [7:0] $end - $var wire 1 K0 unpack_tmp(0) $end - $var wire 1 S0 unpack_tmp(1) $end - $var wire 1 [0 unpack_tmp(2) $end - $var wire 1 c0 unpack_tmp(3) $end - $var wire 1 k0 unpack_tmp(4) $end - $var wire 1 s0 unpack_tmp(5) $end - $var wire 1 {0 unpack_tmp(6) $end - $var wire 1 %1 unpack_tmp(7) $end + $var wire 32 W" WIDTH [31:0] $end + $var wire 8 #" in [7:0] $end + $var wire 8 $" out [7:0] $end + $var wire 1 =" unpack_tmp(0) $end + $var wire 1 >" unpack_tmp(1) $end + $var wire 1 ?" unpack_tmp(2) $end + $var wire 1 @" unpack_tmp(3) $end + $var wire 1 A" unpack_tmp(4) $end + $var wire 1 B" unpack_tmp(5) $end + $var wire 1 C" unpack_tmp(6) $end + $var wire 1 D" unpack_tmp(7) $end $scope module i_pack2unpack $end - $var wire 32 !3 WIDTH [31:0] $end - $var wire 8 7. in [7:0] $end - $var wire 1 -1 out(0) $end - $var wire 1 .1 out(1) $end - $var wire 1 /1 out(2) $end - $var wire 1 01 out(3) $end - $var wire 1 11 out(4) $end - $var wire 1 21 out(5) $end - $var wire 1 31 out(6) $end - $var wire 1 41 out(7) $end + $var wire 32 W" WIDTH [31:0] $end + $var wire 8 #" in [7:0] $end + $var wire 1 E" out(0) $end + $var wire 1 F" out(1) $end + $var wire 1 G" out(2) $end + $var wire 1 H" out(3) $end + $var wire 1 I" out(4) $end + $var wire 1 J" out(5) $end + $var wire 1 K" out(6) $end + $var wire 1 L" out(7) $end $upscope $end $scope module i_unpack2pack $end - $var wire 32 !3 WIDTH [31:0] $end - $var wire 1 m1 in(0) $end - $var wire 1 n1 in(1) $end - $var wire 1 o1 in(2) $end - $var wire 1 p1 in(3) $end - $var wire 1 q1 in(4) $end - $var wire 1 r1 in(5) $end - $var wire 1 s1 in(6) $end - $var wire 1 t1 in(7) $end - $var wire 8 ?. out [7:0] $end + $var wire 32 W" WIDTH [31:0] $end + $var wire 1 M" in(0) $end + $var wire 1 N" in(1) $end + $var wire 1 O" in(2) $end + $var wire 1 P" in(3) $end + $var wire 1 Q" in(4) $end + $var wire 1 R" in(5) $end + $var wire 1 S" in(6) $end + $var wire 1 T" in(7) $end + $var wire 8 $" out [7:0] $end $upscope $end $upscope $end $upscope $end @@ -367,1564 +393,1611 @@ $enddefinitions $end #0 b00000001001000110100010101100111 # -b00100000000000000000000000000000 + -b00000001001000110100000000100111 3 -b00000000000000000000000000000011 ; -1C -0K -0S -1[ -0c -1d -b000 s +b00100000000000000000000000000000 $ +b00000001001000110100000000100111 % +b00000000000000000000000000000011 & +1' +0( +0) +1* +0+ +1, +b000 - +0. +b00000000000000000000000000000000 / +b10001110 0 +b10001110 1 +b10001110 2 +b10001110 3 +b10001110 4 +b10001110 5 +b10001110 6 +b10001110 7 +b10001110 8 +b10001110 9 +b10001110 : +b10001110 ; +b10001110 < +b10001110 = +b10001110 > +b10001110 ? +b10001110 @ +b10001110 A +b10001110 B +b10001110 C +b10001110 D +b10001110 E +b10001110 F +b10001110 G +b10001110 H +b10001110 I +b10001110 J +b10001110 K +b10001110 L +b10001110 M +b10001110 N +b10001110 O +b10001110 P +b10001110 Q +b10001110 R +b10001110 S +b10001110 T +b10001110 U +b10001110 V +b10001110 W +b10001110 X +b10001110 Y +b10001110 Z +b10001110 [ +b10001110 \ +b10001110 ] +b10001110 ^ +b10001110 _ +b10001110 ` +b10001110 a +b10001110 b +b10001110 c +b10001110 d +b10001110 e +b10001110 f +b10001110 g +b10001110 h +b10001110 i +b10001110 j +b10001110 k +b10001110 l +b10001110 m +b10001110 n +b10001110 o +b10001110 p +b10001110 q +b10001110 r +b10001110 s +b10001110 t +b10001110 u +b10001110 v +b10001110 w +b10001110 x +b10001110 y +b10001110 z b10001110 { +b10001110 | +b10001110 } +b10001110 ~ +b10001110 !! +b10001110 "! +b10001110 #! +b10001110 $! b10001110 %! +b10001110 &! +b10001110 '! +b10001110 (! +b10001110 )! +b10001110 *! +b10001110 +! +b10001110 ,! b10001110 -! +b10001110 .! +b10001110 /! +b10001110 0! +b10001110 1! +b10001110 2! +b10001110 3! +b10001110 4! b10001110 5! +b10001110 6! +b10001110 7! +b10001110 8! +b10001110 9! +b10001110 :! +b10001110 ;! +b10001110 ! +b10001110 ?! +b10001110 @! +b10001110 A! +b10001110 B! +b10001110 C! +b10001110 D! b10001110 E! +b10001110 F! +b10001110 G! +b10001110 H! +b10001110 I! +b10001110 J! +b10001110 K! +b10001110 L! b10001110 M! +b10001110 N! +b10001110 O! +b10001110 P! +b10001110 Q! +b10001110 R! +b10001110 S! +b10001110 T! b10001110 U! +b10001110 V! +b10001110 W! +b10001110 X! +b10001110 Y! +b00000000000000000000000010001110 Z! +b00000000000000000000000010001110 [! +b00000000000000000000000010001110 \! b10001110 ]! -b10001110 e! -b10001110 m! -b10001110 u! +b10001110100011101000111010001110 ^! +b10001110 _! +b10001110100011101000111010001110 `! +b10001110 a! +b10001110100011101000111010001110 b! +b10001110 c! +b10001110100011101000111010001110 d! +0e! +0f! +0g! +0h! +0i! +0j! +0k! +0l! +0m! +0n! +0o! +0p! +0q! +0r! +0s! +0t! +b00000000000000000000000000000000 u! +0v! +0w! +b10001110 x! +b10001110 y! +b10001110 z! +b10001110 {! +b10001110 |! b10001110 }! +b10001110 ~! +b10001110 !" +b10001110 "" +b10001110 #" +b10001110 $" +b10001110 %" +b10001110 &" b10001110 '" +b10001110 (" +b10001110 )" +b10001110 *" +b10001110 +" +b10001110 ," +b10001110 -" +b10001110 ." b10001110 /" +b10001110 0" +b10001110 1" +b10001110 2" +b10001110 3" +b10001110 4" +b10001110 5" +b10001110 6" b10001110 7" -b10001110 ?" -b10001110 G" -b10001110 O" -b10001110 W" -b10001110 _" -b10001110 g" -b10001110 o" -b10001110 w" -b10001110 !# -b10001110 )# -b10001110 1# -b10001110 9# -b10001110 A# -b10001110 I# -b10001110 Q# -b10001110 Y# -b10001110 a# -b10001110 i# -b10001110 q# -b10001110 y# -b10001110 #$ -b10001110 +$ -b10001110 3$ -b10001110 ;$ -b10001110 C$ -b10001110 K$ -b10001110 S$ -b10001110 [$ -b10001110 c$ -b10001110 k$ -b10001110 s$ -b10001110 {$ -b10001110 %% -b10001110 -% -b10001110 5% -b10001110 =% -b10001110 E% -b10001110 M% -b10001110 U% -b10001110 ]% -b10001110 e% -b10001110 m% -b10001110 u% -b10001110 }% -b10001110 '& -b10001110 /& -b10001110 7& -b10001110 ?& -b10001110 G& -b10001110 O& -b10001110 W& -b10001110 _& -b10001110 g& -b10001110 o& -b10001110 w& -b10001110 !' -b10001110 )' -b10001110 1' -b10001110 9' -b10001110 A' -b10001110 I' -b10001110 Q' -b10001110 Y' -b10001110 a' -b10001110 i' -b10001110 q' -b10001110 y' -b10001110 #( -b10001110 +( -b10001110 3( -b10001110 ;( -b10001110 C( -b10001110 K( -b10001110 S( -b10001110 [( -b10001110 c( -b10001110 k( -b10001110 s( -b10001110 {( -b10001110 %) -b10001110 -) -b10001110 5) -b10001110 =) -b10001110 E) -b10001110 M) -b10001110 U) -b10001110 ]) -b10001110 e) -b10001110 m) -b10001110 u) -b10001110 }) -b10001110 '* -b10001110 /* -b10001110 7* -b10001110 ?* -b10001110 G* -b10001110 O* -b10001110 W* -b10001110 _* -b10001110 g* -b10001110 o* -b10001110 w* -b10001110 !+ -b10001110 )+ -b10001110 1+ -b10001110 9+ -b10001110 A+ -b10001110 I+ -b10001110 Q+ -b10001110 Y+ -b10001110 a+ -b10001110 i+ -b10001110 q+ -b10001110 y+ -b10001110 #, -b10001110 +, -b10001110 3, -b10001110 ;, -b10001110 C, -b10001110 K, -b00000000000000000000000010001110 S, -b00000000000000000000000010001110 [, -b00000000000000000000000010001110 c, -b10001110 k, -b10001110100011101000111010001110 s, -b10001110 {, -b10001110100011101000111010001110 %- -b10001110 -- -b10001110100011101000111010001110 5- -b10001110 =- -b10001110100011101000111010001110 E- -b10001110 M- -b10001110 N- -b10001110 O- -b10001110 P- -b10001110 Q- -b10001110 R- -b10001110 S- -b10001110 T- -b10001110 U- -b10001110 7. -b10001110 ?. -b10001110 G. -b10001110 O. -b10001110 W. -b10001110 _. -b10001110 g. -b10001110 o. -b10001110 w. -b10001110 !/ -b10001110 )/ -b10001110 1/ -b10001110 9/ -b10001110 A/ -b10001110 I/ -b10001110 Q/ -b10001110 Y/ -b10001110 a/ -b10001110 i/ -b10001110 q/ -b10001110 y/ -b10001110 #0 -b10001110 +0 -b10001110 30 -b10001110 ;0 -b10001110 C0 -1K0 -0S0 -0[0 -0c0 -1k0 -1s0 -1{0 -0%1 -0-1 -1.1 -1/1 -101 -011 -021 -031 -141 -0m1 -1n1 -1o1 -1p1 -0q1 -0r1 -0s1 -1t1 -0O2 -b00000000000000000000000000000000 W2 -0_2 -0g2 -0o2 -b00000000000000000000000000000011 w2 -b00000000000000000000000000001000 !3 -b00000000000000000000000000001001 )3 -b10001110 13 -b1000111001000111101000111101000111101000011101000011101000011101 93 -b11111111111111111111111111111101 I3 -b00000000000000000000000000000001 Q3 -b00000000 Y3 -b00000000 a3 -b00000000 i3 -b00000000 q3 -b00000000 y3 -b00000000 #4 -b00000000 +4 -b00000000 34 -b00000000 ;4 -b00000000 C4 -b00000000 K4 -b00000000 S4 -b00000000 [4 -b00000000 c4 -b00000000 k4 -b00000000 s4 -b00000000 {4 -b00000000 %5 -b00000000 -5 -b00000000 55 -b00000000 =5 -b00000000 E5 -b00000000 M5 -b00000000 U5 -b00000000000000000000000000000010 ]5 -b00000000000000000000000010001110 e5 -b000000000000000000000000 m5 -b11111111111111111111111111111110 u5 -b00000000000000000000000000000000 }5 +b10001110 8" +b10001110 9" +b10001110 :" +b10001110 ;" +b10001110 <" +1=" +0>" +0?" +0@" +1A" +1B" +1C" +0D" +0E" +1F" +1G" +1H" +0I" +0J" +0K" +1L" +0M" +1N" +1O" +1P" +0Q" +0R" +0S" +1T" +0U" +b00000000000000000000000000000011 V" +b00000000000000000000000000001000 W" +b00000000000000000000000000001001 X" +b10001110 Y" +b1000111001000111101000111101000111101000011101000011101000011101 Z" +b11111111111111111111111111111101 \" +b00000000000000000000000000000001 ]" +b00000000 ^" +b00000000 _" +b00000000 `" +b00000000 a" +b00000000 b" +b00000000 c" +b00000000 d" +b00000000 e" +b00000000 f" +b00000000 g" +b00000000 h" +b00000000 i" +b00000000 j" +b00000000 k" +b00000000 l" +b00000000 m" +b00000000 n" +b00000000 o" +b00000000 p" +b00000000 q" +b00000000 r" +b00000000 s" +b00000000 t" +b00000000 u" +b00000000000000000000000000000010 v" +b00000000000000000000000010001110 w" +b000000000000000000000000 x" +b11111111111111111111111111111110 y" +0z" +0{" +0|" +0}" +0~" +0!# +b00000000000000000000000000000000 "# #10 -b001 s -b01000111 { +b001 - +b00000000000000000000000000001001 / +b01000111 0 +b01000111 1 +b01000111 2 +b01000111 3 +b01000111 4 +b01000111 5 +b01000111 6 +b01000111 7 +b01000111 8 +b01000111 9 +b01000111 : +b01000111 ; +b01000111 < +b01000111 = +b01000111 > +b01000111 B +b01000111 C +b01000111 D +b01000111 E +b01000111 F +b01000111 G +b01000111 H +b01000111 I +b01000111 J +b01000111 N +b01000111 O +b01000111 P +b01000111 Q +b01000111 R +b01000111 S +b01000111 T +b01000111 U +b01000111 V +b01000111 Z +b01000111 [ +b01000111 \ +b01000111 ] +b01000111 ^ +b01000111 _ +b01000111 ` +b01000111 a +b01000111 b +b01000111 f +b01000111 g +b01000111 h +b01000111 i +b01000111 j +b01000111 k +b01000111 l +b01000111 m +b01000111 n +b01000111 r +b01000111 s +b01000111 t +b01000111 u +b01000111 v +b01000111 w +b01000111 x +b01000111 y +b01000111 z +b01000111 ~ +b01000111 !! +b01000111 "! +b01000111 #! +b01000111 $! b01000111 %! +b01000111 &! +b01000111 '! +b01000111 (! +b01000111 ,! b01000111 -! -b01000111 5! +b01000111 .! +b01000111 /! +b01000111 0! +b01000111 1! +b01000111 2! +b01000111 3! +b01000111 4! +b01000111 8! +b01000111 9! +b01000111 :! +b01000111 ;! +b01000111 ! +b01000111 ?! +b01000111 @! +b01000111 D! b01000111 E! -b01000111 M! +b01000111 F! +b01000111 G! +b01000111 H! +b01000111 I! +b01000111 J! +b01000111 K! +b01000111 L! +b01000111 P! +b01000111 Q! +b01000111 R! +b01000111 S! +b01000111 T! b01000111 U! +b01000111 V! +b01000111 W! +b01000111 X! +b01000111 Y! +b00000000000000000000000001000111 Z! +b00000000000000000000000001000111 [! +b00000000000000000000000001000111 \! b01000111 ]! -b01000111 e! -b01000111 m! -b01000111 u! +b10001110010001110100011101000111 ^! +b01000111 _! +b10001110010001110100011101000111 `! +b01000111 a! +b10001110010001110100011101000111 b! +b01000111 c! +b10001110010001110100011101000111 d! +1e! +1i! +1m! +1q! +b00000000000000000000000000000001 u! +1w! +b01000111 x! +b01000111 y! +b01000111 z! +b01000111 {! +b01000111 |! b01000111 }! -b01000111 '" +b01000111 ~! +b01000111 !" +b01000111 "" +b01000111 #" +b01000111 $" +b01000111 %" +b01000111 )" +b01000111 *" +b01000111 +" +b01000111 ," +b01000111 -" +b01000111 ." b01000111 /" -b01000111 O" -b01000111 W" -b01000111 _" -b01000111 g" -b01000111 o" -b01000111 w" -b01000111 !# -b01000111 )# -b01000111 1# -b01000111 Q# -b01000111 Y# -b01000111 a# -b01000111 i# -b01000111 q# -b01000111 y# -b01000111 #$ -b01000111 +$ -b01000111 3$ -b01000111 S$ -b01000111 [$ -b01000111 c$ -b01000111 k$ -b01000111 s$ -b01000111 {$ -b01000111 %% -b01000111 -% -b01000111 5% -b01000111 U% -b01000111 ]% -b01000111 e% -b01000111 m% -b01000111 u% -b01000111 }% -b01000111 '& -b01000111 /& -b01000111 7& -b01000111 W& -b01000111 _& -b01000111 g& -b01000111 o& -b01000111 w& -b01000111 !' -b01000111 )' -b01000111 1' -b01000111 9' -b01000111 Y' -b01000111 a' -b01000111 i' -b01000111 q' -b01000111 y' -b01000111 #( -b01000111 +( -b01000111 3( -b01000111 ;( -b01000111 [( -b01000111 c( -b01000111 k( -b01000111 s( -b01000111 {( -b01000111 %) -b01000111 -) -b01000111 5) -b01000111 =) -b01000111 ]) -b01000111 e) -b01000111 m) -b01000111 u) -b01000111 }) -b01000111 '* -b01000111 /* -b01000111 7* -b01000111 ?* -b01000111 _* -b01000111 g* -b01000111 o* -b01000111 w* -b01000111 !+ -b01000111 )+ -b01000111 1+ -b01000111 9+ -b01000111 A+ -b01000111 a+ -b01000111 i+ -b01000111 q+ -b01000111 y+ -b01000111 #, -b01000111 +, -b01000111 3, -b01000111 ;, -b01000111 C, -b01000111 K, -b00000000000000000000000001000111 S, -b00000000000000000000000001000111 [, -b00000000000000000000000001000111 c, -b01000111 k, -b10001110010001110100011101000111 s, -b01000111 {, -b10001110010001110100011101000111 %- -b01000111 -- -b10001110010001110100011101000111 5- -b01000111 =- -b10001110010001110100011101000111 E- -b01000111 M- -b01000111 N- -b01000111 O- -b01000111 P- -b01000111 Q- -b01000111 R- -b01000111 S- -b01000111 T- -b01000111 U- -b01000111 7. -b01000111 ?. -b01000111 G. -b01000111 g. -b01000111 o. -b01000111 w. -b01000111 !/ -b01000111 )/ -b01000111 1/ -b01000111 9/ -b01000111 A/ -b01000111 a/ -b01000111 i/ -b01000111 q/ -b01000111 y/ -b01000111 #0 -b01000111 +0 -b01000111 30 -b01000111 ;0 -b01000111 C0 -0K0 -1S0 -0k0 -1%1 -1-1 -001 -131 -041 -1m1 -0p1 -1s1 -0t1 -b00000000000000000000000000001001 W2 -1g2 -1o2 +b01000111 0" +b01000111 4" +b01000111 5" +b01000111 6" +b01000111 7" +b01000111 8" +b01000111 9" +b01000111 :" +b01000111 ;" +b01000111 <" +0=" +1>" +0A" +1D" +1E" +0H" +1K" +0L" +1M" +0P" +1S" +0T" +1U" #15 -0o2 +0U" #20 -b010 s -b10100011 { -b10001110 %! -b10100011 -! -b10100011 5! +b010 - +b10100011 0 +b10001110 1 +b10100011 2 +b10100011 3 +b10100011 4 +b10100011 5 +b10100011 6 +b10001110 7 +b10100011 8 +b10100011 9 +b10100011 : +b10100011 ; +b10100011 < +b10100011 = +b10100011 > +b10001110 B +b10001110 C +b10001110 D +b10100011 E +b10100011 F +b10100011 G +b10100011 H +b10100011 I +b10100011 J +b10001110 N +b10001110 O +b10001110 P +b10100011 Q +b10100011 R +b10100011 S +b10100011 T +b10100011 U +b10100011 V +b10001110 Z +b10001110 [ +b10001110 \ +b10100011 ] +b10100011 ^ +b10100011 _ +b10100011 ` +b10100011 a +b10100011 b +b10001110 f +b10001110 g +b10001110 h +b10100011 i +b10100011 j +b10100011 k +b10100011 l +b10100011 m +b10100011 n +b10001110 r +b10001110 s +b10001110 t +b10100011 u +b10100011 v +b10100011 w +b10100011 x +b10100011 y +b10100011 z +b10001110 ~ +b10001110 !! +b10001110 "! +b10100011 #! +b10100011 $! +b10100011 %! +b10100011 &! +b10100011 '! +b10100011 (! +b10001110 ,! +b10001110 -! +b10001110 .! +b10100011 /! +b10100011 0! +b10100011 1! +b10100011 2! +b10100011 3! +b10100011 4! +b10001110 8! +b10001110 9! +b10001110 :! +b10100011 ;! +b10100011 ! +b10100011 ?! +b10100011 @! +b10001110 D! +b10001110 E! +b10001110 F! +b10100011 G! +b10100011 H! +b10100011 I! +b10100011 J! +b10100011 K! +b10100011 L! +b10001110 P! +b10001110 Q! +b10001110 R! +b10100011 S! +b10100011 T! +b10100011 U! +b10100011 V! +b10100011 W! +b10100011 X! +b10100011 Y! +b00000000000000000000000010001110 Z! +b00000000000000000000000010100011 [! +b00000000000000000000000010100011 \! b10100011 ]! -b10100011 e! -b10100011 m! -b10100011 u! +b10001110100011101010001110100011 ^! +b10100011 _! +b10001110100011101010001110100011 `! +b10100011 a! +b10001110100011101010001110100011 b! +b10100011 c! +b10001110100011101010001110100011 d! +1f! +1j! +1n! +1r! +b00000000000000000000000000000010 u! +b10100011 x! +b10100011 y! +b10100011 z! +b10100011 {! +b10100011 |! b10100011 }! -b10100011 '" +b10100011 ~! +b10100011 !" +b10100011 "" +b10100011 #" +b10100011 $" +b10100011 %" +b10001110 )" +b10001110 *" +b10001110 +" +b10100011 ," +b10100011 -" +b10100011 ." b10100011 /" -b10001110 O" -b10001110 W" -b10001110 _" -b10100011 g" -b10100011 o" -b10100011 w" -b10100011 !# -b10100011 )# -b10100011 1# -b10001110 Q# -b10001110 Y# -b10001110 a# -b10100011 i# -b10100011 q# -b10100011 y# -b10100011 #$ -b10100011 +$ -b10100011 3$ -b10001110 S$ -b10001110 [$ -b10001110 c$ -b10100011 k$ -b10100011 s$ -b10100011 {$ -b10100011 %% -b10100011 -% -b10100011 5% -b10001110 U% -b10001110 ]% -b10001110 e% -b10100011 m% -b10100011 u% -b10100011 }% -b10100011 '& -b10100011 /& -b10100011 7& -b10001110 W& -b10001110 _& -b10001110 g& -b10100011 o& -b10100011 w& -b10100011 !' -b10100011 )' -b10100011 1' -b10100011 9' -b10001110 Y' -b10001110 a' -b10001110 i' -b10100011 q' -b10100011 y' -b10100011 #( -b10100011 +( -b10100011 3( -b10100011 ;( -b10001110 [( -b10001110 c( -b10001110 k( -b10100011 s( -b10100011 {( -b10100011 %) -b10100011 -) -b10100011 5) -b10100011 =) -b10001110 ]) -b10001110 e) -b10001110 m) -b10100011 u) -b10100011 }) -b10100011 '* -b10100011 /* -b10100011 7* -b10100011 ?* -b10001110 _* -b10001110 g* -b10001110 o* -b10100011 w* -b10100011 !+ -b10100011 )+ -b10100011 1+ -b10100011 9+ -b10100011 A+ -b10001110 a+ -b10001110 i+ -b10001110 q+ -b10100011 y+ -b10100011 #, -b10100011 +, -b10100011 3, -b10100011 ;, -b10100011 C, -b10100011 K, -b00000000000000000000000010001110 S, -b00000000000000000000000010100011 [, -b00000000000000000000000010100011 c, -b10100011 k, -b10001110100011101010001110100011 s, -b10100011 {, -b10001110100011101010001110100011 %- -b10100011 -- -b10001110100011101010001110100011 5- -b10100011 =- -b10001110100011101010001110100011 E- -b10100011 M- -b10100011 N- -b10100011 O- -b10100011 P- -b10100011 Q- -b10100011 R- -b10100011 S- -b10100011 T- -b10100011 U- -b10100011 7. -b10100011 ?. -b10100011 G. -b10001110 g. -b10001110 o. -b10001110 w. -b10100011 !/ -b10100011 )/ -b10100011 1/ -b10100011 9/ -b10100011 A/ -b10001110 a/ -b10001110 i/ -b10001110 q/ -b10100011 y/ -b10100011 #0 -b10100011 +0 -b10100011 30 -b10100011 ;0 -b10100011 C0 -1K0 -0S0 -1[0 -0s0 -0/1 -121 -031 -141 -0o1 -1r1 -0s1 -1t1 -1o2 +b10100011 0" +b10001110 4" +b10001110 5" +b10001110 6" +b10100011 7" +b10100011 8" +b10100011 9" +b10100011 :" +b10100011 ;" +b10100011 <" +1=" +0>" +1?" +0B" +0G" +1J" +0K" +1L" +0O" +1R" +0S" +1T" +1U" #25 -0o2 +0U" #30 -b011 s -b11010001 { -b01000111 %! -b11010001 -! -b11010001 5! -b11010001 =! -b11010001 E! -b11010001 M! -b01000111 U! -b11010001 ]! -b11010001 e! -b11010001 m! -b11010001 u! -b11010001 }! -b11010001 '" -b11010001 /" -b01000111 O" -b01000111 W" -b01000111 _" -b11010001 g" -b11010001 o" -b11010001 w" -b11010001 !# -b11010001 )# -b11010001 1# -b01000111 Q# -b01000111 Y# -b01000111 a# -b11010001 i# -b11010001 q# -b11010001 y# -b11010001 #$ -b11010001 +$ -b11010001 3$ -b01000111 S$ -b01000111 [$ -b01000111 c$ -b11010001 k$ -b11010001 s$ -b11010001 {$ -b11010001 %% -b11010001 -% -b11010001 5% -b01000111 U% -b01000111 ]% -b01000111 e% -b11010001 m% -b11010001 u% -b11010001 }% -b11010001 '& -b11010001 /& -b11010001 7& -b01000111 W& -b01000111 _& -b01000111 g& -b11010001 o& -b11010001 w& -b11010001 !' -b11010001 )' -b11010001 1' -b11010001 9' -b01000111 Y' -b01000111 a' -b01000111 i' -b11010001 q' -b11010001 y' -b11010001 #( -b11010001 +( -b11010001 3( -b11010001 ;( -b01000111 [( -b01000111 c( -b01000111 k( -b11010001 s( -b11010001 {( -b11010001 %) -b11010001 -) -b11010001 5) -b11010001 =) -b01000111 ]) -b01000111 e) -b01000111 m) -b11010001 u) -b11010001 }) -b11010001 '* -b11010001 /* -b11010001 7* -b11010001 ?* -b01000111 _* -b01000111 g* -b01000111 o* -b11010001 w* -b11010001 !+ -b11010001 )+ -b11010001 1+ -b11010001 9+ -b11010001 A+ -b01000111 a+ -b01000111 i+ -b01000111 q+ -b11010001 y+ -b11010001 #, -b11010001 +, -b11010001 3, -b11010001 ;, -b11010001 C, -b11010001 K, -b00000000000000000000000001000111 S, -b00000000000000000000000011010001 [, -b00000000000000000000000011010001 c, -b11010001 k, -b10001110010001111101000111010001 s, -b11010001 {, -b10001110010001111101000111010001 %- -b11010001 -- -b10001110010001111101000111010001 5- -b11010001 =- -b10001110010001111101000111010001 E- -b11010001 M- -b11010001 N- -b11010001 O- -b11010001 P- -b11010001 Q- -b11010001 R- -b11010001 S- -b11010001 T- -b11010001 U- -b11010001 7. -b11010001 ?. -b11010001 G. -b01000111 g. -b01000111 o. -b01000111 w. -b11010001 !/ -b11010001 )/ -b11010001 1/ -b11010001 9/ -b11010001 A/ -b01000111 a/ -b01000111 i/ -b01000111 q/ -b11010001 y/ -b11010001 #0 -b11010001 +0 -b11010001 30 -b11010001 ;0 -b11010001 C0 -1S0 -0[0 -1c0 -0{0 -0.1 -111 -021 -131 -0n1 -1q1 -0r1 -1s1 -1o2 -#35 -0o2 -#40 -b100 s -b11101000 { -b10001110 %! -b10001110 -! -b11101000 5! -b11101000 =! -b10001110 E! -b11101000 M! -b10001110 U! -b10001110 ]! -b10001110 e! -b10001110 m! -b10001110 u! -b11101000 }! -b11101000 '" -b11101000 /" -b10001110 O" -b10001110 W" -b10001110 _" -b10001110 g" -b10001110 o" -b10001110 w" -b11101000 !# -b11101000 )# -b11101000 1# -b10001110 Q# -b10001110 Y# -b10001110 a# -b10001110 i# -b10001110 q# -b10001110 y# -b11101000 #$ -b11101000 +$ -b11101000 3$ -b10001110 S$ -b10001110 [$ -b10001110 c$ -b10001110 k$ -b10001110 s$ -b10001110 {$ -b11101000 %% -b11101000 -% -b11101000 5% -b10001110 U% -b10001110 ]% -b10001110 e% -b10001110 m% -b10001110 u% -b10001110 }% -b11101000 '& -b11101000 /& -b11101000 7& -b10001110 W& -b10001110 _& -b10001110 g& -b10001110 o& -b10001110 w& -b10001110 !' -b11101000 )' -b11101000 1' -b11101000 9' -b10001110 Y' -b10001110 a' -b10001110 i' -b10001110 q' -b10001110 y' -b10001110 #( -b11101000 +( -b11101000 3( -b11101000 ;( -b10001110 [( -b10001110 c( -b10001110 k( -b10001110 s( -b10001110 {( -b10001110 %) -b11101000 -) -b11101000 5) -b11101000 =) -b10001110 ]) -b10001110 e) -b10001110 m) -b10001110 u) -b10001110 }) -b10001110 '* -b11101000 /* -b11101000 7* -b11101000 ?* -b10001110 _* -b10001110 g* -b10001110 o* -b10001110 w* -b10001110 !+ -b10001110 )+ -b11101000 1+ -b11101000 9+ -b11101000 A+ -b10001110 a+ -b10001110 i+ -b10001110 q+ -b10001110 y+ -b10001110 #, -b10001110 +, -b11101000 3, -b11101000 ;, -b11101000 C, -b11101000 K, -b00000000000000000000000010001110 S, -b00000000000000000000000010001110 [, -b00000000000000000000000011101000 c, -b11101000 k, -b10001110100011101000111011101000 s, -b11101000 {, -b10001110100011101000111011101000 %- -b11101000 -- -b10001110100011101000111011101000 5- -b11101000 =- -b10001110100011101000111011101000 E- -b11101000 M- -b11101000 N- -b11101000 O- -b11101000 P- -b11101000 Q- -b11101000 R- -b11101000 S- -b11101000 T- -b11101000 U- -b11101000 7. -b11101000 ?. -b11101000 G. -b10001110 g. -b10001110 o. -b10001110 w. -b10001110 !/ -b10001110 )/ -b10001110 1/ -b11101000 9/ -b11101000 A/ -b10001110 a/ -b10001110 i/ -b10001110 q/ -b10001110 y/ -b10001110 #0 -b10001110 +0 -b11101000 30 -b11101000 ;0 -b11101000 C0 -1[0 -0c0 -1k0 -0%1 -0-1 -101 -011 -121 -0m1 -1p1 -0q1 -1r1 -1o2 -#45 -0o2 -#50 -b101 s -b01110100 { -b01000111 %! +b011 - +b11010001 0 +b01000111 1 +b11010001 2 +b11010001 3 +b11010001 4 +b11010001 5 +b11010001 6 +b01000111 7 +b11010001 8 +b11010001 9 +b11010001 : +b11010001 ; +b11010001 < +b11010001 = +b11010001 > +b01000111 B +b01000111 C +b01000111 D +b11010001 E +b11010001 F +b11010001 G +b11010001 H +b11010001 I +b11010001 J +b01000111 N +b01000111 O +b01000111 P +b11010001 Q +b11010001 R +b11010001 S +b11010001 T +b11010001 U +b11010001 V +b01000111 Z +b01000111 [ +b01000111 \ +b11010001 ] +b11010001 ^ +b11010001 _ +b11010001 ` +b11010001 a +b11010001 b +b01000111 f +b01000111 g +b01000111 h +b11010001 i +b11010001 j +b11010001 k +b11010001 l +b11010001 m +b11010001 n +b01000111 r +b01000111 s +b01000111 t +b11010001 u +b11010001 v +b11010001 w +b11010001 x +b11010001 y +b11010001 z +b01000111 ~ +b01000111 !! +b01000111 "! +b11010001 #! +b11010001 $! +b11010001 %! +b11010001 &! +b11010001 '! +b11010001 (! +b01000111 ,! b01000111 -! -b01110100 5! -b01110100 =! +b01000111 .! +b11010001 /! +b11010001 0! +b11010001 1! +b11010001 2! +b11010001 3! +b11010001 4! +b01000111 8! +b01000111 9! +b01000111 :! +b11010001 ;! +b11010001 ! +b11010001 ?! +b11010001 @! +b01000111 D! b01000111 E! -b01110100 M! -b01000111 U! -b01000111 ]! -b01000111 e! -b01000111 m! -b01000111 u! -b01110100 }! -b01110100 '" -b01110100 /" -b01000111 O" -b01000111 W" -b01000111 _" -b01000111 g" -b01000111 o" -b01000111 w" -b01110100 !# -b01110100 )# -b01110100 1# -b01000111 Q# -b01000111 Y# -b01000111 a# -b01000111 i# -b01000111 q# -b01000111 y# -b01110100 #$ -b01110100 +$ -b01110100 3$ -b01000111 S$ -b01000111 [$ -b01000111 c$ -b01000111 k$ -b01000111 s$ -b01000111 {$ -b01110100 %% -b01110100 -% -b01110100 5% -b01000111 U% -b01000111 ]% -b01000111 e% -b01000111 m% -b01000111 u% -b01000111 }% -b01110100 '& -b01110100 /& -b01110100 7& -b01000111 W& -b01000111 _& -b01000111 g& -b01000111 o& -b01000111 w& -b01000111 !' -b01110100 )' -b01110100 1' -b01110100 9' -b01000111 Y' -b01000111 a' -b01000111 i' -b01000111 q' -b01000111 y' -b01000111 #( -b01110100 +( -b01110100 3( -b01110100 ;( -b01000111 [( -b01000111 c( -b01000111 k( -b01000111 s( -b01000111 {( -b01000111 %) -b01110100 -) -b01110100 5) -b01110100 =) -b01000111 ]) -b01000111 e) -b01000111 m) -b01000111 u) -b01000111 }) -b01000111 '* -b01110100 /* -b01110100 7* -b01110100 ?* -b01000111 _* -b01000111 g* -b01000111 o* -b01000111 w* -b01000111 !+ -b01000111 )+ -b01110100 1+ -b01110100 9+ -b01110100 A+ -b01000111 a+ -b01000111 i+ -b01000111 q+ -b01000111 y+ -b01000111 #, -b01000111 +, -b01110100 3, -b01110100 ;, -b01110100 C, -b01110100 K, -b00000000000000000000000001000111 S, -b00000000000000000000000001000111 [, -b00000000000000000000000001110100 c, -b01110100 k, -b10001110010001110100011101110100 s, -b01110100 {, -b10001110010001110100011101110100 %- -b01110100 -- -b10001110010001110100011101110100 5- -b01110100 =- -b10001110010001110100011101110100 E- -b01110100 M- -b01110100 N- -b01110100 O- -b01110100 P- -b01110100 Q- -b01110100 R- -b01110100 S- -b01110100 T- -b01110100 U- -b01110100 7. -b01110100 ?. -b01110100 G. -b01000111 g. -b01000111 o. -b01000111 w. -b01000111 !/ -b01000111 )/ -b01000111 1/ -b01110100 9/ -b01110100 A/ -b01000111 a/ -b01000111 i/ -b01000111 q/ -b01000111 y/ -b01000111 #0 -b01000111 +0 -b01110100 30 -b01110100 ;0 -b01110100 C0 -0K0 -1c0 -0k0 -1s0 -1/1 -001 -111 -041 -1o1 -0p1 -1q1 -0t1 -1o2 -#55 -0o2 -#60 -b110 s -b00111010 { -b10001110 %! -b10100011 -! -b00111010 5! -b00111010 =! -b10100011 E! -b00111010 M! -b10001110 U! -b10100011 ]! -b10100011 e! -b10100011 m! -b10100011 u! -b00111010 }! -b00111010 '" -b00111010 /" -b10001110 O" -b10001110 W" -b10001110 _" -b10100011 g" -b10100011 o" -b10100011 w" -b00111010 !# -b00111010 )# -b00111010 1# -b10001110 Q# -b10001110 Y# -b10001110 a# -b10100011 i# -b10100011 q# -b10100011 y# -b00111010 #$ -b00111010 +$ -b00111010 3$ -b10001110 S$ -b10001110 [$ -b10001110 c$ -b10100011 k$ -b10100011 s$ -b10100011 {$ -b00111010 %% -b00111010 -% -b00111010 5% -b10001110 U% -b10001110 ]% -b10001110 e% -b10100011 m% -b10100011 u% -b10100011 }% -b00111010 '& -b00111010 /& -b00111010 7& -b10001110 W& -b10001110 _& -b10001110 g& -b10100011 o& -b10100011 w& -b10100011 !' -b00111010 )' -b00111010 1' -b00111010 9' -b10001110 Y' -b10001110 a' -b10001110 i' -b10100011 q' -b10100011 y' -b10100011 #( -b00111010 +( -b00111010 3( -b00111010 ;( -b10001110 [( -b10001110 c( -b10001110 k( -b10100011 s( -b10100011 {( -b10100011 %) -b00111010 -) -b00111010 5) -b00111010 =) -b10001110 ]) -b10001110 e) -b10001110 m) -b10100011 u) -b10100011 }) -b10100011 '* -b00111010 /* -b00111010 7* -b00111010 ?* -b10001110 _* -b10001110 g* -b10001110 o* -b10100011 w* -b10100011 !+ -b10100011 )+ -b00111010 1+ -b00111010 9+ -b00111010 A+ -b10001110 a+ -b10001110 i+ -b10001110 q+ -b10100011 y+ -b10100011 #, -b10100011 +, -b00111010 3, -b00111010 ;, -b00111010 C, -b00111010 K, -b00000000000000000000000010001110 S, -b00000000000000000000000010100011 [, -b00000000000000000000000000111010 c, -b00111010 k, -b10001110100011101010001100111010 s, -b00111010 {, -b10001110100011101010001100111010 %- -b00111010 -- -b10001110100011101010001100111010 5- -b00111010 =- -b10001110100011101010001100111010 E- -b00111010 M- -b00111010 N- -b00111010 O- -b00111010 P- -b00111010 Q- -b00111010 R- -b00111010 S- -b00111010 T- -b00111010 U- -b00111010 7. -b00111010 ?. -b00111010 G. -b10001110 g. -b10001110 o. -b10001110 w. -b10100011 !/ -b10100011 )/ -b10100011 1/ -b00111010 9/ -b00111010 A/ -b10001110 a/ -b10001110 i/ -b10001110 q/ -b10100011 y/ -b10100011 #0 -b10100011 +0 -b00111010 30 -b00111010 ;0 -b00111010 C0 -0S0 -1k0 -0s0 -1{0 -1.1 -0/1 -101 -031 -1n1 -0o1 -1p1 -0s1 -1o2 -#65 -0o2 -#70 -b111 s -b00011101 { -b01000111 %! -b11010001 -! -b00011101 5! -b00011101 =! -b11010001 E! -b00011101 M! -b01000111 U! +b01000111 F! +b11010001 G! +b11010001 H! +b11010001 I! +b11010001 J! +b11010001 K! +b11010001 L! +b01000111 P! +b01000111 Q! +b01000111 R! +b11010001 S! +b11010001 T! +b11010001 U! +b11010001 V! +b11010001 W! +b11010001 X! +b11010001 Y! +b00000000000000000000000001000111 Z! +b00000000000000000000000011010001 [! +b00000000000000000000000011010001 \! b11010001 ]! -b11010001 e! -b11010001 m! -b11010001 u! -b00011101 }! -b00011101 '" -b00011101 /" -b01000111 O" -b01000111 W" -b01000111 _" -b11010001 g" -b11010001 o" -b11010001 w" -b00011101 !# -b00011101 )# -b00011101 1# -b01000111 Q# -b01000111 Y# -b01000111 a# -b11010001 i# -b11010001 q# -b11010001 y# -b00011101 #$ -b00011101 +$ -b00011101 3$ -b01000111 S$ -b01000111 [$ -b01000111 c$ -b11010001 k$ -b11010001 s$ -b11010001 {$ -b00011101 %% -b00011101 -% -b00011101 5% -b01000111 U% -b01000111 ]% -b01000111 e% -b11010001 m% -b11010001 u% -b11010001 }% -b00011101 '& -b00011101 /& -b00011101 7& -b01000111 W& -b01000111 _& -b01000111 g& -b11010001 o& -b11010001 w& -b11010001 !' -b00011101 )' -b00011101 1' -b00011101 9' -b01000111 Y' -b01000111 a' -b01000111 i' -b11010001 q' -b11010001 y' -b11010001 #( -b00011101 +( -b00011101 3( -b00011101 ;( -b01000111 [( -b01000111 c( -b01000111 k( -b11010001 s( -b11010001 {( -b11010001 %) -b00011101 -) -b00011101 5) -b00011101 =) -b01000111 ]) -b01000111 e) -b01000111 m) -b11010001 u) -b11010001 }) -b11010001 '* -b00011101 /* -b00011101 7* -b00011101 ?* -b01000111 _* -b01000111 g* -b01000111 o* -b11010001 w* -b11010001 !+ -b11010001 )+ -b00011101 1+ -b00011101 9+ -b00011101 A+ -b01000111 a+ -b01000111 i+ -b01000111 q+ -b11010001 y+ -b11010001 #, -b11010001 +, -b00011101 3, -b00011101 ;, -b00011101 C, -b00011101 K, -b00000000000000000000000001000111 S, -b00000000000000000000000011010001 [, -b00000000000000000000000000011101 c, -b00011101 k, -b10001110010001111101000100011101 s, -b00011101 {, -b10001110010001111101000100011101 %- -b00011101 -- -b10001110010001111101000100011101 5- -b00011101 =- -b10001110010001111101000100011101 E- -b00011101 M- -b00011101 N- -b00011101 O- -b00011101 P- -b00011101 Q- -b00011101 R- -b00011101 S- -b00011101 T- -b00011101 U- -b00011101 7. -b00011101 ?. -b00011101 G. -b01000111 g. -b01000111 o. -b01000111 w. -b11010001 !/ -b11010001 )/ -b11010001 1/ -b00011101 9/ -b00011101 A/ -b01000111 a/ -b01000111 i/ -b01000111 q/ -b11010001 y/ -b11010001 #0 -b11010001 +0 -b00011101 30 -b00011101 ;0 -b00011101 C0 -0[0 -1s0 -0{0 -1%1 -1-1 -0.1 -1/1 -021 -1m1 -0n1 -1o1 -0r1 -1o2 -#75 -0o2 -#80 -b000 s -b10001110 { +b10001110010001111101000111010001 ^! +b11010001 _! +b10001110010001111101000111010001 `! +b11010001 a! +b10001110010001111101000111010001 b! +b11010001 c! +b10001110010001111101000111010001 d! +1g! +1k! +1o! +1s! +b00000000000000000000000000000011 u! +b11010001 x! +b11010001 y! +b11010001 z! +b11010001 {! +b11010001 |! +b11010001 }! +b11010001 ~! +b11010001 !" +b11010001 "" +b11010001 #" +b11010001 $" +b11010001 %" +b01000111 )" +b01000111 *" +b01000111 +" +b11010001 ," +b11010001 -" +b11010001 ." +b11010001 /" +b11010001 0" +b01000111 4" +b01000111 5" +b01000111 6" +b11010001 7" +b11010001 8" +b11010001 9" +b11010001 :" +b11010001 ;" +b11010001 <" +1>" +0?" +1@" +0C" +0F" +1I" +0J" +1K" +0N" +1Q" +0R" +1S" +1U" +#35 +0U" +#40 +b100 - +b11101000 0 +b10001110 1 +b10001110 2 +b11101000 3 +b11101000 4 +b10001110 5 +b11101000 6 +b10001110 7 +b10001110 8 +b10001110 9 +b10001110 : +b10001110 ; +b11101000 < +b11101000 = +b11101000 > +b10001110 B +b10001110 C +b10001110 D +b10001110 E +b10001110 F +b10001110 G +b11101000 H +b11101000 I +b11101000 J +b10001110 N +b10001110 O +b10001110 P +b10001110 Q +b10001110 R +b10001110 S +b11101000 T +b11101000 U +b11101000 V +b10001110 Z +b10001110 [ +b10001110 \ +b10001110 ] +b10001110 ^ +b10001110 _ +b11101000 ` +b11101000 a +b11101000 b +b10001110 f +b10001110 g +b10001110 h +b10001110 i +b10001110 j +b10001110 k +b11101000 l +b11101000 m +b11101000 n +b10001110 r +b10001110 s +b10001110 t +b10001110 u +b10001110 v +b10001110 w +b11101000 x +b11101000 y +b11101000 z +b10001110 ~ +b10001110 !! +b10001110 "! +b10001110 #! +b10001110 $! b10001110 %! +b11101000 &! +b11101000 '! +b11101000 (! +b10001110 ,! b10001110 -! -b10001110 5! +b10001110 .! +b10001110 /! +b10001110 0! +b10001110 1! +b11101000 2! +b11101000 3! +b11101000 4! +b10001110 8! +b10001110 9! +b10001110 :! +b10001110 ;! +b10001110 ! +b11101000 ?! +b11101000 @! +b10001110 D! b10001110 E! -b10001110 M! +b10001110 F! +b10001110 G! +b10001110 H! +b10001110 I! +b11101000 J! +b11101000 K! +b11101000 L! +b10001110 P! +b10001110 Q! +b10001110 R! +b10001110 S! +b10001110 T! b10001110 U! +b11101000 V! +b11101000 W! +b11101000 X! +b11101000 Y! +b00000000000000000000000010001110 Z! +b00000000000000000000000010001110 [! +b00000000000000000000000011101000 \! +b11101000 ]! +b10001110100011101000111011101000 ^! +b11101000 _! +b10001110100011101000111011101000 `! +b11101000 a! +b10001110100011101000111011101000 b! +b11101000 c! +b10001110100011101000111011101000 d! +1h! +1l! +1p! +1t! +b00000000000000000000000000000100 u! +b11101000 x! +b11101000 y! +b11101000 z! +b11101000 {! +b11101000 |! +b11101000 }! +b11101000 ~! +b11101000 !" +b11101000 "" +b11101000 #" +b11101000 $" +b11101000 %" +b10001110 )" +b10001110 *" +b10001110 +" +b10001110 ," +b10001110 -" +b10001110 ." +b11101000 /" +b11101000 0" +b10001110 4" +b10001110 5" +b10001110 6" +b10001110 7" +b10001110 8" +b10001110 9" +b11101000 :" +b11101000 ;" +b11101000 <" +1?" +0@" +1A" +0D" +0E" +1H" +0I" +1J" +0M" +1P" +0Q" +1R" +1U" +#45 +0U" +#50 +b101 - +b01110100 0 +b01000111 1 +b01000111 2 +b01110100 3 +b01110100 4 +b01000111 5 +b01110100 6 +b01000111 7 +b01000111 8 +b01000111 9 +b01000111 : +b01000111 ; +b01110100 < +b01110100 = +b01110100 > +b01000111 B +b01000111 C +b01000111 D +b01000111 E +b01000111 F +b01000111 G +b01110100 H +b01110100 I +b01110100 J +b01000111 N +b01000111 O +b01000111 P +b01000111 Q +b01000111 R +b01000111 S +b01110100 T +b01110100 U +b01110100 V +b01000111 Z +b01000111 [ +b01000111 \ +b01000111 ] +b01000111 ^ +b01000111 _ +b01110100 ` +b01110100 a +b01110100 b +b01000111 f +b01000111 g +b01000111 h +b01000111 i +b01000111 j +b01000111 k +b01110100 l +b01110100 m +b01110100 n +b01000111 r +b01000111 s +b01000111 t +b01000111 u +b01000111 v +b01000111 w +b01110100 x +b01110100 y +b01110100 z +b01000111 ~ +b01000111 !! +b01000111 "! +b01000111 #! +b01000111 $! +b01000111 %! +b01110100 &! +b01110100 '! +b01110100 (! +b01000111 ,! +b01000111 -! +b01000111 .! +b01000111 /! +b01000111 0! +b01000111 1! +b01110100 2! +b01110100 3! +b01110100 4! +b01000111 8! +b01000111 9! +b01000111 :! +b01000111 ;! +b01000111 ! +b01110100 ?! +b01110100 @! +b01000111 D! +b01000111 E! +b01000111 F! +b01000111 G! +b01000111 H! +b01000111 I! +b01110100 J! +b01110100 K! +b01110100 L! +b01000111 P! +b01000111 Q! +b01000111 R! +b01000111 S! +b01000111 T! +b01000111 U! +b01110100 V! +b01110100 W! +b01110100 X! +b01110100 Y! +b00000000000000000000000001000111 Z! +b00000000000000000000000001000111 [! +b00000000000000000000000001110100 \! +b01110100 ]! +b10001110010001110100011101110100 ^! +b01110100 _! +b10001110010001110100011101110100 `! +b01110100 a! +b10001110010001110100011101110100 b! +b01110100 c! +b10001110010001110100011101110100 d! +b00000000000000000000000000000101 u! +b01110100 x! +b01110100 y! +b01110100 z! +b01110100 {! +b01110100 |! +b01110100 }! +b01110100 ~! +b01110100 !" +b01110100 "" +b01110100 #" +b01110100 $" +b01110100 %" +b01000111 )" +b01000111 *" +b01000111 +" +b01000111 ," +b01000111 -" +b01000111 ." +b01110100 /" +b01110100 0" +b01000111 4" +b01000111 5" +b01000111 6" +b01000111 7" +b01000111 8" +b01000111 9" +b01110100 :" +b01110100 ;" +b01110100 <" +0=" +1@" +0A" +1B" +1G" +0H" +1I" +0L" +1O" +0P" +1Q" +0T" +1U" +#55 +0U" +#60 +b110 - +b00111010 0 +b10001110 1 +b10100011 2 +b00111010 3 +b00111010 4 +b10100011 5 +b00111010 6 +b10001110 7 +b10100011 8 +b10100011 9 +b10100011 : +b10100011 ; +b00111010 < +b00111010 = +b00111010 > +b10001110 B +b10001110 C +b10001110 D +b10100011 E +b10100011 F +b10100011 G +b00111010 H +b00111010 I +b00111010 J +b10001110 N +b10001110 O +b10001110 P +b10100011 Q +b10100011 R +b10100011 S +b00111010 T +b00111010 U +b00111010 V +b10001110 Z +b10001110 [ +b10001110 \ +b10100011 ] +b10100011 ^ +b10100011 _ +b00111010 ` +b00111010 a +b00111010 b +b10001110 f +b10001110 g +b10001110 h +b10100011 i +b10100011 j +b10100011 k +b00111010 l +b00111010 m +b00111010 n +b10001110 r +b10001110 s +b10001110 t +b10100011 u +b10100011 v +b10100011 w +b00111010 x +b00111010 y +b00111010 z +b10001110 ~ +b10001110 !! +b10001110 "! +b10100011 #! +b10100011 $! +b10100011 %! +b00111010 &! +b00111010 '! +b00111010 (! +b10001110 ,! +b10001110 -! +b10001110 .! +b10100011 /! +b10100011 0! +b10100011 1! +b00111010 2! +b00111010 3! +b00111010 4! +b10001110 8! +b10001110 9! +b10001110 :! +b10100011 ;! +b10100011 ! +b00111010 ?! +b00111010 @! +b10001110 D! +b10001110 E! +b10001110 F! +b10100011 G! +b10100011 H! +b10100011 I! +b00111010 J! +b00111010 K! +b00111010 L! +b10001110 P! +b10001110 Q! +b10001110 R! +b10100011 S! +b10100011 T! +b10100011 U! +b00111010 V! +b00111010 W! +b00111010 X! +b00111010 Y! +b00000000000000000000000010001110 Z! +b00000000000000000000000010100011 [! +b00000000000000000000000000111010 \! +b00111010 ]! +b10001110100011101010001100111010 ^! +b00111010 _! +b10001110100011101010001100111010 `! +b00111010 a! +b10001110100011101010001100111010 b! +b00111010 c! +b10001110100011101010001100111010 d! +b00000000000000000000000000000110 u! +b00111010 x! +b00111010 y! +b00111010 z! +b00111010 {! +b00111010 |! +b00111010 }! +b00111010 ~! +b00111010 !" +b00111010 "" +b00111010 #" +b00111010 $" +b00111010 %" +b10001110 )" +b10001110 *" +b10001110 +" +b10100011 ," +b10100011 -" +b10100011 ." +b00111010 /" +b00111010 0" +b10001110 4" +b10001110 5" +b10001110 6" +b10100011 7" +b10100011 8" +b10100011 9" +b00111010 :" +b00111010 ;" +b00111010 <" +0>" +1A" +0B" +1C" +1F" +0G" +1H" +0K" +1N" +0O" +1P" +0S" +1U" +#65 +0U" +#70 +b111 - +b00011101 0 +b01000111 1 +b11010001 2 +b00011101 3 +b00011101 4 +b11010001 5 +b00011101 6 +b01000111 7 +b11010001 8 +b11010001 9 +b11010001 : +b11010001 ; +b00011101 < +b00011101 = +b00011101 > +b01000111 B +b01000111 C +b01000111 D +b11010001 E +b11010001 F +b11010001 G +b00011101 H +b00011101 I +b00011101 J +b01000111 N +b01000111 O +b01000111 P +b11010001 Q +b11010001 R +b11010001 S +b00011101 T +b00011101 U +b00011101 V +b01000111 Z +b01000111 [ +b01000111 \ +b11010001 ] +b11010001 ^ +b11010001 _ +b00011101 ` +b00011101 a +b00011101 b +b01000111 f +b01000111 g +b01000111 h +b11010001 i +b11010001 j +b11010001 k +b00011101 l +b00011101 m +b00011101 n +b01000111 r +b01000111 s +b01000111 t +b11010001 u +b11010001 v +b11010001 w +b00011101 x +b00011101 y +b00011101 z +b01000111 ~ +b01000111 !! +b01000111 "! +b11010001 #! +b11010001 $! +b11010001 %! +b00011101 &! +b00011101 '! +b00011101 (! +b01000111 ,! +b01000111 -! +b01000111 .! +b11010001 /! +b11010001 0! +b11010001 1! +b00011101 2! +b00011101 3! +b00011101 4! +b01000111 8! +b01000111 9! +b01000111 :! +b11010001 ;! +b11010001 ! +b00011101 ?! +b00011101 @! +b01000111 D! +b01000111 E! +b01000111 F! +b11010001 G! +b11010001 H! +b11010001 I! +b00011101 J! +b00011101 K! +b00011101 L! +b01000111 P! +b01000111 Q! +b01000111 R! +b11010001 S! +b11010001 T! +b11010001 U! +b00011101 V! +b00011101 W! +b00011101 X! +b00011101 Y! +b00000000000000000000000001000111 Z! +b00000000000000000000000011010001 [! +b00000000000000000000000000011101 \! +b00011101 ]! +b10001110010001111101000100011101 ^! +b00011101 _! +b10001110010001111101000100011101 `! +b00011101 a! +b10001110010001111101000100011101 b! +b00011101 c! +b10001110010001111101000100011101 d! +b00000000000000000000000000000111 u! +b00011101 x! +b00011101 y! +b00011101 z! +b00011101 {! +b00011101 |! +b00011101 }! +b00011101 ~! +b00011101 !" +b00011101 "" +b00011101 #" +b00011101 $" +b00011101 %" +b01000111 )" +b01000111 *" +b01000111 +" +b11010001 ," +b11010001 -" +b11010001 ." +b00011101 /" +b00011101 0" +b01000111 4" +b01000111 5" +b01000111 6" +b11010001 7" +b11010001 8" +b11010001 9" +b00011101 :" +b00011101 ;" +b00011101 <" +0?" +1B" +0C" +1D" +1E" +0F" +1G" +0J" +1M" +0N" +1O" +0R" +1U" +#75 +0U" +#80 +b000 - +b10001110 0 +b10001110 1 +b10001110 2 +b10001110 3 +b10001110 4 +b10001110 5 +b10001110 6 +b10001110 7 +b10001110 8 +b10001110 9 +b10001110 : +b10001110 ; +b10001110 < +b10001110 = +b10001110 > +b10001110 B +b10001110 C +b10001110 D +b10001110 E +b10001110 F +b10001110 G +b10001110 H +b10001110 I +b10001110 J +b10001110 N +b10001110 O +b10001110 P +b10001110 Q +b10001110 R +b10001110 S +b10001110 T +b10001110 U +b10001110 V +b10001110 Z +b10001110 [ +b10001110 \ +b10001110 ] +b10001110 ^ +b10001110 _ +b10001110 ` +b10001110 a +b10001110 b +b10001110 f +b10001110 g +b10001110 h +b10001110 i +b10001110 j +b10001110 k +b10001110 l +b10001110 m +b10001110 n +b10001110 r +b10001110 s +b10001110 t +b10001110 u +b10001110 v +b10001110 w +b10001110 x +b10001110 y +b10001110 z +b10001110 ~ +b10001110 !! +b10001110 "! +b10001110 #! +b10001110 $! +b10001110 %! +b10001110 &! +b10001110 '! +b10001110 (! +b10001110 ,! +b10001110 -! +b10001110 .! +b10001110 /! +b10001110 0! +b10001110 1! +b10001110 2! +b10001110 3! +b10001110 4! +b10001110 8! +b10001110 9! +b10001110 :! +b10001110 ;! +b10001110 ! +b10001110 ?! +b10001110 @! +b10001110 D! +b10001110 E! +b10001110 F! +b10001110 G! +b10001110 H! +b10001110 I! +b10001110 J! +b10001110 K! +b10001110 L! +b10001110 P! +b10001110 Q! +b10001110 R! +b10001110 S! +b10001110 T! +b10001110 U! +b10001110 V! +b10001110 W! +b10001110 X! +b10001110 Y! +b00000000000000000000000010001110 Z! +b00000000000000000000000010001110 [! +b00000000000000000000000010001110 \! b10001110 ]! -b10001110 e! -b10001110 m! -b10001110 u! +b10001110100011101000111010001110 ^! +b10001110 _! +b10001110100011101000111010001110 `! +b10001110 a! +b10001110100011101000111010001110 b! +b10001110 c! +b10001110100011101000111010001110 d! +b00000000000000000000000000001000 u! +b10001110 x! +b10001110 y! +b10001110 z! +b10001110 {! +b10001110 |! b10001110 }! -b10001110 '" +b10001110 ~! +b10001110 !" +b10001110 "" +b10001110 #" +b10001110 $" +b10001110 %" +b10001110 )" +b10001110 *" +b10001110 +" +b10001110 ," +b10001110 -" +b10001110 ." b10001110 /" -b10001110 O" -b10001110 W" -b10001110 _" -b10001110 g" -b10001110 o" -b10001110 w" -b10001110 !# -b10001110 )# -b10001110 1# -b10001110 Q# -b10001110 Y# -b10001110 a# -b10001110 i# -b10001110 q# -b10001110 y# -b10001110 #$ -b10001110 +$ -b10001110 3$ -b10001110 S$ -b10001110 [$ -b10001110 c$ -b10001110 k$ -b10001110 s$ -b10001110 {$ -b10001110 %% -b10001110 -% -b10001110 5% -b10001110 U% -b10001110 ]% -b10001110 e% -b10001110 m% -b10001110 u% -b10001110 }% -b10001110 '& -b10001110 /& -b10001110 7& -b10001110 W& -b10001110 _& -b10001110 g& -b10001110 o& -b10001110 w& -b10001110 !' -b10001110 )' -b10001110 1' -b10001110 9' -b10001110 Y' -b10001110 a' -b10001110 i' -b10001110 q' -b10001110 y' -b10001110 #( -b10001110 +( -b10001110 3( -b10001110 ;( -b10001110 [( -b10001110 c( -b10001110 k( -b10001110 s( -b10001110 {( -b10001110 %) -b10001110 -) -b10001110 5) -b10001110 =) -b10001110 ]) -b10001110 e) -b10001110 m) -b10001110 u) -b10001110 }) -b10001110 '* -b10001110 /* -b10001110 7* -b10001110 ?* -b10001110 _* -b10001110 g* -b10001110 o* -b10001110 w* -b10001110 !+ -b10001110 )+ -b10001110 1+ -b10001110 9+ -b10001110 A+ -b10001110 a+ -b10001110 i+ -b10001110 q+ -b10001110 y+ -b10001110 #, -b10001110 +, -b10001110 3, -b10001110 ;, -b10001110 C, -b10001110 K, -b00000000000000000000000010001110 S, -b00000000000000000000000010001110 [, -b00000000000000000000000010001110 c, -b10001110 k, -b10001110100011101000111010001110 s, -b10001110 {, -b10001110100011101000111010001110 %- -b10001110 -- -b10001110100011101000111010001110 5- -b10001110 =- -b10001110100011101000111010001110 E- -b10001110 M- -b10001110 N- -b10001110 O- -b10001110 P- -b10001110 Q- -b10001110 R- -b10001110 S- -b10001110 T- -b10001110 U- -b10001110 7. -b10001110 ?. -b10001110 G. -b10001110 g. -b10001110 o. -b10001110 w. -b10001110 !/ -b10001110 )/ -b10001110 1/ -b10001110 9/ -b10001110 A/ -b10001110 a/ -b10001110 i/ -b10001110 q/ -b10001110 y/ -b10001110 #0 -b10001110 +0 -b10001110 30 -b10001110 ;0 -b10001110 C0 -1K0 -0c0 -1{0 -0%1 -0-1 -1.1 -011 -141 -0m1 -1n1 -0q1 -1t1 -1o2 +b10001110 0" +b10001110 4" +b10001110 5" +b10001110 6" +b10001110 7" +b10001110 8" +b10001110 9" +b10001110 :" +b10001110 ;" +b10001110 <" +1=" +0@" +1C" +0D" +0E" +1F" +0I" +1L" +0M" +1N" +0Q" +1T" +1U" diff --git a/test_regress/t/t_split_var_2_trace.pl b/test_regress/t/t_split_var_2_trace.pl index 959d96d7c..49fd37f82 100755 --- a/test_regress/t/t_split_var_2_trace.pl +++ b/test_regress/t/t_split_var_2_trace.pl @@ -25,7 +25,7 @@ execute( vcd_identical("$Self->{obj_dir}/simx.vcd", $Self->{golden_filename}); file_grep($Self->{stats}, qr/SplitVar,\s+Split packed variables\s+(\d+)/i, 12); -file_grep($Self->{stats}, qr/SplitVar,\s+Split unpacked arrays\s+(\d+)/i, 23); +file_grep($Self->{stats}, qr/SplitVar,\s+Split unpacked arrays\s+(\d+)/i, 27); ok(1); 1;