diff --git a/Changes b/Changes index 8ec76588d..83dede942 100644 --- a/Changes +++ b/Changes @@ -5,6 +5,8 @@ indicates the contributor was also the author of the fix; Thanks! * Verilator 3.62** +** Add /*verilator no_inline_task*/ to prevent over-expansion. [Eugene Weber] + *** Public functions now allow > 64 bit arguments. **** Remove .vpp intermediate files when not under --debug. diff --git a/bin/verilator b/bin/verilator index d548da8ae..0d4352be3 100755 --- a/bin/verilator +++ b/bin/verilator @@ -1056,6 +1056,14 @@ Disable the specified warning message for any warnings following the comment. Re-enable the specified warning message for any warnings following the comment. +=item /*verilator no_inline_task*/ + +Used in a function or task variable definition section to specify the +function or task should not be inlined into where it is used. This may +reduce the size of the final executable when a task is used a very large +number of times. For this flag to work, the task and tasks below it must +be pure; they cannot reference any variables outside the task itself. + =item /*verilator sc_clock*/ Used after a input declaration to indicate the signal should be declared in @@ -1344,6 +1352,12 @@ signal before it is implicitly declared by a cell, and can lead to dangling nets. A better option is the /*AUTOWIRE*/ feature of Verilog-Mode for Emacs, available from L +=item IMPURE + +Warns that a task or function that has been marked with /*verilator +no_inline_task*/ references variables that are not local to the task. +Verilator cannot schedule these variables correctly. + =item MULTIDRIVEN Warns that the specified signal comes from multiple always blocks. This is diff --git a/include/verilated.h b/include/verilated.h index bba3bb508..e410d3f44 100644 --- a/include/verilated.h +++ b/include/verilated.h @@ -1328,6 +1328,38 @@ static inline WDataOutP VL_CONST_W_16X(int obits, WDataOutP o, o[0]=d0; o[1]=d1; o[2]=d2; o[3]=d3; o[4]=d4; o[5]=d5; o[6]=d6; o[7]=d7; o[8]=d8; o[9]=d9; o[10]=d10; o[11]=d11; o[12]=d12; o[13]=d13; o[14]=d14; o[15]=d15; _END(obits,16); } +static inline WDataOutP VL_CONST_W_17X(int obits, WDataOutP o, + I d16, + I d15,I d14,I d13,I d12,I d11,I d10,I d9,I d8, + I d7,I d6,I d5,I d4,I d3,I d2,I d1,I d0) { + o[0]=d0; o[1]=d1; o[2]=d2; o[3]=d3; o[4]=d4; o[5]=d5; o[6]=d6; o[7]=d7; + o[8]=d8; o[9]=d9; o[10]=d10; o[11]=d11; o[12]=d12; o[13]=d13; o[14]=d14; o[15]=d15; + o[16]=d16; + _END(obits,17); } +static inline WDataOutP VL_CONST_W_18X(int obits, WDataOutP o, + I d17,I d16, + I d15,I d14,I d13,I d12,I d11,I d10,I d9,I d8, + I d7,I d6,I d5,I d4,I d3,I d2,I d1,I d0) { + o[0]=d0; o[1]=d1; o[2]=d2; o[3]=d3; o[4]=d4; o[5]=d5; o[6]=d6; o[7]=d7; + o[8]=d8; o[9]=d9; o[10]=d10; o[11]=d11; o[12]=d12; o[13]=d13; o[14]=d14; o[15]=d15; + o[16]=d16; o[17]=d17; + _END(obits,18); } +static inline WDataOutP VL_CONST_W_19X(int obits, WDataOutP o, + I d18,I d17,I d16, + I d15,I d14,I d13,I d12,I d11,I d10,I d9,I d8, + I d7,I d6,I d5,I d4,I d3,I d2,I d1,I d0) { + o[0]=d0; o[1]=d1; o[2]=d2; o[3]=d3; o[4]=d4; o[5]=d5; o[6]=d6; o[7]=d7; + o[8]=d8; o[9]=d9; o[10]=d10; o[11]=d11; o[12]=d12; o[13]=d13; o[14]=d14; o[15]=d15; + o[16]=d16; o[17]=d17; o[18]=d18; + _END(obits,19); } +static inline WDataOutP VL_CONST_W_20X(int obits, WDataOutP o, + I d19,I d18,I d17,I d16, + I d15,I d14,I d13,I d12,I d11,I d10,I d9,I d8, + I d7,I d6,I d5,I d4,I d3,I d2,I d1,I d0) { + o[0]=d0; o[1]=d1; o[2]=d2; o[3]=d3; o[4]=d4; o[5]=d5; o[6]=d6; o[7]=d7; + o[8]=d8; o[9]=d9; o[10]=d10; o[11]=d11; o[12]=d12; o[13]=d13; o[14]=d14; o[15]=d15; + o[16]=d16; o[17]=d17; o[18]=d18; o[19]=d19; + _END(obits,20); } static inline WDataOutP VL_CONST_W_24X(int obits, WDataOutP o, I d23,I d22,I d21,I d20,I d19,I d18,I d17,I d16, I d15,I d14,I d13,I d12,I d11,I d10,I d9,I d8, diff --git a/src/V3Ast.h b/src/V3Ast.h index 80efee82c..11ea3e488 100644 --- a/src/V3Ast.h +++ b/src/V3Ast.h @@ -57,6 +57,7 @@ public: COVERAGE_BLOCK_OFF, INLINE_MODULE, NO_INLINE_MODULE, + NO_INLINE_TASK, PUBLIC_MODULE, PUBLIC_TASK }; diff --git a/src/V3AstNodes.cpp b/src/V3AstNodes.cpp index ab6aa13a2..48ced3029 100644 --- a/src/V3AstNodes.cpp +++ b/src/V3AstNodes.cpp @@ -349,7 +349,8 @@ void AstVar::dump(ostream& str) { if (isSigPublic()) str<<" [P]"; if (attrClockEn()) str<<" [aCLKEN]"; if (attrFileDescr()) str<<" [aFD]"; - if (isFuncLocal() || isFuncReturn()) str<<" [FUNC]"; + if (isFuncReturn()) str<<" [FUNCRTN]"; + else if (isFuncLocal()) str<<" [FUNC]"; str<<" "<AstNode::dump(str); + if (taskPublic()) str<<" [PUBLIC]"; } void AstCoverDecl::dump(ostream& str) { this->AstNode::dump(str); diff --git a/src/V3Clean.cpp b/src/V3Clean.cpp index dd318b5cf..82f72e7d6 100644 --- a/src/V3Clean.cpp +++ b/src/V3Clean.cpp @@ -239,6 +239,10 @@ private: nodep->iterateChildren(*this); insureCleanAndNext (nodep->bodysp()); } + virtual void visit(AstCCall* nodep, AstNUser*) { + nodep->iterateChildren(*this); + insureCleanAndNext (nodep->argsp()); + } //-------------------- // Default: Just iterate diff --git a/src/V3EmitC.cpp b/src/V3EmitC.cpp index 88733b7c8..acd07d583 100644 --- a/src/V3EmitC.cpp +++ b/src/V3EmitC.cpp @@ -96,22 +96,20 @@ public: string cFuncArgs(AstCFunc* nodep) { // Return argument list for given C function string args = nodep->argTypes(); - if (args=="") { - // Might be a user function with argument list. - for (AstNode* stmtp = nodep->argsp(); stmtp; stmtp=stmtp->nextp()) { - if (AstVar* portp = stmtp->castVar()) { - if (portp->isIO() && !portp->isFuncReturn()) { - if (args != "") args+= ", "; - if (portp->isWide()) { - if (portp->isInOnly()) args += "const "; - args += portp->cType(); - args += " (& "+portp->name(); - args += ")["+cvtToStr(portp->widthWords())+"]"; - } else { - args += portp->cType(); - if (portp->isOutput()) args += "&"; - args += " "+portp->name(); - } + // Might be a user function with argument list. + for (AstNode* stmtp = nodep->argsp(); stmtp; stmtp=stmtp->nextp()) { + if (AstVar* portp = stmtp->castVar()) { + if (portp->isIO() && !portp->isFuncReturn()) { + if (args != "") args+= ", "; + if (portp->isWide()) { + if (portp->isInOnly()) args += "const "; + args += portp->cType(); + args += " (& "+portp->name(); + args += ")["+cvtToStr(portp->widthWords())+"]"; + } else { + args += portp->cType(); + if (portp->isOutput()) args += "&"; + args += " "+portp->name(); } } } diff --git a/src/V3Error.cpp b/src/V3Error.cpp index c99335f18..7cac825bc 100644 --- a/src/V3Error.cpp +++ b/src/V3Error.cpp @@ -168,9 +168,8 @@ void FileLine::v3errorEnd(ostringstream& str) { void V3Error::init() { for (int i=0; iiterateChildren(*this); // Enter the function and trace it - nodep->funcp()->accept(*this); + if (!nodep->funcp()->entryPoint()) { // else is non-inline or public function we optimize separately + nodep->funcp()->accept(*this); + } } virtual void visit(AstUCFunc* nodep, AstNUser*) { m_sideEffect = true; // If appears on assign RHS, don't ever delete the assignment diff --git a/src/V3Task.cpp b/src/V3Task.cpp index bb6436754..75714b1bb 100644 --- a/src/V3Task.cpp +++ b/src/V3Task.cpp @@ -39,6 +39,54 @@ #include "V3Inst.h" #include "V3Ast.h" #include "V3EmitCBase.h" +#include "V3Graph.h" + +//###################################################################### +// Graph subclasses + +class TaskBaseVertex : public V3GraphVertex { + AstNode* m_impurep; // Node causing impure function w/ outside references + bool m_noInline; // Marked with pragma +public: + TaskBaseVertex(V3Graph* graphp) + : V3GraphVertex(graphp), m_impurep(NULL), m_noInline(false) {} + virtual ~TaskBaseVertex() {} + bool pure() const { return m_impurep==NULL; } + AstNode* impureNode() const { return m_impurep; } + void impure(AstNode* nodep) { m_impurep = nodep; } + bool noInline() const { return m_noInline; } + void noInline(bool flag) { m_noInline = flag; } +}; + +class TaskFTaskVertex : public TaskBaseVertex { + // Every task gets a vertex, and we link tasks together based on funcrefs. + AstNodeFTask* m_nodep; +public: + TaskFTaskVertex(V3Graph* graphp, AstNodeFTask* nodep) + : TaskBaseVertex(graphp), m_nodep(nodep) {} + virtual ~TaskFTaskVertex() {} + AstNodeFTask* nodep() const { return m_nodep; } + virtual string name() const { return nodep()->name(); } + virtual string dotColor() const { return pure() ? "black" : "red"; } +}; + +class TaskCodeVertex : public TaskBaseVertex { + // Top vertex for all calls not under another task +public: + TaskCodeVertex(V3Graph* graphp) + : TaskBaseVertex(graphp) {} + virtual ~TaskCodeVertex() {} + virtual string name() const { return "*CODE*"; } + virtual string dotColor() const { return "green"; } +}; + +class TaskEdge : public V3GraphEdge { +public: + TaskEdge(V3Graph* graphp, TaskBaseVertex* fromp, TaskBaseVertex* top) + : V3GraphEdge(graphp, fromp, top, 1, false) {} + virtual ~TaskEdge() {} + virtual string dotLabel() const { return "w"+cvtToStr(weight()); } +}; //###################################################################### @@ -47,12 +95,17 @@ private: // NODE STATE // Output: // AstNodeFTask::user3p // AstScope* this FTask is under + // AstNodeFTask::user4p // GraphFTaskVertex* this FTask is under + // AstVar::user4p // GraphFTaskVertex* this variable is declared in // TYPES typedef std::map,AstVarScope*> VarToScopeMap; // MEMBERS VarToScopeMap m_varToScopeMap; // Map for Var -> VarScope mappings AstAssignW* m_assignwp; // Current assignment + V3Graph m_callGraph; // Task call graph + TaskBaseVertex* m_curVxp; // Current vertex we're adding to + public: // METHODS AstScope* getScope(AstNodeFTask* nodep) { @@ -65,7 +118,30 @@ public: if (iter == m_varToScopeMap.end()) nodep->v3fatalSrc("No scope for var"); return iter->second; } + bool ftaskNoInline(AstNodeFTask* nodep) { + return (getFTaskVertex(nodep)->noInline()); + } + void checkPurity(AstNodeFTask* nodep) { + checkPurity(nodep, getFTaskVertex(nodep)); + } + void checkPurity(AstNodeFTask* nodep, TaskBaseVertex* vxp) { + if (!vxp->pure()) { + nodep->v3warn(IMPURE,"Unsupported: External variable referenced by non-inlined function/task: "<prettyName()); + vxp->impureNode()->v3warn(IMPURE,"... Location of the external reference: "<impureNode()->prettyName()); + } + // And, we need to check all tasks this task calls + for (V3GraphEdge* edgep = vxp->outBeginp(); edgep; edgep=edgep->outNextp()) { + checkPurity(nodep, static_cast(edgep->top())); + } + } private: + TaskBaseVertex* getFTaskVertex(AstNodeFTask* nodep) { + if (!nodep->user4p()) { + nodep->user4p(new TaskFTaskVertex(&m_callGraph, nodep)); + } + return static_cast(nodep->user4p()->castGraphVertex()); + } + // VISITORS virtual void visit(AstScope* nodep, AstNUser*) { // Each FTask is unique per-scope, so AstNodeFTaskRefs do not need @@ -90,7 +166,7 @@ private: } virtual void visit(AstAssignW* nodep, AstNUser*) { m_assignwp = nodep; - nodep->iterateChildren(*this); // May delete nodep. + nodep->iterateChildren(*this); nodep=NULL; // May delete nodep. m_assignwp = NULL; } virtual void visit(AstNodeFTaskRef* nodep, AstNUser*) { @@ -104,6 +180,37 @@ private: AstNode* alwaysp = new AstAlways (m_assignwp->fileline(), NULL, assignp); m_assignwp->replaceWith(alwaysp); pushDeletep(m_assignwp); m_assignwp=NULL; } + // We make multiple edges if a task is called multiple times from another task. + new TaskEdge (&m_callGraph, m_curVxp, getFTaskVertex(nodep->taskp())); + } + virtual void visit(AstNodeFTask* nodep, AstNUser*) { + UINFO(9," TASK "<iterateChildren(*this); + m_curVxp = lastVxp; + } + virtual void visit(AstPragma* nodep, AstNUser*) { + if (nodep->pragType() == AstPragmaType::NO_INLINE_TASK) { + // Just mark for the next steps, and we're done with it. + m_curVxp->noInline(true); + nodep->unlinkFrBack()->deleteTree(); + } + else { + nodep->iterateChildren(*this); + } + } + virtual void visit(AstVar* nodep, AstNUser*) { + nodep->iterateChildren(*this); + nodep->user4p(m_curVxp); // Remember what task it's under + } + virtual void visit(AstVarRef* nodep, AstNUser*) { + nodep->iterateChildren(*this); + if (nodep->varp()->user4p() != m_curVxp) { + if (m_curVxp->pure()) { + m_curVxp->impure(nodep); + } + } } //-------------------- // Default: Just iterate @@ -112,10 +219,16 @@ private: } public: // CONSTUCTORS - TaskStateVisitor(AstNode* nodep) { + TaskStateVisitor(AstNetlist* nodep) { m_assignwp = NULL; + m_curVxp = new TaskCodeVertex(&m_callGraph); AstNode::user3ClearTree(); + AstNode::user4ClearTree(); + // nodep->iterateAndNext(*this, NULL); + // + m_callGraph.removeRedundantEdgesSum(&TaskEdge::followAlwaysTrue); + m_callGraph.dumpDotFilePrefixed("task_call"); } virtual ~TaskStateVisitor() {} }; @@ -165,6 +278,7 @@ private: // AstNodeFTask::user // True if its been expanded // Each funccall // AstVar::user2p // AstVarScope* to replace varref with + // AstNodeFTask::user5p // AstCFunc* created for non-inlined tasks // TYPES enum InsertMode { @@ -207,79 +321,81 @@ private: // // Create input variables AstNode::user2ClearTree(); - AstNode* pinp = refp->pinsp(); - AstNode* nextpinp = pinp; - AstNode* nextstmtp; - for (AstNode* stmtp = newbodysp; stmtp; pinp=nextpinp, stmtp=nextstmtp) { - nextstmtp = stmtp->nextp(); - if (AstVar* portp = stmtp->castVar()) { - portp->unlinkFrBack(); // Remove it from the clone (not original) - pushDeletep(portp); - if (portp->isIO()) { - if (pinp==NULL) { - refp->v3error("Too few arguments in function call"); - pinp = new AstConst(refp->fileline(), 0); - m_modp->addStmtp(pinp); // For below unlink - } - UINFO(9, " Port "<nextp(); - pinp->unlinkFrBack(); // Relinked to assignment below - // - if (portp->isInout()) { - if (AstVarRef* varrefp = pinp->castVarRef()) { - // Connect to this exact variable - AstVarScope* localVscp = varrefp->varScopep(); if (!localVscp) varrefp->v3fatalSrc("Null var scope"); - portp->user2p(localVscp); - } else { - pinp->v3error("Unsupported: Function/task input argument is not simple variable"); + { + AstNode* pinp = refp->pinsp(); + AstNode* nextpinp = pinp; + AstNode* nextstmtp; + for (AstNode* stmtp = newbodysp; stmtp; pinp=nextpinp, stmtp=nextstmtp) { + nextstmtp = stmtp->nextp(); + if (AstVar* portp = stmtp->castVar()) { + portp->unlinkFrBack(); // Remove it from the clone (not original) + pushDeletep(portp); + if (portp->isIO()) { + if (pinp==NULL) { + refp->v3error("Too few arguments in function call"); + pinp = new AstConst(refp->fileline(), 0); + m_modp->addStmtp(pinp); // For below unlink + } + UINFO(9, " Port "<nextp(); + pinp->unlinkFrBack(); // Relinked to assignment below + // + if (portp->isInout()) { + if (AstVarRef* varrefp = pinp->castVarRef()) { + // Connect to this exact variable + AstVarScope* localVscp = varrefp->varScopep(); if (!localVscp) varrefp->v3fatalSrc("Null var scope"); + portp->user2p(localVscp); + } else { + pinp->v3error("Unsupported: Function/task input argument is not simple variable"); + } + } + else if (portp->isOutput() && outvscp) { + refp->v3error("Outputs not allowed in function declarations"); + } + else if (portp->isOutput()) { + // Make output variables + // Correct lvalue; we didn't know when we linked + if (AstVarRef* varrefp = pinp->castVarRef()) { + varrefp->lvalue(true); + } else { + pinp->v3error("Unsupported: Task output pin connected to non-variable"); + } + // Even if it's referencing a varref, we still make a temporary + // Else task(x,x,x) might produce incorrect results + AstVarScope* outvscp = createVarScope (portp, namePrefix+"__"+portp->shortName()); + portp->user2p(outvscp); + AstAssign* assp = new AstAssign (pinp->fileline(), + pinp, + new AstVarRef(outvscp->fileline(), outvscp, false)); + // Put assignment BEHIND of all other statements + beginp->addNext(assp); + } + else if (portp->isInput()) { + // Make input variable + AstVarScope* inVscp = createVarScope (portp, namePrefix+"__"+portp->shortName()); + portp->user2p(inVscp); + AstAssign* assp = new AstAssign (pinp->fileline(), + new AstVarRef(inVscp->fileline(), inVscp, true), + pinp); + // Put assignment in FRONT of all other statements + if (AstNode* afterp = beginp->nextp()) { + afterp->unlinkFrBackWithNext(); + assp->addNext(afterp); + } + beginp->addNext(assp); } } - else if (portp->isOutput() && outvscp) { - refp->v3error("Outputs not allowed in function declarations"); + else { // Var is not I/O + // Move it to a new localized variable + AstVarScope* localVscp = createVarScope (portp, namePrefix+"__"+portp->shortName()); + portp->user2p(localVscp); } - else if (portp->isOutput()) { - // Make output variables - // Correct lvalue; we didn't know when we linked - if (AstVarRef* varrefp = pinp->castVarRef()) { - varrefp->lvalue(true); - } else { - pinp->v3error("Unsupported: Task output pin connected to non-variable"); - } - // Even if it's referencing a varref, we still make a temporary - // Else task(x,x,x) might produce incorrect results - AstVarScope* outvscp = createVarScope (portp, namePrefix+"__"+portp->shortName()); - portp->user2p(outvscp); - AstAssign* assp = new AstAssign (pinp->fileline(), - pinp, - new AstVarRef(outvscp->fileline(), outvscp, false)); - // Put assignment BEHIND of all other statements - beginp->addNext(assp); - } - else if (portp->isInput()) { - // Make input variable - AstVarScope* inVscp = createVarScope (portp, namePrefix+"__"+portp->shortName()); - portp->user2p(inVscp); - AstAssign* assp = new AstAssign (pinp->fileline(), - new AstVarRef(inVscp->fileline(), inVscp, true), - pinp); - // Put assignment in FRONT of all other statements - if (AstNode* afterp = beginp->nextp()) { - afterp->unlinkFrBackWithNext(); - assp->addNext(afterp); - } - beginp->addNext(assp); - } - } - else { // Var is not I/O - // Move it to a new localized variable - AstVarScope* localVscp = createVarScope (portp, namePrefix+"__"+portp->shortName()); - portp->user2p(localVscp); } } + if (pinp!=NULL) refp->v3error("Too many arguments in function call"); } - if (pinp!=NULL) refp->v3error("Too many arguments in function call"); // Create function output variables if (outvscp) { //UINFO(0, "setflag on "<fvarp()<<" to "<taskp()) refp->v3fatalSrc("Unlinked?"); + AstCFunc* cfuncp = refp->taskp()->user5p()->castNode()->castCFunc(); - AstCFunc* makeUserFunc(AstNodeFTask* nodep) { - // Given a already cloned node, make a public C function. + if (!cfuncp) refp->v3fatalSrc("No non-inline task associated with this task call?"); + // + AstNode* beginp = new AstComment(refp->fileline(), (string)("Function: ")+refp->name()); + AstCCall* ccallp = new AstCCall(refp->fileline(), cfuncp, NULL); + beginp->addNext(ccallp); + // Convert complicated outputs to temp signals + { + AstNode* pinp = refp->pinsp(); + AstNode* nextpinp = pinp; + AstNode* nextstmtp; + for (AstNode* stmtp = refp->taskp()->stmtsp(); stmtp; pinp=nextpinp, stmtp=nextstmtp) { + nextstmtp = stmtp->nextp(); + if (AstVar* portp = stmtp->castVar()) { + if (portp->isIO()) { + UINFO(9, " Port "<nextp(); + // + if (portp->isInout()) { + if (pinp->castVarRef()) { + // Connect to this exact variable + } else { + pinp->v3error("Unsupported: Function/task input argument is not simple variable"); + } + } + else if (portp->isOutput()) { + // Make output variables + // Correct lvalue; we didn't know when we linked + if (AstVarRef* varrefp = pinp->castVarRef()) { + varrefp->lvalue(true); + } else { + pinp->v3error("Unsupported: Task output pin connected to non-variable"); + } + } + } + } + } + if (pinp!=NULL) refp->v3error("Too many arguments in function call"); + } + // First argument is symbol table, then output if a function + ccallp->argTypes("vlSymsp"); + if (outvscp) { + ccallp->addArgsp(new AstVarRef(refp->fileline(), outvscp, true)); + } + // Create connections + AstNode* nextpinp; + for (AstNode* pinp = refp->pinsp(); pinp; pinp=nextpinp) { + nextpinp = pinp->nextp(); + // Move pin to the CCall + pinp->unlinkFrBack(); + ccallp->addArgsp(pinp); + } + if (debug()>=9) { beginp->dumpTree(cout,"-nitask: "); } + return beginp; + } + + + AstCFunc* makeUserFunc(AstNodeFTask* nodep, bool forUser) { + // Given a already cloned node, make a public C function, or a non-inline C function // Probably some of this work should be done later, but... // should the type of the function be bool/uint32/64 etc (based on lookup) or IData? AstNode::user2ClearTree(); @@ -305,6 +483,7 @@ private: if (NULL!=(portp = nodep->castFunc()->fvarp()->castVar())) { if (!portp->isFuncReturn()) nodep->v3error("Not marked as function return var"); if (portp->isWide()) nodep->v3error("Unsupported: Public functions with return > 64 bits wide. (Make it a output instead.)"); + if (!forUser) portp->funcReturn(false); // Converting return to 'outputs' portp->unlinkFrBack(); rtnvarp = portp; rtnvarp->funcLocal(true); @@ -315,20 +494,28 @@ private: nodep->v3fatalSrc("function without function output variable"); } } - AstCFunc* funcp = new AstCFunc(nodep->fileline(), nodep->name(), - m_scopep, - (rtnvarp?rtnvarp->cType():"")); - if (rtnvarp) funcp->addArgsp(rtnvarp); - funcp->dontCombine(true); - funcp->funcPublic(true); - funcp->entryPoint(true); - funcp->isStatic(false); + AstCFunc* cfuncp = new AstCFunc(nodep->fileline(), + string(forUser?"":"__VnoInFunc_") + nodep->name(), + m_scopep, + ((forUser && rtnvarp)?rtnvarp->cType():"")); + cfuncp->dontCombine(true); + cfuncp->entryPoint(true); + cfuncp->funcPublic(forUser); + cfuncp->isStatic(!forUser); - // We need to get a pointer to all of our variables (may have eval'ed something else earlier) - funcp->addInitsp( - new AstCStmt(nodep->fileline(), - " "+EmitCBaseVisitor::symClassVar()+" = this->__VlSymsp;\n")); - funcp->addInitsp(new AstCStmt(nodep->fileline()," "+EmitCBaseVisitor::symTopAssign()+"\n")); + if (forUser) { + // We need to get a pointer to all of our variables (may have eval'ed something else earlier) + cfuncp->addInitsp( + new AstCStmt(nodep->fileline(), + " "+EmitCBaseVisitor::symClassVar()+" = this->__VlSymsp;\n")); + } else { + // Need symbol table + cfuncp->argTypes(EmitCBaseVisitor::symClassVar()); + } + // Fake output variable if was a function + if (rtnvarp) cfuncp->addArgsp(rtnvarp); + + cfuncp->addInitsp(new AstCStmt(nodep->fileline()," "+EmitCBaseVisitor::symTopAssign()+"\n")); // Create list of arguments and move to function for (AstNode* nextp, *stmtp = nodep->stmtsp(); stmtp; stmtp=nextp) { @@ -338,7 +525,7 @@ private: // Move it to new function portp->unlinkFrBack(); portp->funcLocal(true); - funcp->addArgsp(portp); + cfuncp->addArgsp(portp); } else { // "Normal" variable, mark inside function portp->funcLocal(true); @@ -350,18 +537,19 @@ private: } // Move body AstNode* bodysp = nodep->stmtsp(); - if (bodysp) { bodysp->unlinkFrBackWithNext(); funcp->addStmtsp(bodysp); } + if (bodysp) { bodysp->unlinkFrBackWithNext(); cfuncp->addStmtsp(bodysp); } // Return statement - if (rtnvscp) { - funcp->addFinalsp(new AstCReturn(rtnvscp->fileline(), - new AstVarRef(rtnvscp->fileline(), rtnvscp, false))); + if (rtnvscp && forUser) { + cfuncp->addFinalsp(new AstCReturn(rtnvscp->fileline(), + new AstVarRef(rtnvscp->fileline(), rtnvscp, false))); } // Replace variable refs - TaskRelinkVisitor visit (funcp); + TaskRelinkVisitor visit (cfuncp); // Delete rest of cloned task and return new func pushDeletep(nodep); nodep=NULL; - if (debug()>=9) { funcp->dumpTree(cout,"-userFunc: "); } - return funcp; + if (debug()>=9 && forUser) { cfuncp->dumpTree(cout,"-userFunc: "); } + if (debug()>=9 && !forUser) { cfuncp->dumpTree(cout,"-noInFunc: "); } + return cfuncp; } void iterateIntoFTask(AstNodeFTask* nodep) { @@ -421,7 +609,12 @@ private: if (debug()>=9) { nodep->dumpTree(cout,"-inltask:"); } // Create cloned statements string namePrefix = "__Vtask_"+nodep->taskp()->shortName()+"__"+cvtToStr(m_modNCalls++); - AstNode* beginp = createInlinedFTask(nodep, namePrefix, NULL); + AstNode* beginp; + if (m_statep->ftaskNoInline(nodep->taskp())) { + beginp = createNonInlinedFTask(nodep, namePrefix, NULL); + } else { + beginp = createInlinedFTask(nodep, namePrefix, NULL); + } // Replace the ref nodep->replaceWith(beginp); nodep->deleteTree(); nodep=NULL; @@ -442,7 +635,13 @@ private: if (debug()>=9) { nodep->taskp()->dumpTree(cout,"-oldfunc:"); } if (!nodep->taskp()) nodep->v3fatalSrc("Unlinked?"); - AstNode* beginp = createInlinedFTask(nodep, namePrefix, outvscp); + AstNode* beginp; + if (m_statep->ftaskNoInline(nodep->taskp())) { + // This may share VarScope's with a public task, if any. Yuk. + beginp = createNonInlinedFTask(nodep, namePrefix, outvscp); + } else { + beginp = createInlinedFTask(nodep, namePrefix, outvscp); + } // Replace the ref AstVarRef* outrefp = new AstVarRef (nodep->fileline(), outvscp, false); nodep->replaceWith(outrefp); @@ -450,27 +649,34 @@ private: insertBeforeStmt(nodep, beginp); // Cleanup nodep->deleteTree(); nodep=NULL; - UINFO(4," Done.\n"); + UINFO(4," Func REF Done.\n"); } virtual void visit(AstNodeFTask* nodep, AstNUser*) { + UINFO(4," Inline "<stmtsp(); // Might be null if no statements, but we won't use it if (!nodep->user()) { - // Expand functions in it & Mark for later delete + // Expand functions in it nodep->user(true); - if (!nodep->taskPublic()) { - nodep->unlinkFrBack(); - } else { + if (nodep->taskPublic()) { // Clone it first, because we may have later FTaskRef's that still need // the original version. AstNodeFTask* clonedFuncp = nodep->cloneTree(false)->castNodeFTask(); - AstCFunc* cfuncp = makeUserFunc(clonedFuncp); - // Replace it - nodep->replaceWith(cfuncp); + AstCFunc* cfuncp = makeUserFunc(clonedFuncp, true); + nodep->addNextHere(cfuncp); iterateIntoFTask(clonedFuncp); // Do the clone too } + if (m_statep->ftaskNoInline(nodep)) { + m_statep->checkPurity(nodep); + AstNodeFTask* clonedFuncp = nodep->cloneTree(false)->castNodeFTask(); + AstCFunc* cfuncp = makeUserFunc(clonedFuncp, false); + nodep->user5p(cfuncp); + nodep->addNextHere(cfuncp); + iterateIntoFTask(clonedFuncp); // Do the clone too + } + // Any variables inside the function still have varscopes pointing to them. // We're going to delete the vars, so delete the varscopes. if (nodep->castFunc()) { @@ -489,6 +695,7 @@ private: } } // Just push, as other references to func may remain until visitor exits + nodep->unlinkFrBack(); pushDeletep(nodep); nodep=NULL; } m_insMode = prevInsMode; @@ -532,6 +739,7 @@ public: m_scopep = NULL; m_insStmtp = NULL; AstNode::userClearTree(); + AstNode::user5ClearTree(); nodep->accept(*this); } virtual ~TaskVisitor() {} diff --git a/src/verilog.l b/src/verilog.l index 3670af8d2..8ded7cd30 100644 --- a/src/verilog.l +++ b/src/verilog.l @@ -429,6 +429,7 @@ escid \\[^ \t\f\r\n]+ "/*verilator full_case*/" {yylval.fileline = CRELINE(); return yVL_FULL_CASE;} "/*verilator inline_module*/" {yylval.fileline = CRELINE(); return yVL_INLINE_MODULE;} "/*verilator no_inline_module*/" {yylval.fileline = CRELINE(); return yVL_NO_INLINE_MODULE;} +"/*verilator no_inline_task*/" {yylval.fileline = CRELINE(); return yVL_NO_INLINE_TASK;} "/*verilator one_cold*/" {yylval.fileline = CRELINE(); return yVL_ONE_COLD;} "/*verilator one_hot*/" {yylval.fileline = CRELINE(); return yVL_ONE_HOT;} "/*verilator parallel_case*/" {yylval.fileline = CRELINE(); return yVL_PARALLEL_CASE;} diff --git a/src/verilog.y b/src/verilog.y index b19c6463f..1612e9c90 100644 --- a/src/verilog.y +++ b/src/verilog.y @@ -168,6 +168,7 @@ class AstSenTree; %token yVL_FULL_CASE "/*verilator full_case*/" %token yVL_INLINE_MODULE "/*verilator inline_module*/" %token yVL_NO_INLINE_MODULE "/*verilator no_inline_module*/" +%token yVL_NO_INLINE_TASK "/*verilator no_inline_task*/" %token yVL_ONE_COLD "/*verilator one_cold*/" %token yVL_ONE_HOT "/*verilator one_hot*/" %token yVL_PARALLEL_CASE "/*verilator parallel_case*/" @@ -729,7 +730,8 @@ funcVarList: funcVar { $$ = $1; } funcVar: ioDecl { $$ = $1; } | varDecl { $$ = $1; } - | yVL_PUBLIC { $$ = new AstPragma ($1,AstPragmaType::PUBLIC_TASK); } + | yVL_PUBLIC { $$ = new AstPragma($1,AstPragmaType::PUBLIC_TASK); } + | yVL_NO_INLINE_TASK { $$ = new AstPragma($1,AstPragmaType::NO_INLINE_TASK); } ; constExpr: expr { $$ = $1; } diff --git a/test_regress/t/t_case_write1.out b/test_regress/t/t_case_write1.out new file mode 100644 index 000000000..21d2f8168 --- /dev/null +++ b/test_regress/t/t_case_write1.out @@ -0,0 +1,89 @@ +[2] crc=0000000000000097 1410 +[3] crc=000000000000012e 1410 +[4] crc=000000000000025d 1410 +[5] crc=00000000000004ba 1410 +[6] crc=0000000000000974 1410 +[7] crc=00000000000012e9 1410 +[8] crc=00000000000025d3 1410 +[9] crc=0000000000004ba7 1410 +[10] crc=000000000000974e 1410 +[11] crc=0000000000012e9d 1410 +[12] crc=0000000000025d3a 1410 +[13] crc=000000000004ba74 1410 +[14] crc=00000000000974e9 1410 +[15] crc=000000000012e9d3 1410 +[16] crc=000000000025d3a7 1410 +[17] crc=00000000004ba74e 1410 +[18] crc=0000000000974e9d 1410 +[19] crc=00000000012e9d3a 1410 +[20] crc=00000000025d3a74 1410 +[21] crc=0000000004ba74e9 1410 +[22] crc=000000000974e9d3 1304a:000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002031303039;17 1304b:000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002031303039203233 1304c:000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000020313030392032332031333033;4 1304d:000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002031303039203233203133303320313338 1304e:203130303920323320313330332031333820202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020 1304: 1009 23 1303 138 +[23] crc=0000000012e9d3a7 1313: 1009 46 1309 1311 143 1312 +[24] crc=0000000025d3a74e 1129: 1009 172 407 175 408 409 410 1106 +[25] crc=000000004ba74e9d 1017: 1009 223 1014 880 885 1015 1016 1007 +[26] crc=00000000974e9d3a 1231: 1229 967 1230 718 +[27] crc=000000012e9d3a74 1410 +[28] crc=000000025d3a74e9 1370: 1009 58 1369 19 +[29] crc=00000004ba74e9d3 1036: 1009 194 1033 1034 1008 1035 880 +[30] crc=0000000974e9d3a7 1409:i +[31] crc=00000012e9d3a74e 1321: 1009 29 1320 137 144 141 138 148 +[32] crc=00000025d3a74e9d 1383:§ +[33] crc=0000004ba74e9d3a 1021: 1009 216 1018 882 884 1019 1020 1007 +[34] crc=000000974e9d3a74 1017: 1009 197 1014 882 883 1015 1016 1008 +[35] crc=0000012e9d3a74e9 1231: 1228 979 1230 713 +[36] crc=0000025d3a74e9d3 1013: 1009 194 1011 1006 1008 1012 880 +[37] crc=000004ba74e9d3a7 1409:i +[38] crc=00000974e9d3a74e 1321: 1009 29 1320 137 144 141 138 148 +[39] crc=000012e9d3a74e9d 1383:§ +[40] crc=000025d3a74e9d3a 1021: 1009 216 1018 882 884 1019 1020 1007 +[41] crc=00004ba74e9d3a74 1017: 1009 197 1014 882 883 1015 1016 1008 +[42] crc=0000974e9d3a74e9 1231: 1228 979 1230 713 +[43] crc=00012e9d3a74e9d3 1013: 1009 194 1011 1006 1008 1012 880 +[44] crc=00025d3a74e9d3a7 1409:i +[45] crc=0004ba74e9d3a74e 1321: 1009 29 1320 137 144 141 138 148 +[46] crc=000974e9d3a74e9d 1383:§ +[47] crc=0012e9d3a74e9d3a 1021: 1009 216 1018 882 884 1019 1020 1007 +[48] crc=0025d3a74e9d3a74 1017: 1009 197 1014 882 883 1015 1016 1008 +[49] crc=004ba74e9d3a74e9 1231: 1228 979 1230 713 +[50] crc=00974e9d3a74e9d3 1013: 1009 194 1011 1006 1008 1012 880 +[51] crc=012e9d3a74e9d3a7 1409:i +[52] crc=025d3a74e9d3a74e 1321: 1009 29 1320 137 144 141 138 148 +[53] crc=04ba74e9d3a74e9d 1383:§ +[54] crc=0974e9d3a74e9d3a 1021: 1009 216 1018 882 884 1019 1020 1007 +[55] crc=12e9d3a74e9d3a74 1017: 1009 197 1014 882 883 1015 1016 1008 +[56] crc=25d3a74e9d3a74e9 1231: 1228 979 1230 713 +[57] crc=4ba74e9d3a74e9d3 1013: 1009 194 1011 1006 1008 1012 880 +[58] crc=974e9d3a74e9d3a7 1409:i +[59] crc=2e9d3a74e9d3a74f 1321: 1009 29 1320 137 144 141 138 149 +[60] crc=5d3a74e9d3a74e9e 1383:§ +[61] crc=ba74e9d3a74e9d3d 1021: 1009 216 1018 882 884 1019 1020 1007 +[62] crc=74e9d3a74e9d3a7b 1017: 1009 197 1014 882 883 1015 1016 1008 +[63] crc=e9d3a74e9d3a74f7 1231: 1228 979 1230 713 +[64] crc=d3a74e9d3a74e9ef 1013: 1009 194 1011 1006 1008 1012 880 +[65] crc=a74e9d3a74e9d3df 1409:i +[66] crc=4e9d3a74e9d3a7bf 1321: 1009 29 1320 137 144 141 145 149 +[67] crc=9d3a74e9d3a74f7e 1383:§ +[68] crc=3a74e9d3a74e9efc 1021: 1009 216 1018 882 884 1019 1020 1007 +[69] crc=74e9d3a74e9d3df9 1017: 1009 197 1014 882 883 1015 1016 1008 +[70] crc=e9d3a74e9d3a7bf3 1231: 1228 979 1230 713 +[71] crc=d3a74e9d3a74f7e6 1013: 1009 194 1011 1006 1008 1012 880 +[72] crc=a74e9d3a74e9efcc 1409:i +[73] crc=4e9d3a74e9d3df98 1321: 1009 29 1320 137 147 149 143 142 +[74] crc=9d3a74e9d3a7bf30 1383:§ +[75] crc=3a74e9d3a74f7e61 1021: 1009 216 1018 882 885 1019 1020 1007 +[76] crc=74e9d3a74e9efcc3 1017: 1009 197 1014 882 884 1015 1016 1008 +[77] crc=e9d3a74e9d3df987 1231: 1228 982 1230 713 +[78] crc=d3a74e9d3a7bf30f 1013: 1009 194 1011 1006 1008 1012 881 885 +[79] crc=a74e9d3a74f7e61f 1409:w +[80] crc=4e9d3a74e9efcc3f 1321: 1009 30 1320 149 146 146 137 149 +[81] crc=9d3a74e9d3df987e 1383:ß +[82] crc=3a74e9d3a7bf30fc 1021: 1009 225 1018 882 885 1019 1020 1008 +[83] crc=74e9d3a74f7e61f9 1017: 1009 218 1014 882 884 1015 1016 1008 +[84] crc=e9d3a74e9efcc3f3 1231: 1228 981 1230 708 +[85] crc=d3a74e9d3df987e6 1013: 1009 232 1011 1005 1008 1012 881 883 +[86] crc=a74e9d3a7bf30fcc 1409:s +[87] crc=4e9d3a74f7e61f98 1262: 1009 1006 1258 846 1259 1006 1260 833 1261 +[88] crc=9d3a74e9efcc3f30 1321: 1009 124 1320 146 137 149 137 134 +[89] crc=3a74e9d3df987e61 1383:˜ +[90] crc=74e9d3a7bf30fcc3 1036: 1009 215 1033 1034 1008 1035 879 diff --git a/test_regress/t/t_case_write.pl b/test_regress/t/t_case_write1.pl similarity index 100% rename from test_regress/t/t_case_write.pl rename to test_regress/t/t_case_write1.pl diff --git a/test_regress/t/t_case_write.v b/test_regress/t/t_case_write1.v similarity index 80% rename from test_regress/t/t_case_write.v rename to test_regress/t/t_case_write1.v index a5af3c219..919609480 100644 --- a/test_regress/t/t_case_write.v +++ b/test_regress/t/t_case_write1.v @@ -16,12 +16,12 @@ module t (/*AUTOARG*/ reg [63:0] crc; `verilator_file_descriptor fd; - t_case_write_tasks tasks (); + t_case_write1_tasks tasks (); integer cyc; initial cyc=0; always @ (posedge clk) begin - $fwrite(fd, "[%03d] ", cyc); + $fwrite(fd, "[%0d] crc=%x ", cyc, crc); tasks.big_case(fd, crc[31:0]); $fwrite(fd, "\n"); end @@ -32,8 +32,8 @@ module t (/*AUTOARG*/ crc <= {crc[62:0], crc[63]^crc[2]^crc[0]}; if (cyc==1) begin crc <= 64'h00000000_00000097; - $write("Open obj_dir/t_case_write_logger.log\n"); - fd = $fopen("obj_dir/t_case_write_logger.log", "w"); + $write("Open obj_dir/t_case_write1_logger.log\n"); + fd = $fopen("obj_dir/t_case_write1_logger.log", "w"); end if (cyc==90) begin $write("*-* All Finished *-*\n"); diff --git a/test_regress/t/t_case_write_tasks.v b/test_regress/t/t_case_write1_tasks.v similarity index 52% rename from test_regress/t/t_case_write_tasks.v rename to test_regress/t/t_case_write1_tasks.v index f3eb6c5ed..d3b208989 100644 --- a/test_regress/t/t_case_write_tasks.v +++ b/test_regress/t/t_case_write1_tasks.v @@ -6,7 +6,7 @@ `include "verilated.v" -module t_case_write_tasks (); +module t_case_write1_tasks (); // verilator lint_off WIDTH // verilator lint_off CASEINCOMPLETE @@ -15,137 +15,138 @@ module t_case_write_tasks (); task ozonerab; input [6:0] rab; inout [STRLEN*8:1] foobar; + // verilator no_inline_task begin case (rab[6:0]) - 7'h00 : foobar = {foobar, "0"}; - 7'h01 : foobar = {foobar, "1"}; - 7'h02 : foobar = {foobar, "2"}; - 7'h03 : foobar = {foobar, "3"}; - 7'h04 : foobar = {foobar, "4"}; - 7'h05 : foobar = {foobar, "5"}; - 7'h06 : foobar = {foobar, "6"}; - 7'h07 : foobar = {foobar, "7"}; - 7'h08 : foobar = {foobar, "8"}; - 7'h09 : foobar = {foobar, "9"}; - 7'h0a : foobar = {foobar, "10"}; - 7'h0b : foobar = {foobar, "11"}; - 7'h0c : foobar = {foobar, "12"}; - 7'h0d : foobar = {foobar, "13"}; - 7'h0e : foobar = {foobar, "14"}; - 7'h0f : foobar = {foobar, "15"}; - 7'h10 : foobar = {foobar, "16"}; - 7'h11 : foobar = {foobar, "17"}; - 7'h12 : foobar = {foobar, "18"}; - 7'h13 : foobar = {foobar, "19"}; - 7'h14 : foobar = {foobar, "20"}; - 7'h15 : foobar = {foobar, "21"}; - 7'h16 : foobar = {foobar, "22"}; - 7'h17 : foobar = {foobar, "23"}; - 7'h18 : foobar = {foobar, "24"}; - 7'h19 : foobar = {foobar, "25"}; - 7'h1a : foobar = {foobar, "26"}; - 7'h1b : foobar = {foobar, "27"}; - 7'h1c : foobar = {foobar, "28"}; - 7'h1d : foobar = {foobar, "29"}; - 7'h1e : foobar = {foobar, "30"}; - 7'h1f : foobar = {foobar, "31"}; - 7'h20 : foobar = {foobar, "32"}; - 7'h21 : foobar = {foobar, "33"}; - 7'h22 : foobar = {foobar, "34"}; - 7'h23 : foobar = {foobar, "35"}; - 7'h24 : foobar = {foobar, "36"}; - 7'h25 : foobar = {foobar, "37"}; - 7'h26 : foobar = {foobar, "38"}; - 7'h27 : foobar = {foobar, "39"}; - 7'h28 : foobar = {foobar, "40"}; - 7'h29 : foobar = {foobar, "41"}; - 7'h2a : foobar = {foobar, "42"}; - 7'h2b : foobar = {foobar, "43"}; - 7'h2c : foobar = {foobar, "44"}; - 7'h2d : foobar = {foobar, "45"}; - 7'h2e : foobar = {foobar, "46"}; - 7'h2f : foobar = {foobar, "47"}; - 7'h30 : foobar = {foobar, "48"}; - 7'h31 : foobar = {foobar, "49"}; - 7'h32 : foobar = {foobar, "50"}; - 7'h33 : foobar = {foobar, "51"}; - 7'h34 : foobar = {foobar, "52"}; - 7'h35 : foobar = {foobar, "53"}; - 7'h36 : foobar = {foobar, "54"}; - 7'h37 : foobar = {foobar, "55"}; - 7'h38 : foobar = {foobar, "56"}; - 7'h39 : foobar = {foobar, "57"}; - 7'h3a : foobar = {foobar, "58"}; - 7'h3b : foobar = {foobar, "59"}; - 7'h3c : foobar = {foobar, "60"}; - 7'h3d : foobar = {foobar, "61"}; - 7'h3e : foobar = {foobar, "62"}; - 7'h3f : foobar = {foobar, "63"}; - 7'h40 : foobar = {foobar, "64"}; - 7'h41 : foobar = {foobar, "65"}; - 7'h42 : foobar = {foobar, "66"}; - 7'h43 : foobar = {foobar, "67"}; - 7'h44 : foobar = {foobar, "68"}; - 7'h45 : foobar = {foobar, "69"}; - 7'h46 : foobar = {foobar, "70"}; - 7'h47 : foobar = {foobar, "71"}; - 7'h48 : foobar = {foobar, "72"}; - 7'h49 : foobar = {foobar, "73"}; - 7'h4a : foobar = {foobar, "74"}; - 7'h4b : foobar = {foobar, "75"}; - 7'h4c : foobar = {foobar, "76"}; - 7'h4d : foobar = {foobar, "77"}; - 7'h4e : foobar = {foobar, "78"}; - 7'h4f : foobar = {foobar, "79"}; - 7'h50 : foobar = {foobar, "80"}; - 7'h51 : foobar = {foobar, "81"}; - 7'h52 : foobar = {foobar, "82"}; - 7'h53 : foobar = {foobar, "83"}; - 7'h54 : foobar = {foobar, "84"}; - 7'h55 : foobar = {foobar, "85"}; - 7'h56 : foobar = {foobar, "86"}; - 7'h57 : foobar = {foobar, "87"}; - 7'h58 : foobar = {foobar, "88"}; - 7'h59 : foobar = {foobar, "89"}; - 7'h5a : foobar = {foobar, "90"}; - 7'h5b : foobar = {foobar, "91"}; - 7'h5c : foobar = {foobar, "92"}; - 7'h5d : foobar = {foobar, "93"}; - 7'h5e : foobar = {foobar, "94"}; - 7'h5f : foobar = {foobar, "95"}; - 7'h60 : foobar = {foobar, "96"}; - 7'h61 : foobar = {foobar, "97"}; - 7'h62 : foobar = {foobar, "98"}; - 7'h63 : foobar = {foobar, "99"}; - 7'h64 : foobar = {foobar, "100"}; - 7'h65 : foobar = {foobar, "101"}; - 7'h66 : foobar = {foobar, "102"}; - 7'h67 : foobar = {foobar, "103"}; - 7'h68 : foobar = {foobar, "104"}; - 7'h69 : foobar = {foobar, "105"}; - 7'h6a : foobar = {foobar, "106"}; - 7'h6b : foobar = {foobar, "107"}; - 7'h6c : foobar = {foobar, "108"}; - 7'h6d : foobar = {foobar, "109"}; - 7'h6e : foobar = {foobar, "110"}; - 7'h6f : foobar = {foobar, "111"}; - 7'h70 : foobar = {foobar, "112"}; - 7'h71 : foobar = {foobar, "113"}; - 7'h72 : foobar = {foobar, "114"}; - 7'h73 : foobar = {foobar, "115"}; - 7'h74 : foobar = {foobar, "116"}; - 7'h75 : foobar = {foobar, "117"}; - 7'h76 : foobar = {foobar, "118"}; - 7'h77 : foobar = {foobar, "119"}; - 7'h78 : foobar = {foobar, "120"}; - 7'h79 : foobar = {foobar, "121"}; - 7'h7a : foobar = {foobar, "122"}; - 7'h7b : foobar = {foobar, "123"}; - 7'h7c : foobar = {foobar, "124"}; - 7'h7d : foobar = {foobar, "125"}; - 7'h7e : foobar = {foobar, "126"}; - 7'h7f : foobar = {foobar, "127"}; - default:foobar = {foobar, "128"}; + 7'h00 : foobar = {foobar, " 0"}; + 7'h01 : foobar = {foobar, " 1"}; + 7'h02 : foobar = {foobar, " 2"}; + 7'h03 : foobar = {foobar, " 3"}; + 7'h04 : foobar = {foobar, " 4"}; + 7'h05 : foobar = {foobar, " 5"}; + 7'h06 : foobar = {foobar, " 6"}; + 7'h07 : foobar = {foobar, " 7"}; + 7'h08 : foobar = {foobar, " 8"}; + 7'h09 : foobar = {foobar, " 9"}; + 7'h0a : foobar = {foobar, " 10"}; + 7'h0b : foobar = {foobar, " 11"}; + 7'h0c : foobar = {foobar, " 12"}; + 7'h0d : foobar = {foobar, " 13"}; + 7'h0e : foobar = {foobar, " 14"}; + 7'h0f : foobar = {foobar, " 15"}; + 7'h10 : foobar = {foobar, " 16"}; + 7'h11 : foobar = {foobar, " 17"}; + 7'h12 : foobar = {foobar, " 18"}; + 7'h13 : foobar = {foobar, " 19"}; + 7'h14 : foobar = {foobar, " 20"}; + 7'h15 : foobar = {foobar, " 21"}; + 7'h16 : foobar = {foobar, " 22"}; + 7'h17 : foobar = {foobar, " 23"}; + 7'h18 : foobar = {foobar, " 24"}; + 7'h19 : foobar = {foobar, " 25"}; + 7'h1a : foobar = {foobar, " 26"}; + 7'h1b : foobar = {foobar, " 27"}; + 7'h1c : foobar = {foobar, " 28"}; + 7'h1d : foobar = {foobar, " 29"}; + 7'h1e : foobar = {foobar, " 30"}; + 7'h1f : foobar = {foobar, " 31"}; + 7'h20 : foobar = {foobar, " 32"}; + 7'h21 : foobar = {foobar, " 33"}; + 7'h22 : foobar = {foobar, " 34"}; + 7'h23 : foobar = {foobar, " 35"}; + 7'h24 : foobar = {foobar, " 36"}; + 7'h25 : foobar = {foobar, " 37"}; + 7'h26 : foobar = {foobar, " 38"}; + 7'h27 : foobar = {foobar, " 39"}; + 7'h28 : foobar = {foobar, " 40"}; + 7'h29 : foobar = {foobar, " 41"}; + 7'h2a : foobar = {foobar, " 42"}; + 7'h2b : foobar = {foobar, " 43"}; + 7'h2c : foobar = {foobar, " 44"}; + 7'h2d : foobar = {foobar, " 45"}; + 7'h2e : foobar = {foobar, " 46"}; + 7'h2f : foobar = {foobar, " 47"}; + 7'h30 : foobar = {foobar, " 48"}; + 7'h31 : foobar = {foobar, " 49"}; + 7'h32 : foobar = {foobar, " 50"}; + 7'h33 : foobar = {foobar, " 51"}; + 7'h34 : foobar = {foobar, " 52"}; + 7'h35 : foobar = {foobar, " 53"}; + 7'h36 : foobar = {foobar, " 54"}; + 7'h37 : foobar = {foobar, " 55"}; + 7'h38 : foobar = {foobar, " 56"}; + 7'h39 : foobar = {foobar, " 57"}; + 7'h3a : foobar = {foobar, " 58"}; + 7'h3b : foobar = {foobar, " 59"}; + 7'h3c : foobar = {foobar, " 60"}; + 7'h3d : foobar = {foobar, " 61"}; + 7'h3e : foobar = {foobar, " 62"}; + 7'h3f : foobar = {foobar, " 63"}; + 7'h40 : foobar = {foobar, " 64"}; + 7'h41 : foobar = {foobar, " 65"}; + 7'h42 : foobar = {foobar, " 66"}; + 7'h43 : foobar = {foobar, " 67"}; + 7'h44 : foobar = {foobar, " 68"}; + 7'h45 : foobar = {foobar, " 69"}; + 7'h46 : foobar = {foobar, " 70"}; + 7'h47 : foobar = {foobar, " 71"}; + 7'h48 : foobar = {foobar, " 72"}; + 7'h49 : foobar = {foobar, " 73"}; + 7'h4a : foobar = {foobar, " 74"}; + 7'h4b : foobar = {foobar, " 75"}; + 7'h4c : foobar = {foobar, " 76"}; + 7'h4d : foobar = {foobar, " 77"}; + 7'h4e : foobar = {foobar, " 78"}; + 7'h4f : foobar = {foobar, " 79"}; + 7'h50 : foobar = {foobar, " 80"}; + 7'h51 : foobar = {foobar, " 81"}; + 7'h52 : foobar = {foobar, " 82"}; + 7'h53 : foobar = {foobar, " 83"}; + 7'h54 : foobar = {foobar, " 84"}; + 7'h55 : foobar = {foobar, " 85"}; + 7'h56 : foobar = {foobar, " 86"}; + 7'h57 : foobar = {foobar, " 87"}; + 7'h58 : foobar = {foobar, " 88"}; + 7'h59 : foobar = {foobar, " 89"}; + 7'h5a : foobar = {foobar, " 90"}; + 7'h5b : foobar = {foobar, " 91"}; + 7'h5c : foobar = {foobar, " 92"}; + 7'h5d : foobar = {foobar, " 93"}; + 7'h5e : foobar = {foobar, " 94"}; + 7'h5f : foobar = {foobar, " 95"}; + 7'h60 : foobar = {foobar, " 96"}; + 7'h61 : foobar = {foobar, " 97"}; + 7'h62 : foobar = {foobar, " 98"}; + 7'h63 : foobar = {foobar, " 99"}; + 7'h64 : foobar = {foobar, " 100"}; + 7'h65 : foobar = {foobar, " 101"}; + 7'h66 : foobar = {foobar, " 102"}; + 7'h67 : foobar = {foobar, " 103"}; + 7'h68 : foobar = {foobar, " 104"}; + 7'h69 : foobar = {foobar, " 105"}; + 7'h6a : foobar = {foobar, " 106"}; + 7'h6b : foobar = {foobar, " 107"}; + 7'h6c : foobar = {foobar, " 108"}; + 7'h6d : foobar = {foobar, " 109"}; + 7'h6e : foobar = {foobar, " 110"}; + 7'h6f : foobar = {foobar, " 111"}; + 7'h70 : foobar = {foobar, " 112"}; + 7'h71 : foobar = {foobar, " 113"}; + 7'h72 : foobar = {foobar, " 114"}; + 7'h73 : foobar = {foobar, " 115"}; + 7'h74 : foobar = {foobar, " 116"}; + 7'h75 : foobar = {foobar, " 117"}; + 7'h76 : foobar = {foobar, " 118"}; + 7'h77 : foobar = {foobar, " 119"}; + 7'h78 : foobar = {foobar, " 120"}; + 7'h79 : foobar = {foobar, " 121"}; + 7'h7a : foobar = {foobar, " 122"}; + 7'h7b : foobar = {foobar, " 123"}; + 7'h7c : foobar = {foobar, " 124"}; + 7'h7d : foobar = {foobar, " 125"}; + 7'h7e : foobar = {foobar, " 126"}; + 7'h7f : foobar = {foobar, " 127"}; + default:foobar = {foobar, " 128"}; endcase end endtask @@ -153,12 +154,13 @@ module t_case_write_tasks (); task ozonerb; input [5:0] rb; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (rb[5:0]) 6'h10, 6'h17, 6'h1e, - 6'h1f: foobar = {foobar, "129"}; + 6'h1f: foobar = {foobar, " 129"}; default: ozonerab({1'b1, rb}, foobar); endcase end @@ -168,6 +170,7 @@ module t_case_write_tasks (); input [1:0] foo; input [15:0] im16; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (foo) 2'h0 : @@ -178,11 +181,11 @@ module t_case_write_tasks (); skyway(im16[11: 8], foobar); skyway(im16[ 7: 4], foobar); skyway(im16[ 3:0], foobar); - foobar = {foobar, "130"}; + foobar = {foobar, " 130"}; end 2'h1 : begin - foobar = {foobar, "131"}; + foobar = {foobar, " 131"}; skyway(im16[15:12], foobar); skyway(im16[11: 8], foobar); skyway(im16[ 7: 4], foobar); @@ -196,11 +199,11 @@ module t_case_write_tasks (); skyway(im16[11: 8], foobar); skyway(im16[ 7: 4], foobar); skyway(im16[ 3:0], foobar); - foobar = {foobar, "132"}; + foobar = {foobar, " 132"}; end 2'h3 : begin - foobar = {foobar, "133"}; + foobar = {foobar, " 133"}; skyway(im16[15:12], foobar); skyway(im16[11: 8], foobar); skyway(im16[ 7: 4], foobar); @@ -213,24 +216,25 @@ module t_case_write_tasks (); task skyway; input [ 3:0] hex; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (hex) - 4'h0 : foobar = {foobar, "134"}; - 4'h1 : foobar = {foobar, "135"}; - 4'h2 : foobar = {foobar, "136"}; - 4'h3 : foobar = {foobar, "137"}; - 4'h4 : foobar = {foobar, "138"}; - 4'h5 : foobar = {foobar, "139"}; - 4'h6 : foobar = {foobar, "140"}; - 4'h7 : foobar = {foobar, "141"}; - 4'h8 : foobar = {foobar, "142"}; - 4'h9 : foobar = {foobar, "143"}; - 4'ha : foobar = {foobar, "144"}; - 4'hb : foobar = {foobar, "145"}; - 4'hc : foobar = {foobar, "146"}; - 4'hd : foobar = {foobar, "147"}; - 4'he : foobar = {foobar, "148"}; - 4'hf : foobar = {foobar, "149"}; + 4'h0 : foobar = {foobar, " 134"}; + 4'h1 : foobar = {foobar, " 135"}; + 4'h2 : foobar = {foobar, " 136"}; + 4'h3 : foobar = {foobar, " 137"}; + 4'h4 : foobar = {foobar, " 138"}; + 4'h5 : foobar = {foobar, " 139"}; + 4'h6 : foobar = {foobar, " 140"}; + 4'h7 : foobar = {foobar, " 141"}; + 4'h8 : foobar = {foobar, " 142"}; + 4'h9 : foobar = {foobar, " 143"}; + 4'ha : foobar = {foobar, " 144"}; + 4'hb : foobar = {foobar, " 145"}; + 4'hc : foobar = {foobar, " 146"}; + 4'hd : foobar = {foobar, " 147"}; + 4'he : foobar = {foobar, " 148"}; + 4'hf : foobar = {foobar, " 149"}; endcase end endtask @@ -238,16 +242,17 @@ module t_case_write_tasks (); task ozonesr; input [ 15:0] foo; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (foo[11: 9]) - 3'h0 : foobar = {foobar, "158"}; - 3'h1 : foobar = {foobar, "159"}; - 3'h2 : foobar = {foobar, "160"}; - 3'h3 : foobar = {foobar, "161"}; - 3'h4 : foobar = {foobar, "162"}; - 3'h5 : foobar = {foobar, "163"}; - 3'h6 : foobar = {foobar, "164"}; - 3'h7 : foobar = {foobar, "165"}; + 3'h0 : foobar = {foobar, " 158"}; + 3'h1 : foobar = {foobar, " 159"}; + 3'h2 : foobar = {foobar, " 160"}; + 3'h3 : foobar = {foobar, " 161"}; + 3'h4 : foobar = {foobar, " 162"}; + 3'h5 : foobar = {foobar, " 163"}; + 3'h6 : foobar = {foobar, " 164"}; + 3'h7 : foobar = {foobar, " 165"}; endcase end endtask @@ -255,27 +260,29 @@ module t_case_write_tasks (); task ozonejk; input k; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin if (k) - foobar = {foobar, "166"}; + foobar = {foobar, " 166"}; else - foobar = {foobar, "167"}; + foobar = {foobar, " 167"}; end endtask task ozoneae; input [ 2:0] ae; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (ae) - 3'b000 : foobar = {foobar, "168"}; - 3'b001 : foobar = {foobar, "169"}; - 3'b010 : foobar = {foobar, "170"}; - 3'b011 : foobar = {foobar, "171"}; - 3'b100 : foobar = {foobar, "172"}; - 3'b101 : foobar = {foobar, "173"}; - 3'b110 : foobar = {foobar, "174"}; - 3'b111 : foobar = {foobar, "175"}; + 3'b000 : foobar = {foobar, " 168"}; + 3'b001 : foobar = {foobar, " 169"}; + 3'b010 : foobar = {foobar, " 170"}; + 3'b011 : foobar = {foobar, " 171"}; + 3'b100 : foobar = {foobar, " 172"}; + 3'b101 : foobar = {foobar, " 173"}; + 3'b110 : foobar = {foobar, " 174"}; + 3'b111 : foobar = {foobar, " 175"}; endcase end endtask @@ -283,16 +290,17 @@ module t_case_write_tasks (); task ozoneaee; input [ 2:0] aee; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (aee) 3'b001, 3'b011, 3'b101, - 3'b111 : foobar = {foobar, "176"}; - 3'b000 : foobar = {foobar, "177"}; - 3'b010 : foobar = {foobar, "178"}; - 3'b100 : foobar = {foobar, "179"}; - 3'b110 : foobar = {foobar, "180"}; + 3'b111 : foobar = {foobar, " 176"}; + 3'b000 : foobar = {foobar, " 177"}; + 3'b010 : foobar = {foobar, " 178"}; + 3'b100 : foobar = {foobar, " 179"}; + 3'b110 : foobar = {foobar, " 180"}; endcase end endtask @@ -300,16 +308,17 @@ module t_case_write_tasks (); task ozoneape; input [ 2:0] ape; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (ape) 3'b001, 3'b011, 3'b101, - 3'b111 : foobar = {foobar, "181"}; - 3'b000 : foobar = {foobar, "182"}; - 3'b010 : foobar = {foobar, "183"}; - 3'b100 : foobar = {foobar, "184"}; - 3'b110 : foobar = {foobar, "185"}; + 3'b111 : foobar = {foobar, " 181"}; + 3'b000 : foobar = {foobar, " 182"}; + 3'b010 : foobar = {foobar, " 183"}; + 3'b100 : foobar = {foobar, " 184"}; + 3'b110 : foobar = {foobar, " 185"}; endcase end endtask @@ -317,97 +326,98 @@ module t_case_write_tasks (); task ozonef1; input [ 31:0] foo; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (foo[24:21]) 4'h0 : if (foo[26]) - foobar = {foobar, "186"}; + foobar = {foobar, " 186"}; else - foobar = {foobar, "187"}; + foobar = {foobar, " 187"}; 4'h1 : case (foo[26:25]) - 2'b00 : foobar = {foobar, "188"}; - 2'b01 : foobar = {foobar, "189"}; - 2'b10 : foobar = {foobar, "190"}; - 2'b11 : foobar = {foobar, "191"}; + 2'b00 : foobar = {foobar, " 188"}; + 2'b01 : foobar = {foobar, " 189"}; + 2'b10 : foobar = {foobar, " 190"}; + 2'b11 : foobar = {foobar, " 191"}; endcase - 4'h2 : foobar = {foobar, "192"}; + 4'h2 : foobar = {foobar, " 192"}; 4'h3 : case (foo[26:25]) - 2'b00 : foobar = {foobar, "193"}; - 2'b01 : foobar = {foobar, "194"}; - 2'b10 : foobar = {foobar, "195"}; - 2'b11 : foobar = {foobar, "196"}; + 2'b00 : foobar = {foobar, " 193"}; + 2'b01 : foobar = {foobar, " 194"}; + 2'b10 : foobar = {foobar, " 195"}; + 2'b11 : foobar = {foobar, " 196"}; endcase 4'h4 : if (foo[26]) - foobar = {foobar, "197"}; + foobar = {foobar, " 197"}; else - foobar = {foobar, "198"}; + foobar = {foobar, " 198"}; 4'h5 : case (foo[26:25]) - 2'b00 : foobar = {foobar, "199"}; - 2'b01 : foobar = {foobar, "200"}; - 2'b10 : foobar = {foobar, "201"}; - 2'b11 : foobar = {foobar, "202"}; + 2'b00 : foobar = {foobar, " 199"}; + 2'b01 : foobar = {foobar, " 200"}; + 2'b10 : foobar = {foobar, " 201"}; + 2'b11 : foobar = {foobar, " 202"}; endcase - 4'h6 : foobar = {foobar, "203"}; + 4'h6 : foobar = {foobar, " 203"}; 4'h7 : case (foo[26:25]) - 2'b00 : foobar = {foobar, "204"}; - 2'b01 : foobar = {foobar, "205"}; - 2'b10 : foobar = {foobar, "206"}; - 2'b11 : foobar = {foobar, "207"}; + 2'b00 : foobar = {foobar, " 204"}; + 2'b01 : foobar = {foobar, " 205"}; + 2'b10 : foobar = {foobar, " 206"}; + 2'b11 : foobar = {foobar, " 207"}; endcase 4'h8 : case (foo[26:25]) - 2'b00 : foobar = {foobar, "208"}; - 2'b01 : foobar = {foobar, "209"}; - 2'b10 : foobar = {foobar, "210"}; - 2'b11 : foobar = {foobar, "211"}; + 2'b00 : foobar = {foobar, " 208"}; + 2'b01 : foobar = {foobar, " 209"}; + 2'b10 : foobar = {foobar, " 210"}; + 2'b11 : foobar = {foobar, " 211"}; endcase 4'h9 : case (foo[26:25]) - 2'b00 : foobar = {foobar, "212"}; - 2'b01 : foobar = {foobar, "213"}; - 2'b10 : foobar = {foobar, "214"}; - 2'b11 : foobar = {foobar, "215"}; + 2'b00 : foobar = {foobar, " 212"}; + 2'b01 : foobar = {foobar, " 213"}; + 2'b10 : foobar = {foobar, " 214"}; + 2'b11 : foobar = {foobar, " 215"}; endcase 4'ha : if (foo[25]) - foobar = {foobar, "216"}; + foobar = {foobar, " 216"}; else - foobar = {foobar, "217"}; + foobar = {foobar, " 217"}; 4'hb : if (foo[25]) - foobar = {foobar, "218"}; + foobar = {foobar, " 218"}; else - foobar = {foobar, "219"}; + foobar = {foobar, " 219"}; 4'hc : if (foo[26]) - foobar = {foobar, "220"}; + foobar = {foobar, " 220"}; else - foobar = {foobar, "221"}; + foobar = {foobar, " 221"}; 4'hd : case (foo[26:25]) - 2'b00 : foobar = {foobar, "222"}; - 2'b01 : foobar = {foobar, "223"}; - 2'b10 : foobar = {foobar, "224"}; - 2'b11 : foobar = {foobar, "225"}; + 2'b00 : foobar = {foobar, " 222"}; + 2'b01 : foobar = {foobar, " 223"}; + 2'b10 : foobar = {foobar, " 224"}; + 2'b11 : foobar = {foobar, " 225"}; endcase 4'he : case (foo[26:25]) - 2'b00 : foobar = {foobar, "226"}; - 2'b01 : foobar = {foobar, "227"}; - 2'b10 : foobar = {foobar, "228"}; - 2'b11 : foobar = {foobar, "229"}; + 2'b00 : foobar = {foobar, " 226"}; + 2'b01 : foobar = {foobar, " 227"}; + 2'b10 : foobar = {foobar, " 228"}; + 2'b11 : foobar = {foobar, " 229"}; endcase 4'hf : case (foo[26:25]) - 2'b00 : foobar = {foobar, "230"}; - 2'b01 : foobar = {foobar, "231"}; - 2'b10 : foobar = {foobar, "232"}; - 2'b11 : foobar = {foobar, "233"}; + 2'b00 : foobar = {foobar, " 230"}; + 2'b01 : foobar = {foobar, " 231"}; + 2'b10 : foobar = {foobar, " 232"}; + 2'b11 : foobar = {foobar, " 233"}; endcase endcase end @@ -416,1121 +426,1122 @@ module t_case_write_tasks (); task ozonef1e; input [ 31:0] foo; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (foo[27:21]) 7'h00: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"234"}; - foobar = {foobar, "235"}; + foobar = {foobar," 234"}; + foobar = {foobar, " 235"}; end 7'h01: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"236"}; + foobar = {foobar," 236"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"237"}; - foobar = {foobar, "238"}; + foobar = {foobar," 237"}; + foobar = {foobar, " 238"}; end 7'h02: - foobar = {foobar, "239"}; + foobar = {foobar, " 239"}; 7'h03: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"240"}; + foobar = {foobar," 240"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"241"}; - foobar = {foobar, "242"}; + foobar = {foobar," 241"}; + foobar = {foobar, " 242"}; end 7'h04: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"243"}; - foobar = {foobar,"244"}; + foobar = {foobar," 243"}; + foobar = {foobar," 244"}; end 7'h05: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"245"}; + foobar = {foobar," 245"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"246"}; + foobar = {foobar," 246"}; end 7'h06: - foobar = {foobar, "247"}; + foobar = {foobar, " 247"}; 7'h07: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"248"}; + foobar = {foobar," 248"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"249"}; + foobar = {foobar," 249"}; end 7'h08: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"250"}; + foobar = {foobar," 250"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"251"}; + foobar = {foobar," 251"}; end 7'h09: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"252"}; + foobar = {foobar," 252"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"253"}; + foobar = {foobar," 253"}; end 7'h0a: begin ozoneae(foo[17:15], foobar); - foobar = {foobar,"254"}; + foobar = {foobar," 254"}; end 7'h0b: begin ozoneae(foo[17:15], foobar); - foobar = {foobar,"255"}; + foobar = {foobar," 255"}; end 7'h0c: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"256"}; + foobar = {foobar," 256"}; end 7'h0d: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"257"}; + foobar = {foobar," 257"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"258"}; + foobar = {foobar," 258"}; end 7'h0e: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"259"}; + foobar = {foobar," 259"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"260"}; + foobar = {foobar," 260"}; end 7'h0f: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"261"}; + foobar = {foobar," 261"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"262"}; + foobar = {foobar," 262"}; end 7'h10: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"263"}; + foobar = {foobar," 263"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"264"}; - foobar = {foobar, "265"}; - foobar = {foobar, "266"}; + foobar = {foobar," 264"}; + foobar = {foobar, " 265"}; + foobar = {foobar, " 266"}; end 7'h11: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"267"}; + foobar = {foobar," 267"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"268"}; - foobar = {foobar, "269"}; - foobar = {foobar, "270"}; + foobar = {foobar," 268"}; + foobar = {foobar, " 269"}; + foobar = {foobar, " 270"}; end 7'h12: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"271"}; + foobar = {foobar," 271"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"272"}; - foobar = {foobar, "273"}; - foobar = {foobar, "274"}; + foobar = {foobar," 272"}; + foobar = {foobar, " 273"}; + foobar = {foobar, " 274"}; end 7'h13: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"275"}; + foobar = {foobar," 275"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"276"}; - foobar = {foobar, "277"}; - foobar = {foobar, "278"}; + foobar = {foobar," 276"}; + foobar = {foobar, " 277"}; + foobar = {foobar, " 278"}; end 7'h14: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"279"}; + foobar = {foobar," 279"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"280"}; + foobar = {foobar," 280"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"281"}; + foobar = {foobar," 281"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"282"}; - foobar = {foobar, "283"}; - foobar = {foobar, "284"}; + foobar = {foobar," 282"}; + foobar = {foobar, " 283"}; + foobar = {foobar, " 284"}; end 7'h15: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"285"}; + foobar = {foobar," 285"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"286"}; + foobar = {foobar," 286"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"287"}; + foobar = {foobar," 287"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"288"}; - foobar = {foobar, "289"}; - foobar = {foobar, "290"}; + foobar = {foobar," 288"}; + foobar = {foobar, " 289"}; + foobar = {foobar, " 290"}; end 7'h16: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"291"}; + foobar = {foobar," 291"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"292"}; + foobar = {foobar," 292"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"293"}; + foobar = {foobar," 293"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"294"}; - foobar = {foobar, "295"}; - foobar = {foobar, "296"}; + foobar = {foobar," 294"}; + foobar = {foobar, " 295"}; + foobar = {foobar, " 296"}; end 7'h17: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"297"}; + foobar = {foobar," 297"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"298"}; + foobar = {foobar," 298"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"299"}; + foobar = {foobar," 299"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"300"}; - foobar = {foobar, "301"}; - foobar = {foobar, "302"}; + foobar = {foobar," 300"}; + foobar = {foobar, " 301"}; + foobar = {foobar, " 302"}; end 7'h18: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"303"}; + foobar = {foobar," 303"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"304"}; - foobar = {foobar, "305"}; - foobar = {foobar, "306"}; + foobar = {foobar," 304"}; + foobar = {foobar, " 305"}; + foobar = {foobar, " 306"}; end 7'h19: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"307"}; + foobar = {foobar," 307"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"308"}; - foobar = {foobar, "309"}; - foobar = {foobar, "310"}; + foobar = {foobar," 308"}; + foobar = {foobar, " 309"}; + foobar = {foobar, " 310"}; end 7'h1a: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"311"}; + foobar = {foobar," 311"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"312"}; - foobar = {foobar, "313"}; - foobar = {foobar, "314"}; + foobar = {foobar," 312"}; + foobar = {foobar, " 313"}; + foobar = {foobar, " 314"}; end 7'h1b: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"315"}; + foobar = {foobar," 315"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"316"}; - foobar = {foobar, "317"}; - foobar = {foobar, "318"}; + foobar = {foobar," 316"}; + foobar = {foobar, " 317"}; + foobar = {foobar, " 318"}; end 7'h1c: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"319"}; + foobar = {foobar," 319"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"320"}; - foobar = {foobar, "321"}; - foobar = {foobar, "322"}; + foobar = {foobar," 320"}; + foobar = {foobar, " 321"}; + foobar = {foobar, " 322"}; end 7'h1d: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"323"}; + foobar = {foobar," 323"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"324"}; - foobar = {foobar, "325"}; - foobar = {foobar, "326"}; + foobar = {foobar," 324"}; + foobar = {foobar, " 325"}; + foobar = {foobar, " 326"}; end 7'h1e: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"327"}; + foobar = {foobar," 327"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"328"}; + foobar = {foobar," 328"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"329"}; + foobar = {foobar," 329"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"330"}; - foobar = {foobar, "331"}; - foobar = {foobar, "332"}; + foobar = {foobar," 330"}; + foobar = {foobar, " 331"}; + foobar = {foobar, " 332"}; end 7'h1f: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"333"}; + foobar = {foobar," 333"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"334"}; + foobar = {foobar," 334"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"335"}; + foobar = {foobar," 335"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"336"}; - foobar = {foobar, "337"}; - foobar = {foobar, "338"}; + foobar = {foobar," 336"}; + foobar = {foobar, " 337"}; + foobar = {foobar, " 338"}; end 7'h20: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"339"}; + foobar = {foobar," 339"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"340"}; + foobar = {foobar," 340"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"341"}; + foobar = {foobar," 341"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"342"}; - foobar = {foobar, "343"}; - foobar = {foobar, "344"}; + foobar = {foobar," 342"}; + foobar = {foobar, " 343"}; + foobar = {foobar, " 344"}; end 7'h21: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"345"}; + foobar = {foobar," 345"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"346"}; + foobar = {foobar," 346"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"347"}; + foobar = {foobar," 347"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"348"}; - foobar = {foobar, "349"}; - foobar = {foobar, "350"}; + foobar = {foobar," 348"}; + foobar = {foobar, " 349"}; + foobar = {foobar, " 350"}; end 7'h22: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"351"}; + foobar = {foobar," 351"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"352"}; - foobar = {foobar, "353"}; - foobar = {foobar, "354"}; + foobar = {foobar," 352"}; + foobar = {foobar, " 353"}; + foobar = {foobar, " 354"}; end 7'h23: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"355"}; + foobar = {foobar," 355"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"356"}; - foobar = {foobar, "357"}; - foobar = {foobar, "358"}; + foobar = {foobar," 356"}; + foobar = {foobar, " 357"}; + foobar = {foobar, " 358"}; end 7'h24: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"359"}; + foobar = {foobar," 359"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"360"}; - foobar = {foobar, "361"}; - foobar = {foobar, "362"}; + foobar = {foobar," 360"}; + foobar = {foobar, " 361"}; + foobar = {foobar, " 362"}; end 7'h25: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"363"}; + foobar = {foobar," 363"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"364"}; - foobar = {foobar, "365"}; - foobar = {foobar, "366"}; + foobar = {foobar," 364"}; + foobar = {foobar, " 365"}; + foobar = {foobar, " 366"}; end 7'h26: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"367"}; + foobar = {foobar," 367"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"368"}; - foobar = {foobar, "369"}; - foobar = {foobar, "370"}; + foobar = {foobar," 368"}; + foobar = {foobar, " 369"}; + foobar = {foobar, " 370"}; end 7'h27: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"371"}; + foobar = {foobar," 371"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"372"}; - foobar = {foobar, "373"}; - foobar = {foobar, "374"}; + foobar = {foobar," 372"}; + foobar = {foobar, " 373"}; + foobar = {foobar, " 374"}; end 7'h28: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"375"}; + foobar = {foobar," 375"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"376"}; + foobar = {foobar," 376"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"377"}; + foobar = {foobar," 377"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"378"}; - foobar = {foobar, "379"}; - foobar = {foobar, "380"}; + foobar = {foobar," 378"}; + foobar = {foobar, " 379"}; + foobar = {foobar, " 380"}; end 7'h29: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"381"}; + foobar = {foobar," 381"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"382"}; + foobar = {foobar," 382"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"383"}; + foobar = {foobar," 383"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"384"}; - foobar = {foobar, "385"}; - foobar = {foobar, "386"}; + foobar = {foobar," 384"}; + foobar = {foobar, " 385"}; + foobar = {foobar, " 386"}; end 7'h2a: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"387"}; + foobar = {foobar," 387"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"388"}; + foobar = {foobar," 388"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"389"}; + foobar = {foobar," 389"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"390"}; - foobar = {foobar, "391"}; - foobar = {foobar, "392"}; + foobar = {foobar," 390"}; + foobar = {foobar, " 391"}; + foobar = {foobar, " 392"}; end 7'h2b: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"393"}; + foobar = {foobar," 393"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"394"}; + foobar = {foobar," 394"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"395"}; + foobar = {foobar," 395"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"396"}; - foobar = {foobar, "397"}; - foobar = {foobar, "398"}; + foobar = {foobar," 396"}; + foobar = {foobar, " 397"}; + foobar = {foobar, " 398"}; end 7'h2c: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"399"}; + foobar = {foobar," 399"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"400"}; - foobar = {foobar, "401"}; - foobar = {foobar, "402"}; + foobar = {foobar," 400"}; + foobar = {foobar, " 401"}; + foobar = {foobar, " 402"}; end 7'h2d: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"403"}; + foobar = {foobar," 403"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"404"}; - foobar = {foobar, "405"}; - foobar = {foobar, "406"}; + foobar = {foobar," 404"}; + foobar = {foobar, " 405"}; + foobar = {foobar, " 406"}; end 7'h2e: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"407"}; + foobar = {foobar," 407"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"408"}; - foobar = {foobar, "409"}; - foobar = {foobar, "410"}; + foobar = {foobar," 408"}; + foobar = {foobar, " 409"}; + foobar = {foobar, " 410"}; end 7'h2f: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"411"}; + foobar = {foobar," 411"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"412"}; - foobar = {foobar, "413"}; - foobar = {foobar, "414"}; + foobar = {foobar," 412"}; + foobar = {foobar, " 413"}; + foobar = {foobar, " 414"}; end 7'h30: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"415"}; + foobar = {foobar," 415"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"416"}; - foobar = {foobar, "417"}; - foobar = {foobar, "418"}; + foobar = {foobar," 416"}; + foobar = {foobar, " 417"}; + foobar = {foobar, " 418"}; end 7'h31: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"419"}; + foobar = {foobar," 419"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"420"}; - foobar = {foobar, "421"}; - foobar = {foobar, "422"}; + foobar = {foobar," 420"}; + foobar = {foobar, " 421"}; + foobar = {foobar, " 422"}; end 7'h32: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"423"}; + foobar = {foobar," 423"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"424"}; + foobar = {foobar," 424"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"425"}; + foobar = {foobar," 425"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"426"}; - foobar = {foobar, "427"}; - foobar = {foobar, "428"}; + foobar = {foobar," 426"}; + foobar = {foobar, " 427"}; + foobar = {foobar, " 428"}; end 7'h33: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"429"}; + foobar = {foobar," 429"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"430"}; + foobar = {foobar," 430"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"431"}; + foobar = {foobar," 431"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"432"}; - foobar = {foobar, "433"}; - foobar = {foobar, "434"}; + foobar = {foobar," 432"}; + foobar = {foobar, " 433"}; + foobar = {foobar, " 434"}; end 7'h34: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"435"}; + foobar = {foobar," 435"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"436"}; + foobar = {foobar," 436"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"437"}; + foobar = {foobar," 437"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"438"}; - foobar = {foobar, "439"}; - foobar = {foobar, "440"}; + foobar = {foobar," 438"}; + foobar = {foobar, " 439"}; + foobar = {foobar, " 440"}; end 7'h35: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"441"}; + foobar = {foobar," 441"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"442"}; + foobar = {foobar," 442"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"443"}; + foobar = {foobar," 443"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"444"}; - foobar = {foobar, "445"}; - foobar = {foobar, "446"}; + foobar = {foobar," 444"}; + foobar = {foobar, " 445"}; + foobar = {foobar, " 446"}; end 7'h36: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"447"}; + foobar = {foobar," 447"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"448"}; - foobar = {foobar, "449"}; - foobar = {foobar, "450"}; + foobar = {foobar," 448"}; + foobar = {foobar, " 449"}; + foobar = {foobar, " 450"}; end 7'h37: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"451"}; + foobar = {foobar," 451"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"452"}; - foobar = {foobar, "453"}; - foobar = {foobar, "454"}; + foobar = {foobar," 452"}; + foobar = {foobar, " 453"}; + foobar = {foobar, " 454"}; end 7'h38: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"455"}; + foobar = {foobar," 455"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"456"}; - foobar = {foobar, "457"}; + foobar = {foobar," 456"}; + foobar = {foobar, " 457"}; end 7'h39: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"458"}; + foobar = {foobar," 458"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"459"}; - foobar = {foobar, "460"}; + foobar = {foobar," 459"}; + foobar = {foobar, " 460"}; end 7'h3a: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"461"}; + foobar = {foobar," 461"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"462"}; - foobar = {foobar, "463"}; + foobar = {foobar," 462"}; + foobar = {foobar, " 463"}; end 7'h3b: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"464"}; + foobar = {foobar," 464"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"465"}; - foobar = {foobar, "466"}; + foobar = {foobar," 465"}; + foobar = {foobar, " 466"}; end 7'h3c: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"467"}; + foobar = {foobar," 467"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"468"}; + foobar = {foobar," 468"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"469"}; + foobar = {foobar," 469"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"470"}; - foobar = {foobar, "471"}; + foobar = {foobar," 470"}; + foobar = {foobar, " 471"}; end 7'h3d: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"472"}; + foobar = {foobar," 472"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"473"}; + foobar = {foobar," 473"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"474"}; + foobar = {foobar," 474"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"475"}; - foobar = {foobar, "476"}; + foobar = {foobar," 475"}; + foobar = {foobar, " 476"}; end 7'h3e: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"477"}; + foobar = {foobar," 477"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"478"}; + foobar = {foobar," 478"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"479"}; + foobar = {foobar," 479"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"480"}; - foobar = {foobar, "481"}; + foobar = {foobar," 480"}; + foobar = {foobar, " 481"}; end 7'h3f: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"482"}; + foobar = {foobar," 482"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"483"}; + foobar = {foobar," 483"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"484"}; + foobar = {foobar," 484"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"485"}; - foobar = {foobar, "486"}; + foobar = {foobar," 485"}; + foobar = {foobar, " 486"}; end 7'h40: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"487"}; + foobar = {foobar," 487"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"488"}; - foobar = {foobar, "489"}; - foobar = {foobar, "490"}; + foobar = {foobar," 488"}; + foobar = {foobar, " 489"}; + foobar = {foobar, " 490"}; end 7'h41: begin - foobar = {foobar, "491"}; - foobar = {foobar, "492"}; + foobar = {foobar, " 491"}; + foobar = {foobar, " 492"}; end 7'h42: begin - foobar = {foobar, "493"}; - foobar = {foobar, "494"}; + foobar = {foobar, " 493"}; + foobar = {foobar, " 494"}; end 7'h43: begin - foobar = {foobar, "495"}; - foobar = {foobar, "496"}; + foobar = {foobar, " 495"}; + foobar = {foobar, " 496"}; end 7'h44: begin - foobar = {foobar, "497"}; - foobar = {foobar, "498"}; + foobar = {foobar, " 497"}; + foobar = {foobar, " 498"}; end 7'h45: - foobar = {foobar, "499"}; + foobar = {foobar, " 499"}; 7'h46: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"500"}; - foobar = {foobar, "501"}; - foobar = {foobar, "502"}; + foobar = {foobar," 500"}; + foobar = {foobar, " 501"}; + foobar = {foobar, " 502"}; end 7'h47: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"503"}; + foobar = {foobar," 503"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"504"}; + foobar = {foobar," 504"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"505"}; + foobar = {foobar," 505"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"506"}; - foobar = {foobar, "507"}; - foobar = {foobar, "508"}; + foobar = {foobar," 506"}; + foobar = {foobar, " 507"}; + foobar = {foobar, " 508"}; end 7'h48: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"509"}; + foobar = {foobar," 509"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"510"}; + foobar = {foobar," 510"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"511"}; + foobar = {foobar," 511"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"512"}; + foobar = {foobar," 512"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"513"}; + foobar = {foobar," 513"}; end 7'h49: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"514"}; + foobar = {foobar," 514"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"515"}; + foobar = {foobar," 515"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"516"}; + foobar = {foobar," 516"}; end 7'h4a: - foobar = {foobar,"517"}; + foobar = {foobar," 517"}; 7'h4b: - foobar = {foobar, "518"}; + foobar = {foobar, " 518"}; 7'h4c: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"519"}; - foobar = {foobar, "520"}; - foobar = {foobar, "521"}; + foobar = {foobar," 519"}; + foobar = {foobar, " 520"}; + foobar = {foobar, " 521"}; end 7'h4d: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"522"}; + foobar = {foobar," 522"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"523"}; + foobar = {foobar," 523"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"524"}; + foobar = {foobar," 524"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"525"}; - foobar = {foobar, "526"}; - foobar = {foobar, "527"}; + foobar = {foobar," 525"}; + foobar = {foobar, " 526"}; + foobar = {foobar, " 527"}; end 7'h4e: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"528"}; + foobar = {foobar," 528"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"529"}; + foobar = {foobar," 529"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"530"}; + foobar = {foobar," 530"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"531"}; + foobar = {foobar," 531"}; end 7'h4f: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"532"}; + foobar = {foobar," 532"}; end 7'h50: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"533"}; + foobar = {foobar," 533"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"534"}; + foobar = {foobar," 534"}; ozoneaee(foo[20:18], foobar); - foobar = {foobar,"535"}; + foobar = {foobar," 535"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"536"}; + foobar = {foobar," 536"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"537"}; + foobar = {foobar," 537"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"538"}; + foobar = {foobar," 538"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"539"}; + foobar = {foobar," 539"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"540"}; + foobar = {foobar," 540"}; end 7'h51: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"541"}; + foobar = {foobar," 541"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"542"}; + foobar = {foobar," 542"}; ozoneaee(foo[20:18], foobar); - foobar = {foobar,"543"}; + foobar = {foobar," 543"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"544"}; + foobar = {foobar," 544"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"545"}; + foobar = {foobar," 545"}; end 7'h52: - foobar = {foobar, "546"}; + foobar = {foobar, " 546"}; 7'h53: begin ozoneae(foo[20:18], foobar); - foobar = {foobar, "547"}; + foobar = {foobar, " 547"}; end 7'h54: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"548"}; + foobar = {foobar," 548"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"549"}; + foobar = {foobar," 549"}; end 7'h55: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"550"}; + foobar = {foobar," 550"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"551"}; + foobar = {foobar," 551"}; end 7'h56: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"552"}; + foobar = {foobar," 552"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"553"}; - foobar = {foobar, "554"}; + foobar = {foobar," 553"}; + foobar = {foobar, " 554"}; end 7'h57: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"555"}; + foobar = {foobar," 555"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"556"}; + foobar = {foobar," 556"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"557"}; + foobar = {foobar," 557"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"558"}; + foobar = {foobar," 558"}; end 7'h58: begin ozoneae(foo[20:18], foobar); - foobar = {foobar, "559"}; + foobar = {foobar, " 559"}; end 7'h59: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"560"}; + foobar = {foobar," 560"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"561"}; + foobar = {foobar," 561"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"562"}; + foobar = {foobar," 562"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"563"}; + foobar = {foobar," 563"}; end 7'h5a: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"564"}; + foobar = {foobar," 564"}; ozoneae(foo[17:15], foobar); - foobar = {foobar, "565"}; + foobar = {foobar, " 565"}; end 7'h5b: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"566"}; + foobar = {foobar," 566"}; ozoneae(foo[17:15], foobar); - foobar = {foobar, "567"}; + foobar = {foobar, " 567"}; end 7'h5c: begin - foobar = {foobar,"568"}; + foobar = {foobar," 568"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"569"}; - foobar = {foobar,"570"}; + foobar = {foobar," 569"}; + foobar = {foobar," 570"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"571"}; + foobar = {foobar," 571"}; ozoneae(foo[20:18], foobar); - foobar = {foobar,"572"}; + foobar = {foobar," 572"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar, "573"}; + foobar = {foobar, " 573"}; end 7'h5d: begin - foobar = {foobar,"574"}; + foobar = {foobar," 574"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"575"}; - foobar = {foobar,"576"}; + foobar = {foobar," 575"}; + foobar = {foobar," 576"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"577"}; + foobar = {foobar," 577"}; ozoneae(foo[20:18], foobar); - foobar = {foobar,"578"}; + foobar = {foobar," 578"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar, "579"}; + foobar = {foobar, " 579"}; end 7'h5e: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"580"}; + foobar = {foobar," 580"}; ozoneae(foo[17:15], foobar); - foobar = {foobar, "581"}; + foobar = {foobar, " 581"}; end 7'h5f: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"582"}; + foobar = {foobar," 582"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"583"}; + foobar = {foobar," 583"}; ozoneaee(foo[20:18], foobar); - foobar = {foobar,"584"}; + foobar = {foobar," 584"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"585"}; + foobar = {foobar," 585"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"586"}; + foobar = {foobar," 586"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"587"}; + foobar = {foobar," 587"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"588"}; + foobar = {foobar," 588"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"589"}; + foobar = {foobar," 589"}; end 7'h60: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"590"}; + foobar = {foobar," 590"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"591"}; + foobar = {foobar," 591"}; end 7'h61: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"592"}; + foobar = {foobar," 592"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"593"}; + foobar = {foobar," 593"}; end 7'h62: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"594"}; + foobar = {foobar," 594"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"595"}; + foobar = {foobar," 595"}; end 7'h63: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"596"}; + foobar = {foobar," 596"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"597"}; + foobar = {foobar," 597"}; end 7'h64: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"598"}; + foobar = {foobar," 598"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"599"}; + foobar = {foobar," 599"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"600"}; + foobar = {foobar," 600"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"601"}; + foobar = {foobar," 601"}; end 7'h65: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"602"}; + foobar = {foobar," 602"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"603"}; + foobar = {foobar," 603"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"604"}; + foobar = {foobar," 604"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"605"}; + foobar = {foobar," 605"}; end 7'h66: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"606"}; + foobar = {foobar," 606"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"607"}; + foobar = {foobar," 607"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"608"}; + foobar = {foobar," 608"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"609"}; + foobar = {foobar," 609"}; end 7'h67: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"610"}; + foobar = {foobar," 610"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"611"}; + foobar = {foobar," 611"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"612"}; + foobar = {foobar," 612"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"613"}; + foobar = {foobar," 613"}; end 7'h68: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"614"}; + foobar = {foobar," 614"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"615"}; + foobar = {foobar," 615"}; ozoneaee(foo[20:18], foobar); - foobar = {foobar,"616"}; + foobar = {foobar," 616"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"617"}; + foobar = {foobar," 617"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"618"}; + foobar = {foobar," 618"}; ozoneape(foo[17:15], foobar); end 7'h69: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"619"}; + foobar = {foobar," 619"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"620"}; + foobar = {foobar," 620"}; ozoneae(foo[20:18], foobar); - foobar = {foobar,"621"}; + foobar = {foobar," 621"}; end 7'h6a: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"622"}; + foobar = {foobar," 622"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"623"}; + foobar = {foobar," 623"}; ozoneaee(foo[20:18], foobar); - foobar = {foobar,"624"}; + foobar = {foobar," 624"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"625"}; + foobar = {foobar," 625"}; ozoneaee(foo[20:18], foobar); - foobar = {foobar,"626"}; + foobar = {foobar," 626"}; ozoneae(foo[17:15], foobar); end 7'h6b: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"627"}; + foobar = {foobar," 627"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"628"}; + foobar = {foobar," 628"}; ozoneae(foo[20:18], foobar); - foobar = {foobar,"629"}; + foobar = {foobar," 629"}; end 7'h6c: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"630"}; + foobar = {foobar," 630"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"631"}; + foobar = {foobar," 631"}; ozoneaee(foo[20:18], foobar); - foobar = {foobar,"632"}; + foobar = {foobar," 632"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"633"}; + foobar = {foobar," 633"}; ozoneaee(foo[20:18], foobar); - foobar = {foobar,"634"}; + foobar = {foobar," 634"}; ozoneae(foo[17:15], foobar); end 7'h6d: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"635"}; + foobar = {foobar," 635"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"636"}; + foobar = {foobar," 636"}; ozoneae(foo[20:18], foobar); - foobar = {foobar,"637"}; + foobar = {foobar," 637"}; end 7'h6e: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"638"}; + foobar = {foobar," 638"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"639"}; + foobar = {foobar," 639"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"640"}; + foobar = {foobar," 640"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"641"}; + foobar = {foobar," 641"}; end 7'h6f: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"642"}; + foobar = {foobar," 642"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"643"}; + foobar = {foobar," 643"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"644"}; + foobar = {foobar," 644"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"645"}; + foobar = {foobar," 645"}; end 7'h70: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"646"}; + foobar = {foobar," 646"}; ozoneae(foo[20:18], foobar); - foobar = {foobar,"647"}; + foobar = {foobar," 647"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"648"}; + foobar = {foobar," 648"}; ozoneae(foo[17:15], foobar); - foobar = {foobar, "649"}; + foobar = {foobar, " 649"}; end 7'h71: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"650"}; + foobar = {foobar," 650"}; ozoneae(foo[17:15], foobar); - foobar = {foobar, "651"}; + foobar = {foobar, " 651"}; end 7'h72: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"652"}; + foobar = {foobar," 652"}; ozoneae(foo[17:15], foobar); - foobar = {foobar, "653"}; + foobar = {foobar, " 653"}; end 7'h73: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"654"}; + foobar = {foobar," 654"}; ozoneae(foo[20:18], foobar); - foobar = {foobar,"655"}; + foobar = {foobar," 655"}; ozoneae(foo[17:15], foobar); end 7'h74: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"656"}; + foobar = {foobar," 656"}; ozoneae(foo[20:18], foobar); - foobar = {foobar,"657"}; + foobar = {foobar," 657"}; ozoneae(foo[17:15], foobar); end 7'h75: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"658"}; + foobar = {foobar," 658"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"659"}; + foobar = {foobar," 659"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"660"}; + foobar = {foobar," 660"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"661"}; - foobar = {foobar, "662"}; - foobar = {foobar, "663"}; + foobar = {foobar," 661"}; + foobar = {foobar, " 662"}; + foobar = {foobar, " 663"}; end 7'h76: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"664"}; + foobar = {foobar," 664"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"665"}; + foobar = {foobar," 665"}; ozoneaee(foo[20:18], foobar); - foobar = {foobar,"666"}; + foobar = {foobar," 666"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"667"}; + foobar = {foobar," 667"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"668"}; + foobar = {foobar," 668"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"669"}; + foobar = {foobar," 669"}; end 7'h77: begin ozoneaee(foo[20:18], foobar); - foobar = {foobar,"670"}; + foobar = {foobar," 670"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"671"}; + foobar = {foobar," 671"}; ozoneaee(foo[17:15], foobar); - foobar = {foobar,"672"}; + foobar = {foobar," 672"}; ozoneape(foo[20:18], foobar); - foobar = {foobar,"673"}; + foobar = {foobar," 673"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"674"}; + foobar = {foobar," 674"}; ozoneape(foo[17:15], foobar); - foobar = {foobar,"675"}; + foobar = {foobar," 675"}; end 7'h78, 7'h79, @@ -1540,7 +1551,7 @@ module t_case_write_tasks (); 7'h7d, 7'h7e, 7'h7f: - foobar = {foobar,"676"}; + foobar = {foobar," 676"}; endcase end endtask @@ -1548,115 +1559,116 @@ module t_case_write_tasks (); task ozonef2; input [ 31:0] foo; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (foo[24:21]) 4'h0 : case (foo[26:25]) - 2'b00 : foobar = {foobar,"677"}; - 2'b01 : foobar = {foobar,"678"}; - 2'b10 : foobar = {foobar,"679"}; - 2'b11 : foobar = {foobar,"680"}; + 2'b00 : foobar = {foobar," 677"}; + 2'b01 : foobar = {foobar," 678"}; + 2'b10 : foobar = {foobar," 679"}; + 2'b11 : foobar = {foobar," 680"}; endcase 4'h1 : case (foo[26:25]) - 2'b00 : foobar = {foobar,"681"}; - 2'b01 : foobar = {foobar,"682"}; - 2'b10 : foobar = {foobar,"683"}; - 2'b11 : foobar = {foobar,"684"}; + 2'b00 : foobar = {foobar," 681"}; + 2'b01 : foobar = {foobar," 682"}; + 2'b10 : foobar = {foobar," 683"}; + 2'b11 : foobar = {foobar," 684"}; endcase 4'h2 : case (foo[26:25]) - 2'b00 : foobar = {foobar,"685"}; - 2'b01 : foobar = {foobar,"686"}; - 2'b10 : foobar = {foobar,"687"}; - 2'b11 : foobar = {foobar,"688"}; + 2'b00 : foobar = {foobar," 685"}; + 2'b01 : foobar = {foobar," 686"}; + 2'b10 : foobar = {foobar," 687"}; + 2'b11 : foobar = {foobar," 688"}; endcase 4'h3 : case (foo[26:25]) - 2'b00 : foobar = {foobar,"689"}; - 2'b01 : foobar = {foobar,"690"}; - 2'b10 : foobar = {foobar,"691"}; - 2'b11 : foobar = {foobar,"692"}; + 2'b00 : foobar = {foobar," 689"}; + 2'b01 : foobar = {foobar," 690"}; + 2'b10 : foobar = {foobar," 691"}; + 2'b11 : foobar = {foobar," 692"}; endcase 4'h4 : case (foo[26:25]) - 2'b00 : foobar = {foobar,"693"}; - 2'b01 : foobar = {foobar,"694"}; - 2'b10 : foobar = {foobar,"695"}; - 2'b11 : foobar = {foobar,"696"}; + 2'b00 : foobar = {foobar," 693"}; + 2'b01 : foobar = {foobar," 694"}; + 2'b10 : foobar = {foobar," 695"}; + 2'b11 : foobar = {foobar," 696"}; endcase 4'h5 : case (foo[26:25]) - 2'b00 : foobar = {foobar,"697"}; - 2'b01 : foobar = {foobar,"698"}; - 2'b10 : foobar = {foobar,"699"}; - 2'b11 : foobar = {foobar,"700"}; + 2'b00 : foobar = {foobar," 697"}; + 2'b01 : foobar = {foobar," 698"}; + 2'b10 : foobar = {foobar," 699"}; + 2'b11 : foobar = {foobar," 700"}; endcase 4'h6 : case (foo[26:25]) - 2'b00 : foobar = {foobar,"701"}; - 2'b01 : foobar = {foobar,"702"}; - 2'b10 : foobar = {foobar,"703"}; - 2'b11 : foobar = {foobar,"704"}; + 2'b00 : foobar = {foobar," 701"}; + 2'b01 : foobar = {foobar," 702"}; + 2'b10 : foobar = {foobar," 703"}; + 2'b11 : foobar = {foobar," 704"}; endcase 4'h7 : case (foo[26:25]) - 2'b00 : foobar = {foobar,"705"}; - 2'b01 : foobar = {foobar,"706"}; - 2'b10 : foobar = {foobar,"707"}; - 2'b11 : foobar = {foobar,"708"}; + 2'b00 : foobar = {foobar," 705"}; + 2'b01 : foobar = {foobar," 706"}; + 2'b10 : foobar = {foobar," 707"}; + 2'b11 : foobar = {foobar," 708"}; endcase 4'h8 : if (foo[26]) - foobar = {foobar,"709"}; + foobar = {foobar," 709"}; else - foobar = {foobar,"710"}; + foobar = {foobar," 710"}; 4'h9 : case (foo[26:25]) - 2'b00 : foobar = {foobar,"711"}; - 2'b01 : foobar = {foobar,"712"}; - 2'b10 : foobar = {foobar,"713"}; - 2'b11 : foobar = {foobar,"714"}; + 2'b00 : foobar = {foobar," 711"}; + 2'b01 : foobar = {foobar," 712"}; + 2'b10 : foobar = {foobar," 713"}; + 2'b11 : foobar = {foobar," 714"}; endcase 4'ha : case (foo[26:25]) - 2'b00 : foobar = {foobar,"715"}; - 2'b01 : foobar = {foobar,"716"}; - 2'b10 : foobar = {foobar,"717"}; - 2'b11 : foobar = {foobar,"718"}; + 2'b00 : foobar = {foobar," 715"}; + 2'b01 : foobar = {foobar," 716"}; + 2'b10 : foobar = {foobar," 717"}; + 2'b11 : foobar = {foobar," 718"}; endcase 4'hb : case (foo[26:25]) - 2'b00 : foobar = {foobar,"719"}; - 2'b01 : foobar = {foobar,"720"}; - 2'b10 : foobar = {foobar,"721"}; - 2'b11 : foobar = {foobar,"722"}; + 2'b00 : foobar = {foobar," 719"}; + 2'b01 : foobar = {foobar," 720"}; + 2'b10 : foobar = {foobar," 721"}; + 2'b11 : foobar = {foobar," 722"}; endcase 4'hc : if (foo[26]) - foobar = {foobar,"723"}; + foobar = {foobar," 723"}; else - foobar = {foobar,"724"}; + foobar = {foobar," 724"}; 4'hd : case (foo[26:25]) - 2'b00 : foobar = {foobar,"725"}; - 2'b01 : foobar = {foobar,"726"}; - 2'b10 : foobar = {foobar,"727"}; - 2'b11 : foobar = {foobar,"728"}; + 2'b00 : foobar = {foobar," 725"}; + 2'b01 : foobar = {foobar," 726"}; + 2'b10 : foobar = {foobar," 727"}; + 2'b11 : foobar = {foobar," 728"}; endcase 4'he : case (foo[26:25]) - 2'b00 : foobar = {foobar,"729"}; - 2'b01 : foobar = {foobar,"730"}; - 2'b10 : foobar = {foobar,"731"}; - 2'b11 : foobar = {foobar,"732"}; + 2'b00 : foobar = {foobar," 729"}; + 2'b01 : foobar = {foobar," 730"}; + 2'b10 : foobar = {foobar," 731"}; + 2'b11 : foobar = {foobar," 732"}; endcase 4'hf : case (foo[26:25]) - 2'b00 : foobar = {foobar,"733"}; - 2'b01 : foobar = {foobar,"734"}; - 2'b10 : foobar = {foobar,"735"}; - 2'b11 : foobar = {foobar,"736"}; + 2'b00 : foobar = {foobar," 733"}; + 2'b01 : foobar = {foobar," 734"}; + 2'b10 : foobar = {foobar," 735"}; + 2'b11 : foobar = {foobar," 736"}; endcase endcase end @@ -1665,198 +1677,199 @@ module t_case_write_tasks (); task ozonef2e; input [ 31:0] foo; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin casez (foo[25:21]) 5'h00 : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"737"}; + foobar = {foobar," 737"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"738"}; + foobar = {foobar," 738"}; end 5'h01 : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"739"}; + foobar = {foobar," 739"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"740"}; + foobar = {foobar," 740"}; end 5'h02 : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"741"}; + foobar = {foobar," 741"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"742"}; + foobar = {foobar," 742"}; end 5'h03 : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"743"}; + foobar = {foobar," 743"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"744"}; + foobar = {foobar," 744"}; end 5'h04 : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"745"}; + foobar = {foobar," 745"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"746"}; + foobar = {foobar," 746"}; end 5'h05 : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"747"}; + foobar = {foobar," 747"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"748"}; + foobar = {foobar," 748"}; end 5'h06 : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"749"}; + foobar = {foobar," 749"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"750"}; + foobar = {foobar," 750"}; end 5'h07 : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"751"}; + foobar = {foobar," 751"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"752"}; + foobar = {foobar," 752"}; end 5'h08 : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"753"}; + foobar = {foobar," 753"}; if (foo[ 6]) - foobar = {foobar,"754"}; + foobar = {foobar," 754"}; else - foobar = {foobar,"755"}; + foobar = {foobar," 755"}; end 5'h09 : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"756"}; + foobar = {foobar," 756"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"757"}; + foobar = {foobar," 757"}; end 5'h0a : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"758"}; + foobar = {foobar," 758"}; ozoneae(foo[17:15], foobar); end 5'h0b : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"759"}; + foobar = {foobar," 759"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"760"}; + foobar = {foobar," 760"}; end 5'h0c : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"761"}; + foobar = {foobar," 761"}; end 5'h0d : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"762"}; + foobar = {foobar," 762"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"763"}; + foobar = {foobar," 763"}; end 5'h0e : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"764"}; + foobar = {foobar," 764"}; ozoneae(foo[17:15], foobar); end 5'h0f : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"765"}; + foobar = {foobar," 765"}; ozoneae(foo[17:15], foobar); end 5'h10 : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"766"}; + foobar = {foobar," 766"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"767"}; + foobar = {foobar," 767"}; end 5'h11 : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"768"}; + foobar = {foobar," 768"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"769"}; + foobar = {foobar," 769"}; end 5'h18 : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"770"}; + foobar = {foobar," 770"}; if (foo[ 6]) - foobar = {foobar,"771"}; + foobar = {foobar," 771"}; else - foobar = {foobar,"772"}; + foobar = {foobar," 772"}; end 5'h1a : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"773"}; + foobar = {foobar," 773"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"774"}; + foobar = {foobar," 774"}; end 5'h1b : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"775"}; + foobar = {foobar," 775"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"776"}; + foobar = {foobar," 776"}; if (foo[ 6]) - foobar = {foobar,"777"}; + foobar = {foobar," 777"}; else - foobar = {foobar,"778"}; - foobar = {foobar,"779"}; + foobar = {foobar," 778"}; + foobar = {foobar," 779"}; end 5'h1c : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"780"}; + foobar = {foobar," 780"}; end 5'h1d : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"781"}; + foobar = {foobar," 781"}; if (foo[ 6]) - foobar = {foobar,"782"}; + foobar = {foobar," 782"}; else - foobar = {foobar,"783"}; - foobar = {foobar,"784"}; + foobar = {foobar," 783"}; + foobar = {foobar," 784"}; end 5'h1e : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"785"}; + foobar = {foobar," 785"}; if (foo[ 6]) - foobar = {foobar,"786"}; + foobar = {foobar," 786"}; else - foobar = {foobar,"787"}; - foobar = {foobar,"788"}; + foobar = {foobar," 787"}; + foobar = {foobar," 788"}; end 5'h1f : begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"789"}; + foobar = {foobar," 789"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"790"}; + foobar = {foobar," 790"}; if (foo[ 6]) - foobar = {foobar,"791"}; + foobar = {foobar," 791"}; else - foobar = {foobar,"792"}; - foobar = {foobar,"793"}; + foobar = {foobar," 792"}; + foobar = {foobar," 793"}; end default : - foobar = {foobar,"794"}; + foobar = {foobar," 794"}; endcase end endtask @@ -1864,6 +1877,7 @@ module t_case_write_tasks (); task ozonef3e; input [ 31:0] foo; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (foo[25:21]) 5'h00, @@ -1872,17 +1886,17 @@ module t_case_write_tasks (); begin ozoneae(foo[20:18], foobar); case (foo[22:21]) - 2'h0: foobar = {foobar,"795"}; - 2'h1: foobar = {foobar,"796"}; - 2'h2: foobar = {foobar,"797"}; + 2'h0: foobar = {foobar," 795"}; + 2'h1: foobar = {foobar," 796"}; + 2'h2: foobar = {foobar," 797"}; endcase ozoneae(foo[17:15], foobar); - foobar = {foobar,"798"}; + foobar = {foobar," 798"}; if (foo[ 9]) ozoneae(foo[ 8: 6], foobar); else ozonef3e_te(foo[ 8: 6], foobar); - foobar = {foobar,"799"}; + foobar = {foobar," 799"}; end 5'h08, 5'h09, @@ -1891,14 +1905,14 @@ module t_case_write_tasks (); 5'h0f: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"800"}; + foobar = {foobar," 800"}; ozoneae(foo[17:15], foobar); case (foo[23:21]) - 3'h0: foobar = {foobar,"801"}; - 3'h1: foobar = {foobar,"802"}; - 3'h5: foobar = {foobar,"803"}; - 3'h6: foobar = {foobar,"804"}; - 3'h7: foobar = {foobar,"805"}; + 3'h0: foobar = {foobar," 801"}; + 3'h1: foobar = {foobar," 802"}; + 3'h5: foobar = {foobar," 803"}; + 3'h6: foobar = {foobar," 804"}; + 3'h7: foobar = {foobar," 805"}; endcase if (foo[ 9]) ozoneae(foo[ 8: 6], foobar); @@ -1910,9 +1924,9 @@ module t_case_write_tasks (); begin ozoneae(foo[17:15], foobar); if (foo[21]) - foobar = {foobar,"806"}; + foobar = {foobar," 806"}; else - foobar = {foobar,"807"}; + foobar = {foobar," 807"}; if (foo[ 9]) ozoneae(foo[ 8: 6], foobar); else @@ -1921,12 +1935,12 @@ module t_case_write_tasks (); 5'h0c: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"808"}; + foobar = {foobar," 808"}; if (foo[ 9]) ozoneae(foo[ 8: 6], foobar); else ozonef3e_te(foo[ 8: 6], foobar); - foobar = {foobar,"809"}; + foobar = {foobar," 809"}; ozoneae(foo[17:15], foobar); end 5'h10, @@ -1935,116 +1949,119 @@ module t_case_write_tasks (); 5'h13: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"810"}; + foobar = {foobar," 810"}; ozoneae(foo[17:15], foobar); case (foo[22:21]) 2'h0, 2'h2: - foobar = {foobar,"811"}; + foobar = {foobar," 811"}; 2'h1, 2'h3: - foobar = {foobar,"812"}; + foobar = {foobar," 812"}; endcase ozoneae(foo[ 8: 6], foobar); - foobar = {foobar,"813"}; + foobar = {foobar," 813"}; ozoneae((foo[20:18]+1), foobar); - foobar = {foobar,"814"}; + foobar = {foobar," 814"}; ozoneae((foo[17:15]+1), foobar); case (foo[22:21]) 2'h0, 2'h3: - foobar = {foobar,"815"}; + foobar = {foobar," 815"}; 2'h1, 2'h2: - foobar = {foobar,"816"}; + foobar = {foobar," 816"}; endcase ozoneae((foo[ 8: 6]+1), foobar); end 5'h18: begin ozoneae(foo[20:18], foobar); - foobar = {foobar,"817"}; + foobar = {foobar," 817"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"818"}; + foobar = {foobar," 818"}; ozoneae(foo[ 8: 6], foobar); - foobar = {foobar,"819"}; + foobar = {foobar," 819"}; ozoneae(foo[20:18], foobar); - foobar = {foobar,"820"}; + foobar = {foobar," 820"}; ozoneae(foo[17:15], foobar); - foobar = {foobar,"821"}; + foobar = {foobar," 821"}; ozoneae(foo[ 8: 6], foobar); end default : - foobar = {foobar,"822"}; + foobar = {foobar," 822"}; endcase end endtask task ozonef3e_te; input [ 2:0] te; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (te) - 3'b100 : foobar = {foobar, "823"}; - 3'b101 : foobar = {foobar, "824"}; - 3'b110 : foobar = {foobar, "825"}; - default: foobar = {foobar, "826"}; + 3'b100 : foobar = {foobar, " 823"}; + 3'b101 : foobar = {foobar, " 824"}; + 3'b110 : foobar = {foobar, " 825"}; + default: foobar = {foobar, " 826"}; endcase end endtask task ozonearm; input [ 2:0] ate; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (ate) - 3'b000 : foobar = {foobar, "827"}; - 3'b001 : foobar = {foobar, "828"}; - 3'b010 : foobar = {foobar, "829"}; - 3'b011 : foobar = {foobar, "830"}; - 3'b100 : foobar = {foobar, "831"}; - 3'b101 : foobar = {foobar, "832"}; - 3'b110 : foobar = {foobar, "833"}; - 3'b111 : foobar = {foobar, "834"}; + 3'b000 : foobar = {foobar, " 827"}; + 3'b001 : foobar = {foobar, " 828"}; + 3'b010 : foobar = {foobar, " 829"}; + 3'b011 : foobar = {foobar, " 830"}; + 3'b100 : foobar = {foobar, " 831"}; + 3'b101 : foobar = {foobar, " 832"}; + 3'b110 : foobar = {foobar, " 833"}; + 3'b111 : foobar = {foobar, " 834"}; endcase end endtask task ozonebmuop; input [ 4:0] f4; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (f4[ 4:0]) 5'h00, 5'h04 : - foobar = {foobar, "835"}; + foobar = {foobar, " 835"}; 5'h01, 5'h05 : - foobar = {foobar, "836"}; + foobar = {foobar, " 836"}; 5'h02, 5'h06 : - foobar = {foobar, "837"}; + foobar = {foobar, " 837"}; 5'h03, 5'h07 : - foobar = {foobar, "838"}; + foobar = {foobar, " 838"}; 5'h08, 5'h18 : - foobar = {foobar, "839"}; + foobar = {foobar, " 839"}; 5'h09, 5'h19 : - foobar = {foobar, "840"}; + foobar = {foobar, " 840"}; 5'h0a, 5'h1a : - foobar = {foobar, "841"}; + foobar = {foobar, " 841"}; 5'h0b : - foobar = {foobar, "842"}; + foobar = {foobar, " 842"}; 5'h1b : - foobar = {foobar, "843"}; + foobar = {foobar, " 843"}; 5'h0c, 5'h1c : - foobar = {foobar, "844"}; + foobar = {foobar, " 844"}; 5'h0d, 5'h1d : - foobar = {foobar, "845"}; + foobar = {foobar, " 845"}; 5'h1e : - foobar = {foobar, "846"}; + foobar = {foobar, " 846"}; endcase end endtask @@ -2052,29 +2069,30 @@ module t_case_write_tasks (); input [ 31:0] foo; inout [STRLEN*8: 1] foobar; reg nacho; + // verilator no_inline_task begin : f3_body nacho = 1'b0; case (foo[24:21]) 4'h0: case (foo[26:25]) - 2'b00 : foobar = {foobar, "847"}; - 2'b01 : foobar = {foobar, "848"}; - 2'b10 : foobar = {foobar, "849"}; - 2'b11 : foobar = {foobar, "850"}; + 2'b00 : foobar = {foobar, " 847"}; + 2'b01 : foobar = {foobar, " 848"}; + 2'b10 : foobar = {foobar, " 849"}; + 2'b11 : foobar = {foobar, " 850"}; endcase 4'h1: case (foo[26:25]) - 2'b00 : foobar = {foobar, "851"}; - 2'b01 : foobar = {foobar, "852"}; - 2'b10 : foobar = {foobar, "853"}; - 2'b11 : foobar = {foobar, "854"}; + 2'b00 : foobar = {foobar, " 851"}; + 2'b01 : foobar = {foobar, " 852"}; + 2'b10 : foobar = {foobar, " 853"}; + 2'b11 : foobar = {foobar, " 854"}; endcase 4'h2: case (foo[26:25]) - 2'b00 : foobar = {foobar, "855"}; - 2'b01 : foobar = {foobar, "856"}; - 2'b10 : foobar = {foobar, "857"}; - 2'b11 : foobar = {foobar, "858"}; + 2'b00 : foobar = {foobar, " 855"}; + 2'b01 : foobar = {foobar, " 856"}; + 2'b10 : foobar = {foobar, " 857"}; + 2'b11 : foobar = {foobar, " 858"}; endcase 4'h8, 4'h9, @@ -2082,25 +2100,25 @@ module t_case_write_tasks (); 4'he, 4'hf : case (foo[26:25]) - 2'b00 : foobar = {foobar, "859"}; - 2'b01 : foobar = {foobar, "860"}; - 2'b10 : foobar = {foobar, "861"}; - 2'b11 : foobar = {foobar, "862"}; + 2'b00 : foobar = {foobar, " 859"}; + 2'b01 : foobar = {foobar, " 860"}; + 2'b10 : foobar = {foobar, " 861"}; + 2'b11 : foobar = {foobar, " 862"}; endcase 4'ha, 4'hb : if (foo[25]) - foobar = {foobar, "863"}; + foobar = {foobar, " 863"}; else - foobar = {foobar, "864"}; + foobar = {foobar, " 864"}; 4'hc : if (foo[26]) - foobar = {foobar, "865"}; + foobar = {foobar, " 865"}; else - foobar = {foobar, "866"}; + foobar = {foobar, " 866"}; default : begin - foobar = {foobar, "867"}; + foobar = {foobar, " 867"}; nacho = 1'b1; end endcase @@ -2108,36 +2126,36 @@ module t_case_write_tasks (); begin case (foo[24:21]) 4'h8 : - foobar = {foobar, "868"}; + foobar = {foobar, " 868"}; 4'h9 : - foobar = {foobar, "869"}; + foobar = {foobar, " 869"}; 4'ha, 4'he : - foobar = {foobar, "870"}; + foobar = {foobar, " 870"}; 4'hb, 4'hf : - foobar = {foobar, "871"}; + foobar = {foobar, " 871"}; 4'hd : - foobar = {foobar, "872"}; + foobar = {foobar, " 872"}; endcase if (foo[20]) case (foo[18:16]) - 3'b000 : foobar = {foobar, "873"}; - 3'b100 : foobar = {foobar, "874"}; - default: foobar = {foobar, "875"}; + 3'b000 : foobar = {foobar, " 873"}; + 3'b100 : foobar = {foobar, " 874"}; + default: foobar = {foobar, " 875"}; endcase else ozoneae(foo[18:16], foobar); if (foo[24:21] === 4'hc) if (foo[25]) - foobar = {foobar, "876"}; + foobar = {foobar, " 876"}; else - foobar = {foobar, "877"}; + foobar = {foobar, " 877"}; case (foo[24:21]) 4'h0, 4'h1, 4'h2: - foobar = {foobar, "878"}; + foobar = {foobar, " 878"}; endcase end end @@ -2145,33 +2163,35 @@ module t_case_write_tasks (); task ozonerx; input [ 31:0] foo; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (foo[19:18]) - 2'h0 : foobar = {foobar, "879"}; - 2'h1 : foobar = {foobar, "880"}; - 2'h2 : foobar = {foobar, "881"}; - 2'h3 : foobar = {foobar, "882"}; + 2'h0 : foobar = {foobar, " 879"}; + 2'h1 : foobar = {foobar, " 880"}; + 2'h2 : foobar = {foobar, " 881"}; + 2'h3 : foobar = {foobar, " 882"}; endcase case (foo[17:16]) - 2'h1 : foobar = {foobar, "883"}; - 2'h2 : foobar = {foobar, "884"}; - 2'h3 : foobar = {foobar, "885"}; + 2'h1 : foobar = {foobar, " 883"}; + 2'h2 : foobar = {foobar, " 884"}; + 2'h3 : foobar = {foobar, " 885"}; endcase end endtask task ozonerme; input [ 2:0] rme; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (rme) - 3'h0 : foobar = {foobar, "886"}; - 3'h1 : foobar = {foobar, "887"}; - 3'h2 : foobar = {foobar, "888"}; - 3'h3 : foobar = {foobar, "889"}; - 3'h4 : foobar = {foobar, "890"}; - 3'h5 : foobar = {foobar, "891"}; - 3'h6 : foobar = {foobar, "892"}; - 3'h7 : foobar = {foobar, "893"}; + 3'h0 : foobar = {foobar, " 886"}; + 3'h1 : foobar = {foobar, " 887"}; + 3'h2 : foobar = {foobar, " 888"}; + 3'h3 : foobar = {foobar, " 889"}; + 3'h4 : foobar = {foobar, " 890"}; + 3'h5 : foobar = {foobar, " 891"}; + 3'h6 : foobar = {foobar, " 892"}; + 3'h7 : foobar = {foobar, " 893"}; endcase end endtask @@ -2179,18 +2199,19 @@ module t_case_write_tasks (); input [5:0] ye; input l; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin - foobar = {foobar, "894"}; + foobar = {foobar, " 894"}; ozonerme(ye[5:3],foobar); case ({ye[ 2:0], l}) 4'h2, - 4'ha: foobar = {foobar, "895"}; + 4'ha: foobar = {foobar, " 895"}; 4'h4, - 4'hb: foobar = {foobar, "896"}; + 4'hb: foobar = {foobar, " 896"}; 4'h6, - 4'he: foobar = {foobar, "897"}; + 4'he: foobar = {foobar, " 897"}; 4'h8, - 4'hc: foobar = {foobar, "898"}; + 4'hc: foobar = {foobar, " 898"}; endcase end endtask @@ -2198,8 +2219,9 @@ module t_case_write_tasks (); input [5:0] ye; input l; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin - foobar = {foobar, "899"}; + foobar = {foobar, " 899"}; ozonerme(ye[5:3],foobar); ozonef1e_inc_dec(ye[5:0], l ,foobar); end @@ -2207,30 +2229,32 @@ module t_case_write_tasks (); task ozonef1e_h; input [ 2:0] e; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin if (e[ 2:0] <= 3'h4) - foobar = {foobar, "900"}; + foobar = {foobar, " 900"}; end endtask task ozonef1e_inc_dec; input [5:0] ye; input l; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case ({ye[ 2:0], l}) 4'h2, 4'h3, - 4'ha: foobar = {foobar, "901"}; + 4'ha: foobar = {foobar, " 901"}; 4'h4, 4'h5, - 4'hb: foobar = {foobar, "902"}; + 4'hb: foobar = {foobar, " 902"}; 4'h6, 4'h7, - 4'he: foobar = {foobar, "903"}; + 4'he: foobar = {foobar, " 903"}; 4'h8, 4'h9, - 4'hc: foobar = {foobar, "904"}; - 4'hf: foobar = {foobar, "905"}; + 4'hc: foobar = {foobar, " 904"}; + 4'hf: foobar = {foobar, " 905"}; endcase end endtask @@ -2238,204 +2262,215 @@ module t_case_write_tasks (); input [ 2:0] e; input l; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case ({e[ 2:0], l}) 4'h0, 4'h2, 4'h4, 4'h6, - 4'h8: foobar = {foobar, "906"}; + 4'h8: foobar = {foobar, " 906"}; 4'h1, 4'h3, 4'h5, 4'h7, - 4'h9: foobar = {foobar, "907"}; + 4'h9: foobar = {foobar, " 907"}; endcase end endtask task ozonexe; input [ 3:0] xe; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (xe[3]) - 1'b0 : foobar = {foobar, "908"}; - 1'b1 : foobar = {foobar, "909"}; + 1'b0 : foobar = {foobar, " 908"}; + 1'b1 : foobar = {foobar, " 909"}; endcase case (xe[ 2:0]) 3'h1, - 3'h5: foobar = {foobar, "910"}; + 3'h5: foobar = {foobar, " 910"}; 3'h2, - 3'h6: foobar = {foobar, "911"}; + 3'h6: foobar = {foobar, " 911"}; 3'h3, - 3'h7: foobar = {foobar, "912"}; - 3'h4: foobar = {foobar, "913"}; + 3'h7: foobar = {foobar, " 912"}; + 3'h4: foobar = {foobar, " 913"}; endcase end endtask task ozonerp; input [ 2:0] rp; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (rp) - 3'h0 : foobar = {foobar, "914"}; - 3'h1 : foobar = {foobar, "915"}; - 3'h2 : foobar = {foobar, "916"}; - 3'h3 : foobar = {foobar, "917"}; - 3'h4 : foobar = {foobar, "918"}; - 3'h5 : foobar = {foobar, "919"}; - 3'h6 : foobar = {foobar, "920"}; - 3'h7 : foobar = {foobar, "921"}; + 3'h0 : foobar = {foobar, " 914"}; + 3'h1 : foobar = {foobar, " 915"}; + 3'h2 : foobar = {foobar, " 916"}; + 3'h3 : foobar = {foobar, " 917"}; + 3'h4 : foobar = {foobar, " 918"}; + 3'h5 : foobar = {foobar, " 919"}; + 3'h6 : foobar = {foobar, " 920"}; + 3'h7 : foobar = {foobar, " 921"}; endcase end endtask task ozonery; input [ 3:0] ry; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (ry) - 4'h0 : foobar = {foobar, "922"}; - 4'h1 : foobar = {foobar, "923"}; - 4'h2 : foobar = {foobar, "924"}; - 4'h3 : foobar = {foobar, "925"}; - 4'h4 : foobar = {foobar, "926"}; - 4'h5 : foobar = {foobar, "927"}; - 4'h6 : foobar = {foobar, "928"}; - 4'h7 : foobar = {foobar, "929"}; - 4'h8 : foobar = {foobar, "930"}; - 4'h9 : foobar = {foobar, "931"}; - 4'ha : foobar = {foobar, "932"}; - 4'hb : foobar = {foobar, "933"}; - 4'hc : foobar = {foobar, "934"}; - 4'hd : foobar = {foobar, "935"}; - 4'he : foobar = {foobar, "936"}; - 4'hf : foobar = {foobar, "937"}; + 4'h0 : foobar = {foobar, " 922"}; + 4'h1 : foobar = {foobar, " 923"}; + 4'h2 : foobar = {foobar, " 924"}; + 4'h3 : foobar = {foobar, " 925"}; + 4'h4 : foobar = {foobar, " 926"}; + 4'h5 : foobar = {foobar, " 927"}; + 4'h6 : foobar = {foobar, " 928"}; + 4'h7 : foobar = {foobar, " 929"}; + 4'h8 : foobar = {foobar, " 930"}; + 4'h9 : foobar = {foobar, " 931"}; + 4'ha : foobar = {foobar, " 932"}; + 4'hb : foobar = {foobar, " 933"}; + 4'hc : foobar = {foobar, " 934"}; + 4'hd : foobar = {foobar, " 935"}; + 4'he : foobar = {foobar, " 936"}; + 4'hf : foobar = {foobar, " 937"}; endcase end endtask task ozonearx; input [ 15:0] foo; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (foo[1:0]) - 2'h0 : foobar = {foobar, "938"}; - 2'h1 : foobar = {foobar, "939"}; - 2'h2 : foobar = {foobar, "940"}; - 2'h3 : foobar = {foobar, "941"}; + 2'h0 : foobar = {foobar, " 938"}; + 2'h1 : foobar = {foobar, " 939"}; + 2'h2 : foobar = {foobar, " 940"}; + 2'h3 : foobar = {foobar, " 941"}; endcase end endtask task ozonef3f4imop; input [ 4:0] f3f4iml; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin casez (f3f4iml) - 5'b000??: foobar = {foobar, "942"}; - 5'b001??: foobar = {foobar, "943"}; - 5'b?10??: foobar = {foobar, "944"}; - 5'b0110?: foobar = {foobar, "945"}; - 5'b01110: foobar = {foobar, "946"}; - 5'b01111: foobar = {foobar, "947"}; - 5'b10???: foobar = {foobar, "948"}; - 5'b11100: foobar = {foobar, "949"}; - 5'b11101: foobar = {foobar, "950"}; - 5'b11110: foobar = {foobar, "951"}; - 5'b11111: foobar = {foobar, "952"}; + 5'b000??: foobar = {foobar, " 942"}; + 5'b001??: foobar = {foobar, " 943"}; + 5'b?10??: foobar = {foobar, " 944"}; + 5'b0110?: foobar = {foobar, " 945"}; + 5'b01110: foobar = {foobar, " 946"}; + 5'b01111: foobar = {foobar, " 947"}; + 5'b10???: foobar = {foobar, " 948"}; + 5'b11100: foobar = {foobar, " 949"}; + 5'b11101: foobar = {foobar, " 950"}; + 5'b11110: foobar = {foobar, " 951"}; + 5'b11111: foobar = {foobar, " 952"}; endcase end endtask task ozonecon; input [ 4:0] con; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (con) - 5'h00 : foobar = {foobar, "953"}; - 5'h01 : foobar = {foobar, "954"}; - 5'h02 : foobar = {foobar, "955"}; - 5'h03 : foobar = {foobar, "956"}; - 5'h04 : foobar = {foobar, "957"}; - 5'h05 : foobar = {foobar, "958"}; - 5'h06 : foobar = {foobar, "959"}; - 5'h07 : foobar = {foobar, "960"}; - 5'h08 : foobar = {foobar, "961"}; - 5'h09 : foobar = {foobar, "962"}; - 5'h0a : foobar = {foobar, "963"}; - 5'h0b : foobar = {foobar, "964"}; - 5'h0c : foobar = {foobar, "965"}; - 5'h0d : foobar = {foobar, "966"}; - 5'h0e : foobar = {foobar, "967"}; - 5'h0f : foobar = {foobar, "968"}; - 5'h10 : foobar = {foobar, "969"}; - 5'h11 : foobar = {foobar, "970"}; - 5'h12 : foobar = {foobar, "971"}; - 5'h13 : foobar = {foobar, "972"}; - 5'h14 : foobar = {foobar, "973"}; - 5'h15 : foobar = {foobar, "974"}; - 5'h16 : foobar = {foobar, "975"}; - 5'h17 : foobar = {foobar, "976"}; - 5'h18 : foobar = {foobar, "977"}; - 5'h19 : foobar = {foobar, "978"}; - 5'h1a : foobar = {foobar, "979"}; - 5'h1b : foobar = {foobar, "980"}; - 5'h1c : foobar = {foobar, "981"}; - 5'h1d : foobar = {foobar, "982"}; - 5'h1e : foobar = {foobar, "983"}; - 5'h1f : foobar = {foobar, "984"}; + 5'h00 : foobar = {foobar, " 953"}; + 5'h01 : foobar = {foobar, " 954"}; + 5'h02 : foobar = {foobar, " 955"}; + 5'h03 : foobar = {foobar, " 956"}; + 5'h04 : foobar = {foobar, " 957"}; + 5'h05 : foobar = {foobar, " 958"}; + 5'h06 : foobar = {foobar, " 959"}; + 5'h07 : foobar = {foobar, " 960"}; + 5'h08 : foobar = {foobar, " 961"}; + 5'h09 : foobar = {foobar, " 962"}; + 5'h0a : foobar = {foobar, " 963"}; + 5'h0b : foobar = {foobar, " 964"}; + 5'h0c : foobar = {foobar, " 965"}; + 5'h0d : foobar = {foobar, " 966"}; + 5'h0e : foobar = {foobar, " 967"}; + 5'h0f : foobar = {foobar, " 968"}; + 5'h10 : foobar = {foobar, " 969"}; + 5'h11 : foobar = {foobar, " 970"}; + 5'h12 : foobar = {foobar, " 971"}; + 5'h13 : foobar = {foobar, " 972"}; + 5'h14 : foobar = {foobar, " 973"}; + 5'h15 : foobar = {foobar, " 974"}; + 5'h16 : foobar = {foobar, " 975"}; + 5'h17 : foobar = {foobar, " 976"}; + 5'h18 : foobar = {foobar, " 977"}; + 5'h19 : foobar = {foobar, " 978"}; + 5'h1a : foobar = {foobar, " 979"}; + 5'h1b : foobar = {foobar, " 980"}; + 5'h1c : foobar = {foobar, " 981"}; + 5'h1d : foobar = {foobar, " 982"}; + 5'h1e : foobar = {foobar, " 983"}; + 5'h1f : foobar = {foobar, " 984"}; endcase end endtask task ozonedr; input [ 15:0] foo; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (foo[ 9: 6]) - 4'h0 : foobar = {foobar, "985"}; - 4'h1 : foobar = {foobar, "986"}; - 4'h2 : foobar = {foobar, "987"}; - 4'h3 : foobar = {foobar, "988"}; - 4'h4 : foobar = {foobar, "989"}; - 4'h5 : foobar = {foobar, "990"}; - 4'h6 : foobar = {foobar, "991"}; - 4'h7 : foobar = {foobar, "992"}; - 4'h8 : foobar = {foobar, "993"}; - 4'h9 : foobar = {foobar, "994"}; - 4'ha : foobar = {foobar, "995"}; - 4'hb : foobar = {foobar, "996"}; - 4'hc : foobar = {foobar, "997"}; - 4'hd : foobar = {foobar, "998"}; - 4'he : foobar = {foobar, "999"}; - 4'hf : foobar = {foobar, "1000"}; + 4'h0 : foobar = {foobar, " 985"}; + 4'h1 : foobar = {foobar, " 986"}; + 4'h2 : foobar = {foobar, " 987"}; + 4'h3 : foobar = {foobar, " 988"}; + 4'h4 : foobar = {foobar, " 989"}; + 4'h5 : foobar = {foobar, " 990"}; + 4'h6 : foobar = {foobar, " 991"}; + 4'h7 : foobar = {foobar, " 992"}; + 4'h8 : foobar = {foobar, " 993"}; + 4'h9 : foobar = {foobar, " 994"}; + 4'ha : foobar = {foobar, " 995"}; + 4'hb : foobar = {foobar, " 996"}; + 4'hc : foobar = {foobar, " 997"}; + 4'hd : foobar = {foobar, " 998"}; + 4'he : foobar = {foobar, " 999"}; + 4'hf : foobar = {foobar, " 1000"}; endcase end endtask task ozoneshift; input [ 15:0] foo; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (foo[ 4: 3]) - 2'h0 : foobar = {foobar, "1001"}; - 2'h1 : foobar = {foobar, "1002"}; - 2'h2 : foobar = {foobar, "1003"}; - 2'h3 : foobar = {foobar, "1004"}; + 2'h0 : foobar = {foobar, " 1001"}; + 2'h1 : foobar = {foobar, " 1002"}; + 2'h2 : foobar = {foobar, " 1003"}; + 2'h3 : foobar = {foobar, " 1004"}; endcase end endtask task ozoneacc; input foo; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (foo) - 2'h0 : foobar = {foobar, "1005"}; - 2'h1 : foobar = {foobar, "1006"}; + 2'h0 : foobar = {foobar, " 1005"}; + 2'h1 : foobar = {foobar, " 1006"}; endcase end endtask task ozonehl; input foo; inout [STRLEN*8: 1] foobar; + // verilator no_inline_task begin case (foo) - 2'h0 : foobar = {foobar, "1007"}; - 2'h1 : foobar = {foobar, "1008"}; + 2'h0 : foobar = {foobar, " 1007"}; + 2'h1 : foobar = {foobar, " 1008"}; endcase end endtask @@ -2444,6 +2479,7 @@ module t_case_write_tasks (); reg [ 7:0] temp; integer i; reg nacho; + // verilator no_inline_task begin : justify_block nacho = 1'b0; for (i=STRLEN-1; i>1; i=i-1) @@ -2468,8 +2504,9 @@ module t_case_write_tasks (); `endif input [ 31:0] foo; reg [STRLEN*8: 1] foobar; + // verilator no_inline_task begin - foobar = "1009"; + foobar = " 1009"; if (&foo === 1'bx) $fwrite(fd, " 1010"); else @@ -2477,266 +2514,266 @@ module t_case_write_tasks (); 17'b00_111?_?_????_??_???? : begin ozonef1(foo, foobar); - foobar = {foobar, "1011"}; + foobar = {foobar, " 1011"}; ozoneacc(~foo[26], foobar); ozonehl(foo[20], foobar); - foobar = {foobar, "1012"}; + foobar = {foobar, " 1012"}; ozonerx(foo, foobar); dude(foobar); - $fwrite (fd, "1013:%s", foobar); + $fwrite (fd, " 1013:%s", foobar); end 17'b01_001?_?_????_??_???? : begin ozonef1(foo, foobar); - foobar = {foobar, "1014"}; + foobar = {foobar, " 1014"}; ozonerx(foo, foobar); - foobar = {foobar, "1015"}; - foobar = {foobar, "1016"}; + foobar = {foobar, " 1015"}; + foobar = {foobar, " 1016"}; ozonehl(foo[20], foobar); dude(foobar); - $fwrite (fd, "1017:%s", foobar); + $fwrite (fd, " 1017:%s", foobar); end 17'b10_100?_?_????_??_???? : begin ozonef1(foo, foobar); - foobar = {foobar, "1018"}; + foobar = {foobar, " 1018"}; ozonerx(foo, foobar); - foobar = {foobar, "1019"}; - foobar = {foobar, "1020"}; + foobar = {foobar, " 1019"}; + foobar = {foobar, " 1020"}; ozonehl(foo[20], foobar); dude(foobar); - $fwrite (fd, "1021:%s", foobar); + $fwrite (fd, " 1021:%s", foobar); end 17'b10_101?_?_????_??_???? : begin ozonef1(foo, foobar); - foobar = {foobar, "1022"}; + foobar = {foobar, " 1022"}; if (foo[20]) begin - foobar = {foobar, "1023"}; + foobar = {foobar, " 1023"}; ozoneacc(foo[18], foobar); - foobar = {foobar, "1024"}; - foobar = {foobar, "1025"}; + foobar = {foobar, " 1024"}; + foobar = {foobar, " 1025"}; if (foo[19]) - foobar = {foobar, "1026"}; + foobar = {foobar, " 1026"}; else - foobar = {foobar, "1027"}; + foobar = {foobar, " 1027"}; end else ozonerx(foo, foobar); dude(foobar); - $fwrite (fd, "1028:%s", foobar); + $fwrite (fd, " 1028:%s", foobar); end 17'b10_110?_?_????_??_???? : begin ozonef1(foo, foobar); - foobar = {foobar, "1029"}; - foobar = {foobar, "1030"}; + foobar = {foobar, " 1029"}; + foobar = {foobar, " 1030"}; ozonehl(foo[20], foobar); - foobar = {foobar, "1031"}; + foobar = {foobar, " 1031"}; ozonerx(foo, foobar); dude(foobar); - $fwrite (fd, "1032:%s", foobar); + $fwrite (fd, " 1032:%s", foobar); end 17'b10_111?_?_????_??_???? : begin ozonef1(foo, foobar); - foobar = {foobar, "1033"}; - foobar = {foobar, "1034"}; + foobar = {foobar, " 1033"}; + foobar = {foobar, " 1034"}; ozonehl(foo[20], foobar); - foobar = {foobar, "1035"}; + foobar = {foobar, " 1035"}; ozonerx(foo, foobar); dude(foobar); - $fwrite (fd, "1036:%s", foobar); + $fwrite (fd, " 1036:%s", foobar); end 17'b11_001?_?_????_??_???? : begin ozonef1(foo, foobar); - foobar = {foobar, "1037"}; + foobar = {foobar, " 1037"}; ozonerx(foo, foobar); - foobar = {foobar, "1038"}; - foobar = {foobar, "1039"}; + foobar = {foobar, " 1038"}; + foobar = {foobar, " 1039"}; ozonehl(foo[20], foobar); dude(foobar); - $fwrite (fd, "1040:%s", foobar); + $fwrite (fd, " 1040:%s", foobar); end 17'b11_111?_?_????_??_???? : begin ozonef1(foo, foobar); - foobar = {foobar, "1041"}; - foobar = {foobar, "1042"}; + foobar = {foobar, " 1041"}; + foobar = {foobar, " 1042"}; ozonerx(foo, foobar); - foobar = {foobar, "1043"}; + foobar = {foobar, " 1043"}; if (foo[20]) - foobar = {foobar, "1044"}; + foobar = {foobar, " 1044"}; else - foobar = {foobar, "1045"}; + foobar = {foobar, " 1045"}; dude(foobar); - $fwrite (fd, "1046:%s", foobar); + $fwrite (fd, " 1046:%s", foobar); end 17'b00_10??_?_????_?1_1111 : casez (foo[11: 5]) 7'b??_0_010_0: begin - foobar = "1047"; + foobar = " 1047"; ozonecon(foo[14:10], foobar); - foobar = {foobar, "1048"}; + foobar = {foobar, " 1048"}; ozonef1e(foo, foobar); dude(foobar); - $fwrite (fd, "1049:%s", foobar); + $fwrite (fd, " 1049:%s", foobar); end 7'b00_?_110_?: begin ozonef1e(foo, foobar); - foobar = {foobar, "1050"}; + foobar = {foobar, " 1050"}; case ({foo[ 9],foo[ 5]}) 2'b00: begin - foobar = {foobar, "1051"}; + foobar = {foobar, " 1051"}; ozoneae(foo[14:12], foobar); ozonehl(foo[ 5], foobar); end 2'b01: begin - foobar = {foobar, "1052"}; + foobar = {foobar, " 1052"}; ozoneae(foo[14:12], foobar); ozonehl(foo[ 5], foobar); end 2'b10: begin - foobar = {foobar, "1053"}; + foobar = {foobar, " 1053"}; ozoneae(foo[14:12], foobar); end - 2'b11: foobar = {foobar, "1054"}; + 2'b11: foobar = {foobar, " 1054"}; endcase dude(foobar); - $fwrite (fd, "1055:%s", foobar); + $fwrite (fd, " 1055:%s", foobar); end 7'b01_?_110_?: begin ozonef1e(foo, foobar); - foobar = {foobar, "1056"}; + foobar = {foobar, " 1056"}; case ({foo[ 9],foo[ 5]}) 2'b00: begin ozoneae(foo[14:12], foobar); ozonehl(foo[ 5], foobar); - foobar = {foobar, "1057"}; + foobar = {foobar, " 1057"}; end 2'b01: begin ozoneae(foo[14:12], foobar); ozonehl(foo[ 5], foobar); - foobar = {foobar, "1058"}; + foobar = {foobar, " 1058"}; end 2'b10: begin ozoneae(foo[14:12], foobar); - foobar = {foobar, "1059"}; + foobar = {foobar, " 1059"}; end - 2'b11: foobar = {foobar, "1060"}; + 2'b11: foobar = {foobar, " 1060"}; endcase dude(foobar); - $fwrite (fd, "1061:%s", foobar); + $fwrite (fd, " 1061:%s", foobar); end 7'b10_0_110_0: begin ozonef1e(foo, foobar); - foobar = {foobar, "1062"}; - foobar = {foobar, "1063"}; + foobar = {foobar, " 1062"}; + foobar = {foobar, " 1063"}; if (foo[12]) - foobar = {foobar, "1064"}; + foobar = {foobar, " 1064"}; else ozonerab({4'b1001, foo[14:12]}, foobar); dude(foobar); - $fwrite (fd, "1065:%s", foobar); + $fwrite (fd, " 1065:%s", foobar); end 7'b10_0_110_1: begin ozonef1e(foo, foobar); - foobar = {foobar, "1066"}; + foobar = {foobar, " 1066"}; if (foo[12]) - foobar = {foobar, "1067"}; + foobar = {foobar, " 1067"}; else ozonerab({4'b1001, foo[14:12]}, foobar); - foobar = {foobar, "1068"}; + foobar = {foobar, " 1068"}; dude(foobar); - $fwrite (fd, "1069:%s", foobar); + $fwrite (fd, " 1069:%s", foobar); end 7'b??_?_000_?: begin ozonef1e(foo, foobar); - foobar = {foobar, "1070"}; - foobar = {foobar, "1071"}; + foobar = {foobar, " 1070"}; + foobar = {foobar, " 1071"}; ozonef1e_hl(foo[11:9],foo[ 5],foobar); - foobar = {foobar, "1072"}; + foobar = {foobar, " 1072"}; ozonef1e_ye(foo[14:9],foo[ 5],foobar); dude(foobar); - $fwrite (fd, "1073:%s", foobar); + $fwrite (fd, " 1073:%s", foobar); end 7'b??_?_100_?: begin ozonef1e(foo, foobar); - foobar = {foobar, "1074"}; - foobar = {foobar, "1075"}; + foobar = {foobar, " 1074"}; + foobar = {foobar, " 1075"}; ozonef1e_hl(foo[11:9],foo[ 5],foobar); - foobar = {foobar, "1076"}; + foobar = {foobar, " 1076"}; ozonef1e_ye(foo[14:9],foo[ 5],foobar); dude(foobar); - $fwrite (fd, "1077:%s", foobar); + $fwrite (fd, " 1077:%s", foobar); end 7'b??_?_001_?: begin ozonef1e(foo, foobar); - foobar = {foobar, "1078"}; + foobar = {foobar, " 1078"}; ozonef1e_ye(foo[14:9],foo[ 5],foobar); - foobar = {foobar, "1079"}; - foobar = {foobar, "1080"}; + foobar = {foobar, " 1079"}; + foobar = {foobar, " 1080"}; ozonef1e_hl(foo[11:9],foo[ 5],foobar); dude(foobar); - $fwrite (fd, "1081:%s", foobar); + $fwrite (fd, " 1081:%s", foobar); end 7'b??_?_011_?: begin ozonef1e(foo, foobar); - foobar = {foobar, "1082"}; + foobar = {foobar, " 1082"}; ozonef1e_ye(foo[14:9],foo[ 5],foobar); - foobar = {foobar, "1083"}; - foobar = {foobar, "1084"}; + foobar = {foobar, " 1083"}; + foobar = {foobar, " 1084"}; ozonef1e_hl(foo[11:9],foo[ 5],foobar); dude(foobar); - $fwrite (fd, "1085:%s", foobar); + $fwrite (fd, " 1085:%s", foobar); end 7'b??_?_101_?: begin ozonef1e(foo, foobar); - foobar = {foobar, "1086"}; + foobar = {foobar, " 1086"}; ozonef1e_ye(foo[14:9],foo[ 5],foobar); dude(foobar); - $fwrite (fd, "1087:%s", foobar); + $fwrite (fd, " 1087:%s", foobar); end endcase 17'b00_10??_?_????_?0_0110 : begin ozonef1e(foo, foobar); - foobar = {foobar, "1088"}; + foobar = {foobar, " 1088"}; ozoneae(foo[ 8: 6], foobar); ozonef1e_hl(foo[11:9],foo[ 5],foobar); - foobar = {foobar, "1089"}; + foobar = {foobar, " 1089"}; ozonef1e_ye(foo[14:9],foo[ 5],foobar); dude(foobar); - $fwrite (fd, "1090:%s", foobar); + $fwrite (fd, " 1090:%s", foobar); end 17'b00_10??_?_????_00_0111 : begin ozonef1e(foo, foobar); - foobar = {foobar, "1091"}; + foobar = {foobar, " 1091"}; if (foo[ 6]) - foobar = {foobar, "1092"}; + foobar = {foobar, " 1092"}; else ozonerab({4'b1001, foo[ 8: 6]}, foobar); - foobar = {foobar, "1093"}; - foobar = {foobar, "1094"}; + foobar = {foobar, " 1093"}; + foobar = {foobar, " 1094"}; ozonerme(foo[14:12],foobar); case (foo[11: 9]) 3'h2, @@ -2747,27 +2784,27 @@ module t_case_write_tasks (); 3'h1, 3'h3, 3'h4: - foobar = {foobar, "1095"}; + foobar = {foobar, " 1095"}; endcase dude(foobar); - $fwrite (fd, "1096:%s", foobar); + $fwrite (fd, " 1096:%s", foobar); end 17'b00_10??_?_????_?0_0100 : begin ozonef1e(foo, foobar); - foobar = {foobar, "1097"}; + foobar = {foobar, " 1097"}; ozonef1e_ye(foo[14:9],foo[ 5],foobar); - foobar = {foobar, "1098"}; + foobar = {foobar, " 1098"}; ozoneae(foo[ 8: 6], foobar); ozonef1e_hl(foo[11:9],foo[ 5],foobar); dude(foobar); - $fwrite (fd, "1099:%s", foobar); + $fwrite (fd, " 1099:%s", foobar); end 17'b00_10??_?_????_10_0111 : begin ozonef1e(foo, foobar); - foobar = {foobar, "1100"}; - foobar = {foobar, "1101"}; + foobar = {foobar, " 1100"}; + foobar = {foobar, " 1101"}; ozonerme(foo[14:12],foobar); case (foo[11: 9]) 3'h2, @@ -2778,118 +2815,118 @@ module t_case_write_tasks (); 3'h1, 3'h3, 3'h4: - foobar = {foobar, "1102"}; + foobar = {foobar, " 1102"}; endcase - foobar = {foobar, "1103"}; + foobar = {foobar, " 1103"}; if (foo[ 6]) - foobar = {foobar, "1104"}; + foobar = {foobar, " 1104"}; else ozonerab({4'b1001, foo[ 8: 6]}, foobar); dude(foobar); - $fwrite (fd, "1105:%s", foobar); + $fwrite (fd, " 1105:%s", foobar); end 17'b00_10??_?_????_?0_1110 : begin ozonef1e(foo, foobar); - foobar = {foobar, "1106"}; + foobar = {foobar, " 1106"}; case (foo[11:9]) 3'h2: begin - foobar = {foobar, "1107"}; + foobar = {foobar, " 1107"}; if (foo[14:12] == 3'h0) - foobar = {foobar, "1108"}; + foobar = {foobar, " 1108"}; else ozonerme(foo[14:12],foobar); - foobar = {foobar, "1109"}; + foobar = {foobar, " 1109"}; end 3'h6: begin - foobar = {foobar, "1110"}; + foobar = {foobar, " 1110"}; if (foo[14:12] == 3'h0) - foobar = {foobar, "1111"}; + foobar = {foobar, " 1111"}; else ozonerme(foo[14:12],foobar); - foobar = {foobar, "1112"}; + foobar = {foobar, " 1112"}; end 3'h0: begin - foobar = {foobar, "1113"}; + foobar = {foobar, " 1113"}; if (foo[14:12] == 3'h0) - foobar = {foobar, "1114"}; + foobar = {foobar, " 1114"}; else ozonerme(foo[14:12],foobar); - foobar = {foobar, "1115"}; + foobar = {foobar, " 1115"}; if (foo[ 7: 5] >= 3'h5) - foobar = {foobar, "1116"}; + foobar = {foobar, " 1116"}; else ozonexe(foo[ 8: 5], foobar); end 3'h1: begin - foobar = {foobar, "1117"}; + foobar = {foobar, " 1117"}; if (foo[14:12] == 3'h0) - foobar = {foobar, "1118"}; + foobar = {foobar, " 1118"}; else ozonerme(foo[14:12],foobar); - foobar = {foobar, "1119"}; + foobar = {foobar, " 1119"}; if (foo[ 7: 5] >= 3'h5) - foobar = {foobar, "1120"}; + foobar = {foobar, " 1120"}; else ozonexe(foo[ 8: 5], foobar); end 3'h4: begin - foobar = {foobar, "1121"}; + foobar = {foobar, " 1121"}; if (foo[14:12] == 3'h0) - foobar = {foobar, "1122"}; + foobar = {foobar, " 1122"}; else ozonerme(foo[14:12],foobar); - foobar = {foobar, "1123"}; + foobar = {foobar, " 1123"}; if (foo[ 7: 5] >= 3'h5) - foobar = {foobar, "1124"}; + foobar = {foobar, " 1124"}; else ozonexe(foo[ 8: 5], foobar); end 3'h5: begin - foobar = {foobar, "1125"}; + foobar = {foobar, " 1125"}; if (foo[14:12] == 3'h0) - foobar = {foobar, "1126"}; + foobar = {foobar, " 1126"}; else ozonerme(foo[14:12],foobar); - foobar = {foobar, "1127"}; + foobar = {foobar, " 1127"}; if (foo[ 7: 5] >= 3'h5) - foobar = {foobar, "1128"}; + foobar = {foobar, " 1128"}; else ozonexe(foo[ 8: 5], foobar); end endcase dude(foobar); - $fwrite (fd, "1129:%s", foobar); + $fwrite (fd, " 1129:%s", foobar); end 17'b00_10??_?_????_?0_1111 : casez (foo[14: 9]) 6'b001_10_?: begin ozonef1e(foo, foobar); - foobar = {foobar, "1130"}; - foobar = {foobar, "1131"}; + foobar = {foobar, " 1130"}; + foobar = {foobar, " 1131"}; ozonef1e_hl(foo[ 7: 5],foo[ 9],foobar); - foobar = {foobar, "1132"}; + foobar = {foobar, " 1132"}; ozonexe(foo[ 8: 5], foobar); dude(foobar); - $fwrite (fd, "1133:%s", foobar); + $fwrite (fd, " 1133:%s", foobar); end 6'b???_11_?: begin ozonef1e(foo, foobar); - foobar = {foobar, "1134"}; + foobar = {foobar, " 1134"}; ozoneae(foo[14:12], foobar); ozonef1e_hl(foo[ 7: 5],foo[ 9],foobar); - foobar = {foobar, "1135"}; + foobar = {foobar, " 1135"}; ozonexe(foo[ 8: 5], foobar); dude(foobar); - $fwrite (fd, "1136:%s", foobar); + $fwrite (fd, " 1136:%s", foobar); end 6'b000_10_1, 6'b010_10_1, @@ -2897,15 +2934,15 @@ module t_case_write_tasks (); 6'b110_10_1: begin ozonef1e(foo, foobar); - foobar = {foobar, "1137"}; + foobar = {foobar, " 1137"}; ozonerab({4'b1001, foo[14:12]}, foobar); - foobar = {foobar, "1138"}; + foobar = {foobar, " 1138"}; if ((foo[ 7: 5] >= 3'h1) & (foo[ 7: 5] <= 3'h3)) - foobar = {foobar, "1139"}; + foobar = {foobar, " 1139"}; else ozonexe(foo[ 8: 5], foobar); dude(foobar); - $fwrite (fd, "1140:%s", foobar); + $fwrite (fd, " 1140:%s", foobar); end 6'b000_10_0, 6'b010_10_0, @@ -2913,241 +2950,241 @@ module t_case_write_tasks (); 6'b110_10_0: begin ozonef1e(foo, foobar); - foobar = {foobar, "1141"}; - foobar = {foobar, "1142"}; + foobar = {foobar, " 1141"}; + foobar = {foobar, " 1142"}; ozonerab({4'b1001, foo[14:12]}, foobar); - foobar = {foobar, "1143"}; - foobar = {foobar, "1144"}; + foobar = {foobar, " 1143"}; + foobar = {foobar, " 1144"}; ozonef1e_h(foo[ 7: 5],foobar); - foobar = {foobar, "1145"}; + foobar = {foobar, " 1145"}; ozonexe(foo[ 8: 5], foobar); dude(foobar); - $fwrite (fd, "1146:%s", foobar); + $fwrite (fd, " 1146:%s", foobar); end 6'b???_00_?: begin ozonef1e(foo, foobar); - foobar = {foobar, "1147"}; + foobar = {foobar, " 1147"}; if (foo[ 9]) begin - foobar = {foobar, "1148"}; + foobar = {foobar, " 1148"}; ozoneae(foo[14:12], foobar); end else begin - foobar = {foobar, "1149"}; + foobar = {foobar, " 1149"}; ozoneae(foo[14:12], foobar); - foobar = {foobar, "1150"}; + foobar = {foobar, " 1150"}; end - foobar = {foobar, "1151"}; - foobar = {foobar, "1152"}; + foobar = {foobar, " 1151"}; + foobar = {foobar, " 1152"}; ozonef1e_h(foo[ 7: 5],foobar); - foobar = {foobar, "1153"}; + foobar = {foobar, " 1153"}; ozonexe(foo[ 8: 5], foobar); dude(foobar); - $fwrite (fd, "1154:%s", foobar); + $fwrite (fd, " 1154:%s", foobar); end 6'b???_01_?: begin ozonef1e(foo, foobar); - foobar = {foobar, "1155"}; + foobar = {foobar, " 1155"}; ozoneae(foo[14:12], foobar); if (foo[ 9]) - foobar = {foobar, "1156"}; + foobar = {foobar, " 1156"}; else - foobar = {foobar, "1157"}; - foobar = {foobar, "1158"}; - foobar = {foobar, "1159"}; + foobar = {foobar, " 1157"}; + foobar = {foobar, " 1158"}; + foobar = {foobar, " 1159"}; ozonef1e_h(foo[ 7: 5],foobar); - foobar = {foobar, "1160"}; + foobar = {foobar, " 1160"}; ozonexe(foo[ 8: 5], foobar); dude(foobar); - $fwrite (fd, "1161:%s", foobar); + $fwrite (fd, " 1161:%s", foobar); end 6'b011_10_0: begin ozonef1e(foo, foobar); - foobar = {foobar, "1162"}; + foobar = {foobar, " 1162"}; case (foo[ 8: 5]) - 4'h0: foobar = {foobar, "1163"}; - 4'h1: foobar = {foobar, "1164"}; - 4'h2: foobar = {foobar, "1165"}; - 4'h3: foobar = {foobar, "1166"}; - 4'h4: foobar = {foobar, "1167"}; - 4'h5: foobar = {foobar, "1168"}; - 4'h8: foobar = {foobar, "1169"}; - 4'h9: foobar = {foobar, "1170"}; - 4'ha: foobar = {foobar, "1171"}; - 4'hb: foobar = {foobar, "1172"}; - 4'hc: foobar = {foobar, "1173"}; - 4'hd: foobar = {foobar, "1174"}; - default: foobar = {foobar, "1175"}; + 4'h0: foobar = {foobar, " 1163"}; + 4'h1: foobar = {foobar, " 1164"}; + 4'h2: foobar = {foobar, " 1165"}; + 4'h3: foobar = {foobar, " 1166"}; + 4'h4: foobar = {foobar, " 1167"}; + 4'h5: foobar = {foobar, " 1168"}; + 4'h8: foobar = {foobar, " 1169"}; + 4'h9: foobar = {foobar, " 1170"}; + 4'ha: foobar = {foobar, " 1171"}; + 4'hb: foobar = {foobar, " 1172"}; + 4'hc: foobar = {foobar, " 1173"}; + 4'hd: foobar = {foobar, " 1174"}; + default: foobar = {foobar, " 1175"}; endcase dude(foobar); - $fwrite (fd, "1176:%s", foobar); + $fwrite (fd, " 1176:%s", foobar); end - default: foobar = {foobar, "1177"}; + default: foobar = {foobar, " 1177"}; endcase 17'b00_10??_?_????_?0_110? : begin ozonef1e(foo, foobar); - foobar = {foobar, "1178"}; - foobar = {foobar, "1179"}; + foobar = {foobar, " 1178"}; + foobar = {foobar, " 1179"}; ozonef1e_hl(foo[11:9], foo[0], foobar); - foobar = {foobar, "1180"}; + foobar = {foobar, " 1180"}; ozonef1e_ye(foo[14:9],1'b0,foobar); - foobar = {foobar, "1181"}; + foobar = {foobar, " 1181"}; ozonef1e_h(foo[ 7: 5],foobar); - foobar = {foobar, "1182"}; + foobar = {foobar, " 1182"}; ozonexe(foo[ 8: 5], foobar); dude(foobar); - $fwrite (fd, "1183:%s", foobar); + $fwrite (fd, " 1183:%s", foobar); end 17'b00_10??_?_????_?1_110? : begin ozonef1e(foo, foobar); - foobar = {foobar, "1184"}; - foobar = {foobar, "1185"}; + foobar = {foobar, " 1184"}; + foobar = {foobar, " 1185"}; ozonef1e_hl(foo[11:9],foo[0],foobar); - foobar = {foobar, "1186"}; + foobar = {foobar, " 1186"}; ozonef1e_ye(foo[14:9],foo[ 0],foobar); - foobar = {foobar, "1187"}; - foobar = {foobar, "1188"}; + foobar = {foobar, " 1187"}; + foobar = {foobar, " 1188"}; ozonef1e_h(foo[ 7: 5],foobar); - foobar = {foobar, "1189"}; + foobar = {foobar, " 1189"}; ozonexe(foo[ 8: 5], foobar); dude(foobar); - $fwrite (fd, "1190:%s", foobar); + $fwrite (fd, " 1190:%s", foobar); end 17'b00_10??_?_????_?0_101? : begin ozonef1e(foo, foobar); - foobar = {foobar, "1191"}; + foobar = {foobar, " 1191"}; ozonef1e_ye(foo[14:9],foo[ 0],foobar); - foobar = {foobar, "1192"}; - foobar = {foobar, "1193"}; + foobar = {foobar, " 1192"}; + foobar = {foobar, " 1193"}; ozonef1e_hl(foo[11:9],foo[0],foobar); - foobar = {foobar, "1194"}; - foobar = {foobar, "1195"}; + foobar = {foobar, " 1194"}; + foobar = {foobar, " 1195"}; ozonef1e_h(foo[ 7: 5],foobar); - foobar = {foobar, "1196"}; + foobar = {foobar, " 1196"}; ozonexe(foo[ 8: 5], foobar); dude(foobar); - $fwrite (fd, "1197:%s", foobar); + $fwrite (fd, " 1197:%s", foobar); end 17'b00_10??_?_????_?0_1001 : begin ozonef1e(foo, foobar); - foobar = {foobar, "1198"}; - foobar = {foobar, "1199"}; + foobar = {foobar, " 1198"}; + foobar = {foobar, " 1199"}; ozonef1e_h(foo[11:9],foobar); - foobar = {foobar, "1200"}; + foobar = {foobar, " 1200"}; ozonef1e_ye(foo[14:9],1'b0,foobar); - foobar = {foobar, "1201"}; + foobar = {foobar, " 1201"}; case (foo[ 7: 5]) 3'h1, 3'h2, 3'h3: - foobar = {foobar, "1202"}; + foobar = {foobar, " 1202"}; default: begin - foobar = {foobar, "1203"}; - foobar = {foobar, "1204"}; + foobar = {foobar, " 1203"}; + foobar = {foobar, " 1204"}; ozonexe(foo[ 8: 5], foobar); end endcase dude(foobar); - $fwrite (fd, "1205:%s", foobar); + $fwrite (fd, " 1205:%s", foobar); end 17'b00_10??_?_????_?0_0101 : begin ozonef1e(foo, foobar); - foobar = {foobar, "1206"}; + foobar = {foobar, " 1206"}; case (foo[11: 9]) 3'h1, 3'h3, 3'h4: - foobar = {foobar, "1207"}; + foobar = {foobar, " 1207"}; default: begin ozonef1e_ye(foo[14:9],1'b0,foobar); - foobar = {foobar, "1208"}; - foobar = {foobar, "1209"}; + foobar = {foobar, " 1208"}; + foobar = {foobar, " 1209"}; end endcase - foobar = {foobar, "1210"}; - foobar = {foobar, "1211"}; + foobar = {foobar, " 1210"}; + foobar = {foobar, " 1211"}; ozonef1e_h(foo[ 7: 5],foobar); - foobar = {foobar, "1212"}; + foobar = {foobar, " 1212"}; ozonexe(foo[ 8: 5], foobar); dude(foobar); - $fwrite (fd, "1213:%s", foobar); + $fwrite (fd, " 1213:%s", foobar); end 17'b00_10??_?_????_?1_1110 : begin ozonef1e(foo, foobar); - foobar = {foobar, "1214"}; + foobar = {foobar, " 1214"}; ozonef1e_ye(foo[14:9],1'b0,foobar); - foobar = {foobar, "1215"}; - foobar = {foobar, "1216"}; + foobar = {foobar, " 1215"}; + foobar = {foobar, " 1216"}; ozonef1e_h(foo[11: 9],foobar); - foobar = {foobar, "1217"}; - foobar = {foobar, "1218"}; + foobar = {foobar, " 1217"}; + foobar = {foobar, " 1218"}; ozonef1e_h(foo[ 7: 5],foobar); - foobar = {foobar, "1219"}; + foobar = {foobar, " 1219"}; ozonexe(foo[ 8: 5], foobar); dude(foobar); - $fwrite (fd, "1220:%s", foobar); + $fwrite (fd, " 1220:%s", foobar); end 17'b00_10??_?_????_?0_1000 : begin ozonef1e(foo, foobar); - foobar = {foobar, "1221"}; + foobar = {foobar, " 1221"}; ozonef1e_ye(foo[14:9],1'b0,foobar); - foobar = {foobar, "1222"}; - foobar = {foobar, "1223"}; + foobar = {foobar, " 1222"}; + foobar = {foobar, " 1223"}; ozonef1e_h(foo[11: 9],foobar); - foobar = {foobar, "1224"}; - foobar = {foobar, "1225"}; + foobar = {foobar, " 1224"}; + foobar = {foobar, " 1225"}; ozonef1e_h(foo[ 7: 5],foobar); - foobar = {foobar, "1226"}; + foobar = {foobar, " 1226"}; ozonexe(foo[ 8: 5], foobar); dude(foobar); - $fwrite (fd, "1227:%s", foobar); + $fwrite (fd, " 1227:%s", foobar); end 17'b10_01??_?_????_??_???? : begin if (foo[27]) - foobar = "1228"; + foobar = " 1228"; else - foobar = "1229"; + foobar = " 1229"; ozonecon(foo[20:16], foobar); - foobar = {foobar, "1230"}; + foobar = {foobar, " 1230"}; ozonef2(foo[31:0], foobar); dude(foobar); - $fwrite (fd, "1231:%s", foobar); + $fwrite (fd, " 1231:%s", foobar); end 17'b00_1000_?_????_01_0011 : if (~|foo[ 9: 8]) begin if (foo[ 7]) - foobar = "1232"; + foobar = " 1232"; else - foobar = "1233"; + foobar = " 1233"; ozonecon(foo[14:10], foobar); - foobar = {foobar, "1234"}; + foobar = {foobar, " 1234"}; ozonef2e(foo[31:0], foobar); dude(foobar); - $fwrite (fd, "1235:%s", foobar); + $fwrite (fd, " 1235:%s", foobar); end else begin - foobar = "1236"; + foobar = " 1236"; ozonecon(foo[14:10], foobar); - foobar = {foobar, "1237"}; + foobar = {foobar, " 1237"}; ozonef3e(foo[31:0], foobar); dude(foobar); - $fwrite (fd, "1238:%s", foobar); + $fwrite (fd, " 1238:%s", foobar); end 17'b11_110?_1_????_??_???? : begin @@ -3162,23 +3199,23 @@ module t_case_write_tasks (); 5'b1_0???, 5'b1_1111: begin - $fwrite (fd, "1240"); + $fwrite (fd, " 1240"); end 5'b0_00??: begin ozoneacc(foo[26], foobar); - foobar = {foobar, "1241"}; + foobar = {foobar, " 1241"}; ozoneacc(foo[25], foobar); ozonebmuop(foo[24:20], foobar); ozoneae(foo[18:16], foobar); - foobar = {foobar, "1242"}; + foobar = {foobar, " 1242"}; dude(foobar); $fwrite(fd, " 1243:%s", foobar); end 5'b0_01??: begin ozoneacc(foo[26], foobar); - foobar = {foobar, "1244"}; + foobar = {foobar, " 1244"}; ozoneacc(foo[25], foobar); ozonebmuop(foo[24:20], foobar); ozonearm(foo[18:16], foobar); @@ -3188,11 +3225,11 @@ module t_case_write_tasks (); 5'b0_1011: begin ozoneacc(foo[26], foobar); - foobar = {foobar, "1246"}; + foobar = {foobar, " 1246"}; ozonebmuop(foo[24:20], foobar); - foobar = {foobar, "1247"}; + foobar = {foobar, " 1247"}; ozoneae(foo[18:16], foobar); - foobar = {foobar, "1248"}; + foobar = {foobar, " 1248"}; dude(foobar); $fwrite(fd, " 1249:%s", foobar); end @@ -3201,22 +3238,22 @@ module t_case_write_tasks (); 5'b0_110? : begin ozoneacc(foo[26], foobar); - foobar = {foobar, "1250"}; + foobar = {foobar, " 1250"}; ozonebmuop(foo[24:20], foobar); - foobar = {foobar, "1251"}; + foobar = {foobar, " 1251"}; ozoneacc(foo[25], foobar); - foobar = {foobar, "1252"}; + foobar = {foobar, " 1252"}; ozoneae(foo[18:16], foobar); - foobar = {foobar, "1253"}; + foobar = {foobar, " 1253"}; dude(foobar); $fwrite(fd, " 1254:%s", foobar); end 5'b0_1111 : begin ozoneacc(foo[26], foobar); - foobar = {foobar, "1255"}; + foobar = {foobar, " 1255"}; ozoneacc(foo[25], foobar); - foobar = {foobar, "1256"}; + foobar = {foobar, " 1256"}; ozoneae(foo[18:16], foobar); dude(foobar); $fwrite(fd, " 1257:%s", foobar); @@ -3226,13 +3263,13 @@ module t_case_write_tasks (); 5'b1_1110 : begin ozoneacc(foo[26], foobar); - foobar = {foobar, "1258"}; + foobar = {foobar, " 1258"}; ozonebmuop(foo[24:20], foobar); - foobar = {foobar, "1259"}; + foobar = {foobar, " 1259"}; ozoneacc(foo[25], foobar); - foobar = {foobar, "1260"}; + foobar = {foobar, " 1260"}; ozonearm(foo[18:16], foobar); - foobar = {foobar, "1261"}; + foobar = {foobar, " 1261"}; dude(foobar); $fwrite(fd, " 1262:%s", foobar); end @@ -3244,16 +3281,16 @@ module t_case_write_tasks (); 5'b0111?: begin ozoneae(foo[26:24], foobar); - foobar = {foobar, "1263"}; + foobar = {foobar, " 1263"}; ozonef3f4imop(foo[23:19], foobar); - foobar = {foobar, "1264"}; + foobar = {foobar, " 1264"}; ozoneae(foo[18:16], foobar); - foobar = {foobar, "1265"}; + foobar = {foobar, " 1265"}; skyway(foo[15:12], foobar); skyway(foo[11: 8], foobar); skyway(foo[ 7: 4], foobar); skyway(foo[ 3:0], foobar); - foobar = {foobar, "1266"}; + foobar = {foobar, " 1266"}; dude(foobar); $fwrite(fd, " 1267:%s", foobar); end @@ -3261,16 +3298,16 @@ module t_case_write_tasks (); 5'b110??: begin ozoneae(foo[26:24], foobar); - foobar = {foobar, "1268"}; + foobar = {foobar, " 1268"}; if (foo[23:21] == 3'b100) - foobar = {foobar, "1269"}; + foobar = {foobar, " 1269"}; ozoneae(foo[18:16], foobar); if (foo[19]) - foobar = {foobar, "1270"}; + foobar = {foobar, " 1270"}; else - foobar = {foobar, "1271"}; + foobar = {foobar, " 1271"}; ozonef3f4imop(foo[23:19], foobar); - foobar = {foobar, "1272"}; + foobar = {foobar, " 1272"}; ozonef3f4_iext(foo[20:19], foo[15:0], foobar); dude(foobar); $fwrite(fd, " 1273:%s", foobar); @@ -3280,11 +3317,11 @@ module t_case_write_tasks (); begin ozoneae(foo[18:16], foobar); if (foo[19]) - foobar = {foobar, "1274"}; + foobar = {foobar, " 1274"}; else - foobar = {foobar, "1275"}; + foobar = {foobar, " 1275"}; ozonef3f4imop(foo[23:19], foobar); - foobar = {foobar, "1276"}; + foobar = {foobar, " 1276"}; ozonef3f4_iext(foo[20:19], foo[15:0], foobar); dude(foobar); $fwrite(fd, " 1277:%s", foobar); @@ -3292,9 +3329,9 @@ module t_case_write_tasks (); endcase 17'b00_1000_?_????_11_0011 : begin - foobar = "1278"; + foobar = " 1278"; ozonecon(foo[14:10], foobar); - foobar = {foobar, "1279"}; + foobar = {foobar, " 1279"}; casez (foo[25:21]) 5'b0_1110, 5'b1_0???, @@ -3305,18 +3342,18 @@ module t_case_write_tasks (); 5'b0_00??: begin ozoneae(foo[20:18], foobar); - foobar = {foobar, "1281"}; + foobar = {foobar, " 1281"}; ozoneae(foo[17:15], foobar); ozonebmuop(foo[25:21], foobar); ozoneae(foo[ 8: 6], foobar); - foobar = {foobar, "1282"}; + foobar = {foobar, " 1282"}; dude(foobar); $fwrite(fd, " 1283:%s", foobar); end 5'b0_01??: begin ozoneae(foo[20:18], foobar); - foobar = {foobar, "1284"}; + foobar = {foobar, " 1284"}; ozoneae(foo[17:15], foobar); ozonebmuop(foo[25:21], foobar); ozonearm(foo[ 8: 6], foobar); @@ -3326,11 +3363,11 @@ module t_case_write_tasks (); 5'b0_1011: begin ozoneae(foo[20:18], foobar); - foobar = {foobar, "1286"}; + foobar = {foobar, " 1286"}; ozonebmuop(foo[25:21], foobar); - foobar = {foobar, "1287"}; + foobar = {foobar, " 1287"}; ozoneae(foo[ 8: 6], foobar); - foobar = {foobar, "1288"}; + foobar = {foobar, " 1288"}; dude(foobar); $fwrite(fd, " 1289:%s", foobar); end @@ -3339,22 +3376,22 @@ module t_case_write_tasks (); 5'b0_110? : begin ozoneae(foo[20:18], foobar); - foobar = {foobar, "1290"}; + foobar = {foobar, " 1290"}; ozonebmuop(foo[25:21], foobar); - foobar = {foobar, "1291"}; + foobar = {foobar, " 1291"}; ozoneae(foo[17:15], foobar); - foobar = {foobar, "1292"}; + foobar = {foobar, " 1292"}; ozoneae(foo[ 8: 6], foobar); - foobar = {foobar, "1293"}; + foobar = {foobar, " 1293"}; dude(foobar); $fwrite(fd, " 1294:%s", foobar); end 5'b0_1111 : begin ozoneae(foo[20:18], foobar); - foobar = {foobar, "1295"}; + foobar = {foobar, " 1295"}; ozoneae(foo[17:15], foobar); - foobar = {foobar, "1296"}; + foobar = {foobar, " 1296"}; ozoneae(foo[ 8: 6], foobar); dude(foobar); $fwrite(fd, " 1297:%s", foobar); @@ -3364,13 +3401,13 @@ module t_case_write_tasks (); 5'b1_1110 : begin ozoneae(foo[20:18], foobar); - foobar = {foobar, "1298"}; + foobar = {foobar, " 1298"}; ozonebmuop(foo[25:21], foobar); - foobar = {foobar, "1299"}; + foobar = {foobar, " 1299"}; ozoneae(foo[17:15], foobar); - foobar = {foobar, "1300"}; + foobar = {foobar, " 1300"}; ozonearm(foo[ 8: 6], foobar); - foobar = {foobar, "1301"}; + foobar = {foobar, " 1301"}; dude(foobar); $fwrite(fd, " 1302:%s", foobar); end @@ -3378,35 +3415,40 @@ module t_case_write_tasks (); end 17'b00_0010_?_????_??_???? : begin + $fwrite(fd, " 1304a:%x;%x", foobar, foo[25:20]); ozonerab({1'b0, foo[25:20]}, foobar); - foobar = {foobar, "1303"}; + $fwrite(fd, " 1304b:%x", foobar); + foobar = {foobar, " 1303"}; + $fwrite(fd, " 1304c:%x;%x", foobar, foo[19:16]); skyway(foo[19:16], foobar); + $fwrite(fd, " 1304d:%x", foobar); dude(foobar); + $fwrite(fd, " 1304e:%x", foobar); $fwrite(fd, " 1304:%s", foobar); end 17'b00_01??_?_????_??_???? : begin if (foo[27]) begin - foobar = {foobar, "1305"}; + foobar = {foobar, " 1305"}; if (foo[26]) - foobar = {foobar, "1306"}; + foobar = {foobar, " 1306"}; else - foobar = {foobar, "1307"}; + foobar = {foobar, " 1307"}; skyway(foo[19:16], foobar); - foobar = {foobar, "1308"}; + foobar = {foobar, " 1308"}; ozonerab({1'b0, foo[25:20]}, foobar); end else begin ozonerab({1'b0, foo[25:20]}, foobar); - foobar = {foobar, "1309"}; + foobar = {foobar, " 1309"}; if (foo[26]) - foobar = {foobar, "1310"}; + foobar = {foobar, " 1310"}; else - foobar = {foobar, "1311"}; + foobar = {foobar, " 1311"}; skyway(foo[19:16], foobar); - foobar = {foobar, "1312"}; + foobar = {foobar, " 1312"}; end dude(foobar); $fwrite(fd, " 1313:%s", foobar); @@ -3416,7 +3458,7 @@ module t_case_write_tasks (); if (foo[26]) begin ozonerb(foo[25:20], foobar); - foobar = {foobar, "1314"}; + foobar = {foobar, " 1314"}; ozoneae(foo[18:16], foobar); ozonehl(foo[19], foobar); end @@ -3424,7 +3466,7 @@ module t_case_write_tasks (); begin ozoneae(foo[18:16], foobar); ozonehl(foo[19], foobar); - foobar = {foobar, "1315"}; + foobar = {foobar, " 1315"}; ozonerb(foo[25:20], foobar); end dude(foobar); @@ -3435,13 +3477,13 @@ module t_case_write_tasks (); if (foo[27]) begin ozonerab({1'b0, foo[25:20]}, foobar); - foobar = {foobar, "1317"}; + foobar = {foobar, " 1317"}; ozonerx(foo, foobar); end else begin ozonerx(foo, foobar); - foobar = {foobar, "1318"}; + foobar = {foobar, " 1318"}; ozonerab({1'b0, foo[25:20]}, foobar); end dude(foobar); @@ -3450,7 +3492,7 @@ module t_case_write_tasks (); 17'b11_101?_?_????_??_???? : begin ozonerab (foo[26:20], foobar); - foobar = {foobar, "1320"}; + foobar = {foobar, " 1320"}; skyway(foo[19:16], foobar); skyway(foo[15:12], foobar); skyway(foo[11: 8], foobar); @@ -3465,31 +3507,31 @@ module t_case_write_tasks (); 3'b00?: begin ozonerab(foo[22:16], foobar); - foobar = {foobar, "1322"}; + foobar = {foobar, " 1322"}; end 3'b01?: begin - foobar = {foobar, "1323"}; + foobar = {foobar, " 1323"}; if (foo[22:16]>=7'h60) - foobar = {foobar, "1324"}; + foobar = {foobar, " 1324"}; else ozonerab(foo[22:16], foobar); end 3'b110: - foobar = {foobar, "1325"}; + foobar = {foobar, " 1325"}; 3'b10?: begin - foobar = {foobar, "1326"}; + foobar = {foobar, " 1326"}; if (foo[22:16]>=7'h60) - foobar = {foobar, "1327"}; + foobar = {foobar, " 1327"}; else ozonerab(foo[22:16], foobar); end 3'b111: begin - foobar = {foobar, "1328"}; + foobar = {foobar, " 1328"}; ozonerab(foo[22:16], foobar); - foobar = {foobar, "1329"}; + foobar = {foobar, " 1329"}; end endcase dude(foobar); @@ -3499,29 +3541,29 @@ module t_case_write_tasks (); begin if (foo[27]) begin - foobar = {foobar, "1331"}; + foobar = {foobar, " 1331"}; ozonerp(foo[14:12], foobar); - foobar = {foobar, "1332"}; + foobar = {foobar, " 1332"}; skyway(foo[19:16], foobar); skyway({foo[15],foo[11: 9]}, foobar); skyway(foo[ 8: 5], foobar); - foobar = {foobar, "1333"}; + foobar = {foobar, " 1333"}; if (foo[26:20]>=7'h60) - foobar = {foobar, "1334"}; + foobar = {foobar, " 1334"}; else ozonerab(foo[26:20], foobar); end else begin ozonerab(foo[26:20], foobar); - foobar = {foobar, "1335"}; - foobar = {foobar, "1336"}; + foobar = {foobar, " 1335"}; + foobar = {foobar, " 1336"}; ozonerp(foo[14:12], foobar); - foobar = {foobar, "1337"}; + foobar = {foobar, " 1337"}; skyway(foo[19:16], foobar); skyway({foo[15],foo[11: 9]}, foobar); skyway(foo[ 8: 5], foobar); - foobar = {foobar, "1338"}; + foobar = {foobar, " 1338"}; end dude(foobar); $fwrite(fd, " 1339:%s", foobar); @@ -3531,25 +3573,25 @@ module t_case_write_tasks (); begin if (foo[ 6]) begin - foobar = {foobar, "1340"}; + foobar = {foobar, " 1340"}; ozonerp(foo[14:12], foobar); - foobar = {foobar, "1341"}; + foobar = {foobar, " 1341"}; ozonejk(foo[ 5], foobar); - foobar = {foobar, "1342"}; + foobar = {foobar, " 1342"}; if (foo[26:20]>=7'h60) - foobar = {foobar, "1343"}; + foobar = {foobar, " 1343"}; else ozonerab(foo[26:20], foobar); end else begin ozonerab(foo[26:20], foobar); - foobar = {foobar, "1344"}; - foobar = {foobar, "1345"}; + foobar = {foobar, " 1344"}; + foobar = {foobar, " 1345"}; ozonerp(foo[14:12], foobar); - foobar = {foobar, "1346"}; + foobar = {foobar, " 1346"}; ozonejk(foo[ 5], foobar); - foobar = {foobar, "1347"}; + foobar = {foobar, " 1347"}; end dude(foobar); $fwrite(fd, " 1348:%s", foobar); @@ -3562,15 +3604,15 @@ module t_case_write_tasks (); if (foo[6]) begin ozonerab(foo[26:20], foobar); - foobar = {foobar, "1350"}; + foobar = {foobar, " 1350"}; ozoneye(foo[14: 9],foo[ 5], foobar); end else begin ozoneye(foo[14: 9],foo[ 5], foobar); - foobar = {foobar, "1351"}; + foobar = {foobar, " 1351"}; if (foo[26:20]>=7'h60) - foobar = {foobar, "1352"}; + foobar = {foobar, " 1352"}; else ozonerab(foo[26:20], foobar); end @@ -3583,11 +3625,11 @@ module t_case_write_tasks (); if (~|foo[25:20]) begin ozoneye(foo[14: 9],1'b0, foobar); - foobar = {foobar, "1355"}; + foobar = {foobar, " 1355"}; ozonef1e_h(foo[11: 9],foobar); - foobar = {foobar, "1356"}; + foobar = {foobar, " 1356"}; ozonef1e_h(foo[ 7: 5],foobar); - foobar = {foobar, "1357"}; + foobar = {foobar, " 1357"}; ozonexe(foo[ 8: 5], foobar); dude(foobar); $fwrite(fd, " 1358:%s", foobar); @@ -3599,13 +3641,13 @@ module t_case_write_tasks (); begin if (foo[12]) begin - foobar = {foobar, "1360"}; + foobar = {foobar, " 1360"}; if (foo[26:20]>=7'h60) - foobar = {foobar, "1361"}; + foobar = {foobar, " 1361"}; else ozonerab(foo[26:20], foobar); - foobar = {foobar, "1362"}; - foobar = {foobar, "1363"}; + foobar = {foobar, " 1362"}; + foobar = {foobar, " 1363"}; skyway({1'b0,foo[18:16]}, foobar); skyway({foo[15],foo[11: 9]}, foobar); skyway(foo[ 8: 5], foobar); @@ -3615,8 +3657,8 @@ module t_case_write_tasks (); else begin ozonerab(foo[26:20], foobar); - foobar = {foobar, "1365"}; - foobar = {foobar, "1366"}; + foobar = {foobar, " 1365"}; + foobar = {foobar, " 1366"}; skyway({1'b0,foo[18:16]}, foobar); skyway({foo[15],foo[11: 9]}, foobar); skyway(foo[ 8: 5], foobar); @@ -3629,7 +3671,7 @@ module t_case_write_tasks (); 17'b01_01??_?_????_??_???? : begin ozonerab({1'b0,foo[27:26],foo[19:16]}, foobar); - foobar = {foobar, "1369"}; + foobar = {foobar, " 1369"}; ozonerab({1'b0,foo[25:20]}, foobar); dude(foobar); $fwrite(fd, " 1370:%s", foobar); @@ -3637,11 +3679,11 @@ module t_case_write_tasks (); 17'b00_100?_?_???0_11_0101 : if (~foo[6]) begin - foobar = "1371"; + foobar = " 1371"; ozonecon(foo[14:10], foobar); - foobar = {foobar, "1372"}; + foobar = {foobar, " 1372"}; ozonerab({foo[ 9: 7],foo[19:16]}, foobar); - foobar = {foobar, "1373"}; + foobar = {foobar, " 1373"}; ozonerab({foo[26:20]}, foobar); dude(foobar); $fwrite(fd, " 1374:%s", foobar); @@ -3652,9 +3694,9 @@ module t_case_write_tasks (); if (~|foo[25:24]) begin ozonery(foo[23:20], foobar); - foobar = {foobar, "1376"}; + foobar = {foobar, " 1376"}; ozonerp(foo[14:12], foobar); - foobar = {foobar, "1377"}; + foobar = {foobar, " 1377"}; skyway(foo[19:16], foobar); skyway({foo[15],foo[11: 9]}, foobar); skyway(foo[ 8: 5], foobar); @@ -3664,9 +3706,9 @@ module t_case_write_tasks (); else if ((foo[25:24] == 2'b10) & ~|foo[19:15] & ~|foo[11: 6]) begin ozonery(foo[23:20], foobar); - foobar = {foobar, "1379"}; + foobar = {foobar, " 1379"}; ozonerp(foo[14:12], foobar); - foobar = {foobar, "1380"}; + foobar = {foobar, " 1380"}; ozonejk(foo[ 5], foobar); dude(foobar); $fwrite(fd, " 1381:%s", foobar); @@ -3691,13 +3733,13 @@ module t_case_write_tasks (); $fwrite(fd, " 1387"); 17'b00_10??_?_????_11_1000 : begin - foobar = "1388"; + foobar = " 1388"; ozonecon(foo[14:10], foobar); - foobar = {foobar, "1389"}; + foobar = {foobar, " 1389"}; if (foo[15]) - foobar = {foobar, "1390"}; + foobar = {foobar, " 1390"}; else - foobar = {foobar, "1391"}; + foobar = {foobar, " 1391"}; skyway(foo[27:24], foobar); skyway(foo[23:20], foobar); skyway(foo[19:16], foobar); @@ -3709,17 +3751,17 @@ module t_case_write_tasks (); casez (foo[25:22]) 4'b01?? : begin - foobar = "1393"; + foobar = " 1393"; ozonecon(foo[20:16], foobar); case (foo[23:21]) - 3'h0 : foobar = {foobar, "1394"}; - 3'h1 : foobar = {foobar, "1395"}; - 3'h2 : foobar = {foobar, "1396"}; - 3'h3 : foobar = {foobar, "1397"}; - 3'h4 : foobar = {foobar, "1398"}; - 3'h5 : foobar = {foobar, "1399"}; - 3'h6 : foobar = {foobar, "1400"}; - 3'h7 : foobar = {foobar, "1401"}; + 3'h0 : foobar = {foobar, " 1394"}; + 3'h1 : foobar = {foobar, " 1395"}; + 3'h2 : foobar = {foobar, " 1396"}; + 3'h3 : foobar = {foobar, " 1397"}; + 3'h4 : foobar = {foobar, " 1398"}; + 3'h5 : foobar = {foobar, " 1399"}; + 3'h6 : foobar = {foobar, " 1400"}; + 3'h7 : foobar = {foobar, " 1401"}; endcase dude(foobar); $fwrite(fd, " 1402:%s", foobar); diff --git a/test_regress/t/t_case_write2.out b/test_regress/t/t_case_write2.out new file mode 100644 index 000000000..ae3412da8 --- /dev/null +++ b/test_regress/t/t_case_write2.out @@ -0,0 +1,89 @@ +[2] crc=0000000000000097 1009 1410 +[3] crc=000000000000012e 1009 1410 +[4] crc=000000000000025d 1009 1410 +[5] crc=00000000000004ba 1009 1410 +[6] crc=0000000000000974 1009 1410 +[7] crc=00000000000012e9 1009 1410 +[8] crc=00000000000025d3 1009 1410 +[9] crc=0000000000004ba7 1009 1410 +[10] crc=000000000000974e 1009 1410 +[11] crc=0000000000012e9d 1009 1410 +[12] crc=0000000000025d3a 1009 1410 +[13] crc=000000000004ba74 1009 1410 +[14] crc=00000000000974e9 1009 1410 +[15] crc=000000000012e9d3 1009 1410 +[16] crc=000000000025d3a7 1009 1410 +[17] crc=00000000004ba74e 1009 1410 +[18] crc=0000000000974e9d 1009 1410 +[19] crc=00000000012e9d3a 1009 1410 +[20] crc=00000000025d3a74 1009 1410 +[21] crc=0000000004ba74e9 1009 1410 +[22] crc=000000000974e9d3 1009 23 1303 138 dude 1304 +[23] crc=0000000012e9d3a7 1009 46 1309 1311 143 1312 dude 1313 +[24] crc=0000000025d3a74e 1009 172 407 175 408 409 410 1106 dude 1129 +[25] crc=000000004ba74e9d 1009 223 1014 880 885 1015 1016:0 1007 dude 1017 +[26] crc=00000000974e9d3a 1009 1229 967 1230 718 dude 1231 +[27] crc=000000012e9d3a74 1009 1410 +[28] crc=000000025d3a74e9 1009 58 1369 19 dude 1370 +[29] crc=00000004ba74e9d3 1009 194 1033 1034 1008 1035 880 dude 1036 +[30] crc=0000000974e9d3a7 1009 1409:69 +[31] crc=00000012e9d3a74e 1009 29 1320 137 144 141 138 148 dude 1321 +[32] crc=00000025d3a74e9d 1009 1383:3a7 +[33] crc=0000004ba74e9d3a 1009 216 1018 882 884 1019 1020 1007 dude 1021 +[34] crc=000000974e9d3a74 1009 197 1014 882 883 1015 1016:1 1008 dude 1017 +[35] crc=0000012e9d3a74e9 1009 1228 979 1230 713 dude 1231 +[36] crc=0000025d3a74e9d3 1009 194 1011 1006 1008 1012 880 dude 1013 +[37] crc=000004ba74e9d3a7 1009 1409:69 +[38] crc=00000974e9d3a74e 1009 29 1320 137 144 141 138 148 dude 1321 +[39] crc=000012e9d3a74e9d 1009 1383:3a7 +[40] crc=000025d3a74e9d3a 1009 216 1018 882 884 1019 1020 1007 dude 1021 +[41] crc=00004ba74e9d3a74 1009 197 1014 882 883 1015 1016:1 1008 dude 1017 +[42] crc=0000974e9d3a74e9 1009 1228 979 1230 713 dude 1231 +[43] crc=00012e9d3a74e9d3 1009 194 1011 1006 1008 1012 880 dude 1013 +[44] crc=00025d3a74e9d3a7 1009 1409:69 +[45] crc=0004ba74e9d3a74e 1009 29 1320 137 144 141 138 148 dude 1321 +[46] crc=000974e9d3a74e9d 1009 1383:3a7 +[47] crc=0012e9d3a74e9d3a 1009 216 1018 882 884 1019 1020 1007 dude 1021 +[48] crc=0025d3a74e9d3a74 1009 197 1014 882 883 1015 1016:1 1008 dude 1017 +[49] crc=004ba74e9d3a74e9 1009 1228 979 1230 713 dude 1231 +[50] crc=00974e9d3a74e9d3 1009 194 1011 1006 1008 1012 880 dude 1013 +[51] crc=012e9d3a74e9d3a7 1009 1409:69 +[52] crc=025d3a74e9d3a74e 1009 29 1320 137 144 141 138 148 dude 1321 +[53] crc=04ba74e9d3a74e9d 1009 1383:3a7 +[54] crc=0974e9d3a74e9d3a 1009 216 1018 882 884 1019 1020 1007 dude 1021 +[55] crc=12e9d3a74e9d3a74 1009 197 1014 882 883 1015 1016:1 1008 dude 1017 +[56] crc=25d3a74e9d3a74e9 1009 1228 979 1230 713 dude 1231 +[57] crc=4ba74e9d3a74e9d3 1009 194 1011 1006 1008 1012 880 dude 1013 +[58] crc=974e9d3a74e9d3a7 1009 1409:69 +[59] crc=2e9d3a74e9d3a74f 1009 29 1320 137 144 141 138 149 dude 1321 +[60] crc=5d3a74e9d3a74e9e 1009 1383:3a7 +[61] crc=ba74e9d3a74e9d3d 1009 216 1018 882 884 1019 1020 1007 dude 1021 +[62] crc=74e9d3a74e9d3a7b 1009 197 1014 882 883 1015 1016:1 1008 dude 1017 +[63] crc=e9d3a74e9d3a74f7 1009 1228 979 1230 713 dude 1231 +[64] crc=d3a74e9d3a74e9ef 1009 194 1011 1006 1008 1012 880 dude 1013 +[65] crc=a74e9d3a74e9d3df 1009 1409:69 +[66] crc=4e9d3a74e9d3a7bf 1009 29 1320 137 144 141 145 149 dude 1321 +[67] crc=9d3a74e9d3a74f7e 1009 1383:3a7 +[68] crc=3a74e9d3a74e9efc 1009 216 1018 882 884 1019 1020 1007 dude 1021 +[69] crc=74e9d3a74e9d3df9 1009 197 1014 882 883 1015 1016:1 1008 dude 1017 +[70] crc=e9d3a74e9d3a7bf3 1009 1228 979 1230 713 dude 1231 +[71] crc=d3a74e9d3a74f7e6 1009 194 1011 1006 1008 1012 880 dude 1013 +[72] crc=a74e9d3a74e9efcc 1009 1409:69 +[73] crc=4e9d3a74e9d3df98 1009 29 1320 137 147 149 143 142 dude 1321 +[74] crc=9d3a74e9d3a7bf30 1009 1383:3a7 +[75] crc=3a74e9d3a74f7e61 1009 216 1018 882 885 1019 1020 1007 dude 1021 +[76] crc=74e9d3a74e9efcc3 1009 197 1014 882 884 1015 1016:1 1008 dude 1017 +[77] crc=e9d3a74e9d3df987 1009 1228 982 1230 713 dude 1231 +[78] crc=d3a74e9d3a7bf30f 1009 194 1011 1006 1008 1012 881 885 dude 1013 +[79] crc=a74e9d3a74f7e61f 1009 1409:77 +[80] crc=4e9d3a74e9efcc3f 1009 30 1320 149 146 146 137 149 dude 1321 +[81] crc=9d3a74e9d3df987e 1009 1383:3df +[82] crc=3a74e9d3a7bf30fc 1009 225 1018 882 885 1019 1020 1008 dude 1021 +[83] crc=74e9d3a74f7e61f9 1009 218 1014 882 884 1015 1016:1 1008 dude 1017 +[84] crc=e9d3a74e9efcc3f3 1009 1228 981 1230 708 dude 1231 +[85] crc=d3a74e9d3df987e6 1009 232 1011 1005 1008 1012 881 883 dude 1013 +[86] crc=a74e9d3a7bf30fcc 1009 1409:73 +[87] crc=4e9d3a74f7e61f98 1009 1006 1258 846 1259 1006 1260 833 1261 dude 1262 +[88] crc=9d3a74e9efcc3f30 1009 124 1320 146 137 149 137 134 dude 1321 +[89] crc=3a74e9d3df987e61 1009 1383:f98 +[90] crc=74e9d3a7bf30fcc3 1009 215 1033 1034 1008 1035 879 dude 1036 diff --git a/test_regress/t/t_case_write2.pl b/test_regress/t/t_case_write2.pl new file mode 100755 index 000000000..360bf3db9 --- /dev/null +++ b/test_regress/t/t_case_write2.pl @@ -0,0 +1,22 @@ +#!/usr/bin/perl +if (!$::Driver) { use FindBin; exec("./driver.pl", @ARGV, $0); die; } +# $Id$ +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2003-2006 by Wilson Snyder. This program is free software; you can +# redistribute it and/or modify it under the terms of either the GNU +# General Public License or the Perl Artistic License. + +$golden_out ||= "t/$Last_Self->{name}.out"; + +compile ( + v_flags2 => [$Last_Self->{v3}?"--stats --O3 -x-assign 0":""], + ); + +execute ( + check_finished=>1, + ); + +ok(files_identical("obj_dir/$Last_Self->{name}_logger.log", $golden_out)); + +1; diff --git a/test_regress/t/t_case_write2.v b/test_regress/t/t_case_write2.v new file mode 100644 index 000000000..036cc608b --- /dev/null +++ b/test_regress/t/t_case_write2.v @@ -0,0 +1,44 @@ +// $Id$ +// DESCRIPTION: Verilator: Verilog Test module +// +// This file ONLY is placed into the Public Domain, for any use, +// without warranty, 2006 by Wilson Snyder. + +`include "verilated.v" + +module t (/*AUTOARG*/ + // Inputs + clk + ); + + input clk; + + reg [63:0] crc; + `verilator_file_descriptor fd; + + t_case_write2_tasks tasks (); + + integer cyc; initial cyc=0; + + always @ (posedge clk) begin + $fwrite(fd, "[%0d] crc=%x ", cyc, crc); + tasks.big_case(fd, crc[31:0]); + $fwrite(fd, "\n"); + end + + always @ (posedge clk) begin + //$write("[%0t] cyc==%0d crc=%x\n",$time, cyc, crc); + cyc <= cyc + 1; + crc <= {crc[62:0], crc[63]^crc[2]^crc[0]}; + if (cyc==1) begin + crc <= 64'h00000000_00000097; + $write("Open obj_dir/t_case_write2_logger.log\n"); + fd = $fopen("obj_dir/t_case_write2_logger.log", "w"); + end + if (cyc==90) begin + $write("*-* All Finished *-*\n"); + $finish; + end + end + +endmodule diff --git a/test_regress/t/t_case_write2_tasks.v b/test_regress/t/t_case_write2_tasks.v new file mode 100644 index 000000000..475818418 --- /dev/null +++ b/test_regress/t/t_case_write2_tasks.v @@ -0,0 +1,3778 @@ +// $Id$ +// DESCRIPTION: Verilator: Verilog Test module +// +// This file ONLY is placed into the Public Domain, for any use, +// without warranty, 2006 by Wilson Snyder. + +`include "verilated.v" + +module t_case_write2_tasks (); + + // verilator lint_off WIDTH + // verilator lint_off CASEINCOMPLETE + +`ifdef verilator + `define FD_BITS 63:0 +`else + `define FD_BITS 31:0 +`endif + + parameter STRLEN = 78; + task ozonerab; + input [6:0] rab; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (rab[6:0]) + 7'h00 : $fwrite (fd, " 0"); + 7'h01 : $fwrite (fd, " 1"); + 7'h02 : $fwrite (fd, " 2"); + 7'h03 : $fwrite (fd, " 3"); + 7'h04 : $fwrite (fd, " 4"); + 7'h05 : $fwrite (fd, " 5"); + 7'h06 : $fwrite (fd, " 6"); + 7'h07 : $fwrite (fd, " 7"); + 7'h08 : $fwrite (fd, " 8"); + 7'h09 : $fwrite (fd, " 9"); + 7'h0a : $fwrite (fd, " 10"); + 7'h0b : $fwrite (fd, " 11"); + 7'h0c : $fwrite (fd, " 12"); + 7'h0d : $fwrite (fd, " 13"); + 7'h0e : $fwrite (fd, " 14"); + 7'h0f : $fwrite (fd, " 15"); + 7'h10 : $fwrite (fd, " 16"); + 7'h11 : $fwrite (fd, " 17"); + 7'h12 : $fwrite (fd, " 18"); + 7'h13 : $fwrite (fd, " 19"); + 7'h14 : $fwrite (fd, " 20"); + 7'h15 : $fwrite (fd, " 21"); + 7'h16 : $fwrite (fd, " 22"); + 7'h17 : $fwrite (fd, " 23"); + 7'h18 : $fwrite (fd, " 24"); + 7'h19 : $fwrite (fd, " 25"); + 7'h1a : $fwrite (fd, " 26"); + 7'h1b : $fwrite (fd, " 27"); + 7'h1c : $fwrite (fd, " 28"); + 7'h1d : $fwrite (fd, " 29"); + 7'h1e : $fwrite (fd, " 30"); + 7'h1f : $fwrite (fd, " 31"); + 7'h20 : $fwrite (fd, " 32"); + 7'h21 : $fwrite (fd, " 33"); + 7'h22 : $fwrite (fd, " 34"); + 7'h23 : $fwrite (fd, " 35"); + 7'h24 : $fwrite (fd, " 36"); + 7'h25 : $fwrite (fd, " 37"); + 7'h26 : $fwrite (fd, " 38"); + 7'h27 : $fwrite (fd, " 39"); + 7'h28 : $fwrite (fd, " 40"); + 7'h29 : $fwrite (fd, " 41"); + 7'h2a : $fwrite (fd, " 42"); + 7'h2b : $fwrite (fd, " 43"); + 7'h2c : $fwrite (fd, " 44"); + 7'h2d : $fwrite (fd, " 45"); + 7'h2e : $fwrite (fd, " 46"); + 7'h2f : $fwrite (fd, " 47"); + 7'h30 : $fwrite (fd, " 48"); + 7'h31 : $fwrite (fd, " 49"); + 7'h32 : $fwrite (fd, " 50"); + 7'h33 : $fwrite (fd, " 51"); + 7'h34 : $fwrite (fd, " 52"); + 7'h35 : $fwrite (fd, " 53"); + 7'h36 : $fwrite (fd, " 54"); + 7'h37 : $fwrite (fd, " 55"); + 7'h38 : $fwrite (fd, " 56"); + 7'h39 : $fwrite (fd, " 57"); + 7'h3a : $fwrite (fd, " 58"); + 7'h3b : $fwrite (fd, " 59"); + 7'h3c : $fwrite (fd, " 60"); + 7'h3d : $fwrite (fd, " 61"); + 7'h3e : $fwrite (fd, " 62"); + 7'h3f : $fwrite (fd, " 63"); + 7'h40 : $fwrite (fd, " 64"); + 7'h41 : $fwrite (fd, " 65"); + 7'h42 : $fwrite (fd, " 66"); + 7'h43 : $fwrite (fd, " 67"); + 7'h44 : $fwrite (fd, " 68"); + 7'h45 : $fwrite (fd, " 69"); + 7'h46 : $fwrite (fd, " 70"); + 7'h47 : $fwrite (fd, " 71"); + 7'h48 : $fwrite (fd, " 72"); + 7'h49 : $fwrite (fd, " 73"); + 7'h4a : $fwrite (fd, " 74"); + 7'h4b : $fwrite (fd, " 75"); + 7'h4c : $fwrite (fd, " 76"); + 7'h4d : $fwrite (fd, " 77"); + 7'h4e : $fwrite (fd, " 78"); + 7'h4f : $fwrite (fd, " 79"); + 7'h50 : $fwrite (fd, " 80"); + 7'h51 : $fwrite (fd, " 81"); + 7'h52 : $fwrite (fd, " 82"); + 7'h53 : $fwrite (fd, " 83"); + 7'h54 : $fwrite (fd, " 84"); + 7'h55 : $fwrite (fd, " 85"); + 7'h56 : $fwrite (fd, " 86"); + 7'h57 : $fwrite (fd, " 87"); + 7'h58 : $fwrite (fd, " 88"); + 7'h59 : $fwrite (fd, " 89"); + 7'h5a : $fwrite (fd, " 90"); + 7'h5b : $fwrite (fd, " 91"); + 7'h5c : $fwrite (fd, " 92"); + 7'h5d : $fwrite (fd, " 93"); + 7'h5e : $fwrite (fd, " 94"); + 7'h5f : $fwrite (fd, " 95"); + 7'h60 : $fwrite (fd, " 96"); + 7'h61 : $fwrite (fd, " 97"); + 7'h62 : $fwrite (fd, " 98"); + 7'h63 : $fwrite (fd, " 99"); + 7'h64 : $fwrite (fd, " 100"); + 7'h65 : $fwrite (fd, " 101"); + 7'h66 : $fwrite (fd, " 102"); + 7'h67 : $fwrite (fd, " 103"); + 7'h68 : $fwrite (fd, " 104"); + 7'h69 : $fwrite (fd, " 105"); + 7'h6a : $fwrite (fd, " 106"); + 7'h6b : $fwrite (fd, " 107"); + 7'h6c : $fwrite (fd, " 108"); + 7'h6d : $fwrite (fd, " 109"); + 7'h6e : $fwrite (fd, " 110"); + 7'h6f : $fwrite (fd, " 111"); + 7'h70 : $fwrite (fd, " 112"); + 7'h71 : $fwrite (fd, " 113"); + 7'h72 : $fwrite (fd, " 114"); + 7'h73 : $fwrite (fd, " 115"); + 7'h74 : $fwrite (fd, " 116"); + 7'h75 : $fwrite (fd, " 117"); + 7'h76 : $fwrite (fd, " 118"); + 7'h77 : $fwrite (fd, " 119"); + 7'h78 : $fwrite (fd, " 120"); + 7'h79 : $fwrite (fd, " 121"); + 7'h7a : $fwrite (fd, " 122"); + 7'h7b : $fwrite (fd, " 123"); + 7'h7c : $fwrite (fd, " 124"); + 7'h7d : $fwrite (fd, " 125"); + 7'h7e : $fwrite (fd, " 126"); + 7'h7f : $fwrite (fd, " 127"); + default:$fwrite (fd, " 128"); + endcase + end + endtask + + task ozonerb; + input [5:0] rb; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (rb[5:0]) + 6'h10, + 6'h17, + 6'h1e, + 6'h1f: $fwrite (fd, " 129"); + default: ozonerab({1'b1, rb}, fd); + endcase + end + endtask + + task ozonef3f4_iext; + input [1:0] foo; + input [15:0] im16; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (foo) + 2'h0 : + begin + skyway({4{im16[15]}}, fd); + skyway({4{im16[15]}}, fd); + skyway(im16[15:12], fd); + skyway(im16[11: 8], fd); + skyway(im16[ 7: 4], fd); + skyway(im16[ 3:0], fd); + $fwrite (fd, " 130"); + end + 2'h1 : + begin + $fwrite (fd, " 131"); + skyway(im16[15:12], fd); + skyway(im16[11: 8], fd); + skyway(im16[ 7: 4], fd); + skyway(im16[ 3:0], fd); + end + 2'h2 : + begin + skyway({4{im16[15]}}, fd); + skyway({4{im16[15]}}, fd); + skyway(im16[15:12], fd); + skyway(im16[11: 8], fd); + skyway(im16[ 7: 4], fd); + skyway(im16[ 3:0], fd); + $fwrite (fd, " 132"); + end + 2'h3 : + begin + $fwrite (fd, " 133"); + skyway(im16[15:12], fd); + skyway(im16[11: 8], fd); + skyway(im16[ 7: 4], fd); + skyway(im16[ 3:0], fd); + end + endcase + end + endtask + + task skyway; + input [ 3:0] hex; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (hex) + 4'h0 : $fwrite (fd, " 134"); + 4'h1 : $fwrite (fd, " 135"); + 4'h2 : $fwrite (fd, " 136"); + 4'h3 : $fwrite (fd, " 137"); + 4'h4 : $fwrite (fd, " 138"); + 4'h5 : $fwrite (fd, " 139"); + 4'h6 : $fwrite (fd, " 140"); + 4'h7 : $fwrite (fd, " 141"); + 4'h8 : $fwrite (fd, " 142"); + 4'h9 : $fwrite (fd, " 143"); + 4'ha : $fwrite (fd, " 144"); + 4'hb : $fwrite (fd, " 145"); + 4'hc : $fwrite (fd, " 146"); + 4'hd : $fwrite (fd, " 147"); + 4'he : $fwrite (fd, " 148"); + 4'hf : $fwrite (fd, " 149"); + endcase + end + endtask + + task ozonesr; + input [ 15:0] foo; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (foo[11: 9]) + 3'h0 : $fwrite (fd, " 158"); + 3'h1 : $fwrite (fd, " 159"); + 3'h2 : $fwrite (fd, " 160"); + 3'h3 : $fwrite (fd, " 161"); + 3'h4 : $fwrite (fd, " 162"); + 3'h5 : $fwrite (fd, " 163"); + 3'h6 : $fwrite (fd, " 164"); + 3'h7 : $fwrite (fd, " 165"); + endcase + end + endtask + + task ozonejk; + input k; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + if (k) + $fwrite (fd, " 166"); + else + $fwrite (fd, " 167"); + end + endtask + + task ozoneae; + input [ 2:0] ae; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (ae) + 3'b000 : $fwrite (fd, " 168"); + 3'b001 : $fwrite (fd, " 169"); + 3'b010 : $fwrite (fd, " 170"); + 3'b011 : $fwrite (fd, " 171"); + 3'b100 : $fwrite (fd, " 172"); + 3'b101 : $fwrite (fd, " 173"); + 3'b110 : $fwrite (fd, " 174"); + 3'b111 : $fwrite (fd, " 175"); + endcase + end + endtask + + task ozoneaee; + input [ 2:0] aee; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (aee) + 3'b001, + 3'b011, + 3'b101, + 3'b111 : $fwrite (fd, " 176"); + 3'b000 : $fwrite (fd, " 177"); + 3'b010 : $fwrite (fd, " 178"); + 3'b100 : $fwrite (fd, " 179"); + 3'b110 : $fwrite (fd, " 180"); + endcase + end + endtask + + task ozoneape; + input [ 2:0] ape; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (ape) + 3'b001, + 3'b011, + 3'b101, + 3'b111 : $fwrite (fd, " 181"); + 3'b000 : $fwrite (fd, " 182"); + 3'b010 : $fwrite (fd, " 183"); + 3'b100 : $fwrite (fd, " 184"); + 3'b110 : $fwrite (fd, " 185"); + endcase + end + endtask + + task ozonef1; + input [ 31:0] foo; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (foo[24:21]) + 4'h0 : + if (foo[26]) + $fwrite (fd, " 186"); + else + $fwrite (fd, " 187"); + 4'h1 : + case (foo[26:25]) + 2'b00 : $fwrite (fd, " 188"); + 2'b01 : $fwrite (fd, " 189"); + 2'b10 : $fwrite (fd, " 190"); + 2'b11 : $fwrite (fd, " 191"); + endcase + 4'h2 : $fwrite (fd, " 192"); + 4'h3 : + case (foo[26:25]) + 2'b00 : $fwrite (fd, " 193"); + 2'b01 : $fwrite (fd, " 194"); + 2'b10 : $fwrite (fd, " 195"); + 2'b11 : $fwrite (fd, " 196"); + endcase + 4'h4 : + if (foo[26]) + $fwrite (fd, " 197"); + else + $fwrite (fd, " 198"); + 4'h5 : + case (foo[26:25]) + 2'b00 : $fwrite (fd, " 199"); + 2'b01 : $fwrite (fd, " 200"); + 2'b10 : $fwrite (fd, " 201"); + 2'b11 : $fwrite (fd, " 202"); + endcase + 4'h6 : $fwrite (fd, " 203"); + 4'h7 : + case (foo[26:25]) + 2'b00 : $fwrite (fd, " 204"); + 2'b01 : $fwrite (fd, " 205"); + 2'b10 : $fwrite (fd, " 206"); + 2'b11 : $fwrite (fd, " 207"); + endcase + 4'h8 : + case (foo[26:25]) + 2'b00 : $fwrite (fd, " 208"); + 2'b01 : $fwrite (fd, " 209"); + 2'b10 : $fwrite (fd, " 210"); + 2'b11 : $fwrite (fd, " 211"); + endcase + 4'h9 : + case (foo[26:25]) + 2'b00 : $fwrite (fd, " 212"); + 2'b01 : $fwrite (fd, " 213"); + 2'b10 : $fwrite (fd, " 214"); + 2'b11 : $fwrite (fd, " 215"); + endcase + 4'ha : + if (foo[25]) + $fwrite (fd, " 216"); + else + $fwrite (fd, " 217"); + 4'hb : + if (foo[25]) + $fwrite (fd, " 218"); + else + $fwrite (fd, " 219"); + 4'hc : + if (foo[26]) + $fwrite (fd, " 220"); + else + $fwrite (fd, " 221"); + 4'hd : + case (foo[26:25]) + 2'b00 : $fwrite (fd, " 222"); + 2'b01 : $fwrite (fd, " 223"); + 2'b10 : $fwrite (fd, " 224"); + 2'b11 : $fwrite (fd, " 225"); + endcase + 4'he : + case (foo[26:25]) + 2'b00 : $fwrite (fd, " 226"); + 2'b01 : $fwrite (fd, " 227"); + 2'b10 : $fwrite (fd, " 228"); + 2'b11 : $fwrite (fd, " 229"); + endcase + 4'hf : + case (foo[26:25]) + 2'b00 : $fwrite (fd, " 230"); + 2'b01 : $fwrite (fd, " 231"); + 2'b10 : $fwrite (fd, " 232"); + 2'b11 : $fwrite (fd, " 233"); + endcase + endcase + end + endtask + + task ozonef1e; + input [ 31:0] foo; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (foo[27:21]) + 7'h00: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 234"); + $fwrite (fd, " 235"); + end + 7'h01: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 236"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 237"); + $fwrite (fd, " 238"); + end + 7'h02: + $fwrite (fd, " 239"); + 7'h03: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 240"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 241"); + $fwrite (fd, " 242"); + end + 7'h04: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 243"); + $fwrite (fd," 244"); + end + 7'h05: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 245"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 246"); + end + 7'h06: + $fwrite (fd, " 247"); + 7'h07: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 248"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 249"); + end + 7'h08: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 250"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 251"); + end + 7'h09: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 252"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 253"); + end + 7'h0a: + begin + ozoneae(foo[17:15], fd); + $fwrite (fd," 254"); + end + 7'h0b: + begin + ozoneae(foo[17:15], fd); + $fwrite (fd," 255"); + end + 7'h0c: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 256"); + end + 7'h0d: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 257"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 258"); + end + 7'h0e: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 259"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 260"); + end + 7'h0f: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 261"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 262"); + end + 7'h10: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 263"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 264"); + $fwrite (fd, " 265"); + $fwrite (fd, " 266"); + end + 7'h11: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 267"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 268"); + $fwrite (fd, " 269"); + $fwrite (fd, " 270"); + end + 7'h12: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 271"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 272"); + $fwrite (fd, " 273"); + $fwrite (fd, " 274"); + end + 7'h13: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 275"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 276"); + $fwrite (fd, " 277"); + $fwrite (fd, " 278"); + end + 7'h14: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 279"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 280"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 281"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 282"); + $fwrite (fd, " 283"); + $fwrite (fd, " 284"); + end + 7'h15: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 285"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 286"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 287"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 288"); + $fwrite (fd, " 289"); + $fwrite (fd, " 290"); + end + 7'h16: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 291"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 292"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 293"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 294"); + $fwrite (fd, " 295"); + $fwrite (fd, " 296"); + end + 7'h17: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 297"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 298"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 299"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 300"); + $fwrite (fd, " 301"); + $fwrite (fd, " 302"); + end + 7'h18: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 303"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 304"); + $fwrite (fd, " 305"); + $fwrite (fd, " 306"); + end + 7'h19: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 307"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 308"); + $fwrite (fd, " 309"); + $fwrite (fd, " 310"); + end + 7'h1a: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 311"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 312"); + $fwrite (fd, " 313"); + $fwrite (fd, " 314"); + end + 7'h1b: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 315"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 316"); + $fwrite (fd, " 317"); + $fwrite (fd, " 318"); + end + 7'h1c: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 319"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 320"); + $fwrite (fd, " 321"); + $fwrite (fd, " 322"); + end + 7'h1d: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 323"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 324"); + $fwrite (fd, " 325"); + $fwrite (fd, " 326"); + end + 7'h1e: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 327"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 328"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 329"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 330"); + $fwrite (fd, " 331"); + $fwrite (fd, " 332"); + end + 7'h1f: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 333"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 334"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 335"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 336"); + $fwrite (fd, " 337"); + $fwrite (fd, " 338"); + end + 7'h20: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 339"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 340"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 341"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 342"); + $fwrite (fd, " 343"); + $fwrite (fd, " 344"); + end + 7'h21: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 345"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 346"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 347"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 348"); + $fwrite (fd, " 349"); + $fwrite (fd, " 350"); + end + 7'h22: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 351"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 352"); + $fwrite (fd, " 353"); + $fwrite (fd, " 354"); + end + 7'h23: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 355"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 356"); + $fwrite (fd, " 357"); + $fwrite (fd, " 358"); + end + 7'h24: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 359"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 360"); + $fwrite (fd, " 361"); + $fwrite (fd, " 362"); + end + 7'h25: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 363"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 364"); + $fwrite (fd, " 365"); + $fwrite (fd, " 366"); + end + 7'h26: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 367"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 368"); + $fwrite (fd, " 369"); + $fwrite (fd, " 370"); + end + 7'h27: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 371"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 372"); + $fwrite (fd, " 373"); + $fwrite (fd, " 374"); + end + 7'h28: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 375"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 376"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 377"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 378"); + $fwrite (fd, " 379"); + $fwrite (fd, " 380"); + end + 7'h29: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 381"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 382"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 383"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 384"); + $fwrite (fd, " 385"); + $fwrite (fd, " 386"); + end + 7'h2a: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 387"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 388"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 389"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 390"); + $fwrite (fd, " 391"); + $fwrite (fd, " 392"); + end + 7'h2b: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 393"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 394"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 395"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 396"); + $fwrite (fd, " 397"); + $fwrite (fd, " 398"); + end + 7'h2c: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 399"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 400"); + $fwrite (fd, " 401"); + $fwrite (fd, " 402"); + end + 7'h2d: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 403"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 404"); + $fwrite (fd, " 405"); + $fwrite (fd, " 406"); + end + 7'h2e: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 407"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 408"); + $fwrite (fd, " 409"); + $fwrite (fd, " 410"); + end + 7'h2f: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 411"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 412"); + $fwrite (fd, " 413"); + $fwrite (fd, " 414"); + end + 7'h30: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 415"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 416"); + $fwrite (fd, " 417"); + $fwrite (fd, " 418"); + end + 7'h31: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 419"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 420"); + $fwrite (fd, " 421"); + $fwrite (fd, " 422"); + end + 7'h32: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 423"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 424"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 425"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 426"); + $fwrite (fd, " 427"); + $fwrite (fd, " 428"); + end + 7'h33: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 429"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 430"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 431"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 432"); + $fwrite (fd, " 433"); + $fwrite (fd, " 434"); + end + 7'h34: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 435"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 436"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 437"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 438"); + $fwrite (fd, " 439"); + $fwrite (fd, " 440"); + end + 7'h35: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 441"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 442"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 443"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 444"); + $fwrite (fd, " 445"); + $fwrite (fd, " 446"); + end + 7'h36: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 447"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 448"); + $fwrite (fd, " 449"); + $fwrite (fd, " 450"); + end + 7'h37: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 451"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 452"); + $fwrite (fd, " 453"); + $fwrite (fd, " 454"); + end + 7'h38: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 455"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 456"); + $fwrite (fd, " 457"); + end + 7'h39: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 458"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 459"); + $fwrite (fd, " 460"); + end + 7'h3a: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 461"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 462"); + $fwrite (fd, " 463"); + end + 7'h3b: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 464"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 465"); + $fwrite (fd, " 466"); + end + 7'h3c: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 467"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 468"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 469"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 470"); + $fwrite (fd, " 471"); + end + 7'h3d: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 472"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 473"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 474"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 475"); + $fwrite (fd, " 476"); + end + 7'h3e: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 477"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 478"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 479"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 480"); + $fwrite (fd, " 481"); + end + 7'h3f: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 482"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 483"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 484"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 485"); + $fwrite (fd, " 486"); + end + 7'h40: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 487"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 488"); + $fwrite (fd, " 489"); + $fwrite (fd, " 490"); + end + 7'h41: + begin + $fwrite (fd, " 491"); + $fwrite (fd, " 492"); + end + 7'h42: + begin + $fwrite (fd, " 493"); + $fwrite (fd, " 494"); + end + 7'h43: + begin + $fwrite (fd, " 495"); + $fwrite (fd, " 496"); + end + 7'h44: + begin + $fwrite (fd, " 497"); + $fwrite (fd, " 498"); + end + 7'h45: + $fwrite (fd, " 499"); + 7'h46: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 500"); + $fwrite (fd, " 501"); + $fwrite (fd, " 502"); + end + 7'h47: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 503"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 504"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 505"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 506"); + $fwrite (fd, " 507"); + $fwrite (fd, " 508"); + end + 7'h48: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 509"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 510"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 511"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 512"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 513"); + end + 7'h49: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 514"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 515"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 516"); + end + 7'h4a: + $fwrite (fd," 517"); + 7'h4b: + $fwrite (fd, " 518"); + 7'h4c: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 519"); + $fwrite (fd, " 520"); + $fwrite (fd, " 521"); + end + 7'h4d: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 522"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 523"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 524"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 525"); + $fwrite (fd, " 526"); + $fwrite (fd, " 527"); + end + 7'h4e: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 528"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 529"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 530"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 531"); + end + 7'h4f: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 532"); + end + 7'h50: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 533"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 534"); + ozoneaee(foo[20:18], fd); + $fwrite (fd," 535"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 536"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 537"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 538"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 539"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 540"); + end + 7'h51: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 541"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 542"); + ozoneaee(foo[20:18], fd); + $fwrite (fd," 543"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 544"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 545"); + end + 7'h52: + $fwrite (fd, " 546"); + 7'h53: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd, " 547"); + end + 7'h54: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 548"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 549"); + end + 7'h55: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 550"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 551"); + end + 7'h56: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 552"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 553"); + $fwrite (fd, " 554"); + end + 7'h57: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 555"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 556"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 557"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 558"); + end + 7'h58: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd, " 559"); + end + 7'h59: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 560"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 561"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 562"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 563"); + end + 7'h5a: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 564"); + ozoneae(foo[17:15], fd); + $fwrite (fd, " 565"); + end + 7'h5b: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 566"); + ozoneae(foo[17:15], fd); + $fwrite (fd, " 567"); + end + 7'h5c: + begin + $fwrite (fd," 568"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 569"); + $fwrite (fd," 570"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 571"); + ozoneae(foo[20:18], fd); + $fwrite (fd," 572"); + ozoneaee(foo[17:15], fd); + $fwrite (fd, " 573"); + end + 7'h5d: + begin + $fwrite (fd," 574"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 575"); + $fwrite (fd," 576"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 577"); + ozoneae(foo[20:18], fd); + $fwrite (fd," 578"); + ozoneaee(foo[17:15], fd); + $fwrite (fd, " 579"); + end + 7'h5e: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 580"); + ozoneae(foo[17:15], fd); + $fwrite (fd, " 581"); + end + 7'h5f: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 582"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 583"); + ozoneaee(foo[20:18], fd); + $fwrite (fd," 584"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 585"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 586"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 587"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 588"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 589"); + end + 7'h60: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 590"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 591"); + end + 7'h61: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 592"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 593"); + end + 7'h62: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 594"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 595"); + end + 7'h63: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 596"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 597"); + end + 7'h64: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 598"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 599"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 600"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 601"); + end + 7'h65: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 602"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 603"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 604"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 605"); + end + 7'h66: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 606"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 607"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 608"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 609"); + end + 7'h67: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 610"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 611"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 612"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 613"); + end + 7'h68: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 614"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 615"); + ozoneaee(foo[20:18], fd); + $fwrite (fd," 616"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 617"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 618"); + ozoneape(foo[17:15], fd); + end + 7'h69: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 619"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 620"); + ozoneae(foo[20:18], fd); + $fwrite (fd," 621"); + end + 7'h6a: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 622"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 623"); + ozoneaee(foo[20:18], fd); + $fwrite (fd," 624"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 625"); + ozoneaee(foo[20:18], fd); + $fwrite (fd," 626"); + ozoneae(foo[17:15], fd); + end + 7'h6b: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 627"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 628"); + ozoneae(foo[20:18], fd); + $fwrite (fd," 629"); + end + 7'h6c: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 630"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 631"); + ozoneaee(foo[20:18], fd); + $fwrite (fd," 632"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 633"); + ozoneaee(foo[20:18], fd); + $fwrite (fd," 634"); + ozoneae(foo[17:15], fd); + end + 7'h6d: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 635"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 636"); + ozoneae(foo[20:18], fd); + $fwrite (fd," 637"); + end + 7'h6e: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 638"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 639"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 640"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 641"); + end + 7'h6f: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 642"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 643"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 644"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 645"); + end + 7'h70: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 646"); + ozoneae(foo[20:18], fd); + $fwrite (fd," 647"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 648"); + ozoneae(foo[17:15], fd); + $fwrite (fd, " 649"); + end + 7'h71: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 650"); + ozoneae(foo[17:15], fd); + $fwrite (fd, " 651"); + end + 7'h72: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 652"); + ozoneae(foo[17:15], fd); + $fwrite (fd, " 653"); + end + 7'h73: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 654"); + ozoneae(foo[20:18], fd); + $fwrite (fd," 655"); + ozoneae(foo[17:15], fd); + end + 7'h74: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 656"); + ozoneae(foo[20:18], fd); + $fwrite (fd," 657"); + ozoneae(foo[17:15], fd); + end + 7'h75: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 658"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 659"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 660"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 661"); + $fwrite (fd, " 662"); + $fwrite (fd, " 663"); + end + 7'h76: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 664"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 665"); + ozoneaee(foo[20:18], fd); + $fwrite (fd," 666"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 667"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 668"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 669"); + end + 7'h77: + begin + ozoneaee(foo[20:18], fd); + $fwrite (fd," 670"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 671"); + ozoneaee(foo[17:15], fd); + $fwrite (fd," 672"); + ozoneape(foo[20:18], fd); + $fwrite (fd," 673"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 674"); + ozoneape(foo[17:15], fd); + $fwrite (fd," 675"); + end + 7'h78, + 7'h79, + 7'h7a, + 7'h7b, + 7'h7c, + 7'h7d, + 7'h7e, + 7'h7f: + $fwrite (fd," 676"); + endcase + end + endtask + + task ozonef2; + input [ 31:0] foo; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (foo[24:21]) + 4'h0 : + case (foo[26:25]) + 2'b00 : $fwrite (fd," 677"); + 2'b01 : $fwrite (fd," 678"); + 2'b10 : $fwrite (fd," 679"); + 2'b11 : $fwrite (fd," 680"); + endcase + 4'h1 : + case (foo[26:25]) + 2'b00 : $fwrite (fd," 681"); + 2'b01 : $fwrite (fd," 682"); + 2'b10 : $fwrite (fd," 683"); + 2'b11 : $fwrite (fd," 684"); + endcase + 4'h2 : + case (foo[26:25]) + 2'b00 : $fwrite (fd," 685"); + 2'b01 : $fwrite (fd," 686"); + 2'b10 : $fwrite (fd," 687"); + 2'b11 : $fwrite (fd," 688"); + endcase + 4'h3 : + case (foo[26:25]) + 2'b00 : $fwrite (fd," 689"); + 2'b01 : $fwrite (fd," 690"); + 2'b10 : $fwrite (fd," 691"); + 2'b11 : $fwrite (fd," 692"); + endcase + 4'h4 : + case (foo[26:25]) + 2'b00 : $fwrite (fd," 693"); + 2'b01 : $fwrite (fd," 694"); + 2'b10 : $fwrite (fd," 695"); + 2'b11 : $fwrite (fd," 696"); + endcase + 4'h5 : + case (foo[26:25]) + 2'b00 : $fwrite (fd," 697"); + 2'b01 : $fwrite (fd," 698"); + 2'b10 : $fwrite (fd," 699"); + 2'b11 : $fwrite (fd," 700"); + endcase + 4'h6 : + case (foo[26:25]) + 2'b00 : $fwrite (fd," 701"); + 2'b01 : $fwrite (fd," 702"); + 2'b10 : $fwrite (fd," 703"); + 2'b11 : $fwrite (fd," 704"); + endcase + 4'h7 : + case (foo[26:25]) + 2'b00 : $fwrite (fd," 705"); + 2'b01 : $fwrite (fd," 706"); + 2'b10 : $fwrite (fd," 707"); + 2'b11 : $fwrite (fd," 708"); + endcase + 4'h8 : + if (foo[26]) + $fwrite (fd," 709"); + else + $fwrite (fd," 710"); + 4'h9 : + case (foo[26:25]) + 2'b00 : $fwrite (fd," 711"); + 2'b01 : $fwrite (fd," 712"); + 2'b10 : $fwrite (fd," 713"); + 2'b11 : $fwrite (fd," 714"); + endcase + 4'ha : + case (foo[26:25]) + 2'b00 : $fwrite (fd," 715"); + 2'b01 : $fwrite (fd," 716"); + 2'b10 : $fwrite (fd," 717"); + 2'b11 : $fwrite (fd," 718"); + endcase + 4'hb : + case (foo[26:25]) + 2'b00 : $fwrite (fd," 719"); + 2'b01 : $fwrite (fd," 720"); + 2'b10 : $fwrite (fd," 721"); + 2'b11 : $fwrite (fd," 722"); + endcase + 4'hc : + if (foo[26]) + $fwrite (fd," 723"); + else + $fwrite (fd," 724"); + 4'hd : + case (foo[26:25]) + 2'b00 : $fwrite (fd," 725"); + 2'b01 : $fwrite (fd," 726"); + 2'b10 : $fwrite (fd," 727"); + 2'b11 : $fwrite (fd," 728"); + endcase + 4'he : + case (foo[26:25]) + 2'b00 : $fwrite (fd," 729"); + 2'b01 : $fwrite (fd," 730"); + 2'b10 : $fwrite (fd," 731"); + 2'b11 : $fwrite (fd," 732"); + endcase + 4'hf : + case (foo[26:25]) + 2'b00 : $fwrite (fd," 733"); + 2'b01 : $fwrite (fd," 734"); + 2'b10 : $fwrite (fd," 735"); + 2'b11 : $fwrite (fd," 736"); + endcase + endcase + end + endtask + + task ozonef2e; + input [ 31:0] foo; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + casez (foo[25:21]) + 5'h00 : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 737"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 738"); + end + 5'h01 : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 739"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 740"); + end + 5'h02 : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 741"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 742"); + end + 5'h03 : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 743"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 744"); + end + 5'h04 : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 745"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 746"); + end + 5'h05 : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 747"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 748"); + end + 5'h06 : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 749"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 750"); + end + 5'h07 : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 751"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 752"); + end + 5'h08 : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 753"); + if (foo[ 6]) + $fwrite (fd," 754"); + else + $fwrite (fd," 755"); + end + 5'h09 : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 756"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 757"); + end + 5'h0a : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 758"); + ozoneae(foo[17:15], fd); + end + 5'h0b : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 759"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 760"); + end + 5'h0c : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 761"); + end + 5'h0d : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 762"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 763"); + end + 5'h0e : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 764"); + ozoneae(foo[17:15], fd); + end + 5'h0f : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 765"); + ozoneae(foo[17:15], fd); + end + 5'h10 : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 766"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 767"); + end + 5'h11 : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 768"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 769"); + end + 5'h18 : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 770"); + if (foo[ 6]) + $fwrite (fd," 771"); + else + $fwrite (fd," 772"); + end + 5'h1a : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 773"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 774"); + end + 5'h1b : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 775"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 776"); + if (foo[ 6]) + $fwrite (fd," 777"); + else + $fwrite (fd," 778"); + $fwrite (fd," 779"); + end + 5'h1c : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 780"); + end + 5'h1d : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 781"); + if (foo[ 6]) + $fwrite (fd," 782"); + else + $fwrite (fd," 783"); + $fwrite (fd," 784"); + end + 5'h1e : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 785"); + if (foo[ 6]) + $fwrite (fd," 786"); + else + $fwrite (fd," 787"); + $fwrite (fd," 788"); + end + 5'h1f : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 789"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 790"); + if (foo[ 6]) + $fwrite (fd," 791"); + else + $fwrite (fd," 792"); + $fwrite (fd," 793"); + end + default : + $fwrite (fd," 794"); + endcase + end + endtask + + task ozonef3e; + input [ 31:0] foo; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (foo[25:21]) + 5'h00, + 5'h01, + 5'h02: + begin + ozoneae(foo[20:18], fd); + case (foo[22:21]) + 2'h0: $fwrite (fd," 795"); + 2'h1: $fwrite (fd," 796"); + 2'h2: $fwrite (fd," 797"); + endcase + ozoneae(foo[17:15], fd); + $fwrite (fd," 798"); + if (foo[ 9]) + ozoneae(foo[ 8: 6], fd); + else + ozonef3e_te(foo[ 8: 6], fd); + $fwrite (fd," 799"); + end + 5'h08, + 5'h09, + 5'h0d, + 5'h0e, + 5'h0f: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 800"); + ozoneae(foo[17:15], fd); + case (foo[23:21]) + 3'h0: $fwrite (fd," 801"); + 3'h1: $fwrite (fd," 802"); + 3'h5: $fwrite (fd," 803"); + 3'h6: $fwrite (fd," 804"); + 3'h7: $fwrite (fd," 805"); + endcase + if (foo[ 9]) + ozoneae(foo[ 8: 6], fd); + else + ozonef3e_te(foo[ 8: 6], fd); + end + 5'h0a, + 5'h0b: + begin + ozoneae(foo[17:15], fd); + if (foo[21]) + $fwrite (fd," 806"); + else + $fwrite (fd," 807"); + if (foo[ 9]) + ozoneae(foo[ 8: 6], fd); + else + ozonef3e_te(foo[ 8: 6], fd); + end + 5'h0c: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 808"); + if (foo[ 9]) + ozoneae(foo[ 8: 6], fd); + else + ozonef3e_te(foo[ 8: 6], fd); + $fwrite (fd," 809"); + ozoneae(foo[17:15], fd); + end + 5'h10, + 5'h11, + 5'h12, + 5'h13: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 810"); + ozoneae(foo[17:15], fd); + case (foo[22:21]) + 2'h0, + 2'h2: + $fwrite (fd," 811"); + 2'h1, + 2'h3: + $fwrite (fd," 812"); + endcase + ozoneae(foo[ 8: 6], fd); + $fwrite (fd," 813"); + ozoneae((foo[20:18]+1), fd); + $fwrite (fd," 814"); + ozoneae((foo[17:15]+1), fd); + case (foo[22:21]) + 2'h0, + 2'h3: + $fwrite (fd," 815"); + 2'h1, + 2'h2: + $fwrite (fd," 816"); + endcase + ozoneae((foo[ 8: 6]+1), fd); + end + 5'h18: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd," 817"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 818"); + ozoneae(foo[ 8: 6], fd); + $fwrite (fd," 819"); + ozoneae(foo[20:18], fd); + $fwrite (fd," 820"); + ozoneae(foo[17:15], fd); + $fwrite (fd," 821"); + ozoneae(foo[ 8: 6], fd); + end + default : + $fwrite (fd," 822"); + endcase + end + endtask + task ozonef3e_te; + input [ 2:0] te; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (te) + 3'b100 : $fwrite (fd, " 823"); + 3'b101 : $fwrite (fd, " 824"); + 3'b110 : $fwrite (fd, " 825"); + default: $fwrite (fd, " 826"); + endcase + end + endtask + task ozonearm; + input [ 2:0] ate; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (ate) + 3'b000 : $fwrite (fd, " 827"); + 3'b001 : $fwrite (fd, " 828"); + 3'b010 : $fwrite (fd, " 829"); + 3'b011 : $fwrite (fd, " 830"); + 3'b100 : $fwrite (fd, " 831"); + 3'b101 : $fwrite (fd, " 832"); + 3'b110 : $fwrite (fd, " 833"); + 3'b111 : $fwrite (fd, " 834"); + endcase + end + endtask + task ozonebmuop; + input [ 4:0] f4; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (f4[ 4:0]) + 5'h00, + 5'h04 : + $fwrite (fd, " 835"); + 5'h01, + 5'h05 : + $fwrite (fd, " 836"); + 5'h02, + 5'h06 : + $fwrite (fd, " 837"); + 5'h03, + 5'h07 : + $fwrite (fd, " 838"); + 5'h08, + 5'h18 : + $fwrite (fd, " 839"); + 5'h09, + 5'h19 : + $fwrite (fd, " 840"); + 5'h0a, + 5'h1a : + $fwrite (fd, " 841"); + 5'h0b : + $fwrite (fd, " 842"); + 5'h1b : + $fwrite (fd, " 843"); + 5'h0c, + 5'h1c : + $fwrite (fd, " 844"); + 5'h0d, + 5'h1d : + $fwrite (fd, " 845"); + 5'h1e : + $fwrite (fd, " 846"); + endcase + end + endtask + task ozonef3; + input [ 31:0] foo; + input [`FD_BITS] fd; + reg nacho; + // verilator no_inline_task + begin : f3_body + nacho = 1'b0; + case (foo[24:21]) + 4'h0: + case (foo[26:25]) + 2'b00 : $fwrite (fd, " 847"); + 2'b01 : $fwrite (fd, " 848"); + 2'b10 : $fwrite (fd, " 849"); + 2'b11 : $fwrite (fd, " 850"); + endcase + 4'h1: + case (foo[26:25]) + 2'b00 : $fwrite (fd, " 851"); + 2'b01 : $fwrite (fd, " 852"); + 2'b10 : $fwrite (fd, " 853"); + 2'b11 : $fwrite (fd, " 854"); + endcase + 4'h2: + case (foo[26:25]) + 2'b00 : $fwrite (fd, " 855"); + 2'b01 : $fwrite (fd, " 856"); + 2'b10 : $fwrite (fd, " 857"); + 2'b11 : $fwrite (fd, " 858"); + endcase + 4'h8, + 4'h9, + 4'hd, + 4'he, + 4'hf : + case (foo[26:25]) + 2'b00 : $fwrite (fd, " 859"); + 2'b01 : $fwrite (fd, " 860"); + 2'b10 : $fwrite (fd, " 861"); + 2'b11 : $fwrite (fd, " 862"); + endcase + 4'ha, + 4'hb : + if (foo[25]) + $fwrite (fd, " 863"); + else + $fwrite (fd, " 864"); + 4'hc : + if (foo[26]) + $fwrite (fd, " 865"); + else + $fwrite (fd, " 866"); + default : + begin + $fwrite (fd, " 867"); + nacho = 1'b1; + end + endcase + if (~nacho) + begin + case (foo[24:21]) + 4'h8 : + $fwrite (fd, " 868"); + 4'h9 : + $fwrite (fd, " 869"); + 4'ha, + 4'he : + $fwrite (fd, " 870"); + 4'hb, + 4'hf : + $fwrite (fd, " 871"); + 4'hd : + $fwrite (fd, " 872"); + endcase + if (foo[20]) + case (foo[18:16]) + 3'b000 : $fwrite (fd, " 873"); + 3'b100 : $fwrite (fd, " 874"); + default: $fwrite (fd, " 875"); + endcase + else + ozoneae(foo[18:16], fd); + if (foo[24:21] === 4'hc) + if (foo[25]) + $fwrite (fd, " 876"); + else + $fwrite (fd, " 877"); + case (foo[24:21]) + 4'h0, + 4'h1, + 4'h2: + $fwrite (fd, " 878"); + endcase + end + end + endtask + task ozonerx; + input [ 31:0] foo; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (foo[19:18]) + 2'h0 : $fwrite (fd, " 879"); + 2'h1 : $fwrite (fd, " 880"); + 2'h2 : $fwrite (fd, " 881"); + 2'h3 : $fwrite (fd, " 882"); + endcase + case (foo[17:16]) + 2'h1 : $fwrite (fd, " 883"); + 2'h2 : $fwrite (fd, " 884"); + 2'h3 : $fwrite (fd, " 885"); + endcase + end + endtask + task ozonerme; + input [ 2:0] rme; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (rme) + 3'h0 : $fwrite (fd, " 886"); + 3'h1 : $fwrite (fd, " 887"); + 3'h2 : $fwrite (fd, " 888"); + 3'h3 : $fwrite (fd, " 889"); + 3'h4 : $fwrite (fd, " 890"); + 3'h5 : $fwrite (fd, " 891"); + 3'h6 : $fwrite (fd, " 892"); + 3'h7 : $fwrite (fd, " 893"); + endcase + end + endtask + task ozoneye; + input [5:0] ye; + input l; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + $fwrite (fd, " 894"); + ozonerme(ye[5:3], fd); + case ({ye[ 2:0], l}) + 4'h2, + 4'ha: $fwrite (fd, " 895"); + 4'h4, + 4'hb: $fwrite (fd, " 896"); + 4'h6, + 4'he: $fwrite (fd, " 897"); + 4'h8, + 4'hc: $fwrite (fd, " 898"); + endcase + end + endtask + task ozonef1e_ye; + input [5:0] ye; + input l; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + $fwrite (fd, " 899"); + ozonerme(ye[5:3], fd); + ozonef1e_inc_dec(ye[5:0], l , fd); + end + endtask + task ozonef1e_h; + input [ 2:0] e; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + if (e[ 2:0] <= 3'h4) + $fwrite (fd, " 900"); + end + endtask + task ozonef1e_inc_dec; + input [5:0] ye; + input l; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case ({ye[ 2:0], l}) + 4'h2, + 4'h3, + 4'ha: $fwrite (fd, " 901"); + 4'h4, + 4'h5, + 4'hb: $fwrite (fd, " 902"); + 4'h6, + 4'h7, + 4'he: $fwrite (fd, " 903"); + 4'h8, + 4'h9, + 4'hc: $fwrite (fd, " 904"); + 4'hf: $fwrite (fd, " 905"); + endcase + end + endtask + task ozonef1e_hl; + input [ 2:0] e; + input l; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case ({e[ 2:0], l}) + 4'h0, + 4'h2, + 4'h4, + 4'h6, + 4'h8: $fwrite (fd, " 906"); + 4'h1, + 4'h3, + 4'h5, + 4'h7, + 4'h9: $fwrite (fd, " 907"); + endcase + end + endtask + task ozonexe; + input [ 3:0] xe; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (xe[3]) + 1'b0 : $fwrite (fd, " 908"); + 1'b1 : $fwrite (fd, " 909"); + endcase + case (xe[ 2:0]) + 3'h1, + 3'h5: $fwrite (fd, " 910"); + 3'h2, + 3'h6: $fwrite (fd, " 911"); + 3'h3, + 3'h7: $fwrite (fd, " 912"); + 3'h4: $fwrite (fd, " 913"); + endcase + end + endtask + task ozonerp; + input [ 2:0] rp; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (rp) + 3'h0 : $fwrite (fd, " 914"); + 3'h1 : $fwrite (fd, " 915"); + 3'h2 : $fwrite (fd, " 916"); + 3'h3 : $fwrite (fd, " 917"); + 3'h4 : $fwrite (fd, " 918"); + 3'h5 : $fwrite (fd, " 919"); + 3'h6 : $fwrite (fd, " 920"); + 3'h7 : $fwrite (fd, " 921"); + endcase + end + endtask + task ozonery; + input [ 3:0] ry; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (ry) + 4'h0 : $fwrite (fd, " 922"); + 4'h1 : $fwrite (fd, " 923"); + 4'h2 : $fwrite (fd, " 924"); + 4'h3 : $fwrite (fd, " 925"); + 4'h4 : $fwrite (fd, " 926"); + 4'h5 : $fwrite (fd, " 927"); + 4'h6 : $fwrite (fd, " 928"); + 4'h7 : $fwrite (fd, " 929"); + 4'h8 : $fwrite (fd, " 930"); + 4'h9 : $fwrite (fd, " 931"); + 4'ha : $fwrite (fd, " 932"); + 4'hb : $fwrite (fd, " 933"); + 4'hc : $fwrite (fd, " 934"); + 4'hd : $fwrite (fd, " 935"); + 4'he : $fwrite (fd, " 936"); + 4'hf : $fwrite (fd, " 937"); + endcase + end + endtask + task ozonearx; + input [ 15:0] foo; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (foo[1:0]) + 2'h0 : $fwrite (fd, " 938"); + 2'h1 : $fwrite (fd, " 939"); + 2'h2 : $fwrite (fd, " 940"); + 2'h3 : $fwrite (fd, " 941"); + endcase + end + endtask + task ozonef3f4imop; + input [ 4:0] f3f4iml; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + casez (f3f4iml) + 5'b000??: $fwrite (fd, " 942"); + 5'b001??: $fwrite (fd, " 943"); + 5'b?10??: $fwrite (fd, " 944"); + 5'b0110?: $fwrite (fd, " 945"); + 5'b01110: $fwrite (fd, " 946"); + 5'b01111: $fwrite (fd, " 947"); + 5'b10???: $fwrite (fd, " 948"); + 5'b11100: $fwrite (fd, " 949"); + 5'b11101: $fwrite (fd, " 950"); + 5'b11110: $fwrite (fd, " 951"); + 5'b11111: $fwrite (fd, " 952"); + endcase + end + endtask + task ozonecon; + input [ 4:0] con; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (con) + 5'h00 : $fwrite (fd, " 953"); + 5'h01 : $fwrite (fd, " 954"); + 5'h02 : $fwrite (fd, " 955"); + 5'h03 : $fwrite (fd, " 956"); + 5'h04 : $fwrite (fd, " 957"); + 5'h05 : $fwrite (fd, " 958"); + 5'h06 : $fwrite (fd, " 959"); + 5'h07 : $fwrite (fd, " 960"); + 5'h08 : $fwrite (fd, " 961"); + 5'h09 : $fwrite (fd, " 962"); + 5'h0a : $fwrite (fd, " 963"); + 5'h0b : $fwrite (fd, " 964"); + 5'h0c : $fwrite (fd, " 965"); + 5'h0d : $fwrite (fd, " 966"); + 5'h0e : $fwrite (fd, " 967"); + 5'h0f : $fwrite (fd, " 968"); + 5'h10 : $fwrite (fd, " 969"); + 5'h11 : $fwrite (fd, " 970"); + 5'h12 : $fwrite (fd, " 971"); + 5'h13 : $fwrite (fd, " 972"); + 5'h14 : $fwrite (fd, " 973"); + 5'h15 : $fwrite (fd, " 974"); + 5'h16 : $fwrite (fd, " 975"); + 5'h17 : $fwrite (fd, " 976"); + 5'h18 : $fwrite (fd, " 977"); + 5'h19 : $fwrite (fd, " 978"); + 5'h1a : $fwrite (fd, " 979"); + 5'h1b : $fwrite (fd, " 980"); + 5'h1c : $fwrite (fd, " 981"); + 5'h1d : $fwrite (fd, " 982"); + 5'h1e : $fwrite (fd, " 983"); + 5'h1f : $fwrite (fd, " 984"); + endcase + end + endtask + task ozonedr; + input [ 15:0] foo; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (foo[ 9: 6]) + 4'h0 : $fwrite (fd, " 985"); + 4'h1 : $fwrite (fd, " 986"); + 4'h2 : $fwrite (fd, " 987"); + 4'h3 : $fwrite (fd, " 988"); + 4'h4 : $fwrite (fd, " 989"); + 4'h5 : $fwrite (fd, " 990"); + 4'h6 : $fwrite (fd, " 991"); + 4'h7 : $fwrite (fd, " 992"); + 4'h8 : $fwrite (fd, " 993"); + 4'h9 : $fwrite (fd, " 994"); + 4'ha : $fwrite (fd, " 995"); + 4'hb : $fwrite (fd, " 996"); + 4'hc : $fwrite (fd, " 997"); + 4'hd : $fwrite (fd, " 998"); + 4'he : $fwrite (fd, " 999"); + 4'hf : $fwrite (fd, " 1000"); + endcase + end + endtask + task ozoneshift; + input [ 15:0] foo; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (foo[ 4: 3]) + 2'h0 : $fwrite (fd, " 1001"); + 2'h1 : $fwrite (fd, " 1002"); + 2'h2 : $fwrite (fd, " 1003"); + 2'h3 : $fwrite (fd, " 1004"); + endcase + end + endtask + task ozoneacc; + input foo; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (foo) + 2'h0 : $fwrite (fd, " 1005"); + 2'h1 : $fwrite (fd, " 1006"); + endcase + end + endtask + task ozonehl; + input foo; + input [`FD_BITS] fd; + // verilator no_inline_task + begin + case (foo) + 2'h0 : $fwrite (fd, " 1007"); + 2'h1 : $fwrite (fd, " 1008"); + endcase + end + endtask + task dude; + input [`FD_BITS] fd; + // verilator no_inline_task + $fwrite(fd," dude"); + endtask + + task big_case; + input [ `FD_BITS] fd; + input [ 31:0] foo; + // verilator no_inline_task + begin + $fwrite(fd," 1009"); + if (&foo === 1'bx) + $fwrite(fd, " 1010"); + else + casez ( {foo[31:26], foo[19:15], foo[5:0]} ) + 17'b00_111?_?_????_??_???? : + begin + ozonef1(foo, fd); + $fwrite (fd, " 1011"); + ozoneacc(~foo[26], fd); + ozonehl(foo[20], fd); + $fwrite (fd, " 1012"); + ozonerx(foo, fd); + dude(fd); + $fwrite (fd, " 1013"); + end + 17'b01_001?_?_????_??_???? : + begin + ozonef1(foo, fd); + $fwrite (fd, " 1014"); + ozonerx(foo, fd); + $fwrite (fd, " 1015"); + $fwrite (fd, " 1016:%x", foo[20]); + ozonehl(foo[20], fd); + dude(fd); + $fwrite (fd, " 1017"); + end + 17'b10_100?_?_????_??_???? : + begin + ozonef1(foo, fd); + $fwrite (fd, " 1018"); + ozonerx(foo, fd); + $fwrite (fd, " 1019"); + $fwrite (fd, " 1020"); + ozonehl(foo[20], fd); + dude(fd); + $fwrite (fd, " 1021"); + end + 17'b10_101?_?_????_??_???? : + begin + ozonef1(foo, fd); + $fwrite (fd, " 1022"); + if (foo[20]) + begin + $fwrite (fd, " 1023"); + ozoneacc(foo[18], fd); + $fwrite (fd, " 1024"); + $fwrite (fd, " 1025"); + if (foo[19]) + $fwrite (fd, " 1026"); + else + $fwrite (fd, " 1027"); + end + else + ozonerx(foo, fd); + dude(fd); + $fwrite (fd, " 1028"); + end + 17'b10_110?_?_????_??_???? : + begin + ozonef1(foo, fd); + $fwrite (fd, " 1029"); + $fwrite (fd, " 1030"); + ozonehl(foo[20], fd); + $fwrite (fd, " 1031"); + ozonerx(foo, fd); + dude(fd); + $fwrite (fd, " 1032"); + end + 17'b10_111?_?_????_??_???? : + begin + ozonef1(foo, fd); + $fwrite (fd, " 1033"); + $fwrite (fd, " 1034"); + ozonehl(foo[20], fd); + $fwrite (fd, " 1035"); + ozonerx(foo, fd); + dude(fd); + $fwrite (fd, " 1036"); + end + 17'b11_001?_?_????_??_???? : + begin + ozonef1(foo, fd); + $fwrite (fd, " 1037"); + ozonerx(foo, fd); + $fwrite (fd, " 1038"); + $fwrite (fd, " 1039"); + ozonehl(foo[20], fd); + dude(fd); + $fwrite (fd, " 1040"); + end + 17'b11_111?_?_????_??_???? : + begin + ozonef1(foo, fd); + $fwrite (fd, " 1041"); + $fwrite (fd, " 1042"); + ozonerx(foo, fd); + $fwrite (fd, " 1043"); + if (foo[20]) + $fwrite (fd, " 1044"); + else + $fwrite (fd, " 1045"); + dude(fd); + $fwrite (fd, " 1046"); + end + 17'b00_10??_?_????_?1_1111 : + casez (foo[11: 5]) + 7'b??_0_010_0: + begin + $fwrite (fd, " 1047"); + ozonecon(foo[14:10], fd); + $fwrite (fd, " 1048"); + ozonef1e(foo, fd); + dude(fd); + $fwrite (fd, " 1049"); + end + 7'b00_?_110_?: + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1050"); + case ({foo[ 9],foo[ 5]}) + 2'b00: + begin + $fwrite (fd, " 1051"); + ozoneae(foo[14:12], fd); + ozonehl(foo[ 5], fd); + end + 2'b01: + begin + $fwrite (fd, " 1052"); + ozoneae(foo[14:12], fd); + ozonehl(foo[ 5], fd); + end + 2'b10: + begin + $fwrite (fd, " 1053"); + ozoneae(foo[14:12], fd); + end + 2'b11: $fwrite (fd, " 1054"); + endcase + dude(fd); + $fwrite (fd, " 1055"); + end + 7'b01_?_110_?: + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1056"); + case ({foo[ 9],foo[ 5]}) + 2'b00: + begin + ozoneae(foo[14:12], fd); + ozonehl(foo[ 5], fd); + $fwrite (fd, " 1057"); + end + 2'b01: + begin + ozoneae(foo[14:12], fd); + ozonehl(foo[ 5], fd); + $fwrite (fd, " 1058"); + end + 2'b10: + begin + ozoneae(foo[14:12], fd); + $fwrite (fd, " 1059"); + end + 2'b11: $fwrite (fd, " 1060"); + endcase + dude(fd); + $fwrite (fd, " 1061"); + end + 7'b10_0_110_0: + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1062"); + $fwrite (fd, " 1063"); + if (foo[12]) + $fwrite (fd, " 1064"); + else + ozonerab({4'b1001, foo[14:12]}, fd); + dude(fd); + $fwrite (fd, " 1065"); + end + 7'b10_0_110_1: + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1066"); + if (foo[12]) + $fwrite (fd, " 1067"); + else + ozonerab({4'b1001, foo[14:12]}, fd); + $fwrite (fd, " 1068"); + dude(fd); + $fwrite (fd, " 1069"); + end + 7'b??_?_000_?: + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1070"); + $fwrite (fd, " 1071"); + ozonef1e_hl(foo[11:9],foo[ 5], fd); + $fwrite (fd, " 1072"); + ozonef1e_ye(foo[14:9],foo[ 5], fd); + dude(fd); + $fwrite (fd, " 1073"); + end + 7'b??_?_100_?: + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1074"); + $fwrite (fd, " 1075"); + ozonef1e_hl(foo[11:9],foo[ 5], fd); + $fwrite (fd, " 1076"); + ozonef1e_ye(foo[14:9],foo[ 5], fd); + dude(fd); + $fwrite (fd, " 1077"); + end + 7'b??_?_001_?: + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1078"); + ozonef1e_ye(foo[14:9],foo[ 5], fd); + $fwrite (fd, " 1079"); + $fwrite (fd, " 1080"); + ozonef1e_hl(foo[11:9],foo[ 5], fd); + dude(fd); + $fwrite (fd, " 1081"); + end + 7'b??_?_011_?: + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1082"); + ozonef1e_ye(foo[14:9],foo[ 5], fd); + $fwrite (fd, " 1083"); + $fwrite (fd, " 1084"); + ozonef1e_hl(foo[11:9],foo[ 5], fd); + dude(fd); + $fwrite (fd, " 1085"); + end + 7'b??_?_101_?: + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1086"); + ozonef1e_ye(foo[14:9],foo[ 5], fd); + dude(fd); + $fwrite (fd, " 1087"); + end + endcase + 17'b00_10??_?_????_?0_0110 : + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1088"); + ozoneae(foo[ 8: 6], fd); + ozonef1e_hl(foo[11:9],foo[ 5], fd); + $fwrite (fd, " 1089"); + ozonef1e_ye(foo[14:9],foo[ 5], fd); + dude(fd); + $fwrite (fd, " 1090"); + end + 17'b00_10??_?_????_00_0111 : + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1091"); + if (foo[ 6]) + $fwrite (fd, " 1092"); + else + ozonerab({4'b1001, foo[ 8: 6]}, fd); + $fwrite (fd, " 1093"); + $fwrite (fd, " 1094"); + ozonerme(foo[14:12], fd); + case (foo[11: 9]) + 3'h2, + 3'h5, + 3'h6, + 3'h7: + ozonef1e_inc_dec(foo[14:9],1'b0, fd); + 3'h1, + 3'h3, + 3'h4: + $fwrite (fd, " 1095"); + endcase + dude(fd); + $fwrite (fd, " 1096"); + end + 17'b00_10??_?_????_?0_0100 : + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1097"); + ozonef1e_ye(foo[14:9],foo[ 5], fd); + $fwrite (fd, " 1098"); + ozoneae(foo[ 8: 6], fd); + ozonef1e_hl(foo[11:9],foo[ 5], fd); + dude(fd); + $fwrite (fd, " 1099"); + end + 17'b00_10??_?_????_10_0111 : + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1100"); + $fwrite (fd, " 1101"); + ozonerme(foo[14:12], fd); + case (foo[11: 9]) + 3'h2, + 3'h5, + 3'h6, + 3'h7: + ozonef1e_inc_dec(foo[14:9],1'b0, fd); + 3'h1, + 3'h3, + 3'h4: + $fwrite (fd, " 1102"); + endcase + $fwrite (fd, " 1103"); + if (foo[ 6]) + $fwrite (fd, " 1104"); + else + ozonerab({4'b1001, foo[ 8: 6]}, fd); + dude(fd); + $fwrite (fd, " 1105"); + end + 17'b00_10??_?_????_?0_1110 : + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1106"); + case (foo[11:9]) + 3'h2: + begin + $fwrite (fd, " 1107"); + if (foo[14:12] == 3'h0) + $fwrite (fd, " 1108"); + else + ozonerme(foo[14:12], fd); + $fwrite (fd, " 1109"); + end + 3'h6: + begin + $fwrite (fd, " 1110"); + if (foo[14:12] == 3'h0) + $fwrite (fd, " 1111"); + else + ozonerme(foo[14:12], fd); + $fwrite (fd, " 1112"); + end + 3'h0: + begin + $fwrite (fd, " 1113"); + if (foo[14:12] == 3'h0) + $fwrite (fd, " 1114"); + else + ozonerme(foo[14:12], fd); + $fwrite (fd, " 1115"); + if (foo[ 7: 5] >= 3'h5) + $fwrite (fd, " 1116"); + else + ozonexe(foo[ 8: 5], fd); + end + 3'h1: + begin + $fwrite (fd, " 1117"); + if (foo[14:12] == 3'h0) + $fwrite (fd, " 1118"); + else + ozonerme(foo[14:12], fd); + $fwrite (fd, " 1119"); + if (foo[ 7: 5] >= 3'h5) + $fwrite (fd, " 1120"); + else + ozonexe(foo[ 8: 5], fd); + end + 3'h4: + begin + $fwrite (fd, " 1121"); + if (foo[14:12] == 3'h0) + $fwrite (fd, " 1122"); + else + ozonerme(foo[14:12], fd); + $fwrite (fd, " 1123"); + if (foo[ 7: 5] >= 3'h5) + $fwrite (fd, " 1124"); + else + ozonexe(foo[ 8: 5], fd); + end + 3'h5: + begin + $fwrite (fd, " 1125"); + if (foo[14:12] == 3'h0) + $fwrite (fd, " 1126"); + else + ozonerme(foo[14:12], fd); + $fwrite (fd, " 1127"); + if (foo[ 7: 5] >= 3'h5) + $fwrite (fd, " 1128"); + else + ozonexe(foo[ 8: 5], fd); + end + endcase + dude(fd); + $fwrite (fd, " 1129"); + end + 17'b00_10??_?_????_?0_1111 : + casez (foo[14: 9]) + 6'b001_10_?: + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1130"); + $fwrite (fd, " 1131"); + ozonef1e_hl(foo[ 7: 5],foo[ 9], fd); + $fwrite (fd, " 1132"); + ozonexe(foo[ 8: 5], fd); + dude(fd); + $fwrite (fd, " 1133"); + end + 6'b???_11_?: + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1134"); + ozoneae(foo[14:12], fd); + ozonef1e_hl(foo[ 7: 5],foo[ 9], fd); + $fwrite (fd, " 1135"); + ozonexe(foo[ 8: 5], fd); + dude(fd); + $fwrite (fd, " 1136"); + end + 6'b000_10_1, + 6'b010_10_1, + 6'b100_10_1, + 6'b110_10_1: + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1137"); + ozonerab({4'b1001, foo[14:12]}, fd); + $fwrite (fd, " 1138"); + if ((foo[ 7: 5] >= 3'h1) & (foo[ 7: 5] <= 3'h3)) + $fwrite (fd, " 1139"); + else + ozonexe(foo[ 8: 5], fd); + dude(fd); + $fwrite (fd, " 1140"); + end + 6'b000_10_0, + 6'b010_10_0, + 6'b100_10_0, + 6'b110_10_0: + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1141"); + $fwrite (fd, " 1142"); + ozonerab({4'b1001, foo[14:12]}, fd); + $fwrite (fd, " 1143"); + $fwrite (fd, " 1144"); + ozonef1e_h(foo[ 7: 5], fd); + $fwrite (fd, " 1145"); + ozonexe(foo[ 8: 5], fd); + dude(fd); + $fwrite (fd, " 1146"); + end + 6'b???_00_?: + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1147"); + if (foo[ 9]) + begin + $fwrite (fd, " 1148"); + ozoneae(foo[14:12], fd); + end + else + begin + $fwrite (fd, " 1149"); + ozoneae(foo[14:12], fd); + $fwrite (fd, " 1150"); + end + $fwrite (fd, " 1151"); + $fwrite (fd, " 1152"); + ozonef1e_h(foo[ 7: 5], fd); + $fwrite (fd, " 1153"); + ozonexe(foo[ 8: 5], fd); + dude(fd); + $fwrite (fd, " 1154"); + end + 6'b???_01_?: + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1155"); + ozoneae(foo[14:12], fd); + if (foo[ 9]) + $fwrite (fd, " 1156"); + else + $fwrite (fd, " 1157"); + $fwrite (fd, " 1158"); + $fwrite (fd, " 1159"); + ozonef1e_h(foo[ 7: 5], fd); + $fwrite (fd, " 1160"); + ozonexe(foo[ 8: 5], fd); + dude(fd); + $fwrite (fd, " 1161"); + end + 6'b011_10_0: + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1162"); + case (foo[ 8: 5]) + 4'h0: $fwrite (fd, " 1163"); + 4'h1: $fwrite (fd, " 1164"); + 4'h2: $fwrite (fd, " 1165"); + 4'h3: $fwrite (fd, " 1166"); + 4'h4: $fwrite (fd, " 1167"); + 4'h5: $fwrite (fd, " 1168"); + 4'h8: $fwrite (fd, " 1169"); + 4'h9: $fwrite (fd, " 1170"); + 4'ha: $fwrite (fd, " 1171"); + 4'hb: $fwrite (fd, " 1172"); + 4'hc: $fwrite (fd, " 1173"); + 4'hd: $fwrite (fd, " 1174"); + default: $fwrite (fd, " 1175"); + endcase + dude(fd); + $fwrite (fd, " 1176"); + end + default: $fwrite (fd, " 1177"); + endcase + 17'b00_10??_?_????_?0_110? : + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1178"); + $fwrite (fd, " 1179"); + ozonef1e_hl(foo[11:9], foo[0], fd); + $fwrite (fd, " 1180"); + ozonef1e_ye(foo[14:9],1'b0, fd); + $fwrite (fd, " 1181"); + ozonef1e_h(foo[ 7: 5], fd); + $fwrite (fd, " 1182"); + ozonexe(foo[ 8: 5], fd); + dude(fd); + $fwrite (fd, " 1183"); + end + 17'b00_10??_?_????_?1_110? : + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1184"); + $fwrite (fd, " 1185"); + ozonef1e_hl(foo[11:9],foo[0], fd); + $fwrite (fd, " 1186"); + ozonef1e_ye(foo[14:9],foo[ 0], fd); + $fwrite (fd, " 1187"); + $fwrite (fd, " 1188"); + ozonef1e_h(foo[ 7: 5], fd); + $fwrite (fd, " 1189"); + ozonexe(foo[ 8: 5], fd); + dude(fd); + $fwrite (fd, " 1190"); + end + 17'b00_10??_?_????_?0_101? : + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1191"); + ozonef1e_ye(foo[14:9],foo[ 0], fd); + $fwrite (fd, " 1192"); + $fwrite (fd, " 1193"); + ozonef1e_hl(foo[11:9],foo[0], fd); + $fwrite (fd, " 1194"); + $fwrite (fd, " 1195"); + ozonef1e_h(foo[ 7: 5], fd); + $fwrite (fd, " 1196"); + ozonexe(foo[ 8: 5], fd); + dude(fd); + $fwrite (fd, " 1197"); + end + 17'b00_10??_?_????_?0_1001 : + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1198"); + $fwrite (fd, " 1199"); + ozonef1e_h(foo[11:9], fd); + $fwrite (fd, " 1200"); + ozonef1e_ye(foo[14:9],1'b0, fd); + $fwrite (fd, " 1201"); + case (foo[ 7: 5]) + 3'h1, + 3'h2, + 3'h3: + $fwrite (fd, " 1202"); + default: + begin + $fwrite (fd, " 1203"); + $fwrite (fd, " 1204"); + ozonexe(foo[ 8: 5], fd); + end + endcase + dude(fd); + $fwrite (fd, " 1205"); + end + 17'b00_10??_?_????_?0_0101 : + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1206"); + case (foo[11: 9]) + 3'h1, + 3'h3, + 3'h4: + $fwrite (fd, " 1207"); + default: + begin + ozonef1e_ye(foo[14:9],1'b0, fd); + $fwrite (fd, " 1208"); + $fwrite (fd, " 1209"); + end + endcase + $fwrite (fd, " 1210"); + $fwrite (fd, " 1211"); + ozonef1e_h(foo[ 7: 5], fd); + $fwrite (fd, " 1212"); + ozonexe(foo[ 8: 5], fd); + dude(fd); + $fwrite (fd, " 1213"); + end + 17'b00_10??_?_????_?1_1110 : + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1214"); + ozonef1e_ye(foo[14:9],1'b0, fd); + $fwrite (fd, " 1215"); + $fwrite (fd, " 1216"); + ozonef1e_h(foo[11: 9], fd); + $fwrite (fd, " 1217"); + $fwrite (fd, " 1218"); + ozonef1e_h(foo[ 7: 5], fd); + $fwrite (fd, " 1219"); + ozonexe(foo[ 8: 5], fd); + dude(fd); + $fwrite (fd, " 1220"); + end + 17'b00_10??_?_????_?0_1000 : + begin + ozonef1e(foo, fd); + $fwrite (fd, " 1221"); + ozonef1e_ye(foo[14:9],1'b0, fd); + $fwrite (fd, " 1222"); + $fwrite (fd, " 1223"); + ozonef1e_h(foo[11: 9], fd); + $fwrite (fd, " 1224"); + $fwrite (fd, " 1225"); + ozonef1e_h(foo[ 7: 5], fd); + $fwrite (fd, " 1226"); + ozonexe(foo[ 8: 5], fd); + dude(fd); + $fwrite (fd, " 1227"); + end + 17'b10_01??_?_????_??_???? : + begin + if (foo[27]) + $fwrite (fd," 1228"); + else + $fwrite (fd," 1229"); + ozonecon(foo[20:16], fd); + $fwrite (fd, " 1230"); + ozonef2(foo[31:0], fd); + dude(fd); + $fwrite (fd, " 1231"); + end + 17'b00_1000_?_????_01_0011 : + if (~|foo[ 9: 8]) + begin + if (foo[ 7]) + $fwrite (fd," 1232"); + else + $fwrite (fd," 1233"); + ozonecon(foo[14:10], fd); + $fwrite (fd, " 1234"); + ozonef2e(foo[31:0], fd); + dude(fd); + $fwrite (fd, " 1235"); + end + else + begin + $fwrite (fd, " 1236"); + ozonecon(foo[14:10], fd); + $fwrite (fd, " 1237"); + ozonef3e(foo[31:0], fd); + dude(fd); + $fwrite (fd, " 1238"); + end + 17'b11_110?_1_????_??_???? : + begin + ozonef3(foo[31:0], fd); + dude(fd); + $fwrite(fd, " 1239"); + end + 17'b11_110?_0_????_??_???? : + begin : f4_body + casez (foo[24:20]) + 5'b0_1110, + 5'b1_0???, + 5'b1_1111: + begin + $fwrite (fd, " 1240"); + end + 5'b0_00??: + begin + ozoneacc(foo[26], fd); + $fwrite (fd, " 1241"); + ozoneacc(foo[25], fd); + ozonebmuop(foo[24:20], fd); + ozoneae(foo[18:16], fd); + $fwrite (fd, " 1242"); + dude(fd); + $fwrite(fd, " 1243"); + end + 5'b0_01??: + begin + ozoneacc(foo[26], fd); + $fwrite (fd, " 1244"); + ozoneacc(foo[25], fd); + ozonebmuop(foo[24:20], fd); + ozonearm(foo[18:16], fd); + dude(fd); + $fwrite(fd, " 1245"); + end + 5'b0_1011: + begin + ozoneacc(foo[26], fd); + $fwrite (fd, " 1246"); + ozonebmuop(foo[24:20], fd); + $fwrite (fd, " 1247"); + ozoneae(foo[18:16], fd); + $fwrite (fd, " 1248"); + dude(fd); + $fwrite(fd, " 1249"); + end + 5'b0_100?, + 5'b0_1010, + 5'b0_110? : + begin + ozoneacc(foo[26], fd); + $fwrite (fd, " 1250"); + ozonebmuop(foo[24:20], fd); + $fwrite (fd, " 1251"); + ozoneacc(foo[25], fd); + $fwrite (fd, " 1252"); + ozoneae(foo[18:16], fd); + $fwrite (fd, " 1253"); + dude(fd); + $fwrite(fd, " 1254"); + end + 5'b0_1111 : + begin + ozoneacc(foo[26], fd); + $fwrite (fd, " 1255"); + ozoneacc(foo[25], fd); + $fwrite (fd, " 1256"); + ozoneae(foo[18:16], fd); + dude(fd); + $fwrite(fd, " 1257"); + end + 5'b1_10??, + 5'b1_110?, + 5'b1_1110 : + begin + ozoneacc(foo[26], fd); + $fwrite (fd, " 1258"); + ozonebmuop(foo[24:20], fd); + $fwrite (fd, " 1259"); + ozoneacc(foo[25], fd); + $fwrite (fd, " 1260"); + ozonearm(foo[18:16], fd); + $fwrite (fd, " 1261"); + dude(fd); + $fwrite(fd, " 1262"); + end + endcase + end + 17'b11_100?_?_????_??_???? : + casez (foo[23:19]) + 5'b111??, + 5'b0111?: + begin + ozoneae(foo[26:24], fd); + $fwrite (fd, " 1263"); + ozonef3f4imop(foo[23:19], fd); + $fwrite (fd, " 1264"); + ozoneae(foo[18:16], fd); + $fwrite (fd, " 1265"); + skyway(foo[15:12], fd); + skyway(foo[11: 8], fd); + skyway(foo[ 7: 4], fd); + skyway(foo[ 3:0], fd); + $fwrite (fd, " 1266"); + dude(fd); + $fwrite(fd, " 1267"); + end + 5'b?0???, + 5'b110??: + begin + ozoneae(foo[26:24], fd); + $fwrite (fd, " 1268"); + if (foo[23:21] == 3'b100) + $fwrite (fd, " 1269"); + ozoneae(foo[18:16], fd); + if (foo[19]) + $fwrite (fd, " 1270"); + else + $fwrite (fd, " 1271"); + ozonef3f4imop(foo[23:19], fd); + $fwrite (fd, " 1272"); + ozonef3f4_iext(foo[20:19], foo[15:0], fd); + dude(fd); + $fwrite(fd, " 1273"); + end + 5'b010??, + 5'b0110?: + begin + ozoneae(foo[18:16], fd); + if (foo[19]) + $fwrite (fd, " 1274"); + else + $fwrite (fd, " 1275"); + ozonef3f4imop(foo[23:19], fd); + $fwrite (fd, " 1276"); + ozonef3f4_iext(foo[20:19], foo[15:0], fd); + dude(fd); + $fwrite(fd, " 1277"); + end + endcase + 17'b00_1000_?_????_11_0011 : + begin + $fwrite (fd," 1278"); + ozonecon(foo[14:10], fd); + $fwrite (fd, " 1279"); + casez (foo[25:21]) + 5'b0_1110, + 5'b1_0???, + 5'b1_1111: + begin + $fwrite(fd, " 1280"); + end + 5'b0_00??: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd, " 1281"); + ozoneae(foo[17:15], fd); + ozonebmuop(foo[25:21], fd); + ozoneae(foo[ 8: 6], fd); + $fwrite (fd, " 1282"); + dude(fd); + $fwrite(fd, " 1283"); + end + 5'b0_01??: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd, " 1284"); + ozoneae(foo[17:15], fd); + ozonebmuop(foo[25:21], fd); + ozonearm(foo[ 8: 6], fd); + dude(fd); + $fwrite(fd, " 1285"); + end + 5'b0_1011: + begin + ozoneae(foo[20:18], fd); + $fwrite (fd, " 1286"); + ozonebmuop(foo[25:21], fd); + $fwrite (fd, " 1287"); + ozoneae(foo[ 8: 6], fd); + $fwrite (fd, " 1288"); + dude(fd); + $fwrite(fd, " 1289"); + end + 5'b0_100?, + 5'b0_1010, + 5'b0_110? : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd, " 1290"); + ozonebmuop(foo[25:21], fd); + $fwrite (fd, " 1291"); + ozoneae(foo[17:15], fd); + $fwrite (fd, " 1292"); + ozoneae(foo[ 8: 6], fd); + $fwrite (fd, " 1293"); + dude(fd); + $fwrite(fd, " 1294"); + end + 5'b0_1111 : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd, " 1295"); + ozoneae(foo[17:15], fd); + $fwrite (fd, " 1296"); + ozoneae(foo[ 8: 6], fd); + dude(fd); + $fwrite(fd, " 1297"); + end + 5'b1_10??, + 5'b1_110?, + 5'b1_1110 : + begin + ozoneae(foo[20:18], fd); + $fwrite (fd, " 1298"); + ozonebmuop(foo[25:21], fd); + $fwrite (fd, " 1299"); + ozoneae(foo[17:15], fd); + $fwrite (fd, " 1300"); + ozonearm(foo[ 8: 6], fd); + $fwrite (fd, " 1301"); + dude(fd); + $fwrite(fd, " 1302"); + end + endcase + end + 17'b00_0010_?_????_??_???? : + begin + ozonerab({1'b0, foo[25:20]}, fd); + $fwrite (fd, " 1303"); + skyway(foo[19:16], fd); + dude(fd); + $fwrite(fd, " 1304"); + end + 17'b00_01??_?_????_??_???? : + begin + if (foo[27]) + begin + $fwrite (fd, " 1305"); + if (foo[26]) + $fwrite (fd, " 1306"); + else + $fwrite (fd, " 1307"); + skyway(foo[19:16], fd); + $fwrite (fd, " 1308"); + ozonerab({1'b0, foo[25:20]}, fd); + end + else + begin + ozonerab({1'b0, foo[25:20]}, fd); + $fwrite (fd, " 1309"); + if (foo[26]) + $fwrite (fd, " 1310"); + else + $fwrite (fd, " 1311"); + skyway(foo[19:16], fd); + $fwrite (fd, " 1312"); + end + dude(fd); + $fwrite(fd, " 1313"); + end + 17'b01_000?_?_????_??_???? : + begin + if (foo[26]) + begin + ozonerb(foo[25:20], fd); + $fwrite (fd, " 1314"); + ozoneae(foo[18:16], fd); + ozonehl(foo[19], fd); + end + else + begin + ozoneae(foo[18:16], fd); + ozonehl(foo[19], fd); + $fwrite (fd, " 1315"); + ozonerb(foo[25:20], fd); + end + dude(fd); + $fwrite(fd, " 1316"); + end + 17'b01_10??_?_????_??_???? : + begin + if (foo[27]) + begin + ozonerab({1'b0, foo[25:20]}, fd); + $fwrite (fd, " 1317"); + ozonerx(foo, fd); + end + else + begin + ozonerx(foo, fd); + $fwrite (fd, " 1318"); + ozonerab({1'b0, foo[25:20]}, fd); + end + dude(fd); + $fwrite(fd, " 1319"); + end + 17'b11_101?_?_????_??_???? : + begin + ozonerab (foo[26:20], fd); + $fwrite (fd, " 1320"); + skyway(foo[19:16], fd); + skyway(foo[15:12], fd); + skyway(foo[11: 8], fd); + skyway(foo[ 7: 4], fd); + skyway(foo[ 3: 0], fd); + dude(fd); + $fwrite(fd, " 1321"); + end + 17'b11_0000_?_????_??_???? : + begin + casez (foo[25:23]) + 3'b00?: + begin + ozonerab(foo[22:16], fd); + $fwrite (fd, " 1322"); + end + 3'b01?: + begin + $fwrite (fd, " 1323"); + if (foo[22:16]>=7'h60) + $fwrite (fd, " 1324"); + else + ozonerab(foo[22:16], fd); + end + 3'b110: + $fwrite (fd, " 1325"); + 3'b10?: + begin + $fwrite (fd, " 1326"); + if (foo[22:16]>=7'h60) + $fwrite (fd, " 1327"); + else + ozonerab(foo[22:16], fd); + end + 3'b111: + begin + $fwrite (fd, " 1328"); + ozonerab(foo[22:16], fd); + $fwrite (fd, " 1329"); + end + endcase + dude(fd); + $fwrite(fd, " 1330"); + end + 17'b00_10??_?_????_?1_0000 : + begin + if (foo[27]) + begin + $fwrite (fd, " 1331"); + ozonerp(foo[14:12], fd); + $fwrite (fd, " 1332"); + skyway(foo[19:16], fd); + skyway({foo[15],foo[11: 9]}, fd); + skyway(foo[ 8: 5], fd); + $fwrite (fd, " 1333"); + if (foo[26:20]>=7'h60) + $fwrite (fd, " 1334"); + else + ozonerab(foo[26:20], fd); + end + else + begin + ozonerab(foo[26:20], fd); + $fwrite (fd, " 1335"); + $fwrite (fd, " 1336"); + ozonerp(foo[14:12], fd); + $fwrite (fd, " 1337"); + skyway(foo[19:16], fd); + skyway({foo[15],foo[11: 9]}, fd); + skyway(foo[ 8: 5], fd); + $fwrite (fd, " 1338"); + end + dude(fd); + $fwrite(fd, " 1339"); + end + 17'b00_101?_1_0000_?1_0010 : + if (~|foo[11: 7]) + begin + if (foo[ 6]) + begin + $fwrite (fd, " 1340"); + ozonerp(foo[14:12], fd); + $fwrite (fd, " 1341"); + ozonejk(foo[ 5], fd); + $fwrite (fd, " 1342"); + if (foo[26:20]>=7'h60) + $fwrite (fd, " 1343"); + else + ozonerab(foo[26:20], fd); + end + else + begin + ozonerab(foo[26:20], fd); + $fwrite (fd, " 1344"); + $fwrite (fd, " 1345"); + ozonerp(foo[14:12], fd); + $fwrite (fd, " 1346"); + ozonejk(foo[ 5], fd); + $fwrite (fd, " 1347"); + end + dude(fd); + $fwrite(fd, " 1348"); + end + else + $fwrite(fd, " 1349"); + 17'b00_100?_0_0011_?1_0101 : + if (~|foo[ 8: 7]) + begin + if (foo[6]) + begin + ozonerab(foo[26:20], fd); + $fwrite (fd, " 1350"); + ozoneye(foo[14: 9],foo[ 5], fd); + end + else + begin + ozoneye(foo[14: 9],foo[ 5], fd); + $fwrite (fd, " 1351"); + if (foo[26:20]>=7'h60) + $fwrite (fd, " 1352"); + else + ozonerab(foo[26:20], fd); + end + dude(fd); + $fwrite(fd, " 1353"); + end + else + $fwrite(fd, " 1354"); + 17'b00_1001_0_0000_?1_0010 : + if (~|foo[25:20]) + begin + ozoneye(foo[14: 9],1'b0, fd); + $fwrite (fd, " 1355"); + ozonef1e_h(foo[11: 9], fd); + $fwrite (fd, " 1356"); + ozonef1e_h(foo[ 7: 5], fd); + $fwrite (fd, " 1357"); + ozonexe(foo[ 8: 5], fd); + dude(fd); + $fwrite(fd, " 1358"); + end + else + $fwrite(fd, " 1359"); + 17'b00_101?_0_????_?1_0010 : + if (~foo[13]) + begin + if (foo[12]) + begin + $fwrite (fd, " 1360"); + if (foo[26:20]>=7'h60) + $fwrite (fd, " 1361"); + else + ozonerab(foo[26:20], fd); + $fwrite (fd, " 1362"); + $fwrite (fd, " 1363"); + skyway({1'b0,foo[18:16]}, fd); + skyway({foo[15],foo[11: 9]}, fd); + skyway(foo[ 8: 5], fd); + dude(fd); + $fwrite(fd, " 1364"); + end + else + begin + ozonerab(foo[26:20], fd); + $fwrite (fd, " 1365"); + $fwrite (fd, " 1366"); + skyway({1'b0,foo[18:16]}, fd); + skyway({foo[15],foo[11: 9]}, fd); + skyway(foo[ 8: 5], fd); + dude(fd); + $fwrite(fd, " 1367"); + end + end + else + $fwrite(fd, " 1368"); + 17'b01_01??_?_????_??_???? : + begin + ozonerab({1'b0,foo[27:26],foo[19:16]}, fd); + $fwrite (fd, " 1369"); + ozonerab({1'b0,foo[25:20]}, fd); + dude(fd); + $fwrite(fd, " 1370"); + end + 17'b00_100?_?_???0_11_0101 : + if (~foo[6]) + begin + $fwrite (fd," 1371"); + ozonecon(foo[14:10], fd); + $fwrite (fd, " 1372"); + ozonerab({foo[ 9: 7],foo[19:16]}, fd); + $fwrite (fd, " 1373"); + ozonerab({foo[26:20]}, fd); + dude(fd); + $fwrite(fd, " 1374"); + end + else + $fwrite(fd, " 1375"); + 17'b00_1000_?_????_?1_0010 : + if (~|foo[25:24]) + begin + ozonery(foo[23:20], fd); + $fwrite (fd, " 1376"); + ozonerp(foo[14:12], fd); + $fwrite (fd, " 1377"); + skyway(foo[19:16], fd); + skyway({foo[15],foo[11: 9]}, fd); + skyway(foo[ 8: 5], fd); + dude(fd); + $fwrite(fd, " 1378"); + end + else if ((foo[25:24] == 2'b10) & ~|foo[19:15] & ~|foo[11: 6]) + begin + ozonery(foo[23:20], fd); + $fwrite (fd, " 1379"); + ozonerp(foo[14:12], fd); + $fwrite (fd, " 1380"); + ozonejk(foo[ 5], fd); + dude(fd); + $fwrite(fd, " 1381"); + end + else + $fwrite(fd, " 1382"); + 17'b11_01??_?_????_??_????, + 17'b10_00??_?_????_??_???? : + if (foo[30]) + $fwrite(fd, " 1383:%x", foo[27:16]); + else + $fwrite(fd, " 1384:%x", foo[27:16]); + 17'b00_10??_?_????_01_1000 : + if (~foo[6]) + begin + if (foo[7]) + $fwrite(fd, " 1385:%x", foo[27: 8]); + else + $fwrite(fd, " 1386:%x", foo[27: 8]); + end + else + $fwrite(fd, " 1387"); + 17'b00_10??_?_????_11_1000 : + begin + $fwrite (fd," 1388"); + ozonecon(foo[14:10], fd); + $fwrite (fd, " 1389"); + if (foo[15]) + $fwrite (fd, " 1390"); + else + $fwrite (fd, " 1391"); + skyway(foo[27:24], fd); + skyway(foo[23:20], fd); + skyway(foo[19:16], fd); + skyway(foo[ 9: 6], fd); + dude(fd); + $fwrite(fd, " 1392"); + end + 17'b11_0001_?_????_??_???? : + casez (foo[25:22]) + 4'b01?? : + begin + $fwrite (fd," 1393"); + ozonecon(foo[20:16], fd); + case (foo[23:21]) + 3'h0 : $fwrite (fd, " 1394"); + 3'h1 : $fwrite (fd, " 1395"); + 3'h2 : $fwrite (fd, " 1396"); + 3'h3 : $fwrite (fd, " 1397"); + 3'h4 : $fwrite (fd, " 1398"); + 3'h5 : $fwrite (fd, " 1399"); + 3'h6 : $fwrite (fd, " 1400"); + 3'h7 : $fwrite (fd, " 1401"); + endcase + dude(fd); + $fwrite(fd, " 1402"); + end + 4'b0000 : + $fwrite(fd, " 1403:%x", foo[21:16]); + 4'b0010 : + if (~|foo[21:16]) + $fwrite(fd, " 1404"); + 4'b1010 : + if (~|foo[21:17]) + begin + if (foo[16]) + $fwrite(fd, " 1405"); + else + $fwrite(fd, " 1406"); + end + default : + $fwrite(fd, " 1407"); + endcase + 17'b01_11??_?_????_??_???? : + if (foo[27:23] === 5'h00) + $fwrite(fd, " 1408:%x", foo[22:16]); + else + $fwrite(fd, " 1409:%x", foo[22:16]); + default: $fwrite(fd, " 1410"); + endcase + end + endtask + + //(query-replace-regexp "\\([a-z0-9_]+\\) *( *\\([][a-z0-9_~': ]+\\) *, *\\([][a-z0-9'~: ]+\\) *, *\\([][a-z0-9'~: ]+\\) *);" "$c(\"\\1(\",\\2,\",\",\\3,\",\",\\4,\");\");" nil nil nil) + //(query-replace-regexp "\\([a-z0-9_]+\\) *( *\\([][a-z0-9_~': ]+\\) *, *\\([][a-z0-9'~: ]+\\) *);" "$c(\"\\1(\",\\2,\",\",\\3,\");\");" nil nil nil) + +endmodule diff --git a/test_regress/t/t_func.v b/test_regress/t/t_func.v index 26c707e52..e73760a99 100644 --- a/test_regress/t/t_func.v +++ b/test_regress/t/t_func.v @@ -27,6 +27,10 @@ module t; flipupperbit(global,4'd12); if (global !== 32'h10100001) $stop; + if (nil_func(32'h12,32'h12) != 32'h24) $stop; + nil_task(32'h012,32'h112,global); + if (global !== 32'h124) $stop; + $write("*-* All Finished *-*\n"); $finish; end @@ -85,4 +89,19 @@ module t; end endtask + task nil_task; + input [31:0] a; + input [31:0] b; + output [31:0] q; + // verilator no_inline_task + q = nil_func(a, b); + endtask + + function [31:0] nil_func; + input [31:0] fa; + input [31:0] fb; + // verilator no_inline_task + nil_func = fa + fb; + endfunction + endmodule