From 0809c17ce133f198b823e67456b9d877c40c2787 Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Fri, 2 Dec 2022 19:17:29 -0500 Subject: [PATCH] Tests: Rename some tests that should be _bad. --- ...l_2.pl => t_assert_property_fail_2_bad.pl} | 0 test_regress/t/t_case_enum_complete.pl | 1 - test_regress/t/t_castdyn_bbox.pl | 1 - test_regress/t/t_flag_wfatal.pl | 1 - test_regress/t/t_interface_modportlist.pl | 1 - test_regress/t/t_lint_edge_real.out | 5 - test_regress/t/t_lint_edge_real_bad.out | 5 + ...t_edge_real.pl => t_lint_edge_real_bad.pl} | 0 ...int_edge_real.v => t_lint_edge_real_bad.v} | 0 ...t_infinite.out => t_lint_infinite_bad.out} | 8 +- ...int_infinite.pl => t_lint_infinite_bad.pl} | 0 ..._lint_infinite.v => t_lint_infinite_bad.v} | 0 test_regress/t/t_lint_nullport.out | 108 ------------------ test_regress/t/t_lint_nullport_bad.out | 108 ++++++++++++++++++ ...int_nullport.pl => t_lint_nullport_bad.pl} | 0 ..._lint_nullport.v => t_lint_nullport_bad.v} | 0 test_regress/t/t_runflag_errorlimit.out | 7 -- test_regress/t/t_runflag_errorlimit_bad.out | 7 ++ ...orlimit.pl => t_runflag_errorlimit_bad.pl} | 0 ...rrorlimit.v => t_runflag_errorlimit_bad.v} | 0 test_regress/t/t_runflag_seed.pl | 2 - ...dentifier.out => t_std_identifier_bad.out} | 2 +- ..._identifier.pl => t_std_identifier_bad.pl} | 0 ...td_identifier.v => t_std_identifier_bad.v} | 0 ...r.cpp => t_trace_open_wrong_order_bad.cpp} | 5 +- ...der.pl => t_trace_open_wrong_order_bad.pl} | 0 ...order.v => t_trace_open_wrong_order_bad.v} | 0 27 files changed, 128 insertions(+), 133 deletions(-) rename test_regress/t/{t_assert_property_fail_2.pl => t_assert_property_fail_2_bad.pl} (100%) delete mode 100644 test_regress/t/t_lint_edge_real.out create mode 100644 test_regress/t/t_lint_edge_real_bad.out rename test_regress/t/{t_lint_edge_real.pl => t_lint_edge_real_bad.pl} (100%) rename test_regress/t/{t_lint_edge_real.v => t_lint_edge_real_bad.v} (100%) rename test_regress/t/{t_lint_infinite.out => t_lint_infinite_bad.out} (53%) rename test_regress/t/{t_lint_infinite.pl => t_lint_infinite_bad.pl} (100%) rename test_regress/t/{t_lint_infinite.v => t_lint_infinite_bad.v} (100%) delete mode 100644 test_regress/t/t_lint_nullport.out create mode 100644 test_regress/t/t_lint_nullport_bad.out rename test_regress/t/{t_lint_nullport.pl => t_lint_nullport_bad.pl} (100%) rename test_regress/t/{t_lint_nullport.v => t_lint_nullport_bad.v} (100%) delete mode 100644 test_regress/t/t_runflag_errorlimit.out create mode 100644 test_regress/t/t_runflag_errorlimit_bad.out rename test_regress/t/{t_runflag_errorlimit.pl => t_runflag_errorlimit_bad.pl} (100%) rename test_regress/t/{t_runflag_errorlimit.v => t_runflag_errorlimit_bad.v} (100%) rename test_regress/t/{t_std_identifier.out => t_std_identifier_bad.out} (58%) rename test_regress/t/{t_std_identifier.pl => t_std_identifier_bad.pl} (100%) rename test_regress/t/{t_std_identifier.v => t_std_identifier_bad.v} (100%) rename test_regress/t/{t_trace_open_wrong_order.cpp => t_trace_open_wrong_order_bad.cpp} (88%) rename test_regress/t/{t_trace_open_wrong_order.pl => t_trace_open_wrong_order_bad.pl} (100%) rename test_regress/t/{t_trace_open_wrong_order.v => t_trace_open_wrong_order_bad.v} (100%) diff --git a/test_regress/t/t_assert_property_fail_2.pl b/test_regress/t/t_assert_property_fail_2_bad.pl similarity index 100% rename from test_regress/t/t_assert_property_fail_2.pl rename to test_regress/t/t_assert_property_fail_2_bad.pl diff --git a/test_regress/t/t_case_enum_complete.pl b/test_regress/t/t_case_enum_complete.pl index c2379584a..59837c4db 100755 --- a/test_regress/t/t_case_enum_complete.pl +++ b/test_regress/t/t_case_enum_complete.pl @@ -12,7 +12,6 @@ scenarios(linter => 1); lint( verilator_flags2 => ["--lint-only -Wwarn-CASEINCOMPLETE"], - fails => 0, ); ok(1); diff --git a/test_regress/t/t_castdyn_bbox.pl b/test_regress/t/t_castdyn_bbox.pl index 59ccbb0ea..3c7a5909b 100755 --- a/test_regress/t/t_castdyn_bbox.pl +++ b/test_regress/t/t_castdyn_bbox.pl @@ -14,7 +14,6 @@ top_filename("t/t_castdyn.v"); lint( verilator_flags2 => ['-bbox-unsup'], - fails => 0, ); ok(1); diff --git a/test_regress/t/t_flag_wfatal.pl b/test_regress/t/t_flag_wfatal.pl index e480941ab..1fee4b430 100755 --- a/test_regress/t/t_flag_wfatal.pl +++ b/test_regress/t/t_flag_wfatal.pl @@ -14,7 +14,6 @@ top_filename("t/t_flag_wfatal.v"); lint( verilator_flags2 => ["--lint-only -Wno-fatal"], - fails => 0, expect_filename => $Self->{golden_filename}, ); diff --git a/test_regress/t/t_interface_modportlist.pl b/test_regress/t/t_interface_modportlist.pl index f3e6a6cf4..78cb97ce0 100755 --- a/test_regress/t/t_interface_modportlist.pl +++ b/test_regress/t/t_interface_modportlist.pl @@ -11,7 +11,6 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(simulator => 1); compile( - fails => 0, verilator_make_gmake => 0, make_top_shell => 0, make_main => 0, diff --git a/test_regress/t/t_lint_edge_real.out b/test_regress/t/t_lint_edge_real.out deleted file mode 100644 index bc9f0f0d5..000000000 --- a/test_regress/t/t_lint_edge_real.out +++ /dev/null @@ -1,5 +0,0 @@ -%Error: t/t_lint_edge_real.v:16:22: Edge event control not legal on real type (IEEE 1800-2017 6.12.1) - : ... In instance t - 16 | always @ (posedge rbad) $stop; - | ^~~~ -%Error: Exiting due to diff --git a/test_regress/t/t_lint_edge_real_bad.out b/test_regress/t/t_lint_edge_real_bad.out new file mode 100644 index 000000000..c3fbd266c --- /dev/null +++ b/test_regress/t/t_lint_edge_real_bad.out @@ -0,0 +1,5 @@ +%Error: t/t_lint_edge_real_bad.v:16:22: Edge event control not legal on real type (IEEE 1800-2017 6.12.1) + : ... In instance t + 16 | always @ (posedge rbad) $stop; + | ^~~~ +%Error: Exiting due to diff --git a/test_regress/t/t_lint_edge_real.pl b/test_regress/t/t_lint_edge_real_bad.pl similarity index 100% rename from test_regress/t/t_lint_edge_real.pl rename to test_regress/t/t_lint_edge_real_bad.pl diff --git a/test_regress/t/t_lint_edge_real.v b/test_regress/t/t_lint_edge_real_bad.v similarity index 100% rename from test_regress/t/t_lint_edge_real.v rename to test_regress/t/t_lint_edge_real_bad.v diff --git a/test_regress/t/t_lint_infinite.out b/test_regress/t/t_lint_infinite_bad.out similarity index 53% rename from test_regress/t/t_lint_infinite.out rename to test_regress/t/t_lint_infinite_bad.out index a47ba62d6..4599a677f 100644 --- a/test_regress/t/t_lint_infinite.out +++ b/test_regress/t/t_lint_infinite_bad.out @@ -1,11 +1,11 @@ -%Warning-INFINITELOOP: t/t_lint_infinite.v:10:7: Infinite loop (condition always true) - : ... In instance t +%Warning-INFINITELOOP: t/t_lint_infinite_bad.v:10:7: Infinite loop (condition always true) + : ... In instance t 10 | forever begin end | ^~~~~~~ ... For warning description see https://verilator.org/warn/INFINITELOOP?v=latest ... Use "/* verilator lint_off INFINITELOOP */" and lint_on around source to disable this message. -%Warning-INFINITELOOP: t/t_lint_infinite.v:12:7: Infinite loop (condition always true) - : ... In instance t +%Warning-INFINITELOOP: t/t_lint_infinite_bad.v:12:7: Infinite loop (condition always true) + : ... In instance t 12 | for (reg [31:0] i=0; i>=0; i=i+1) begin end | ^~~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_infinite.pl b/test_regress/t/t_lint_infinite_bad.pl similarity index 100% rename from test_regress/t/t_lint_infinite.pl rename to test_regress/t/t_lint_infinite_bad.pl diff --git a/test_regress/t/t_lint_infinite.v b/test_regress/t/t_lint_infinite_bad.v similarity index 100% rename from test_regress/t/t_lint_infinite.v rename to test_regress/t/t_lint_infinite_bad.v diff --git a/test_regress/t/t_lint_nullport.out b/test_regress/t/t_lint_nullport.out deleted file mode 100644 index f08b86c22..000000000 --- a/test_regress/t/t_lint_nullport.out +++ /dev/null @@ -1,108 +0,0 @@ -%Warning-NULLPORT: t/t_lint_nullport.v:23:13: Null port on module (perhaps extraneous comma) - 23 | module t5(a,); - | ^ - ... For warning description see https://verilator.org/warn/NULLPORT?v=latest - ... Use "/* verilator lint_off NULLPORT */" and lint_on around source to disable this message. -%Warning-NULLPORT: t/t_lint_nullport.v:27:13: Null port on module (perhaps extraneous comma) - 27 | module t6(a,,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:27:14: Null port on module (perhaps extraneous comma) - 27 | module t6(a,,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:31:15: Null port on module (perhaps extraneous comma) - 31 | module t7(a,b,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:35:15: Null port on module (perhaps extraneous comma) - 35 | module t8(a,b,,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:35:16: Null port on module (perhaps extraneous comma) - 35 | module t8(a,b,,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:39:13: Null port on module (perhaps extraneous comma) - 39 | module t9(a,,b); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:43:14: Null port on module (perhaps extraneous comma) - 43 | module t10(a,,b,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:43:17: Null port on module (perhaps extraneous comma) - 43 | module t10(a,,b,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:47:14: Null port on module (perhaps extraneous comma) - 47 | module t11(a,,b,,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:47:17: Null port on module (perhaps extraneous comma) - 47 | module t11(a,,b,,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:47:18: Null port on module (perhaps extraneous comma) - 47 | module t11(a,,b,,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:51:12: Null port on module (perhaps extraneous comma) - 51 | module t12(,a,,b); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:51:15: Null port on module (perhaps extraneous comma) - 51 | module t12(,a,,b); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:55:12: Null port on module (perhaps extraneous comma) - 55 | module t13(,a,,b,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:55:15: Null port on module (perhaps extraneous comma) - 55 | module t13(,a,,b,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:55:18: Null port on module (perhaps extraneous comma) - 55 | module t13(,a,,b,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:59:12: Null port on module (perhaps extraneous comma) - 59 | module t14(,a,,b,,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:59:15: Null port on module (perhaps extraneous comma) - 59 | module t14(,a,,b,,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:59:18: Null port on module (perhaps extraneous comma) - 59 | module t14(,a,,b,,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:59:19: Null port on module (perhaps extraneous comma) - 59 | module t14(,a,,b,,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:63:12: Null port on module (perhaps extraneous comma) - 63 | module t15(,,a,,b); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:63:13: Null port on module (perhaps extraneous comma) - 63 | module t15(,,a,,b); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:63:16: Null port on module (perhaps extraneous comma) - 63 | module t15(,,a,,b); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:67:12: Null port on module (perhaps extraneous comma) - 67 | module t16(,,a,,b,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:67:13: Null port on module (perhaps extraneous comma) - 67 | module t16(,,a,,b,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:67:16: Null port on module (perhaps extraneous comma) - 67 | module t16(,,a,,b,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:67:19: Null port on module (perhaps extraneous comma) - 67 | module t16(,,a,,b,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:71:12: Null port on module (perhaps extraneous comma) - 71 | module t17(,,a,,b,,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:71:13: Null port on module (perhaps extraneous comma) - 71 | module t17(,,a,,b,,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:71:16: Null port on module (perhaps extraneous comma) - 71 | module t17(,,a,,b,,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:71:19: Null port on module (perhaps extraneous comma) - 71 | module t17(,,a,,b,,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:71:20: Null port on module (perhaps extraneous comma) - 71 | module t17(,,a,,b,,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:75:12: Null port on module (perhaps extraneous comma) - 75 | module t18(,); - | ^ -%Warning-NULLPORT: t/t_lint_nullport.v:75:13: Null port on module (perhaps extraneous comma) - 75 | module t18(,); - | ^ -%Error: Exiting due to diff --git a/test_regress/t/t_lint_nullport_bad.out b/test_regress/t/t_lint_nullport_bad.out new file mode 100644 index 000000000..1c24a45d7 --- /dev/null +++ b/test_regress/t/t_lint_nullport_bad.out @@ -0,0 +1,108 @@ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:23:13: Null port on module (perhaps extraneous comma) + 23 | module t5(a,); + | ^ + ... For warning description see https://verilator.org/warn/NULLPORT?v=latest + ... Use "/* verilator lint_off NULLPORT */" and lint_on around source to disable this message. +%Warning-NULLPORT: t/t_lint_nullport_bad.v:27:13: Null port on module (perhaps extraneous comma) + 27 | module t6(a,,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:27:14: Null port on module (perhaps extraneous comma) + 27 | module t6(a,,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:31:15: Null port on module (perhaps extraneous comma) + 31 | module t7(a,b,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:35:15: Null port on module (perhaps extraneous comma) + 35 | module t8(a,b,,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:35:16: Null port on module (perhaps extraneous comma) + 35 | module t8(a,b,,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:39:13: Null port on module (perhaps extraneous comma) + 39 | module t9(a,,b); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:43:14: Null port on module (perhaps extraneous comma) + 43 | module t10(a,,b,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:43:17: Null port on module (perhaps extraneous comma) + 43 | module t10(a,,b,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:47:14: Null port on module (perhaps extraneous comma) + 47 | module t11(a,,b,,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:47:17: Null port on module (perhaps extraneous comma) + 47 | module t11(a,,b,,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:47:18: Null port on module (perhaps extraneous comma) + 47 | module t11(a,,b,,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:51:12: Null port on module (perhaps extraneous comma) + 51 | module t12(,a,,b); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:51:15: Null port on module (perhaps extraneous comma) + 51 | module t12(,a,,b); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:55:12: Null port on module (perhaps extraneous comma) + 55 | module t13(,a,,b,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:55:15: Null port on module (perhaps extraneous comma) + 55 | module t13(,a,,b,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:55:18: Null port on module (perhaps extraneous comma) + 55 | module t13(,a,,b,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:59:12: Null port on module (perhaps extraneous comma) + 59 | module t14(,a,,b,,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:59:15: Null port on module (perhaps extraneous comma) + 59 | module t14(,a,,b,,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:59:18: Null port on module (perhaps extraneous comma) + 59 | module t14(,a,,b,,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:59:19: Null port on module (perhaps extraneous comma) + 59 | module t14(,a,,b,,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:63:12: Null port on module (perhaps extraneous comma) + 63 | module t15(,,a,,b); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:63:13: Null port on module (perhaps extraneous comma) + 63 | module t15(,,a,,b); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:63:16: Null port on module (perhaps extraneous comma) + 63 | module t15(,,a,,b); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:67:12: Null port on module (perhaps extraneous comma) + 67 | module t16(,,a,,b,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:67:13: Null port on module (perhaps extraneous comma) + 67 | module t16(,,a,,b,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:67:16: Null port on module (perhaps extraneous comma) + 67 | module t16(,,a,,b,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:67:19: Null port on module (perhaps extraneous comma) + 67 | module t16(,,a,,b,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:71:12: Null port on module (perhaps extraneous comma) + 71 | module t17(,,a,,b,,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:71:13: Null port on module (perhaps extraneous comma) + 71 | module t17(,,a,,b,,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:71:16: Null port on module (perhaps extraneous comma) + 71 | module t17(,,a,,b,,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:71:19: Null port on module (perhaps extraneous comma) + 71 | module t17(,,a,,b,,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:71:20: Null port on module (perhaps extraneous comma) + 71 | module t17(,,a,,b,,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:75:12: Null port on module (perhaps extraneous comma) + 75 | module t18(,); + | ^ +%Warning-NULLPORT: t/t_lint_nullport_bad.v:75:13: Null port on module (perhaps extraneous comma) + 75 | module t18(,); + | ^ +%Error: Exiting due to diff --git a/test_regress/t/t_lint_nullport.pl b/test_regress/t/t_lint_nullport_bad.pl similarity index 100% rename from test_regress/t/t_lint_nullport.pl rename to test_regress/t/t_lint_nullport_bad.pl diff --git a/test_regress/t/t_lint_nullport.v b/test_regress/t/t_lint_nullport_bad.v similarity index 100% rename from test_regress/t/t_lint_nullport.v rename to test_regress/t/t_lint_nullport_bad.v diff --git a/test_regress/t/t_runflag_errorlimit.out b/test_regress/t/t_runflag_errorlimit.out deleted file mode 100644 index 223b5e2e5..000000000 --- a/test_regress/t/t_runflag_errorlimit.out +++ /dev/null @@ -1,7 +0,0 @@ -[0] %Error: t_runflag_errorlimit.v:9: Assertion failed in top.t: One --Info: t/t_runflag_errorlimit.v:9: Verilog $stop, ignored due to +verilator+error+limit -[0] %Error: t_runflag_errorlimit.v:10: Assertion failed in top.t: Two --Info: t/t_runflag_errorlimit.v:10: Verilog $stop, ignored due to +verilator+error+limit -[0] %Error: t_runflag_errorlimit.v:11: Assertion failed in top.t: Three -%Error: t/t_runflag_errorlimit.v:11: Verilog $stop -Aborting... diff --git a/test_regress/t/t_runflag_errorlimit_bad.out b/test_regress/t/t_runflag_errorlimit_bad.out new file mode 100644 index 000000000..7cf555ee9 --- /dev/null +++ b/test_regress/t/t_runflag_errorlimit_bad.out @@ -0,0 +1,7 @@ +[0] %Error: t_runflag_errorlimit_bad.v:9: Assertion failed in top.t: One +-Info: t/t_runflag_errorlimit_bad.v:9: Verilog $stop, ignored due to +verilator+error+limit +[0] %Error: t_runflag_errorlimit_bad.v:10: Assertion failed in top.t: Two +-Info: t/t_runflag_errorlimit_bad.v:10: Verilog $stop, ignored due to +verilator+error+limit +[0] %Error: t_runflag_errorlimit_bad.v:11: Assertion failed in top.t: Three +%Error: t/t_runflag_errorlimit_bad.v:11: Verilog $stop +Aborting... diff --git a/test_regress/t/t_runflag_errorlimit.pl b/test_regress/t/t_runflag_errorlimit_bad.pl similarity index 100% rename from test_regress/t/t_runflag_errorlimit.pl rename to test_regress/t/t_runflag_errorlimit_bad.pl diff --git a/test_regress/t/t_runflag_errorlimit.v b/test_regress/t/t_runflag_errorlimit_bad.v similarity index 100% rename from test_regress/t/t_runflag_errorlimit.v rename to test_regress/t/t_runflag_errorlimit_bad.v diff --git a/test_regress/t/t_runflag_seed.pl b/test_regress/t/t_runflag_seed.pl index 84674f3e9..71a259e41 100755 --- a/test_regress/t/t_runflag_seed.pl +++ b/test_regress/t/t_runflag_seed.pl @@ -15,12 +15,10 @@ compile( execute( all_run_flags => ["+verilator+seed+5 +SEED=fffffff4"], - fails => 0, ); execute( all_run_flags => ["+verilator+seed+6 +SEED=fffffff2"], - fails => 0, ); ok(1); diff --git a/test_regress/t/t_std_identifier.out b/test_regress/t/t_std_identifier_bad.out similarity index 58% rename from test_regress/t/t_std_identifier.out rename to test_regress/t/t_std_identifier_bad.out index 1b970e684..ee490eb1c 100644 --- a/test_regress/t/t_std_identifier.out +++ b/test_regress/t/t_std_identifier_bad.out @@ -1,4 +1,4 @@ -%Error-PKGNODECL: t/t_std_identifier.v:16:20: Package/class 'std' not found, and needs to be predeclared (IEEE 1800-2017 26.3) +%Error-PKGNODECL: t/t_std_identifier_bad.v:16:20: Package/class 'std' not found, and needs to be predeclared (IEEE 1800-2017 26.3) 16 | int baz = foo::std::bar; | ^~~ ... For error description see https://verilator.org/warn/PKGNODECL?v=latest diff --git a/test_regress/t/t_std_identifier.pl b/test_regress/t/t_std_identifier_bad.pl similarity index 100% rename from test_regress/t/t_std_identifier.pl rename to test_regress/t/t_std_identifier_bad.pl diff --git a/test_regress/t/t_std_identifier.v b/test_regress/t/t_std_identifier_bad.v similarity index 100% rename from test_regress/t/t_std_identifier.v rename to test_regress/t/t_std_identifier_bad.v diff --git a/test_regress/t/t_trace_open_wrong_order.cpp b/test_regress/t/t_trace_open_wrong_order_bad.cpp similarity index 88% rename from test_regress/t/t_trace_open_wrong_order.cpp rename to test_regress/t/t_trace_open_wrong_order_bad.cpp index 56dae22c3..91945222f 100644 --- a/test_regress/t/t_trace_open_wrong_order.cpp +++ b/test_regress/t/t_trace_open_wrong_order_bad.cpp @@ -9,13 +9,14 @@ #include #include -#include "Vt_trace_open_wrong_order.h" +#include "Vt_trace_open_wrong_order_bad.h" + using namespace std; int main(int argc, char** argv) { VerilatedContext ctx; VerilatedVcdC tfp; - Vt_trace_open_wrong_order dut; + Vt_trace_open_wrong_order_bad dut; ctx.traceEverOn(true); tfp.open(VL_STRINGIFY(TEST_OBJ_DIR) "/dump.vcd"); // Error! shall put to the next line! dut.trace(&tfp, 99); // Error! diff --git a/test_regress/t/t_trace_open_wrong_order.pl b/test_regress/t/t_trace_open_wrong_order_bad.pl similarity index 100% rename from test_regress/t/t_trace_open_wrong_order.pl rename to test_regress/t/t_trace_open_wrong_order_bad.pl diff --git a/test_regress/t/t_trace_open_wrong_order.v b/test_regress/t/t_trace_open_wrong_order_bad.v similarity index 100% rename from test_regress/t/t_trace_open_wrong_order.v rename to test_regress/t/t_trace_open_wrong_order_bad.v