From 0162e15b6e28d81cefc573b79ec048d369b6d2ca Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Sun, 11 May 2025 08:24:11 -0400 Subject: [PATCH] Tests: Rename property tests --- .../t/t_assert_property_pexpr_unsup.out | 113 ------------------ .../t/t_assert_recursive_property_unsup.out | 6 - .../t/{t_assert_property.py => t_property.py} | 0 .../t/{t_assert_property.v => t_property.v} | 0 ...roperty_fail_1.py => t_property_fail_1.py} | 2 +- ...fail_2_bad.py => t_property_fail_2_bad.py} | 2 +- ..._named_property.py => t_property_named.py} | 0 ...rt_named_property.v => t_property_named.v} | 0 ...perty_untyped.py => t_property_negated.py} | 0 ...egated_property.v => t_property_negated.v} | 0 test_regress/t/t_property_pexpr_unsup.out | 113 ++++++++++++++++++ ...xpr_unsup.py => t_property_pexpr_unsup.py} | 0 ...pexpr_unsup.v => t_property_pexpr_unsup.v} | 0 test_regress/t/t_property_recursive_unsup.out | 6 + ...unsup.py => t_property_recursive_unsup.py} | 0 ...y_unsup.v => t_property_recursive_unsup.v} | 0 ...ated_property.py => t_property_untyped.py} | 0 ...roperty_untyped.v => t_property_untyped.v} | 0 ...unsup.out => t_property_untyped_unsup.out} | 2 +- ...y_unsup.py => t_property_untyped_unsup.py} | 0 ...ped_unsup.v => t_property_untyped_unsup.v} | 0 ...var_unsup.out => t_property_var_unsup.out} | 8 +- ...y_var_unsup.py => t_property_var_unsup.py} | 0 ...rty_var_unsup.v => t_property_var_unsup.v} | 0 ...cked_port.py => t_public_unpacked_port.py} | 0 ...packed_port.v => t_public_unpacked_port.v} | 0 26 files changed, 126 insertions(+), 126 deletions(-) delete mode 100644 test_regress/t/t_assert_property_pexpr_unsup.out delete mode 100644 test_regress/t/t_assert_recursive_property_unsup.out rename test_regress/t/{t_assert_property.py => t_property.py} (100%) rename test_regress/t/{t_assert_property.v => t_property.v} (100%) rename test_regress/t/{t_assert_property_fail_1.py => t_property_fail_1.py} (93%) rename test_regress/t/{t_assert_property_fail_2_bad.py => t_property_fail_2_bad.py} (93%) rename test_regress/t/{t_assert_named_property.py => t_property_named.py} (100%) rename test_regress/t/{t_assert_named_property.v => t_property_named.v} (100%) rename test_regress/t/{t_assert_property_untyped.py => t_property_negated.py} (100%) rename test_regress/t/{t_negated_property.v => t_property_negated.v} (100%) create mode 100644 test_regress/t/t_property_pexpr_unsup.out rename test_regress/t/{t_assert_property_pexpr_unsup.py => t_property_pexpr_unsup.py} (100%) rename test_regress/t/{t_assert_property_pexpr_unsup.v => t_property_pexpr_unsup.v} (100%) create mode 100644 test_regress/t/t_property_recursive_unsup.out rename test_regress/t/{t_assert_property_untyped_unsup.py => t_property_recursive_unsup.py} (100%) rename test_regress/t/{t_assert_recursive_property_unsup.v => t_property_recursive_unsup.v} (100%) rename test_regress/t/{t_negated_property.py => t_property_untyped.py} (100%) rename test_regress/t/{t_assert_property_untyped.v => t_property_untyped.v} (100%) rename test_regress/t/{t_assert_property_untyped_unsup.out => t_property_untyped_unsup.out} (71%) rename test_regress/t/{t_assert_recursive_property_unsup.py => t_property_untyped_unsup.py} (100%) rename test_regress/t/{t_assert_property_untyped_unsup.v => t_property_untyped_unsup.v} (100%) rename test_regress/t/{t_assert_property_var_unsup.out => t_property_var_unsup.out} (54%) rename test_regress/t/{t_assert_property_var_unsup.py => t_property_var_unsup.py} (100%) rename test_regress/t/{t_assert_property_var_unsup.v => t_property_var_unsup.v} (100%) rename test_regress/t/{t_pub_unpacked_port.py => t_public_unpacked_port.py} (100%) rename test_regress/t/{t_pub_unpacked_port.v => t_public_unpacked_port.v} (100%) diff --git a/test_regress/t/t_assert_property_pexpr_unsup.out b/test_regress/t/t_assert_property_pexpr_unsup.out deleted file mode 100644 index 882db1882..000000000 --- a/test_regress/t/t_assert_property_pexpr_unsup.out +++ /dev/null @@ -1,113 +0,0 @@ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:25:13: Unsupported: strong (in property expression) - 25 | strong(a); - | ^ - ... For error description see https://verilator.org/warn/UNSUPPORTED?v=latest -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:29:11: Unsupported: weak (in property expression) - 29 | weak(a); - | ^ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:33:9: Unsupported: until (in property expression) - 33 | a until b; - | ^~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:37:9: Unsupported: s_until (in property expression) - 37 | a s_until b; - | ^~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:41:9: Unsupported: until_with (in property expression) - 41 | a until_with b; - | ^~~~~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:45:9: Unsupported: s_until_with (in property expression) - 45 | a s_until_with b; - | ^~~~~~~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:49:9: Unsupported: implies (in property expression) - 49 | a implies b; - | ^~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:53:9: Unsupported: #-# (in property expression) - 53 | a #-# b; - | ^~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:57:9: Unsupported: #=# (in property expression) - 57 | a #=# b; - | ^~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:61:7: Unsupported: nexttime (in property expression) - 61 | nexttime a; - | ^~~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:65:7: Unsupported: nexttime[] (in property expression) - 65 | nexttime [2] a; - | ^~~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:69:7: Unsupported: s_nexttime (in property expression) - 69 | s_nexttime a; - | ^~~~~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:73:7: Unsupported: s_nexttime[] (in property expression) - 73 | s_nexttime [2] a; - | ^~~~~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:77:16: Unsupported: always (in property expression) - 77 | nexttime always a; - | ^~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:77:7: Unsupported: nexttime (in property expression) - 77 | nexttime always a; - | ^~~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:81:20: Unsupported: always (in property expression) - 81 | nexttime [2] always a; - | ^~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:81:7: Unsupported: nexttime[] (in property expression) - 81 | nexttime [2] always a; - | ^~~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:85:16: Unsupported: eventually (in property expression) - 85 | nexttime eventually a; - | ^~~~~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:85:7: Unsupported: nexttime (in property expression) - 85 | nexttime eventually a; - | ^~~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:89:20: Unsupported: always (in property expression) - 89 | nexttime [2] always a; - | ^~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:89:7: Unsupported: nexttime[] (in property expression) - 89 | nexttime [2] always a; - | ^~~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:93:16: Unsupported: s_eventually (in property expression) - 93 | nexttime s_eventually a; - | ^~~~~~~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:93:7: Unsupported: nexttime (in property expression) - 93 | nexttime s_eventually a; - | ^~~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:97:35: Unsupported: always (in property expression) - 97 | nexttime s_eventually [2:$] always a; - | ^~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:97:16: Unsupported: s_eventually[] (in property expression) - 97 | nexttime s_eventually [2:$] always a; - | ^~~~~~~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:97:7: Unsupported: nexttime (in property expression) - 97 | nexttime s_eventually [2:$] always a; - | ^~~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:101:17: Unsupported: accept_on (in property expression) - 101 | accept_on (a) b; - | ^ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:105:22: Unsupported: sync_accept_on (in property expression) - 105 | sync_accept_on (a) b; - | ^ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:109:17: Unsupported: reject_on (in property expression) - 109 | reject_on (a) b; - | ^ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:113:22: Unsupported: sync_reject_on (in property expression) - 113 | sync_reject_on (a) b; - | ^ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:117:9: Unsupported: iff (in property expression) - 117 | a iff b; - | ^~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:120:27: Unsupported: property argument data type - 120 | property p_arg_propery(property inprop); - | ^~~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:123:27: Unsupported: sequence argument data type - 123 | property p_arg_seqence(sequence inseq); - | ^~~~~~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:128:7: Unsupported: property case expression - 128 | case (a) endcase - | ^~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:131:7: Unsupported: property case expression - 131 | case (a) default: b; endcase - | ^~~~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:134:7: Unsupported: property case expression - 134 | if (a) b - | ^~ -%Error-UNSUPPORTED: t/t_assert_property_pexpr_unsup.v:137:7: Unsupported: property case expression - 137 | if (a) b else c - | ^~ -%Error: Exiting due to diff --git a/test_regress/t/t_assert_recursive_property_unsup.out b/test_regress/t/t_assert_recursive_property_unsup.out deleted file mode 100644 index 6176205df..000000000 --- a/test_regress/t/t_assert_recursive_property_unsup.out +++ /dev/null @@ -1,6 +0,0 @@ -%Error-UNSUPPORTED: t/t_assert_recursive_property_unsup.v:20:13: Unsupported: Recursive property call: 'check' - : ... note: In instance 't' - 20 | property check(int n); - | ^~~~~ - ... For error description see https://verilator.org/warn/UNSUPPORTED?v=latest -%Error: Exiting due to diff --git a/test_regress/t/t_assert_property.py b/test_regress/t/t_property.py similarity index 100% rename from test_regress/t/t_assert_property.py rename to test_regress/t/t_property.py diff --git a/test_regress/t/t_assert_property.v b/test_regress/t/t_property.v similarity index 100% rename from test_regress/t/t_assert_property.v rename to test_regress/t/t_property.v diff --git a/test_regress/t/t_assert_property_fail_1.py b/test_regress/t/t_property_fail_1.py similarity index 93% rename from test_regress/t/t_assert_property_fail_1.py rename to test_regress/t/t_property_fail_1.py index 64539f556..4caf548d3 100755 --- a/test_regress/t/t_assert_property_fail_1.py +++ b/test_regress/t/t_property_fail_1.py @@ -10,7 +10,7 @@ import vltest_bootstrap test.scenarios('simulator') -test.top_filename = "t/t_assert_property.v" +test.top_filename = "t/t_property.v" test.compile(v_flags2=['+define+FAIL_ASSERT_1'], verilator_flags2=['--assert --cc']) diff --git a/test_regress/t/t_assert_property_fail_2_bad.py b/test_regress/t/t_property_fail_2_bad.py similarity index 93% rename from test_regress/t/t_assert_property_fail_2_bad.py rename to test_regress/t/t_property_fail_2_bad.py index f89198139..b0a2ba504 100755 --- a/test_regress/t/t_assert_property_fail_2_bad.py +++ b/test_regress/t/t_property_fail_2_bad.py @@ -10,7 +10,7 @@ import vltest_bootstrap test.scenarios('simulator') -test.top_filename = "t/t_assert_property.v" +test.top_filename = "t/t_property.v" test.compile(v_flags2=['+define+FAIL_ASSERT_2'], verilator_flags2=['--assert --cc']) diff --git a/test_regress/t/t_assert_named_property.py b/test_regress/t/t_property_named.py similarity index 100% rename from test_regress/t/t_assert_named_property.py rename to test_regress/t/t_property_named.py diff --git a/test_regress/t/t_assert_named_property.v b/test_regress/t/t_property_named.v similarity index 100% rename from test_regress/t/t_assert_named_property.v rename to test_regress/t/t_property_named.v diff --git a/test_regress/t/t_assert_property_untyped.py b/test_regress/t/t_property_negated.py similarity index 100% rename from test_regress/t/t_assert_property_untyped.py rename to test_regress/t/t_property_negated.py diff --git a/test_regress/t/t_negated_property.v b/test_regress/t/t_property_negated.v similarity index 100% rename from test_regress/t/t_negated_property.v rename to test_regress/t/t_property_negated.v diff --git a/test_regress/t/t_property_pexpr_unsup.out b/test_regress/t/t_property_pexpr_unsup.out new file mode 100644 index 000000000..52541ca1f --- /dev/null +++ b/test_regress/t/t_property_pexpr_unsup.out @@ -0,0 +1,113 @@ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:25:13: Unsupported: strong (in property expression) + 25 | strong(a); + | ^ + ... For error description see https://verilator.org/warn/UNSUPPORTED?v=latest +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:29:11: Unsupported: weak (in property expression) + 29 | weak(a); + | ^ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:33:9: Unsupported: until (in property expression) + 33 | a until b; + | ^~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:37:9: Unsupported: s_until (in property expression) + 37 | a s_until b; + | ^~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:41:9: Unsupported: until_with (in property expression) + 41 | a until_with b; + | ^~~~~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:45:9: Unsupported: s_until_with (in property expression) + 45 | a s_until_with b; + | ^~~~~~~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:49:9: Unsupported: implies (in property expression) + 49 | a implies b; + | ^~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:53:9: Unsupported: #-# (in property expression) + 53 | a #-# b; + | ^~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:57:9: Unsupported: #=# (in property expression) + 57 | a #=# b; + | ^~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:61:7: Unsupported: nexttime (in property expression) + 61 | nexttime a; + | ^~~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:65:7: Unsupported: nexttime[] (in property expression) + 65 | nexttime [2] a; + | ^~~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:69:7: Unsupported: s_nexttime (in property expression) + 69 | s_nexttime a; + | ^~~~~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:73:7: Unsupported: s_nexttime[] (in property expression) + 73 | s_nexttime [2] a; + | ^~~~~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:77:16: Unsupported: always (in property expression) + 77 | nexttime always a; + | ^~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:77:7: Unsupported: nexttime (in property expression) + 77 | nexttime always a; + | ^~~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:81:20: Unsupported: always (in property expression) + 81 | nexttime [2] always a; + | ^~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:81:7: Unsupported: nexttime[] (in property expression) + 81 | nexttime [2] always a; + | ^~~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:85:16: Unsupported: eventually (in property expression) + 85 | nexttime eventually a; + | ^~~~~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:85:7: Unsupported: nexttime (in property expression) + 85 | nexttime eventually a; + | ^~~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:89:20: Unsupported: always (in property expression) + 89 | nexttime [2] always a; + | ^~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:89:7: Unsupported: nexttime[] (in property expression) + 89 | nexttime [2] always a; + | ^~~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:93:16: Unsupported: s_eventually (in property expression) + 93 | nexttime s_eventually a; + | ^~~~~~~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:93:7: Unsupported: nexttime (in property expression) + 93 | nexttime s_eventually a; + | ^~~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:97:35: Unsupported: always (in property expression) + 97 | nexttime s_eventually [2:$] always a; + | ^~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:97:16: Unsupported: s_eventually[] (in property expression) + 97 | nexttime s_eventually [2:$] always a; + | ^~~~~~~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:97:7: Unsupported: nexttime (in property expression) + 97 | nexttime s_eventually [2:$] always a; + | ^~~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:101:17: Unsupported: accept_on (in property expression) + 101 | accept_on (a) b; + | ^ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:105:22: Unsupported: sync_accept_on (in property expression) + 105 | sync_accept_on (a) b; + | ^ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:109:17: Unsupported: reject_on (in property expression) + 109 | reject_on (a) b; + | ^ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:113:22: Unsupported: sync_reject_on (in property expression) + 113 | sync_reject_on (a) b; + | ^ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:117:9: Unsupported: iff (in property expression) + 117 | a iff b; + | ^~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:120:27: Unsupported: property argument data type + 120 | property p_arg_propery(property inprop); + | ^~~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:123:27: Unsupported: sequence argument data type + 123 | property p_arg_seqence(sequence inseq); + | ^~~~~~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:128:7: Unsupported: property case expression + 128 | case (a) endcase + | ^~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:131:7: Unsupported: property case expression + 131 | case (a) default: b; endcase + | ^~~~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:134:7: Unsupported: property case expression + 134 | if (a) b + | ^~ +%Error-UNSUPPORTED: t/t_property_pexpr_unsup.v:137:7: Unsupported: property case expression + 137 | if (a) b else c + | ^~ +%Error: Exiting due to diff --git a/test_regress/t/t_assert_property_pexpr_unsup.py b/test_regress/t/t_property_pexpr_unsup.py similarity index 100% rename from test_regress/t/t_assert_property_pexpr_unsup.py rename to test_regress/t/t_property_pexpr_unsup.py diff --git a/test_regress/t/t_assert_property_pexpr_unsup.v b/test_regress/t/t_property_pexpr_unsup.v similarity index 100% rename from test_regress/t/t_assert_property_pexpr_unsup.v rename to test_regress/t/t_property_pexpr_unsup.v diff --git a/test_regress/t/t_property_recursive_unsup.out b/test_regress/t/t_property_recursive_unsup.out new file mode 100644 index 000000000..a55a38ac1 --- /dev/null +++ b/test_regress/t/t_property_recursive_unsup.out @@ -0,0 +1,6 @@ +%Error-UNSUPPORTED: t/t_property_recursive_unsup.v:20:13: Unsupported: Recursive property call: 'check' + : ... note: In instance 't' + 20 | property check(int n); + | ^~~~~ + ... For error description see https://verilator.org/warn/UNSUPPORTED?v=latest +%Error: Exiting due to diff --git a/test_regress/t/t_assert_property_untyped_unsup.py b/test_regress/t/t_property_recursive_unsup.py similarity index 100% rename from test_regress/t/t_assert_property_untyped_unsup.py rename to test_regress/t/t_property_recursive_unsup.py diff --git a/test_regress/t/t_assert_recursive_property_unsup.v b/test_regress/t/t_property_recursive_unsup.v similarity index 100% rename from test_regress/t/t_assert_recursive_property_unsup.v rename to test_regress/t/t_property_recursive_unsup.v diff --git a/test_regress/t/t_negated_property.py b/test_regress/t/t_property_untyped.py similarity index 100% rename from test_regress/t/t_negated_property.py rename to test_regress/t/t_property_untyped.py diff --git a/test_regress/t/t_assert_property_untyped.v b/test_regress/t/t_property_untyped.v similarity index 100% rename from test_regress/t/t_assert_property_untyped.v rename to test_regress/t/t_property_untyped.v diff --git a/test_regress/t/t_assert_property_untyped_unsup.out b/test_regress/t/t_property_untyped_unsup.out similarity index 71% rename from test_regress/t/t_assert_property_untyped_unsup.out rename to test_regress/t/t_property_untyped_unsup.out index e9985890c..5b663f222 100644 --- a/test_regress/t/t_assert_property_untyped_unsup.out +++ b/test_regress/t/t_property_untyped_unsup.out @@ -1,4 +1,4 @@ -%Error-UNSUPPORTED: t/t_assert_property_untyped_unsup.v:20:52: Untyped property port following a typed port +%Error-UNSUPPORTED: t/t_property_untyped_unsup.v:20:52: Untyped property port following a typed port 20 | property check(cyc_mod_2, logic [4:0] expected, arg3, untyped arg4, arg5); | ^~~~ ... For error description see https://verilator.org/warn/UNSUPPORTED?v=latest diff --git a/test_regress/t/t_assert_recursive_property_unsup.py b/test_regress/t/t_property_untyped_unsup.py similarity index 100% rename from test_regress/t/t_assert_recursive_property_unsup.py rename to test_regress/t/t_property_untyped_unsup.py diff --git a/test_regress/t/t_assert_property_untyped_unsup.v b/test_regress/t/t_property_untyped_unsup.v similarity index 100% rename from test_regress/t/t_assert_property_untyped_unsup.v rename to test_regress/t/t_property_untyped_unsup.v diff --git a/test_regress/t/t_assert_property_var_unsup.out b/test_regress/t/t_property_var_unsup.out similarity index 54% rename from test_regress/t/t_assert_property_var_unsup.out rename to test_regress/t/t_property_var_unsup.out index 8e61022c7..fb7fb50db 100644 --- a/test_regress/t/t_assert_property_var_unsup.out +++ b/test_regress/t/t_property_var_unsup.out @@ -1,15 +1,15 @@ -%Error-UNSUPPORTED: t/t_assert_property_var_unsup.v:17:11: Unsupported: property variable declaration +%Error-UNSUPPORTED: t/t_property_var_unsup.v:17:11: Unsupported: property variable declaration 17 | int prevcyc; | ^~~~~~~ ... For error description see https://verilator.org/warn/UNSUPPORTED?v=latest -%Error: t/t_assert_property_var_unsup.v:18:7: syntax error, unexpected '(', expecting endproperty +%Error: t/t_property_var_unsup.v:18:7: syntax error, unexpected '(', expecting endproperty 18 | (valid, prevcyc = cyc) |=> (cyc == prevcyc + 1); | ^ ... See the manual at https://verilator.org/verilator_doc.html?v=latest for more assistance. -%Error-UNSUPPORTED: t/t_assert_property_var_unsup.v:24:31: Unsupported: property variable default value +%Error-UNSUPPORTED: t/t_property_var_unsup.v:24:31: Unsupported: property variable default value 24 | property with_def(int nine = 9); | ^ -%Error: Internal Error: t/t_assert_property_var_unsup.v:7:8: ../V3ParseSym.h:#: Symbols suggest ending PROPERTY 'prop' but parser thinks ending MODULE 't' +%Error: Internal Error: t/t_property_var_unsup.v:7:8: ../V3ParseSym.h:#: Symbols suggest ending PROPERTY 'prop' but parser thinks ending MODULE 't' 7 | module t ( | ^ ... This fatal error may be caused by the earlier error(s); resolve those first. diff --git a/test_regress/t/t_assert_property_var_unsup.py b/test_regress/t/t_property_var_unsup.py similarity index 100% rename from test_regress/t/t_assert_property_var_unsup.py rename to test_regress/t/t_property_var_unsup.py diff --git a/test_regress/t/t_assert_property_var_unsup.v b/test_regress/t/t_property_var_unsup.v similarity index 100% rename from test_regress/t/t_assert_property_var_unsup.v rename to test_regress/t/t_property_var_unsup.v diff --git a/test_regress/t/t_pub_unpacked_port.py b/test_regress/t/t_public_unpacked_port.py similarity index 100% rename from test_regress/t/t_pub_unpacked_port.py rename to test_regress/t/t_public_unpacked_port.py diff --git a/test_regress/t/t_pub_unpacked_port.v b/test_regress/t/t_public_unpacked_port.v similarity index 100% rename from test_regress/t/t_pub_unpacked_port.v rename to test_regress/t/t_public_unpacked_port.v