2012-04-13 03:08:20 +02:00
|
|
|
// -*- mode: C++; c-file-style: "cc-mode" -*-
|
2006-08-26 13:35:28 +02:00
|
|
|
//*************************************************************************
|
|
|
|
|
// DESCRIPTION: Verilator: Emit C++ for tree
|
|
|
|
|
//
|
2019-11-08 04:33:59 +01:00
|
|
|
// Code available from: https://verilator.org
|
2006-08-26 13:35:28 +02:00
|
|
|
//
|
|
|
|
|
//*************************************************************************
|
|
|
|
|
//
|
2020-03-21 16:24:24 +01:00
|
|
|
// Copyright 2003-2020 by Wilson Snyder. This program is free software; you
|
|
|
|
|
// can redistribute it and/or modify it under the terms of either the GNU
|
2009-05-04 23:07:57 +02:00
|
|
|
// Lesser General Public License Version 3 or the Perl Artistic License
|
|
|
|
|
// Version 2.0.
|
2020-03-21 16:24:24 +01:00
|
|
|
// SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
|
2006-08-26 13:35:28 +02:00
|
|
|
//
|
|
|
|
|
//*************************************************************************
|
2019-10-05 02:17:11 +02:00
|
|
|
|
2006-12-18 20:20:45 +01:00
|
|
|
#include "config_build.h"
|
|
|
|
|
#include "verilatedos.h"
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
#include "V3Global.h"
|
2012-08-27 03:13:47 +02:00
|
|
|
#include "V3String.h"
|
2006-08-26 13:35:28 +02:00
|
|
|
#include "V3EmitC.h"
|
|
|
|
|
#include "V3EmitCBase.h"
|
2014-04-10 02:29:35 +02:00
|
|
|
#include "V3Number.h"
|
2018-07-23 02:54:28 +02:00
|
|
|
#include "V3PartitionGraph.h"
|
|
|
|
|
#include "V3TSP.h"
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2018-10-14 19:43:24 +02:00
|
|
|
#include <algorithm>
|
|
|
|
|
#include <map>
|
|
|
|
|
#include <vector>
|
2020-08-15 16:03:34 +02:00
|
|
|
#include <unordered_set>
|
2018-10-14 19:43:24 +02:00
|
|
|
|
2019-05-19 22:13:13 +02:00
|
|
|
#define VL_VALUE_STRING_MAX_WIDTH 8192 // We use a static char array in VL_VALUE_STRING
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2019-05-19 22:13:13 +02:00
|
|
|
#define EMITC_NUM_CONSTW 8 // Number of VL_CONST_W_*X's in verilated.h (IE VL_CONST_W_8X is last)
|
2017-09-19 03:36:18 +02:00
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
//######################################################################
|
|
|
|
|
// Emit statements and math operators
|
|
|
|
|
|
|
|
|
|
class EmitCStmts : public EmitCBaseVisitor {
|
|
|
|
|
private:
|
2018-02-02 03:32:58 +01:00
|
|
|
typedef std::vector<const AstVar*> VarVec;
|
2018-06-16 13:45:30 +02:00
|
|
|
typedef std::map<int, VarVec> VarSortMap; // Map size class to VarVec
|
2018-02-02 03:32:58 +01:00
|
|
|
|
2020-04-15 13:58:34 +02:00
|
|
|
bool m_suppressSemi;
|
|
|
|
|
AstVarRef* m_wideTempRefp; // Variable that _WW macros should be setting
|
|
|
|
|
VarVec m_ctorVarsVec; // All variables in constructor order
|
|
|
|
|
int m_labelNum; // Next label number
|
|
|
|
|
int m_splitSize; // # of cfunc nodes placed into output file
|
|
|
|
|
int m_splitFilenum; // File number being created, 0 = primary
|
2009-01-21 22:56:50 +01:00
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
public:
|
2009-01-21 22:56:50 +01:00
|
|
|
// METHODS
|
2018-05-14 12:50:47 +02:00
|
|
|
VL_DEBUG_FUNC; // Declare debug()
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2008-11-17 23:13:57 +01:00
|
|
|
// ACCESSORS
|
2019-05-19 22:13:13 +02:00
|
|
|
int splitFilenum() const { return m_splitFilenum; }
|
2020-04-15 13:58:34 +02:00
|
|
|
int splitFilenumInc() {
|
|
|
|
|
m_splitSize = 0;
|
|
|
|
|
return ++m_splitFilenum;
|
|
|
|
|
}
|
2011-08-05 03:58:45 +02:00
|
|
|
int splitSize() const { return m_splitSize; }
|
2013-09-04 01:35:32 +02:00
|
|
|
void splitSizeInc(int count) { m_splitSize += count; }
|
|
|
|
|
void splitSizeInc(AstNode* nodep) { splitSizeInc(EmitCBaseCounterVisitor(nodep).count()); }
|
2020-04-15 13:58:34 +02:00
|
|
|
bool splitNeeded() {
|
|
|
|
|
return (splitSize() && v3Global.opt.outputSplit()
|
|
|
|
|
&& v3Global.opt.outputSplit() < splitSize());
|
|
|
|
|
}
|
2008-11-17 23:13:57 +01:00
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
// METHODS
|
2020-04-15 13:58:34 +02:00
|
|
|
void displayNode(AstNode* nodep, AstScopeName* scopenamep, const string& vformat,
|
|
|
|
|
AstNode* exprsp, bool isScan);
|
2008-07-01 20:15:10 +02:00
|
|
|
void displayEmit(AstNode* nodep, bool isScan);
|
2020-05-12 04:13:59 +02:00
|
|
|
void displayArg(AstNode* dispp, AstNode** elistp, bool isScan, const string& vfmt, bool ignore,
|
2020-04-15 13:58:34 +02:00
|
|
|
char fmtLetter);
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2018-02-02 03:32:58 +01:00
|
|
|
void emitVarDecl(const AstVar* nodep, const string& prefixIfImp);
|
2020-04-15 13:58:34 +02:00
|
|
|
typedef enum {
|
|
|
|
|
EVL_CLASS_IO,
|
|
|
|
|
EVL_CLASS_SIG,
|
|
|
|
|
EVL_CLASS_TEMP,
|
|
|
|
|
EVL_CLASS_PAR,
|
|
|
|
|
EVL_CLASS_ALL,
|
|
|
|
|
EVL_FUNC_ALL
|
|
|
|
|
} EisWhich;
|
2020-02-02 02:11:21 +01:00
|
|
|
void emitVarList(AstNode* firstp, EisWhich which, const string& prefixIfImp, string& sectionr);
|
2018-06-16 13:45:30 +02:00
|
|
|
static void emitVarSort(const VarSortMap& vmap, VarVec* sortedp);
|
2020-04-15 13:58:34 +02:00
|
|
|
void emitSortedVarList(const VarVec& anons, const VarVec& nonanons, const string& prefixIfImp);
|
2018-05-30 01:49:27 +02:00
|
|
|
void emitVarCtors(bool* firstp);
|
|
|
|
|
void emitCtorSep(bool* firstp);
|
2006-08-26 13:35:28 +02:00
|
|
|
bool emitSimpleOk(AstNodeMath* nodep);
|
|
|
|
|
void emitIQW(AstNode* nodep) {
|
2017-12-09 20:44:55 +01:00
|
|
|
// Other abbrevs: "C"har, "S"hort, "F"loat, "D"ouble, stri"N"g
|
2018-08-25 15:52:45 +02:00
|
|
|
puts(nodep->dtypep()->charIQWN());
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2009-03-14 03:58:55 +01:00
|
|
|
void emitScIQW(AstVar* nodep) {
|
2019-07-06 18:57:50 +02:00
|
|
|
UASSERT_OBJ(nodep->isSc(), nodep, "emitting SystemC operator on non-SC variable");
|
2020-04-15 13:58:34 +02:00
|
|
|
// clang-format off
|
2018-08-25 15:52:45 +02:00
|
|
|
puts(nodep->isScBigUint() ? "SB"
|
|
|
|
|
: nodep->isScUint() ? "SU"
|
|
|
|
|
: nodep->isScBv() ? "SW"
|
|
|
|
|
: (nodep->isScQuad() ? "SQ" : "SI"));
|
2020-04-15 13:58:34 +02:00
|
|
|
// clang-format on
|
2009-03-14 03:58:55 +01:00
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
void emitOpName(AstNode* nodep, const string& format, AstNode* lhsp, AstNode* rhsp,
|
|
|
|
|
AstNode* thsp);
|
2018-02-02 03:32:58 +01:00
|
|
|
void emitDeclArrayBrackets(const AstVar* nodep) {
|
2019-05-19 22:13:13 +02:00
|
|
|
// This isn't very robust and may need cleanup for other data types
|
|
|
|
|
for (const AstUnpackArrayDType* arrayp
|
2020-04-15 13:58:34 +02:00
|
|
|
= VN_CAST_CONST(nodep->dtypeSkipRefp(), UnpackArrayDType);
|
|
|
|
|
arrayp; arrayp = VN_CAST_CONST(arrayp->subDTypep()->skipRefp(), UnpackArrayDType)) {
|
|
|
|
|
puts("[" + cvtToStr(arrayp->elementsConst()) + "]");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2013-05-19 02:17:17 +02:00
|
|
|
}
|
2018-07-23 02:54:28 +02:00
|
|
|
void emitVarCmtChg(const AstVar* varp, string* curVarCmtp) {
|
|
|
|
|
string newVarCmt = varp->mtasksString();
|
|
|
|
|
if (*curVarCmtp != newVarCmt) {
|
|
|
|
|
*curVarCmtp = newVarCmt;
|
2020-04-15 13:58:34 +02:00
|
|
|
if (v3Global.opt.threads()) puts("// Begin mtask footprint " + *curVarCmtp + "\n");
|
2018-07-23 02:54:28 +02:00
|
|
|
}
|
|
|
|
|
}
|
2014-11-07 13:50:11 +01:00
|
|
|
void emitTypedefs(AstNode* firstp) {
|
2019-05-19 22:13:13 +02:00
|
|
|
bool first = true;
|
2020-04-15 13:58:34 +02:00
|
|
|
for (AstNode* loopp = firstp; loopp; loopp = loopp->nextp()) {
|
2018-02-02 03:32:58 +01:00
|
|
|
if (const AstTypedef* nodep = VN_CAST(loopp, Typedef)) {
|
2019-05-19 22:13:13 +02:00
|
|
|
if (nodep->attrPublic()) {
|
|
|
|
|
if (first) {
|
|
|
|
|
first = false;
|
|
|
|
|
puts("\n// TYPEDEFS\n");
|
|
|
|
|
puts("// That were declared public\n");
|
|
|
|
|
} else {
|
|
|
|
|
puts("\n");
|
|
|
|
|
}
|
|
|
|
|
if (const AstEnumDType* adtypep
|
|
|
|
|
= VN_CAST(nodep->dtypep()->skipRefToEnump(), EnumDType)) {
|
2020-04-15 13:58:34 +02:00
|
|
|
if (adtypep->width() > 64) {
|
|
|
|
|
putsDecoration("// enum " + nodep->nameProtect()
|
|
|
|
|
+ " // Ignored: Too wide for C++\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else {
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("enum " + nodep->name() + " {\n");
|
|
|
|
|
for (AstEnumItem* itemp = adtypep->itemsp(); itemp;
|
|
|
|
|
itemp = VN_CAST(itemp->nextp(), EnumItem)) {
|
2019-10-06 19:24:21 +02:00
|
|
|
puts(itemp->nameProtect());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(" = ");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(itemp->valuep());
|
2018-02-02 03:32:58 +01:00
|
|
|
if (VN_IS(itemp->nextp(), EnumItem)) puts(",");
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("\n");
|
|
|
|
|
}
|
|
|
|
|
puts("};\n");
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
}
|
2014-11-07 13:50:11 +01:00
|
|
|
}
|
|
|
|
|
|
2020-06-16 13:07:59 +02:00
|
|
|
void emitParams(AstNodeModule* modp, bool init, bool* firstp, string& sectionr) {
|
|
|
|
|
for (AstNode* nodep = modp->stmtsp(); nodep; nodep = nodep->nextp()) {
|
|
|
|
|
if (const AstVar* varp = VN_CAST(nodep, Var)) {
|
|
|
|
|
if (varp->isParam() && (varp->isUsedParam() || varp->isSigPublic())) {
|
|
|
|
|
if (!init && sectionr != "") {
|
|
|
|
|
puts(sectionr);
|
|
|
|
|
sectionr = "";
|
|
|
|
|
}
|
|
|
|
|
UASSERT_OBJ(varp->valuep(), nodep, "No init for a param?");
|
|
|
|
|
// These should be static const values, however microsloth VC++ doesn't
|
|
|
|
|
// support them. They also cause problems with GDB under GCC2.95.
|
|
|
|
|
if (varp->isWide()) { // Unsupported for output
|
|
|
|
|
if (!init) {
|
|
|
|
|
putsDecoration("// enum WData " + varp->nameProtect() + " //wide");
|
|
|
|
|
}
|
|
|
|
|
} else if (varp->isString()) {
|
|
|
|
|
if (init) {
|
|
|
|
|
emitCtorSep(firstp);
|
|
|
|
|
puts(protect("var_" + varp->name()) + " (");
|
|
|
|
|
iterateAndNextNull(varp->valuep());
|
|
|
|
|
puts(")");
|
|
|
|
|
} else {
|
|
|
|
|
puts("const std::string " + protect("var_" + varp->name()) + ";\n");
|
|
|
|
|
}
|
|
|
|
|
} else if (!VN_IS(varp->valuep(), Const)) { // Unsupported for output
|
|
|
|
|
// putsDecoration("// enum ..... "+varp->nameProtect()
|
|
|
|
|
// +"not simple value, see variable above instead");
|
|
|
|
|
} else if (VN_IS(varp->dtypep(), BasicDType)
|
|
|
|
|
&& VN_CAST(varp->dtypep(), BasicDType)
|
|
|
|
|
->isOpaque()) { // Can't put out e.g. doubles
|
|
|
|
|
} else {
|
|
|
|
|
if (init) {
|
|
|
|
|
emitCtorSep(firstp);
|
|
|
|
|
puts(protect("var_" + varp->name()) + " (");
|
|
|
|
|
iterateAndNextNull(varp->valuep());
|
|
|
|
|
puts(")");
|
|
|
|
|
} else {
|
|
|
|
|
// enum
|
|
|
|
|
puts(varp->isQuad() ? "enum _QData" : "enum _IData");
|
|
|
|
|
puts("" + varp->nameProtect() + " { " + varp->nameProtect() + " = ");
|
|
|
|
|
iterateAndNextNull(varp->valuep());
|
|
|
|
|
puts("};\n");
|
|
|
|
|
// var
|
|
|
|
|
puts(varp->isQuad() ? "const QData " : "const IData ");
|
|
|
|
|
puts(protect("var_" + varp->name()) + ";\n");
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2020-01-20 20:07:03 +01:00
|
|
|
struct CmpName {
|
|
|
|
|
inline bool operator()(const AstNode* lhsp, const AstNode* rhsp) const {
|
|
|
|
|
return lhsp->name() < rhsp->name();
|
|
|
|
|
}
|
|
|
|
|
};
|
2020-02-01 16:57:55 +01:00
|
|
|
void emitIntFuncDecls(AstNodeModule* modp, bool methodFuncs) {
|
2020-01-20 20:07:03 +01:00
|
|
|
typedef std::vector<const AstCFunc*> FuncVec;
|
|
|
|
|
FuncVec funcsp;
|
|
|
|
|
|
|
|
|
|
for (AstNode* nodep = modp->stmtsp(); nodep; nodep = nodep->nextp()) {
|
|
|
|
|
if (const AstCFunc* funcp = VN_CAST(nodep, CFunc)) {
|
2020-04-15 13:58:34 +02:00
|
|
|
if (!funcp->skipDecl() && funcp->isMethod() == methodFuncs
|
2020-02-01 16:57:55 +01:00
|
|
|
&& !funcp->dpiImport()) { // DPI is prototyped in __Dpi.h
|
|
|
|
|
funcsp.push_back(funcp);
|
|
|
|
|
}
|
2020-01-20 20:07:03 +01:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
stable_sort(funcsp.begin(), funcsp.end(), CmpName());
|
|
|
|
|
|
|
|
|
|
for (FuncVec::iterator it = funcsp.begin(); it != funcsp.end(); ++it) {
|
|
|
|
|
const AstCFunc* funcp = *it;
|
2020-02-01 16:57:55 +01:00
|
|
|
ofp()->putsPrivate(funcp->declPrivate());
|
|
|
|
|
if (!funcp->ifdef().empty()) puts("#ifdef " + funcp->ifdef() + "\n");
|
|
|
|
|
if (funcp->isStatic().trueUnknown()) puts("static ");
|
2020-02-03 03:15:07 +01:00
|
|
|
if (funcp->isVirtual()) puts("virtual ");
|
|
|
|
|
if (!funcp->isConstructor() && !funcp->isDestructor()) {
|
|
|
|
|
puts(funcp->rtnTypeVoid());
|
|
|
|
|
puts(" ");
|
|
|
|
|
}
|
|
|
|
|
puts(funcNameProtect(funcp, modp));
|
2020-02-01 16:57:55 +01:00
|
|
|
puts("(" + cFuncArgs(funcp) + ")");
|
|
|
|
|
if (funcp->isConst().trueKnown()) puts(" const");
|
|
|
|
|
if (funcp->slow()) puts(" VL_ATTR_COLD");
|
|
|
|
|
puts(";\n");
|
|
|
|
|
if (!funcp->ifdef().empty()) puts("#endif // " + funcp->ifdef() + "\n");
|
2020-01-20 20:07:03 +01:00
|
|
|
}
|
|
|
|
|
|
2020-02-01 16:57:55 +01:00
|
|
|
if (methodFuncs && modp->isTop() && v3Global.opt.mtasks()) {
|
2020-01-20 20:07:03 +01:00
|
|
|
// Emit the mtask func prototypes.
|
|
|
|
|
AstExecGraph* execGraphp = v3Global.rootp()->execGraphp();
|
|
|
|
|
UASSERT_OBJ(execGraphp, v3Global.rootp(), "Root should have an execGraphp");
|
|
|
|
|
const V3Graph* depGraphp = execGraphp->depGraphp();
|
|
|
|
|
for (const V3GraphVertex* vxp = depGraphp->verticesBeginp(); vxp;
|
|
|
|
|
vxp = vxp->verticesNextp()) {
|
|
|
|
|
const ExecMTask* mtp = dynamic_cast<const ExecMTask*>(vxp);
|
|
|
|
|
if (mtp->threadRoot()) {
|
|
|
|
|
// Emit function declaration for this mtask
|
|
|
|
|
ofp()->putsPrivate(true);
|
|
|
|
|
puts("static void ");
|
|
|
|
|
puts(protect(mtp->cFuncName()));
|
|
|
|
|
puts("(bool even_cycle, void* symtab);\n");
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
// No AstCFunc for this one, as it's synthetic. Just write it:
|
|
|
|
|
puts("static void __Vmtask__final(bool even_cycle, void* symtab);\n");
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
// VISITORS
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstNodeAssign* nodep) override {
|
2020-04-15 13:58:34 +02:00
|
|
|
bool paren = true;
|
|
|
|
|
bool decind = false;
|
2019-05-19 22:13:13 +02:00
|
|
|
if (AstSel* selp = VN_CAST(nodep->lhsp(), Sel)) {
|
2020-04-15 13:58:34 +02:00
|
|
|
if (selp->widthMin() == 1) {
|
2019-05-19 22:13:13 +02:00
|
|
|
putbs("VL_ASSIGNBIT_");
|
|
|
|
|
emitIQW(selp->fromp());
|
|
|
|
|
if (nodep->rhsp()->isAllOnesV()) {
|
|
|
|
|
puts("O(");
|
|
|
|
|
} else {
|
|
|
|
|
puts("I(");
|
|
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(cvtToStr(nodep->widthMin()) + ",");
|
|
|
|
|
iterateAndNextNull(selp->lsbp());
|
|
|
|
|
puts(", ");
|
|
|
|
|
iterateAndNextNull(selp->fromp());
|
|
|
|
|
puts(", ");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else {
|
|
|
|
|
putbs("VL_ASSIGNSEL_");
|
2018-08-25 15:52:45 +02:00
|
|
|
emitIQW(selp->fromp());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("II");
|
|
|
|
|
emitIQW(nodep->rhsp());
|
|
|
|
|
puts("(");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(cvtToStr(nodep->widthMin()) + ",");
|
|
|
|
|
iterateAndNextNull(selp->lsbp());
|
|
|
|
|
puts(", ");
|
|
|
|
|
iterateAndNextNull(selp->fromp());
|
|
|
|
|
puts(", ");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2020-01-26 22:38:22 +01:00
|
|
|
} else if (AstGetcRefN* selp = VN_CAST(nodep->lhsp(), GetcRefN)) {
|
|
|
|
|
iterateAndNextNull(selp->lhsp());
|
|
|
|
|
puts(" = ");
|
|
|
|
|
putbs("VL_PUTC_N(");
|
|
|
|
|
iterateAndNextNull(selp->lhsp());
|
|
|
|
|
puts(", ");
|
|
|
|
|
iterateAndNextNull(selp->rhsp());
|
|
|
|
|
puts(", ");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else if (AstVar* varp = AstVar::scVarRecurse(nodep->lhsp())) {
|
|
|
|
|
putbs("VL_ASSIGN_"); // Set a systemC variable
|
|
|
|
|
emitScIQW(varp);
|
|
|
|
|
emitIQW(nodep);
|
|
|
|
|
puts("(");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(cvtToStr(nodep->widthMin()) + ",");
|
|
|
|
|
iterateAndNextNull(nodep->lhsp());
|
|
|
|
|
puts(", ");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else if (AstVar* varp = AstVar::scVarRecurse(nodep->rhsp())) {
|
|
|
|
|
putbs("VL_ASSIGN_"); // Get a systemC variable
|
|
|
|
|
emitIQW(nodep);
|
|
|
|
|
emitScIQW(varp);
|
|
|
|
|
puts("(");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(cvtToStr(nodep->widthMin()) + ",");
|
|
|
|
|
iterateAndNextNull(nodep->lhsp());
|
|
|
|
|
puts(", ");
|
|
|
|
|
} else if (nodep->isWide() && VN_IS(nodep->lhsp(), VarRef) //
|
|
|
|
|
&& !VN_IS(nodep->rhsp(), CMath) //
|
|
|
|
|
&& !VN_IS(nodep->rhsp(), CMethodHard) //
|
|
|
|
|
&& !VN_IS(nodep->rhsp(), VarRef) //
|
|
|
|
|
&& !VN_IS(nodep->rhsp(), AssocSel) //
|
2018-02-02 03:32:58 +01:00
|
|
|
&& !VN_IS(nodep->rhsp(), ArraySel)) {
|
2019-05-19 22:13:13 +02:00
|
|
|
// Wide functions assign into the array directly, don't need separate assign statement
|
2018-02-02 03:32:58 +01:00
|
|
|
m_wideTempRefp = VN_CAST(nodep->lhsp(), VarRef);
|
2019-05-19 22:13:13 +02:00
|
|
|
paren = false;
|
|
|
|
|
} else if (nodep->isWide()) {
|
|
|
|
|
putbs("VL_ASSIGN_W(");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(cvtToStr(nodep->widthMin()) + ",");
|
|
|
|
|
iterateAndNextNull(nodep->lhsp());
|
|
|
|
|
puts(", ");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else {
|
|
|
|
|
paren = false;
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->lhsp());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(" ");
|
2020-04-15 13:58:34 +02:00
|
|
|
ofp()->blockInc();
|
|
|
|
|
decind = true;
|
2018-02-02 03:32:58 +01:00
|
|
|
if (!VN_IS(nodep->rhsp(), Const)) ofp()->putBreak();
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("= ");
|
|
|
|
|
}
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->rhsp());
|
2019-05-19 22:13:13 +02:00
|
|
|
if (paren) puts(")");
|
|
|
|
|
if (decind) ofp()->blockDec();
|
|
|
|
|
if (!m_suppressSemi) puts(";\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstAlwaysPublic*) override {}
|
|
|
|
|
virtual void visit(AstAssocSel* nodep) override {
|
2019-12-01 17:52:48 +01:00
|
|
|
iterateAndNextNull(nodep->fromp());
|
|
|
|
|
putbs(".at(");
|
|
|
|
|
AstAssocArrayDType* adtypep = VN_CAST(nodep->fromp()->dtypep(), AssocArrayDType);
|
|
|
|
|
UASSERT_OBJ(adtypep, nodep, "Associative select on non-associative type");
|
|
|
|
|
if (adtypep->keyDTypep()->isWide()) {
|
2020-05-24 03:58:45 +02:00
|
|
|
emitCvtWideArray(nodep->bitp(), nodep->fromp());
|
2019-12-01 17:52:48 +01:00
|
|
|
} else {
|
|
|
|
|
iterateAndNextNull(nodep->bitp());
|
|
|
|
|
}
|
|
|
|
|
puts(")");
|
|
|
|
|
if (nodep->dtypep()->isWide()) {
|
|
|
|
|
puts(".data()"); // Access returned std::array as C array
|
|
|
|
|
}
|
|
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstNodeCCall* nodep) override {
|
2020-03-07 18:52:11 +01:00
|
|
|
if (AstCMethodCall* ccallp = VN_CAST(nodep, CMethodCall)) {
|
|
|
|
|
// make this a Ast type for future opt
|
|
|
|
|
iterate(ccallp->fromp());
|
|
|
|
|
putbs("->");
|
|
|
|
|
} else {
|
|
|
|
|
puts(nodep->hiernameProtect());
|
|
|
|
|
}
|
2019-10-06 19:24:21 +02:00
|
|
|
puts(nodep->funcp()->nameProtect());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("(");
|
|
|
|
|
puts(nodep->argTypes());
|
|
|
|
|
bool comma = (nodep->argTypes() != "");
|
2020-04-15 13:58:34 +02:00
|
|
|
for (AstNode* subnodep = nodep->argsp(); subnodep; subnodep = subnodep->nextp()) {
|
2019-05-19 22:13:13 +02:00
|
|
|
if (comma) puts(", ");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterate(subnodep);
|
2019-05-19 22:13:13 +02:00
|
|
|
comma = true;
|
|
|
|
|
}
|
2018-02-02 03:32:58 +01:00
|
|
|
if (VN_IS(nodep->backp(), NodeMath) || VN_IS(nodep->backp(), CReturn)) {
|
2019-05-19 22:13:13 +02:00
|
|
|
// We should have a separate CCall for math and statement usage, but...
|
|
|
|
|
puts(")");
|
|
|
|
|
} else {
|
|
|
|
|
puts(");\n");
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstCMethodHard* nodep) override {
|
2019-12-01 17:52:48 +01:00
|
|
|
iterate(nodep->fromp());
|
|
|
|
|
puts(".");
|
|
|
|
|
puts(nodep->nameProtect());
|
|
|
|
|
puts("(");
|
|
|
|
|
bool comma = false;
|
|
|
|
|
for (AstNode* subnodep = nodep->pinsp(); subnodep; subnodep = subnodep->nextp()) {
|
|
|
|
|
if (comma) puts(", ");
|
2020-05-24 03:58:45 +02:00
|
|
|
// handle wide arguments to the queues
|
|
|
|
|
if (VN_IS(nodep->fromp()->dtypep(), QueueDType) && subnodep->dtypep()->isWide()) {
|
|
|
|
|
emitCvtWideArray(subnodep, nodep->fromp());
|
|
|
|
|
} else {
|
|
|
|
|
iterate(subnodep);
|
|
|
|
|
}
|
2019-12-01 17:52:48 +01:00
|
|
|
comma = true;
|
|
|
|
|
}
|
|
|
|
|
puts(")");
|
2020-05-24 03:58:45 +02:00
|
|
|
// if there is a return value that is wide convert to array
|
|
|
|
|
if (nodep->dtypep()->isWide()
|
|
|
|
|
&& (VN_IS(nodep->fromp()->dtypep(), QueueDType)
|
|
|
|
|
|| VN_IS(nodep->fromp()->dtypep(), DynArrayDType))) {
|
|
|
|
|
puts(".data()"); // Access returned std::array as C array
|
|
|
|
|
}
|
2019-12-01 17:52:48 +01:00
|
|
|
// Some are statements some are math.
|
|
|
|
|
if (nodep->isStatement()) puts(";\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
UASSERT_OBJ(!nodep->isStatement() || VN_IS(nodep->dtypep(), VoidDType), nodep,
|
|
|
|
|
"Statement of non-void data type");
|
2019-12-01 17:52:48 +01:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstIntfRef* nodep) override {
|
2020-04-15 13:58:34 +02:00
|
|
|
putsQuoted(VIdProtect::protectWordsIf(AstNode::vcdName(nodep->name()), nodep->protect()));
|
2019-12-07 15:42:09 +01:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstNodeCase* nodep) override { // LCOV_EXCL_LINE
|
2019-05-19 22:13:13 +02:00
|
|
|
// In V3Case...
|
|
|
|
|
nodep->v3fatalSrc("Case statements should have been reduced out");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstComment* nodep) override {
|
2019-09-24 00:44:45 +02:00
|
|
|
string at;
|
2019-10-06 19:24:21 +02:00
|
|
|
if (nodep->showAt()) {
|
2020-04-15 13:58:34 +02:00
|
|
|
at = " at " + nodep->fileline()->ascii();
|
2019-10-06 19:24:21 +02:00
|
|
|
// If protecting, passthru less information about the design
|
|
|
|
|
if (!v3Global.opt.protectIds()) return;
|
|
|
|
|
}
|
|
|
|
|
if (!(nodep->protect() && v3Global.opt.protectIds())) {
|
2020-04-15 13:58:34 +02:00
|
|
|
putsDecoration(string("// ") + nodep->name() + at + "\n");
|
2019-10-06 19:24:21 +02:00
|
|
|
}
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateChildren(nodep);
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstCoverDecl* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("__vlCoverInsert("); // As Declared in emitCoverageDecl
|
|
|
|
|
puts("&(vlSymsp->__Vcoverage[");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(cvtToStr(nodep->dataDeclThisp()->binNum()));
|
|
|
|
|
puts("])");
|
2019-05-19 22:13:13 +02:00
|
|
|
// If this isn't the first instantiation of this module under this
|
|
|
|
|
// design, don't really count the bucket, and rely on verilator_cov to
|
|
|
|
|
// aggregate counts. This is because Verilator combines all
|
2019-09-09 13:50:21 +02:00
|
|
|
// hierarchies itself, and if verilator_cov also did it, you'd end up
|
2019-05-19 22:13:13 +02:00
|
|
|
// with (number-of-instant) times too many counts in this bin.
|
|
|
|
|
puts(", first"); // Enable, passed from __Vconfigure parameter
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(", ");
|
|
|
|
|
putsQuoted(protect(nodep->fileline()->filename()));
|
|
|
|
|
puts(", ");
|
|
|
|
|
puts(cvtToStr(nodep->fileline()->lineno()));
|
|
|
|
|
puts(", ");
|
2020-05-31 21:52:17 +02:00
|
|
|
puts(cvtToStr(nodep->offset() + nodep->fileline()->firstColumn()));
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(", ");
|
|
|
|
|
putsQuoted((!nodep->hier().empty() ? "." : "")
|
|
|
|
|
+ protectWordsIf(nodep->hier(), nodep->protect()));
|
|
|
|
|
puts(", ");
|
|
|
|
|
putsQuoted(protectWordsIf(nodep->page(), nodep->protect()));
|
|
|
|
|
puts(", ");
|
|
|
|
|
putsQuoted(protectWordsIf(nodep->comment(), nodep->protect()));
|
2020-05-31 21:52:17 +02:00
|
|
|
puts(", ");
|
|
|
|
|
putsQuoted(nodep->linescov());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(");\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstCoverInc* nodep) override {
|
2020-02-04 00:43:41 +01:00
|
|
|
if (v3Global.opt.threads()) {
|
|
|
|
|
puts("vlSymsp->__Vcoverage[");
|
|
|
|
|
puts(cvtToStr(nodep->declp()->dataDeclThisp()->binNum()));
|
|
|
|
|
puts("].fetch_add(1, std::memory_order_relaxed);\n");
|
|
|
|
|
} else {
|
|
|
|
|
puts("++(vlSymsp->__Vcoverage[");
|
|
|
|
|
puts(cvtToStr(nodep->declp()->dataDeclThisp()->binNum()));
|
|
|
|
|
puts("]);\n");
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstCReturn* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("return (");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->lhsp());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(");\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstDisplay* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
string text = nodep->fmtp()->text();
|
|
|
|
|
if (nodep->addNewline()) text += "\n";
|
|
|
|
|
displayNode(nodep, nodep->fmtp()->scopeNamep(), text, nodep->fmtp()->exprsp(), false);
|
2008-07-01 20:15:10 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstDumpCtl* nodep) override {
|
2020-03-02 03:39:23 +01:00
|
|
|
switch (nodep->ctlType()) {
|
|
|
|
|
case VDumpCtlType::FILE:
|
|
|
|
|
puts("vl_dumpctl_filenamep(true, ");
|
|
|
|
|
emitCvtPackStr(nodep->exprp());
|
|
|
|
|
puts(");\n");
|
|
|
|
|
break;
|
|
|
|
|
case VDumpCtlType::VARS:
|
|
|
|
|
// We ignore number of levels to dump in exprp()
|
|
|
|
|
if (v3Global.opt.trace()) {
|
|
|
|
|
puts("vlSymsp->TOPp->_traceDumpOpen();\n");
|
|
|
|
|
} else {
|
|
|
|
|
puts("VL_PRINTF_MT(\"-Info: ");
|
|
|
|
|
puts(protect(nodep->fileline()->filename()));
|
|
|
|
|
puts(":");
|
|
|
|
|
puts(cvtToStr(nodep->fileline()->lineno()));
|
|
|
|
|
puts(": $dumpvar ignored, as Verilated without --trace");
|
|
|
|
|
puts("\\n\");\n");
|
|
|
|
|
}
|
|
|
|
|
break;
|
|
|
|
|
case VDumpCtlType::ALL:
|
|
|
|
|
// $dumpall currently ignored
|
|
|
|
|
break;
|
|
|
|
|
case VDumpCtlType::FLUSH:
|
2020-03-02 23:56:42 +01:00
|
|
|
// $dumpall currently ignored; would need rework of VCD single thread,
|
|
|
|
|
// or flag we pass-through to next eval() iteration
|
2020-03-02 03:39:23 +01:00
|
|
|
break;
|
|
|
|
|
case VDumpCtlType::LIMIT:
|
|
|
|
|
// $dumplimit currently ignored
|
|
|
|
|
break;
|
|
|
|
|
case VDumpCtlType::OFF:
|
|
|
|
|
// Currently ignored as both Vcd and Fst do not support them, as would need "X" dump
|
|
|
|
|
break;
|
|
|
|
|
case VDumpCtlType::ON:
|
|
|
|
|
// Currently ignored as $dumpoff is also ignored
|
|
|
|
|
break;
|
|
|
|
|
default: nodep->v3fatalSrc("Bad case, unexpected " << nodep->ctlType().ascii());
|
|
|
|
|
}
|
|
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstScopeName* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
// For use under AstCCalls for dpiImports. ScopeNames under
|
|
|
|
|
// displays are handled in AstDisplay
|
|
|
|
|
if (!nodep->dpiExport()) {
|
|
|
|
|
// this is where the DPI import context scope is set
|
|
|
|
|
string scope = nodep->scopeDpiName();
|
2020-04-15 13:58:34 +02:00
|
|
|
putbs("(&(vlSymsp->" + protect("__Vscope_" + scope) + "))");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2009-12-05 16:38:49 +01:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstSFormat* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
displayNode(nodep, nodep->fmtp()->scopeNamep(), nodep->fmtp()->text(),
|
|
|
|
|
nodep->fmtp()->exprsp(), false);
|
2009-11-24 03:24:55 +01:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstSFormatF* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
displayNode(nodep, nodep->scopeNamep(), nodep->text(), nodep->exprsp(), false);
|
2010-01-18 01:13:44 +01:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstFScanF* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
displayNode(nodep, NULL, nodep->text(), nodep->exprsp(), true);
|
2008-07-01 20:15:10 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstSScanF* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
displayNode(nodep, NULL, nodep->text(), nodep->exprsp(), true);
|
2008-07-01 20:15:10 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstValuePlusArgs* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("VL_VALUEPLUSARGS_IN");
|
|
|
|
|
emitIQW(nodep->outp());
|
|
|
|
|
puts("(");
|
|
|
|
|
puts(cvtToStr(nodep->outp()->widthMin()));
|
|
|
|
|
puts(",");
|
|
|
|
|
emitCvtPackStr(nodep->searchp());
|
|
|
|
|
puts(",");
|
|
|
|
|
putbs("");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->outp());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(")");
|
2009-11-19 23:04:21 +01:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstTestPlusArgs* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("VL_TESTPLUSARGS_I(");
|
|
|
|
|
putsQuoted(nodep->text());
|
|
|
|
|
puts(")");
|
2009-11-19 23:04:21 +01:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstFError* nodep) override {
|
2020-04-05 17:22:05 +02:00
|
|
|
puts("VL_FERROR_IN(");
|
|
|
|
|
iterateAndNextNull(nodep->filep());
|
|
|
|
|
putbs(", ");
|
|
|
|
|
iterateAndNextNull(nodep->strp());
|
|
|
|
|
puts(")");
|
|
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstFGetS* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
checkMaxWords(nodep);
|
|
|
|
|
emitOpName(nodep, nodep->emitC(), nodep->lhsp(), nodep->rhsp(), NULL);
|
2008-11-05 16:52:23 +01:00
|
|
|
}
|
2008-07-01 20:15:10 +02:00
|
|
|
|
|
|
|
|
void checkMaxWords(AstNode* nodep) {
|
2019-05-19 22:13:13 +02:00
|
|
|
if (nodep->widthWords() > VL_TO_STRING_MAX_WORDS) {
|
2020-04-15 13:58:34 +02:00
|
|
|
nodep->v3error(
|
|
|
|
|
"String of "
|
|
|
|
|
<< nodep->width()
|
|
|
|
|
<< " bits exceeds hardcoded limit VL_TO_STRING_MAX_WORDS in verilatedos.h");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2008-07-01 20:15:10 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstFOpen* nodep) override {
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->filep());
|
2020-05-15 00:03:00 +02:00
|
|
|
puts(" = VL_FOPEN_NN(");
|
|
|
|
|
emitCvtPackStr(nodep->filenamep());
|
|
|
|
|
putbs(", ");
|
2020-04-15 13:58:34 +02:00
|
|
|
if (nodep->modep()->width() > 4 * 8)
|
|
|
|
|
nodep->modep()->v3error("$fopen mode should be <= 4 characters");
|
2020-05-15 00:03:00 +02:00
|
|
|
emitCvtPackStr(nodep->modep());
|
|
|
|
|
puts(");\n");
|
|
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstFOpenMcd* nodep) override {
|
2020-05-15 00:03:00 +02:00
|
|
|
iterateAndNextNull(nodep->filep());
|
|
|
|
|
puts(" = VL_FOPEN_MCD_N(");
|
|
|
|
|
emitCvtPackStr(nodep->filenamep());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(");\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstNodeReadWriteMem* nodep) override {
|
2018-03-12 21:44:01 +01:00
|
|
|
puts(nodep->cFuncPrefixp());
|
2020-01-14 13:01:17 +01:00
|
|
|
puts("N(");
|
|
|
|
|
puts(nodep->isHex() ? "true" : "false");
|
|
|
|
|
putbs(", ");
|
|
|
|
|
// Need real storage width
|
|
|
|
|
puts(cvtToStr(nodep->memp()->dtypep()->subDTypep()->widthMin()));
|
2019-05-19 22:13:13 +02:00
|
|
|
uint32_t array_lsb = 0;
|
|
|
|
|
{
|
2018-02-02 03:32:58 +01:00
|
|
|
const AstVarRef* varrefp = VN_CAST(nodep->memp(), VarRef);
|
2020-04-15 13:58:34 +02:00
|
|
|
if (!varrefp) {
|
|
|
|
|
nodep->v3error(nodep->verilogKwd() << " loading non-variable");
|
|
|
|
|
} else if (VN_IS(varrefp->varp()->dtypeSkipRefp(), AssocArrayDType)) {
|
2020-01-14 13:01:17 +01:00
|
|
|
// nodep->memp() below will when verilated code is compiled create a C++ template
|
2020-04-15 13:58:34 +02:00
|
|
|
} else if (const AstUnpackArrayDType* adtypep
|
|
|
|
|
= VN_CAST(varrefp->varp()->dtypeSkipRefp(), UnpackArrayDType)) {
|
2020-01-14 13:01:17 +01:00
|
|
|
putbs(", ");
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(cvtToStr(varrefp->varp()->dtypep()->arrayUnpackedElements()));
|
|
|
|
|
array_lsb = adtypep->lsb();
|
2020-01-14 13:01:17 +01:00
|
|
|
putbs(", ");
|
|
|
|
|
puts(cvtToStr(array_lsb));
|
2020-04-15 13:58:34 +02:00
|
|
|
} else {
|
2019-05-19 22:13:13 +02:00
|
|
|
nodep->v3error(nodep->verilogKwd()
|
2020-01-14 13:01:17 +01:00
|
|
|
<< " loading other than unpacked/associative-array variable");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
putbs(", ");
|
2020-01-14 13:01:17 +01:00
|
|
|
emitCvtPackStr(nodep->filenamep());
|
2019-05-19 22:13:13 +02:00
|
|
|
putbs(", ");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->memp());
|
2020-01-14 13:01:17 +01:00
|
|
|
putbs(", ");
|
2020-04-15 13:58:34 +02:00
|
|
|
if (nodep->lsbp()) {
|
|
|
|
|
iterateAndNextNull(nodep->lsbp());
|
|
|
|
|
} else {
|
|
|
|
|
puts(cvtToStr(array_lsb));
|
|
|
|
|
}
|
2020-01-14 13:01:17 +01:00
|
|
|
putbs(", ");
|
2020-04-15 13:58:34 +02:00
|
|
|
if (nodep->msbp()) {
|
|
|
|
|
iterateAndNextNull(nodep->msbp());
|
|
|
|
|
} else {
|
2020-05-29 02:32:07 +02:00
|
|
|
puts("~0ULL");
|
2020-04-15 13:58:34 +02:00
|
|
|
}
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(");\n");
|
2006-12-19 15:09:57 +01:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstFClose* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("VL_FCLOSE_I(");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->filep());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("); ");
|
2019-05-12 00:42:27 +02:00
|
|
|
iterateAndNextNull(nodep->filep()); // For safety, so user doesn't later WRITE with it.
|
2020-01-11 20:08:07 +01:00
|
|
|
puts(" = 0;\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstFFlush* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
if (!nodep->filep()) {
|
2020-06-12 08:15:42 +02:00
|
|
|
puts("Verilated::runFlushCallbacks();\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else {
|
|
|
|
|
puts("if (");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->filep());
|
2020-05-15 00:03:00 +02:00
|
|
|
puts(") { VL_FFLUSH_I(");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->filep());
|
2020-05-15 00:03:00 +02:00
|
|
|
puts("); }\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2008-06-27 14:45:05 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstFSeek* nodep) override {
|
2020-05-15 00:03:00 +02:00
|
|
|
puts("(VL_FSEEK_I(");
|
2019-09-04 03:28:15 +02:00
|
|
|
iterateAndNextNull(nodep->filep());
|
2020-05-15 00:03:00 +02:00
|
|
|
puts(",");
|
2019-09-04 03:28:15 +02:00
|
|
|
iterateAndNextNull(nodep->offset());
|
|
|
|
|
puts(",");
|
|
|
|
|
iterateAndNextNull(nodep->operation());
|
|
|
|
|
puts(")==-1?-1:0)");
|
|
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstFTell* nodep) override {
|
2020-05-15 00:03:00 +02:00
|
|
|
puts("VL_FTELL_I(");
|
2019-09-04 03:28:15 +02:00
|
|
|
iterateAndNextNull(nodep->filep());
|
2020-05-15 00:03:00 +02:00
|
|
|
puts(")");
|
2019-09-04 03:28:15 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstFRewind* nodep) override {
|
2020-05-15 00:03:00 +02:00
|
|
|
puts("(VL_FSEEK_I(");
|
2019-09-04 03:28:15 +02:00
|
|
|
iterateAndNextNull(nodep->filep());
|
2020-05-15 00:03:00 +02:00
|
|
|
puts(", 0, 0)==-1?-1:0)");
|
2019-09-04 03:28:15 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstFRead* nodep) override {
|
2019-03-08 02:56:53 +01:00
|
|
|
puts("VL_FREAD_I(");
|
|
|
|
|
puts(cvtToStr(nodep->memp()->widthMin())); // Need real storage width
|
|
|
|
|
putbs(",");
|
|
|
|
|
bool memory = false;
|
|
|
|
|
uint32_t array_lsb = 0;
|
|
|
|
|
uint32_t array_size = 0;
|
|
|
|
|
{
|
|
|
|
|
const AstVarRef* varrefp = VN_CAST(nodep->memp(), VarRef);
|
2020-04-15 13:58:34 +02:00
|
|
|
if (!varrefp) {
|
|
|
|
|
nodep->v3error(nodep->verilogKwd() << " loading non-variable");
|
|
|
|
|
} else if (VN_CAST(varrefp->varp()->dtypeSkipRefp(), BasicDType)) {
|
|
|
|
|
} else if (const AstUnpackArrayDType* adtypep
|
|
|
|
|
= VN_CAST(varrefp->varp()->dtypeSkipRefp(), UnpackArrayDType)) {
|
2019-03-08 02:56:53 +01:00
|
|
|
memory = true;
|
|
|
|
|
array_lsb = adtypep->lsb();
|
|
|
|
|
array_size = adtypep->elementsConst();
|
2020-04-15 13:58:34 +02:00
|
|
|
} else {
|
2019-03-08 02:56:53 +01:00
|
|
|
nodep->v3error(nodep->verilogKwd()
|
|
|
|
|
<< " loading other than unpacked-array variable");
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
puts(cvtToStr(array_lsb));
|
|
|
|
|
putbs(",");
|
|
|
|
|
puts(cvtToStr(array_size));
|
|
|
|
|
putbs(", ");
|
|
|
|
|
if (!memory) puts("&(");
|
|
|
|
|
iterateAndNextNull(nodep->memp());
|
|
|
|
|
if (!memory) puts(")");
|
|
|
|
|
putbs(", ");
|
|
|
|
|
iterateAndNextNull(nodep->filep());
|
|
|
|
|
putbs(", ");
|
2020-04-15 13:58:34 +02:00
|
|
|
if (nodep->startp()) {
|
|
|
|
|
iterateAndNextNull(nodep->startp());
|
|
|
|
|
} else {
|
|
|
|
|
puts(cvtToStr(array_lsb));
|
|
|
|
|
}
|
2019-03-08 02:56:53 +01:00
|
|
|
putbs(", ");
|
2020-04-15 13:58:34 +02:00
|
|
|
if (nodep->countp()) {
|
|
|
|
|
iterateAndNextNull(nodep->countp());
|
|
|
|
|
} else {
|
|
|
|
|
puts(cvtToStr(array_size));
|
|
|
|
|
}
|
2019-03-08 02:56:53 +01:00
|
|
|
puts(");\n");
|
|
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstSysFuncAsTask* nodep) override {
|
2018-03-09 05:40:19 +01:00
|
|
|
if (!nodep->lhsp()->isWide()) puts("(void)");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->lhsp());
|
2018-03-09 05:40:19 +01:00
|
|
|
if (!nodep->lhsp()->isWide()) puts(";");
|
|
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstSystemT* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("(void)VL_SYSTEM_I");
|
|
|
|
|
emitIQW(nodep->lhsp());
|
|
|
|
|
puts("(");
|
|
|
|
|
if (nodep->lhsp()->isWide()) {
|
|
|
|
|
puts(cvtToStr(nodep->lhsp()->widthWords()));
|
|
|
|
|
putbs(", ");
|
|
|
|
|
}
|
|
|
|
|
checkMaxWords(nodep->lhsp());
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->lhsp());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(");\n");
|
2011-11-20 08:01:48 +01:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstSystemF* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("VL_SYSTEM_I");
|
|
|
|
|
emitIQW(nodep->lhsp());
|
|
|
|
|
puts("(");
|
|
|
|
|
if (nodep->lhsp()->isWide()) {
|
|
|
|
|
puts(cvtToStr(nodep->lhsp()->widthWords()));
|
|
|
|
|
putbs(", ");
|
|
|
|
|
}
|
|
|
|
|
checkMaxWords(nodep->lhsp());
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->lhsp());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(")");
|
2011-11-20 08:01:48 +01:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstJumpBlock* nodep) override {
|
2019-12-01 23:19:03 +01:00
|
|
|
nodep->labelNum(++m_labelNum);
|
|
|
|
|
puts("{\n"); // Make it visually obvious label jumps outside these
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->stmtsp());
|
2020-05-07 03:33:05 +02:00
|
|
|
iterateAndNextNull(nodep->endStmtsp());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("}\n");
|
2020-05-07 03:33:05 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstJumpGo* nodep) override {
|
2020-05-07 03:33:05 +02:00
|
|
|
puts("goto __Vlabel" + cvtToStr(nodep->labelp()->blockp()->labelNum()) + ";\n");
|
|
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstJumpLabel* nodep) override {
|
2020-05-07 03:33:05 +02:00
|
|
|
puts("__Vlabel" + cvtToStr(nodep->blockp()->labelNum()) + ": ;\n");
|
2010-02-14 16:01:21 +01:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstWhile* nodep) override {
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->precondsp());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("while (");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->condp());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(") {\n");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->bodysp());
|
|
|
|
|
iterateAndNextNull(nodep->incsp());
|
|
|
|
|
iterateAndNextNull(nodep->precondsp()); // Need to recompute before next loop
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("}\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstNodeIf* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("if (");
|
2019-10-05 13:54:14 +02:00
|
|
|
if (!nodep->branchPred().unknown()) {
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(nodep->branchPred().ascii());
|
|
|
|
|
puts("(");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->condp());
|
2019-10-05 13:54:14 +02:00
|
|
|
if (!nodep->branchPred().unknown()) puts(")");
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(") {\n");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->ifsp());
|
2019-05-19 22:13:13 +02:00
|
|
|
if (nodep->elsesp()) {
|
|
|
|
|
puts("} else {\n");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->elsesp());
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
|
|
|
|
puts("}\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstStop* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("VL_STOP_MT(");
|
2019-10-06 19:24:21 +02:00
|
|
|
putsQuoted(protect(nodep->fileline()->filename()));
|
2019-10-30 03:57:25 +01:00
|
|
|
puts(", ");
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(cvtToStr(nodep->fileline()->lineno()));
|
2019-11-17 00:25:47 +01:00
|
|
|
puts(", \"\"");
|
|
|
|
|
puts(");\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstFinish* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("VL_FINISH_MT(");
|
2019-10-06 19:24:21 +02:00
|
|
|
putsQuoted(protect(nodep->fileline()->filename()));
|
2019-10-30 03:57:25 +01:00
|
|
|
puts(", ");
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(cvtToStr(nodep->fileline()->lineno()));
|
2019-10-30 03:57:25 +01:00
|
|
|
puts(", \"\");\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstPrintTimeScale* nodep) override {
|
2020-04-16 01:39:03 +02:00
|
|
|
puts("VL_PRINTTIMESCALE(");
|
|
|
|
|
putsQuoted(protect(nodep->name()));
|
|
|
|
|
puts(", ");
|
|
|
|
|
putsQuoted(nodep->timeunit().ascii());
|
|
|
|
|
puts(");\n");
|
|
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstTime* nodep) override {
|
2020-04-16 01:39:03 +02:00
|
|
|
puts("VL_TIME_UNITED_Q(");
|
|
|
|
|
if (nodep->timeunit().isNone()) nodep->v3fatalSrc("$time has no units");
|
|
|
|
|
puts(cvtToStr(nodep->timeunit().multiplier()
|
|
|
|
|
/ v3Global.rootp()->timeprecision().multiplier()));
|
|
|
|
|
puts(")");
|
|
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstTimeD* nodep) override {
|
2020-04-16 01:39:03 +02:00
|
|
|
puts("VL_TIME_UNITED_D(");
|
|
|
|
|
if (nodep->timeunit().isNone()) nodep->v3fatalSrc("$realtime has no units");
|
|
|
|
|
puts(cvtToStr(nodep->timeunit().multiplier()
|
|
|
|
|
/ v3Global.rootp()->timeprecision().multiplier()));
|
|
|
|
|
puts(")");
|
|
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstTimeFormat* nodep) override {
|
2020-04-16 01:39:03 +02:00
|
|
|
puts("VL_TIMEFORMAT_IINI(");
|
|
|
|
|
iterateAndNextNull(nodep->unitsp());
|
|
|
|
|
puts(", ");
|
|
|
|
|
iterateAndNextNull(nodep->precisionp());
|
|
|
|
|
puts(", ");
|
|
|
|
|
emitCvtPackStr(nodep->suffixp());
|
|
|
|
|
puts(", ");
|
|
|
|
|
iterateAndNextNull(nodep->widthp());
|
|
|
|
|
puts(");\n");
|
|
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstNodeSimpleText* nodep) override {
|
2019-09-27 09:44:23 +02:00
|
|
|
if (nodep->tracking() || m_trackText) {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(nodep->text());
|
|
|
|
|
} else {
|
|
|
|
|
ofp()->putsNoTracking(nodep->text());
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstTextBlock* nodep) override {
|
2020-01-22 01:54:14 +01:00
|
|
|
visit(VN_CAST(nodep, NodeSimpleText));
|
2019-09-27 09:44:23 +02:00
|
|
|
for (AstNode* childp = nodep->nodesp(); childp; childp = childp->nextp()) {
|
|
|
|
|
iterate(childp);
|
|
|
|
|
if (nodep->commas() && childp->nextp()) puts(", ");
|
|
|
|
|
}
|
|
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstCStmt* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
putbs("");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->bodysp());
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstCMath* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
putbs("");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->bodysp());
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstUCStmt* nodep) override {
|
2020-04-15 13:58:34 +02:00
|
|
|
putsDecoration(ifNoProtect("// $c statement at " + nodep->fileline()->ascii() + "\n"));
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->bodysp());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstUCFunc* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
putsDecoration(ifNoProtect("// $c function at " + nodep->fileline()->ascii() + "\n"));
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->bodysp());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Operators
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstNodeTermop* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
emitOpName(nodep, nodep->emitC(), NULL, NULL, NULL);
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstNodeUniop* nodep) override {
|
2020-08-07 03:56:43 +02:00
|
|
|
if (nodep->emitCheckMaxWords()
|
|
|
|
|
&& (nodep->widthWords() > VL_MULS_MAX_WORDS
|
|
|
|
|
|| nodep->lhsp()->widthWords() > VL_MULS_MAX_WORDS)) {
|
|
|
|
|
nodep->v3warn(
|
|
|
|
|
E_UNSUPPORTED,
|
|
|
|
|
"Unsupported: "
|
|
|
|
|
<< nodep->prettyOperatorName() << " operator of " << nodep->width()
|
|
|
|
|
<< " bits exceeds hardcoded limit VL_MULS_MAX_WORDS in verilatedos.h");
|
|
|
|
|
}
|
2019-05-19 22:13:13 +02:00
|
|
|
if (emitSimpleOk(nodep)) {
|
2020-04-15 13:58:34 +02:00
|
|
|
putbs("(");
|
|
|
|
|
puts(nodep->emitSimpleOperator());
|
|
|
|
|
puts(" ");
|
|
|
|
|
iterateAndNextNull(nodep->lhsp());
|
|
|
|
|
puts(")");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else {
|
|
|
|
|
emitOpName(nodep, nodep->emitC(), nodep->lhsp(), NULL, NULL);
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstNodeBiop* nodep) override {
|
2020-08-04 04:12:13 +02:00
|
|
|
if (nodep->emitCheckMaxWords() && nodep->widthWords() > VL_MULS_MAX_WORDS) {
|
|
|
|
|
nodep->v3warn(
|
|
|
|
|
E_UNSUPPORTED,
|
|
|
|
|
"Unsupported: "
|
|
|
|
|
<< nodep->prettyOperatorName() << " operator of " << nodep->width()
|
|
|
|
|
<< " bits exceeds hardcoded limit VL_MULS_MAX_WORDS in verilatedos.h");
|
|
|
|
|
}
|
2019-05-19 22:13:13 +02:00
|
|
|
if (emitSimpleOk(nodep)) {
|
2020-04-15 13:58:34 +02:00
|
|
|
putbs("(");
|
|
|
|
|
iterateAndNextNull(nodep->lhsp());
|
|
|
|
|
puts(" ");
|
|
|
|
|
putbs(nodep->emitSimpleOperator());
|
|
|
|
|
puts(" ");
|
|
|
|
|
iterateAndNextNull(nodep->rhsp());
|
|
|
|
|
puts(")");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else {
|
|
|
|
|
emitOpName(nodep, nodep->emitC(), nodep->lhsp(), nodep->rhsp(), NULL);
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstNodeTriop* nodep) override {
|
2019-12-15 14:09:52 +01:00
|
|
|
UASSERT_OBJ(!emitSimpleOk(nodep), nodep, "Triop cannot be described in a simple way");
|
|
|
|
|
emitOpName(nodep, nodep->emitC(), nodep->lhsp(), nodep->rhsp(), nodep->thsp());
|
|
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstRedXor* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
if (nodep->lhsp()->isWide()) {
|
2018-02-02 03:32:58 +01:00
|
|
|
visit(VN_CAST(nodep, NodeUniop));
|
2019-05-19 22:13:13 +02:00
|
|
|
} else {
|
|
|
|
|
putbs("VL_REDXOR_");
|
|
|
|
|
puts(cvtToStr(nodep->lhsp()->dtypep()->widthPow2()));
|
|
|
|
|
puts("(");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->lhsp());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(")");
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstCCast* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
// Extending a value of the same word width is just a NOP.
|
2019-12-09 03:36:38 +01:00
|
|
|
if (nodep->size() <= VL_IDATASIZE) {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("(IData)(");
|
2019-12-09 03:36:38 +01:00
|
|
|
} else {
|
|
|
|
|
puts("(QData)(");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->lhsp());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(")");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstNodeCond* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
// Widths match up already, so we'll just use C++'s operator w/o any temps.
|
|
|
|
|
if (nodep->expr1p()->isWide()) {
|
|
|
|
|
emitOpName(nodep, nodep->emitC(), nodep->condp(), nodep->expr1p(), nodep->expr2p());
|
|
|
|
|
} else {
|
|
|
|
|
putbs("(");
|
2020-04-15 13:58:34 +02:00
|
|
|
iterateAndNextNull(nodep->condp());
|
|
|
|
|
putbs(" ? ");
|
|
|
|
|
iterateAndNextNull(nodep->expr1p());
|
|
|
|
|
putbs(" : ");
|
|
|
|
|
iterateAndNextNull(nodep->expr2p());
|
|
|
|
|
puts(")");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstMemberSel* nodep) override {
|
2020-04-05 15:30:23 +02:00
|
|
|
iterateAndNextNull(nodep->fromp());
|
|
|
|
|
putbs("->");
|
|
|
|
|
puts(nodep->varp()->nameProtect());
|
|
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstNullCheck* nodep) override {
|
2020-04-05 15:30:23 +02:00
|
|
|
puts("VL_NULL_CHECK(");
|
|
|
|
|
iterateAndNextNull(nodep->lhsp());
|
|
|
|
|
puts(", ");
|
|
|
|
|
putsQuoted(protect(nodep->fileline()->filename()));
|
|
|
|
|
puts(", ");
|
|
|
|
|
puts(cvtToStr(nodep->fileline()->lineno()));
|
|
|
|
|
puts(")");
|
|
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstCNew* nodep) override {
|
2020-04-05 15:30:23 +02:00
|
|
|
puts("std::make_shared<" + prefixNameProtect(nodep->dtypep()) + ">(");
|
2020-04-13 00:57:12 +02:00
|
|
|
puts("vlSymsp"); // TODO make this part of argsp, and eliminate when unnecessary
|
|
|
|
|
if (nodep->argsp()) puts(", ");
|
2020-04-05 15:30:23 +02:00
|
|
|
iterateAndNextNull(nodep->argsp());
|
|
|
|
|
puts(")");
|
|
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstNewCopy* nodep) override {
|
2020-04-05 15:30:23 +02:00
|
|
|
puts("std::make_shared<" + prefixNameProtect(nodep->dtypep()) + ">(");
|
|
|
|
|
puts("*"); // i.e. make into a reference
|
|
|
|
|
iterateAndNextNull(nodep->rhsp());
|
2019-12-24 18:47:27 +01:00
|
|
|
puts(")");
|
|
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstSel* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
// Note ASSIGN checks for this on a LHS
|
|
|
|
|
emitOpName(nodep, nodep->emitC(), nodep->fromp(), nodep->lsbp(), nodep->thsp());
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstReplicate* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
if (nodep->lhsp()->widthMin() == 1 && !nodep->isWide()) {
|
2019-07-06 18:57:50 +02:00
|
|
|
UASSERT_OBJ((static_cast<int>(VN_CAST(nodep->rhsp(), Const)->toUInt())
|
2020-04-15 13:58:34 +02:00
|
|
|
* nodep->lhsp()->widthMin())
|
|
|
|
|
== nodep->widthMin(),
|
2019-07-06 18:57:50 +02:00
|
|
|
nodep, "Replicate non-constant or width miscomputed");
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("VL_REPLICATE_");
|
|
|
|
|
emitIQW(nodep);
|
|
|
|
|
puts("OI(");
|
|
|
|
|
puts(cvtToStr(nodep->widthMin()));
|
2020-04-15 13:58:34 +02:00
|
|
|
if (nodep->lhsp()) { puts("," + cvtToStr(nodep->lhsp()->widthMin())); }
|
|
|
|
|
if (nodep->rhsp()) { puts("," + cvtToStr(nodep->rhsp()->widthMin())); }
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(",");
|
2020-04-15 13:58:34 +02:00
|
|
|
iterateAndNextNull(nodep->lhsp());
|
|
|
|
|
puts(", ");
|
|
|
|
|
iterateAndNextNull(nodep->rhsp());
|
|
|
|
|
puts(")");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else {
|
|
|
|
|
emitOpName(nodep, nodep->emitC(), nodep->lhsp(), nodep->rhsp(), NULL);
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstStreamL* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
// Attempt to use a "fast" stream function for slice size = power of 2
|
|
|
|
|
if (!nodep->isWide()) {
|
2018-02-02 03:32:58 +01:00
|
|
|
uint32_t isPow2 = VN_CAST(nodep->rhsp(), Const)->num().countOnes() == 1;
|
|
|
|
|
uint32_t sliceSize = VN_CAST(nodep->rhsp(), Const)->toUInt();
|
2019-05-19 22:13:13 +02:00
|
|
|
if (isPow2 && sliceSize <= (nodep->isQuad() ? sizeof(uint64_t) : sizeof(uint32_t))) {
|
|
|
|
|
puts("VL_STREAML_FAST_");
|
|
|
|
|
emitIQW(nodep);
|
|
|
|
|
emitIQW(nodep->lhsp());
|
|
|
|
|
puts("I(");
|
|
|
|
|
puts(cvtToStr(nodep->widthMin()));
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("," + cvtToStr(nodep->lhsp()->widthMin()));
|
|
|
|
|
puts("," + cvtToStr(nodep->rhsp()->widthMin()));
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(",");
|
2020-04-15 13:58:34 +02:00
|
|
|
iterateAndNextNull(nodep->lhsp());
|
|
|
|
|
puts(", ");
|
2018-02-02 03:32:58 +01:00
|
|
|
uint32_t rd_log2 = V3Number::log2b(VN_CAST(nodep->rhsp(), Const)->toUInt());
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(cvtToStr(rd_log2) + ")");
|
2019-05-19 22:13:13 +02:00
|
|
|
return;
|
|
|
|
|
}
|
|
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
emitOpName(nodep, "VL_STREAML_%nq%lq%rq(%nw,%lw,%rw, %P, %li, %ri)", nodep->lhsp(),
|
|
|
|
|
nodep->rhsp(), NULL);
|
2014-04-10 02:29:35 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstCountBits* nodep) override {
|
2020-05-10 20:27:22 +02:00
|
|
|
putbs("VL_COUNTBITS_");
|
|
|
|
|
emitIQW(nodep->lhsp());
|
|
|
|
|
puts("(");
|
|
|
|
|
puts(cvtToStr(nodep->lhsp()->widthMin()));
|
|
|
|
|
puts(", ");
|
|
|
|
|
if (nodep->lhsp()->isWide()) {
|
|
|
|
|
puts(cvtToStr(nodep->lhsp()->widthWords())); // Note argument width, not node width
|
|
|
|
|
// (which is always 32)
|
|
|
|
|
puts(", ");
|
|
|
|
|
}
|
|
|
|
|
iterateAndNextNull(nodep->lhsp());
|
|
|
|
|
puts(", ");
|
|
|
|
|
iterateAndNextNull(nodep->rhsp());
|
|
|
|
|
puts(", ");
|
|
|
|
|
iterateAndNextNull(nodep->thsp());
|
|
|
|
|
puts(", ");
|
|
|
|
|
iterateAndNextNull(nodep->fhsp());
|
|
|
|
|
puts(")");
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
// Terminals
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstVarRef* nodep) override {
|
2019-10-06 19:24:21 +02:00
|
|
|
puts(nodep->hiernameProtect());
|
|
|
|
|
puts(nodep->varp()->nameProtect());
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2017-09-12 01:18:58 +02:00
|
|
|
void emitCvtPackStr(AstNode* nodep) {
|
2018-02-02 03:32:58 +01:00
|
|
|
if (const AstConst* constp = VN_CAST(nodep, Const)) {
|
2019-05-19 22:13:13 +02:00
|
|
|
putbs("std::string(");
|
|
|
|
|
putsQuoted(constp->num().toString());
|
|
|
|
|
puts(")");
|
|
|
|
|
} else {
|
|
|
|
|
putbs("VL_CVT_PACK_STR_N");
|
|
|
|
|
emitIQW(nodep);
|
|
|
|
|
puts("(");
|
|
|
|
|
if (nodep->isWide()) {
|
2020-04-15 13:58:34 +02:00
|
|
|
// Note argument width, not node width (which is always 32)
|
|
|
|
|
puts(cvtToStr(nodep->widthWords()));
|
2020-01-14 13:01:17 +01:00
|
|
|
puts(", ");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep);
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(")");
|
|
|
|
|
}
|
2017-05-19 04:41:43 +02:00
|
|
|
}
|
2020-05-24 03:58:45 +02:00
|
|
|
void emitCvtWideArray(AstNode* nodep, AstNode* fromp) {
|
|
|
|
|
putbs("VL_CVT_W_A(");
|
|
|
|
|
iterate(nodep);
|
|
|
|
|
puts(", ");
|
|
|
|
|
iterate(fromp);
|
|
|
|
|
putbs(".atDefault()"); // Not accessed; only to get the proper type of values
|
|
|
|
|
puts(")");
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
void emitConstant(AstConst* nodep, AstVarRef* assigntop, const string& assignString) {
|
2019-05-19 22:13:13 +02:00
|
|
|
// Put out constant set to the specified variable, or given variable in a string
|
|
|
|
|
if (nodep->num().isFourState()) {
|
2020-06-10 01:20:16 +02:00
|
|
|
nodep->v3warn(E_UNSUPPORTED, "Unsupported: 4-state numbers in this context");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else if (nodep->num().isString()) {
|
|
|
|
|
putbs("std::string(");
|
|
|
|
|
putsQuoted(nodep->num().toString());
|
|
|
|
|
puts(")");
|
|
|
|
|
} else if (nodep->isWide()) {
|
|
|
|
|
int upWidth = nodep->num().widthMin();
|
|
|
|
|
int chunks = 0;
|
2019-12-09 03:36:38 +01:00
|
|
|
if (upWidth > EMITC_NUM_CONSTW * VL_EDATASIZE) {
|
2019-05-19 22:13:13 +02:00
|
|
|
// Output e.g. 8 words in groups of e.g. 8
|
2020-04-15 13:58:34 +02:00
|
|
|
chunks = (upWidth - 1) / (EMITC_NUM_CONSTW * VL_EDATASIZE);
|
2019-12-09 03:36:38 +01:00
|
|
|
upWidth %= (EMITC_NUM_CONSTW * VL_EDATASIZE);
|
|
|
|
|
if (upWidth == 0) upWidth = (EMITC_NUM_CONSTW * VL_EDATASIZE);
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
{ // Upper e.g. 8 words
|
2019-05-19 22:13:13 +02:00
|
|
|
if (chunks) {
|
|
|
|
|
putbs("VL_CONSTHI_W_");
|
|
|
|
|
puts(cvtToStr(VL_WORDS_I(upWidth)));
|
|
|
|
|
puts("X(");
|
|
|
|
|
puts(cvtToStr(nodep->widthMin()));
|
|
|
|
|
puts(",");
|
2019-12-09 03:36:38 +01:00
|
|
|
puts(cvtToStr(chunks * EMITC_NUM_CONSTW * VL_EDATASIZE));
|
2019-05-19 22:13:13 +02:00
|
|
|
} else {
|
|
|
|
|
putbs("VL_CONST_W_");
|
|
|
|
|
puts(cvtToStr(VL_WORDS_I(upWidth)));
|
|
|
|
|
puts("X(");
|
|
|
|
|
puts(cvtToStr(nodep->widthMin()));
|
|
|
|
|
}
|
|
|
|
|
puts(",");
|
|
|
|
|
if (!assigntop) {
|
|
|
|
|
puts(assignString);
|
2018-02-02 03:32:58 +01:00
|
|
|
} else if (VN_IS(assigntop, VarRef)) {
|
2019-10-06 19:24:21 +02:00
|
|
|
puts(assigntop->hiernameProtect());
|
|
|
|
|
puts(assigntop->varp()->nameProtect());
|
2019-05-19 22:13:13 +02:00
|
|
|
} else {
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(assigntop);
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
for (int word = VL_WORDS_I(upWidth) - 1; word >= 0; word--) {
|
2019-05-19 22:13:13 +02:00
|
|
|
// Only 32 bits - llx + long long here just to appease CPP format warning
|
2018-10-15 00:39:33 +02:00
|
|
|
ofp()->printf(",0x%08" VL_PRI64 "x",
|
2020-04-15 13:58:34 +02:00
|
|
|
static_cast<vluint64_t>(
|
|
|
|
|
nodep->num().edataWord(word + chunks * EMITC_NUM_CONSTW)));
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
|
|
|
|
puts(")");
|
|
|
|
|
}
|
|
|
|
|
for (chunks--; chunks >= 0; chunks--) {
|
|
|
|
|
puts(";\n");
|
|
|
|
|
putbs("VL_CONSTLO_W_");
|
|
|
|
|
puts(cvtToStr(EMITC_NUM_CONSTW));
|
|
|
|
|
puts("X(");
|
2019-12-09 03:36:38 +01:00
|
|
|
puts(cvtToStr(chunks * EMITC_NUM_CONSTW * VL_EDATASIZE));
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(",");
|
|
|
|
|
if (!assigntop) {
|
|
|
|
|
puts(assignString);
|
2018-02-02 03:32:58 +01:00
|
|
|
} else if (VN_IS(assigntop, VarRef)) {
|
2019-10-06 19:24:21 +02:00
|
|
|
puts(assigntop->hiernameProtect());
|
|
|
|
|
puts(assigntop->varp()->nameProtect());
|
2019-05-19 22:13:13 +02:00
|
|
|
} else {
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(assigntop);
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
for (int word = EMITC_NUM_CONSTW - 1; word >= 0; word--) {
|
2019-05-19 22:13:13 +02:00
|
|
|
// Only 32 bits - llx + long long here just to appease CPP format warning
|
2018-10-15 00:39:33 +02:00
|
|
|
ofp()->printf(",0x%08" VL_PRI64 "x",
|
2020-04-15 13:58:34 +02:00
|
|
|
static_cast<vluint64_t>(
|
|
|
|
|
nodep->num().edataWord(word + chunks * EMITC_NUM_CONSTW)));
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
|
|
|
|
puts(")");
|
|
|
|
|
}
|
|
|
|
|
} else if (nodep->isDouble()) {
|
|
|
|
|
if (int(nodep->num().toDouble()) == nodep->num().toDouble()
|
2020-04-15 13:58:34 +02:00
|
|
|
&& nodep->num().toDouble() < 1000 && nodep->num().toDouble() > -1000) {
|
2019-05-19 22:13:13 +02:00
|
|
|
ofp()->printf("%3.1f", nodep->num().toDouble()); // Force decimal point
|
|
|
|
|
} else {
|
|
|
|
|
// Not %g as will not always put in decimal point, so not obvious to compiler
|
|
|
|
|
// is a real number
|
|
|
|
|
ofp()->printf("%.17e", nodep->num().toDouble());
|
|
|
|
|
}
|
|
|
|
|
} else if (nodep->isQuad()) {
|
|
|
|
|
vluint64_t num = nodep->toUQuad();
|
2020-04-15 13:58:34 +02:00
|
|
|
if (num < 10) {
|
2020-05-29 02:32:07 +02:00
|
|
|
ofp()->printf("%" VL_PRI64 "uULL", num);
|
2020-04-15 13:58:34 +02:00
|
|
|
} else {
|
2020-05-29 02:32:07 +02:00
|
|
|
ofp()->printf("0x%" VL_PRI64 "xULL", num);
|
2020-04-15 13:58:34 +02:00
|
|
|
}
|
2019-05-19 22:13:13 +02:00
|
|
|
} else {
|
|
|
|
|
uint32_t num = nodep->toUInt();
|
|
|
|
|
// Only 32 bits - llx + long long here just to appease CPP format warning
|
2020-04-15 13:58:34 +02:00
|
|
|
if (num < 10) {
|
|
|
|
|
puts(cvtToStr(num));
|
|
|
|
|
} else {
|
|
|
|
|
ofp()->printf("0x%" VL_PRI64 "x", static_cast<vluint64_t>(num));
|
|
|
|
|
}
|
2019-05-19 22:13:13 +02:00
|
|
|
// If signed, we'll do our own functions
|
|
|
|
|
// But must be here, or <= comparisons etc may end up signed
|
|
|
|
|
puts("U");
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
void emitSetVarConstant(const string& assignString, AstConst* constp) {
|
2019-05-19 22:13:13 +02:00
|
|
|
if (!constp->isWide()) {
|
|
|
|
|
puts(assignString);
|
|
|
|
|
puts(" = ");
|
|
|
|
|
}
|
|
|
|
|
emitConstant(constp, NULL, assignString);
|
|
|
|
|
puts(";\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstConst* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
if (nodep->isWide()) {
|
2019-07-06 18:57:50 +02:00
|
|
|
UASSERT_OBJ(m_wideTempRefp, nodep, "Wide Constant w/ no temp");
|
2019-05-19 22:13:13 +02:00
|
|
|
emitConstant(nodep, m_wideTempRefp, "");
|
|
|
|
|
m_wideTempRefp = NULL; // We used it, barf if set it a second time
|
|
|
|
|
} else {
|
|
|
|
|
emitConstant(nodep, NULL, "");
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Just iterate
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstNetlist* nodep) override { iterateChildren(nodep); }
|
|
|
|
|
virtual void visit(AstTopScope* nodep) override { iterateChildren(nodep); }
|
|
|
|
|
virtual void visit(AstScope* nodep) override { iterateChildren(nodep); }
|
2006-08-26 13:35:28 +02:00
|
|
|
// NOPs
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstTypedef*) override {}
|
|
|
|
|
virtual void visit(AstPragma*) override {}
|
|
|
|
|
virtual void visit(AstCell*) override {} // Handled outside the Visit class
|
|
|
|
|
virtual void visit(AstVar*) override {} // Handled outside the Visit class
|
|
|
|
|
virtual void visit(AstNodeText*) override {} // Handled outside the Visit class
|
|
|
|
|
virtual void visit(AstTraceDecl*) override {} // Handled outside the Visit class
|
|
|
|
|
virtual void visit(AstTraceInc*) override {} // Handled outside the Visit class
|
|
|
|
|
virtual void visit(AstCFile*) override {} // Handled outside the Visit class
|
|
|
|
|
virtual void visit(AstCellInline*) override {} // Handled outside visit (in EmitCSyms)
|
|
|
|
|
virtual void visit(AstCUse*) override {} // Handled outside the Visit class
|
2006-08-26 13:35:28 +02:00
|
|
|
// Default
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstNode* nodep) override {
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(string("\n???? // ") + nodep->prettyTypeName() + "\n");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateChildren(nodep);
|
2020-04-15 13:58:34 +02:00
|
|
|
nodep->v3fatalSrc("Unknown node type reached emitter: " << nodep->prettyTypeName());
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
2019-09-27 09:44:23 +02:00
|
|
|
void init() {
|
2019-05-19 22:13:13 +02:00
|
|
|
m_suppressSemi = false;
|
|
|
|
|
m_wideTempRefp = NULL;
|
2019-12-01 23:19:03 +01:00
|
|
|
m_labelNum = 0;
|
2019-05-19 22:13:13 +02:00
|
|
|
m_splitSize = 0;
|
|
|
|
|
m_splitFilenum = 0;
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2019-09-27 09:44:23 +02:00
|
|
|
|
|
|
|
|
public:
|
2020-04-15 13:58:34 +02:00
|
|
|
EmitCStmts() { init(); }
|
|
|
|
|
EmitCStmts(AstNode* nodep, V3OutCFile* ofp, bool trackText = false) {
|
2019-09-27 09:44:23 +02:00
|
|
|
init();
|
|
|
|
|
m_ofp = ofp;
|
|
|
|
|
m_trackText = trackText;
|
|
|
|
|
iterate(nodep);
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
virtual ~EmitCStmts() {}
|
|
|
|
|
};
|
|
|
|
|
|
2018-07-23 02:54:28 +02:00
|
|
|
//######################################################################
|
|
|
|
|
// Establish mtask variable sort order in mtasks mode
|
|
|
|
|
|
|
|
|
|
class EmitVarTspSorter : public V3TSP::TspStateBase {
|
|
|
|
|
private:
|
|
|
|
|
// MEMBERS
|
|
|
|
|
const MTaskIdSet& m_mtaskIds; // Mtask we're ordering
|
|
|
|
|
static unsigned m_serialNext; // Unique ID to establish serial order
|
|
|
|
|
unsigned m_serial; // Serial ordering
|
|
|
|
|
public:
|
|
|
|
|
// CONSTRUCTORS
|
|
|
|
|
explicit EmitVarTspSorter(const MTaskIdSet& mtaskIds)
|
2020-04-15 13:58:34 +02:00
|
|
|
: m_mtaskIds(mtaskIds)
|
|
|
|
|
, m_serial(++m_serialNext) {}
|
2018-07-23 02:54:28 +02:00
|
|
|
virtual ~EmitVarTspSorter() {}
|
|
|
|
|
// METHODS
|
|
|
|
|
bool operator<(const TspStateBase& other) const {
|
|
|
|
|
return operator<(dynamic_cast<const EmitVarTspSorter&>(other));
|
|
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
bool operator<(const EmitVarTspSorter& other) const { return m_serial < other.m_serial; }
|
2018-07-23 02:54:28 +02:00
|
|
|
const MTaskIdSet& mtaskIds() const { return m_mtaskIds; }
|
|
|
|
|
virtual int cost(const TspStateBase* otherp) const {
|
|
|
|
|
return cost(dynamic_cast<const EmitVarTspSorter*>(otherp));
|
|
|
|
|
}
|
|
|
|
|
virtual int cost(const EmitVarTspSorter* otherp) const {
|
|
|
|
|
int cost = diffs(m_mtaskIds, otherp->m_mtaskIds);
|
|
|
|
|
cost += diffs(otherp->m_mtaskIds, m_mtaskIds);
|
|
|
|
|
return cost;
|
|
|
|
|
}
|
|
|
|
|
// Returns the number of elements in set_a that don't appear in set_b
|
|
|
|
|
static int diffs(const MTaskIdSet& set_a, const MTaskIdSet& set_b) {
|
|
|
|
|
int diffs = 0;
|
2020-04-15 13:58:34 +02:00
|
|
|
for (MTaskIdSet::iterator it = set_a.begin(); it != set_a.end(); ++it) {
|
2018-07-23 02:54:28 +02:00
|
|
|
if (set_b.find(*it) == set_b.end()) ++diffs;
|
|
|
|
|
}
|
|
|
|
|
return diffs;
|
|
|
|
|
}
|
|
|
|
|
};
|
|
|
|
|
|
|
|
|
|
unsigned EmitVarTspSorter::m_serialNext = 0;
|
|
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
//######################################################################
|
|
|
|
|
// Internal EmitC implementation
|
|
|
|
|
|
|
|
|
|
class EmitCImp : EmitCStmts {
|
|
|
|
|
// MEMBERS
|
2020-04-15 13:58:34 +02:00
|
|
|
AstNodeModule* m_modp;
|
2018-02-02 03:24:41 +01:00
|
|
|
std::vector<AstChangeDet*> m_blkChangeDetVec; // All encountered changes in block
|
2020-04-15 13:58:34 +02:00
|
|
|
bool m_slow; // Creating __Slow file
|
|
|
|
|
bool m_fast; // Creating non __Slow file (or both)
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
//---------------------------------------
|
|
|
|
|
// METHODS
|
|
|
|
|
|
|
|
|
|
void doubleOrDetect(AstChangeDet* changep, bool& gotOne) {
|
2020-02-04 05:21:56 +01:00
|
|
|
// cppcheck-suppress variableScope
|
2018-09-28 14:36:37 +02:00
|
|
|
static int s_addDoubleOr = 10; // Determined experimentally as best
|
2019-05-19 22:13:13 +02:00
|
|
|
if (!changep->rhsp()) {
|
2020-04-15 13:58:34 +02:00
|
|
|
if (!gotOne) {
|
|
|
|
|
gotOne = true;
|
|
|
|
|
} else {
|
|
|
|
|
puts(" | ");
|
|
|
|
|
}
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(changep->lhsp());
|
2020-04-15 13:58:34 +02:00
|
|
|
} else {
|
2019-05-19 22:13:13 +02:00
|
|
|
AstNode* lhsp = changep->lhsp();
|
|
|
|
|
AstNode* rhsp = changep->rhsp();
|
2019-07-06 18:57:50 +02:00
|
|
|
UASSERT_OBJ(VN_IS(lhsp, VarRef) || VN_IS(lhsp, ArraySel), changep, "Not ref?");
|
|
|
|
|
UASSERT_OBJ(VN_IS(rhsp, VarRef) || VN_IS(rhsp, ArraySel), changep, "Not ref?");
|
2020-04-15 13:58:34 +02:00
|
|
|
for (int word = 0;
|
|
|
|
|
word < (changep->lhsp()->isWide() ? changep->lhsp()->widthWords() : 1); ++word) {
|
2019-05-19 22:13:13 +02:00
|
|
|
if (!gotOne) {
|
|
|
|
|
gotOne = true;
|
2018-09-28 14:36:37 +02:00
|
|
|
s_addDoubleOr = 10;
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("(");
|
2018-09-28 14:36:37 +02:00
|
|
|
} else if (--s_addDoubleOr == 0) {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("|| (");
|
2018-09-28 14:36:37 +02:00
|
|
|
s_addDoubleOr = 10;
|
2019-05-19 22:13:13 +02:00
|
|
|
} else {
|
|
|
|
|
puts(" | (");
|
|
|
|
|
}
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(changep->lhsp());
|
2020-04-15 13:58:34 +02:00
|
|
|
if (changep->lhsp()->isWide()) puts("[" + cvtToStr(word) + "]");
|
|
|
|
|
if (changep->lhsp()->isDouble()) {
|
|
|
|
|
puts(" != ");
|
|
|
|
|
} else {
|
|
|
|
|
puts(" ^ ");
|
|
|
|
|
}
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(changep->rhsp());
|
2020-04-15 13:58:34 +02:00
|
|
|
if (changep->lhsp()->isWide()) puts("[" + cvtToStr(word) + "]");
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(")");
|
|
|
|
|
}
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
2020-04-15 13:58:34 +02:00
|
|
|
V3OutCFile* newOutCFile(AstNodeModule* modp, bool slow, bool source, int filenum = 0) {
|
2020-01-25 15:16:00 +01:00
|
|
|
string filenameNoExt = v3Global.opt.makeDir() + "/" + prefixNameProtect(modp);
|
|
|
|
|
if (filenum) filenameNoExt += "__" + cvtToStr(filenum);
|
|
|
|
|
filenameNoExt += (slow ? "__Slow" : "");
|
2019-05-19 22:13:13 +02:00
|
|
|
V3OutCFile* ofp = NULL;
|
|
|
|
|
if (v3Global.opt.lintOnly()) {
|
|
|
|
|
// Unfortunately we have some lint checks here, so we can't just skip processing.
|
|
|
|
|
// We should move them to a different stage.
|
|
|
|
|
string filename = VL_DEV_NULL;
|
|
|
|
|
newCFile(filename, slow, source);
|
2018-08-25 15:52:45 +02:00
|
|
|
ofp = new V3OutCFile(filename);
|
2020-04-15 13:58:34 +02:00
|
|
|
} else if (optSystemC()) {
|
|
|
|
|
string filename = filenameNoExt + (source ? ".cpp" : ".h");
|
2019-05-19 22:13:13 +02:00
|
|
|
newCFile(filename, slow, source);
|
2018-08-25 15:52:45 +02:00
|
|
|
ofp = new V3OutScFile(filename);
|
2020-04-15 13:58:34 +02:00
|
|
|
} else {
|
|
|
|
|
string filename = filenameNoExt + (source ? ".cpp" : ".h");
|
2019-05-19 22:13:13 +02:00
|
|
|
newCFile(filename, slow, source);
|
2018-08-25 15:52:45 +02:00
|
|
|
ofp = new V3OutCFile(filename);
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
ofp->putsHeader();
|
|
|
|
|
if (modp->isTop() && !source) {
|
2020-04-15 13:58:34 +02:00
|
|
|
ofp->puts("// DESCR"
|
|
|
|
|
"IPTION: Verilator output: Primary design header\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
ofp->puts("//\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
ofp->puts("// This header should be included by all source files instantiating the "
|
|
|
|
|
"design.\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
ofp->puts("// The class here is then constructed to instantiate the design.\n");
|
|
|
|
|
ofp->puts("// See the Verilator manual for examples.\n");
|
|
|
|
|
} else {
|
|
|
|
|
if (source) {
|
2020-04-15 13:58:34 +02:00
|
|
|
ofp->puts("// DESCR"
|
|
|
|
|
"IPTION: Verilator output: Design implementation internals\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else {
|
2020-04-15 13:58:34 +02:00
|
|
|
ofp->puts("// DESCR"
|
|
|
|
|
"IPTION: Verilator output: Design internal header\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
ofp->puts("// See " + v3Global.opt.prefix() + ".h for the primary calling header\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
|
|
|
|
return ofp;
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
2018-07-23 02:54:28 +02:00
|
|
|
// Returns the number of cross-thread dependencies into mtaskp.
|
|
|
|
|
// If >0, mtaskp must test whether its prereqs are done before starting,
|
|
|
|
|
// and may need to block.
|
|
|
|
|
static uint32_t packedMTaskMayBlock(const ExecMTask* mtaskp) {
|
|
|
|
|
uint32_t result = 0;
|
|
|
|
|
for (V3GraphEdge* edgep = mtaskp->inBeginp(); edgep; edgep = edgep->inNextp()) {
|
|
|
|
|
const ExecMTask* prevp = dynamic_cast<ExecMTask*>(edgep->fromp());
|
2020-04-15 13:58:34 +02:00
|
|
|
if (prevp->thread() != mtaskp->thread()) ++result;
|
2018-07-23 02:54:28 +02:00
|
|
|
}
|
|
|
|
|
return result;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void emitMTaskBody(AstMTaskBody* nodep) {
|
|
|
|
|
ExecMTask* curExecMTaskp = nodep->execMTaskp();
|
|
|
|
|
if (packedMTaskMayBlock(curExecMTaskp)) {
|
|
|
|
|
puts("vlTOPp->__Vm_mt_" + cvtToStr(curExecMTaskp->id())
|
|
|
|
|
+ ".waitUntilUpstreamDone(even_cycle);\n");
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
string recName;
|
|
|
|
|
if (v3Global.opt.profThreads()) {
|
|
|
|
|
recName = "__Vprfthr_" + cvtToStr(curExecMTaskp->id());
|
|
|
|
|
puts("VlProfileRec* " + recName + " = NULL;\n");
|
|
|
|
|
// Leave this if() here, as don't want to call VL_RDTSC_Q unless profiling
|
|
|
|
|
puts("if (VL_UNLIKELY(vlTOPp->__Vm_profile_cycle_start)) {\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(recName + " = vlTOPp->__Vm_threadPoolp->profileAppend();\n");
|
|
|
|
|
puts(recName + "->startRecord(VL_RDTSC_Q() - vlTOPp->__Vm_profile_cycle_start,");
|
|
|
|
|
puts(" " + cvtToStr(curExecMTaskp->id()) + ",");
|
|
|
|
|
puts(" " + cvtToStr(curExecMTaskp->cost()) + ");\n");
|
2018-07-23 02:54:28 +02:00
|
|
|
puts("}\n");
|
|
|
|
|
}
|
|
|
|
|
puts("Verilated::mtaskId(" + cvtToStr(curExecMTaskp->id()) + ");\n");
|
|
|
|
|
|
|
|
|
|
// The actual body of calls to leaf functions
|
|
|
|
|
iterateAndNextNull(nodep->stmtsp());
|
|
|
|
|
|
|
|
|
|
if (v3Global.opt.profThreads()) {
|
|
|
|
|
// Leave this if() here, as don't want to call VL_RDTSC_Q unless profiling
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("if (VL_UNLIKELY(" + recName + ")) {\n");
|
|
|
|
|
puts(recName + "->endRecord(VL_RDTSC_Q() - vlTOPp->__Vm_profile_cycle_start);\n");
|
2018-07-23 02:54:28 +02:00
|
|
|
puts("}\n");
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Flush message queue
|
|
|
|
|
puts("Verilated::endOfThreadMTask(vlSymsp->__Vm_evalMsgQp);\n");
|
|
|
|
|
|
|
|
|
|
// For any downstream mtask that's on another thread, bump its
|
|
|
|
|
// counter and maybe notify it.
|
2020-04-15 13:58:34 +02:00
|
|
|
for (V3GraphEdge* edgep = curExecMTaskp->outBeginp(); edgep; edgep = edgep->outNextp()) {
|
2018-07-23 02:54:28 +02:00
|
|
|
const ExecMTask* nextp = dynamic_cast<ExecMTask*>(edgep->top());
|
|
|
|
|
if (nextp->thread() != curExecMTaskp->thread()) {
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("vlTOPp->__Vm_mt_" + cvtToStr(nextp->id())
|
2018-07-23 02:54:28 +02:00
|
|
|
+ ".signalUpstreamDone(even_cycle);\n");
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Run the next mtask inline
|
|
|
|
|
const ExecMTask* nextp = curExecMTaskp->packNextp();
|
|
|
|
|
if (nextp) {
|
|
|
|
|
emitMTaskBody(nextp->bodyp());
|
|
|
|
|
} else {
|
|
|
|
|
// Unblock the fake "final" mtask
|
|
|
|
|
puts("vlTOPp->__Vm_mt_final.signalUpstreamDone(even_cycle);\n");
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstMTaskBody* nodep) override {
|
2018-07-23 02:54:28 +02:00
|
|
|
ExecMTask* mtp = nodep->execMTaskp();
|
|
|
|
|
puts("\n");
|
|
|
|
|
puts("void ");
|
2020-01-25 15:16:00 +01:00
|
|
|
puts(prefixNameProtect(m_modp) + "::" + protect(mtp->cFuncName()));
|
2018-07-23 02:54:28 +02:00
|
|
|
puts("(bool even_cycle, void* symtab) {\n");
|
|
|
|
|
|
|
|
|
|
// Declare and set vlSymsp
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(EmitCBaseVisitor::symClassVar() + " = (" + EmitCBaseVisitor::symClassName()
|
|
|
|
|
+ "*)symtab;\n");
|
|
|
|
|
puts(EmitCBaseVisitor::symTopAssign() + "\n");
|
2018-07-23 02:54:28 +02:00
|
|
|
|
|
|
|
|
emitMTaskBody(nodep);
|
|
|
|
|
puts("}\n");
|
|
|
|
|
}
|
|
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
//---------------------------------------
|
|
|
|
|
// VISITORS
|
2018-01-25 02:19:52 +01:00
|
|
|
using EmitCStmts::visit; // Suppress hidden overloaded virtual function warning
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstCFunc* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
// TRACE_* and DPI handled elsewhere
|
|
|
|
|
if (nodep->funcType().isTrace()) return;
|
|
|
|
|
if (nodep->dpiImport()) return;
|
|
|
|
|
if (!(nodep->slow() ? m_slow : m_fast)) return;
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2019-05-19 22:13:13 +02:00
|
|
|
m_blkChangeDetVec.clear();
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2019-05-19 22:13:13 +02:00
|
|
|
splitSizeInc(nodep);
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
if (nodep->ifdef() != "") puts("#ifdef " + nodep->ifdef() + "\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
if (nodep->isInline()) puts("VL_INLINE_OPT ");
|
2020-02-03 03:15:07 +01:00
|
|
|
if (!nodep->isConstructor() && !nodep->isDestructor()) {
|
|
|
|
|
puts(nodep->rtnTypeVoid());
|
|
|
|
|
puts(" ");
|
|
|
|
|
}
|
|
|
|
|
|
2020-02-01 16:57:55 +01:00
|
|
|
if (nodep->isMethod()) puts(prefixNameProtect(m_modp) + "::");
|
2020-02-03 03:15:07 +01:00
|
|
|
puts(funcNameProtect(nodep, m_modp));
|
|
|
|
|
puts("(" + cFuncArgs(nodep) + ")");
|
2020-02-01 16:57:55 +01:00
|
|
|
if (nodep->isConst().trueKnown()) puts(" const");
|
|
|
|
|
puts(" {\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
|
|
|
|
|
// "+" in the debug indicates a print from the model
|
|
|
|
|
puts("VL_DEBUG_IF(VL_DBG_MSGF(\"+ ");
|
2020-04-15 13:58:34 +02:00
|
|
|
for (int i = 0; i < m_modp->level(); ++i) { puts(" "); }
|
2020-01-25 15:16:00 +01:00
|
|
|
puts(prefixNameProtect(m_modp) + "::" + nodep->nameProtect() + "\\n\"); );\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2018-05-30 01:49:27 +02:00
|
|
|
// Declare and set vlTOPp
|
2020-04-15 13:58:34 +02:00
|
|
|
if (nodep->symProlog()) puts(EmitCBaseVisitor::symTopAssign() + "\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
|
|
|
|
|
if (nodep->initsp()) putsDecoration("// Variables\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
for (AstNode* subnodep = nodep->argsp(); subnodep; subnodep = subnodep->nextp()) {
|
2019-05-19 22:13:13 +02:00
|
|
|
if (AstVar* varp = VN_CAST(subnodep, Var)) {
|
|
|
|
|
if (varp->isFuncReturn()) emitVarDecl(varp, "");
|
|
|
|
|
}
|
|
|
|
|
}
|
2020-02-02 02:11:21 +01:00
|
|
|
string section;
|
2020-04-15 13:58:34 +02:00
|
|
|
emitVarList(nodep->initsp(), EVL_FUNC_ALL, "", section /*ref*/);
|
|
|
|
|
emitVarList(nodep->stmtsp(), EVL_FUNC_ALL, "", section /*ref*/);
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->initsp());
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2019-05-19 22:13:13 +02:00
|
|
|
if (nodep->stmtsp()) putsDecoration("// Body\n");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->stmtsp());
|
2019-05-19 22:13:13 +02:00
|
|
|
if (!m_blkChangeDetVec.empty()) emitChangeDet();
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2019-05-19 22:13:13 +02:00
|
|
|
if (nodep->finalsp()) putsDecoration("// Final\n");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->finalsp());
|
2019-05-19 22:13:13 +02:00
|
|
|
//
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2019-05-19 22:13:13 +02:00
|
|
|
if (!m_blkChangeDetVec.empty()) puts("return __req;\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-04-15 13:58:34 +02:00
|
|
|
// puts("__Vm_activity = true;\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("}\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
if (nodep->ifdef() != "") puts("#endif // " + nodep->ifdef() + "\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void emitChangeDet() {
|
2019-05-19 22:13:13 +02:00
|
|
|
putsDecoration("// Change detection\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("QData __req = false; // Logically a bool\n"); // But not because it results in
|
|
|
|
|
// faster code
|
2019-05-19 22:13:13 +02:00
|
|
|
bool gotOne = false;
|
2018-02-02 03:24:41 +01:00
|
|
|
for (std::vector<AstChangeDet*>::iterator it = m_blkChangeDetVec.begin();
|
2019-05-19 22:13:13 +02:00
|
|
|
it != m_blkChangeDetVec.end(); ++it) {
|
|
|
|
|
AstChangeDet* changep = *it;
|
|
|
|
|
if (changep->lhsp()) {
|
|
|
|
|
if (!gotOne) { // Not a clocked block
|
|
|
|
|
puts("__req |= (");
|
2020-04-15 13:58:34 +02:00
|
|
|
} else {
|
|
|
|
|
puts("\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
|
|
|
|
doubleOrDetect(changep, gotOne);
|
|
|
|
|
}
|
|
|
|
|
}
|
2019-10-06 19:24:21 +02:00
|
|
|
if (gotOne) puts(");\n");
|
|
|
|
|
if (gotOne && !v3Global.opt.protectIds()) {
|
2020-04-15 13:58:34 +02:00
|
|
|
// puts("VL_DEBUG_IF( if (__req) cout<<\"- CLOCKREQ );");
|
2018-02-02 03:24:41 +01:00
|
|
|
for (std::vector<AstChangeDet*>::iterator it = m_blkChangeDetVec.begin();
|
2019-05-19 22:13:13 +02:00
|
|
|
it != m_blkChangeDetVec.end(); ++it) {
|
|
|
|
|
AstChangeDet* nodep = *it;
|
|
|
|
|
if (nodep->lhsp()) {
|
|
|
|
|
puts("VL_DEBUG_IF( if(__req && (");
|
|
|
|
|
bool gotOneIgnore = false;
|
|
|
|
|
doubleOrDetect(nodep, gotOneIgnore);
|
|
|
|
|
string varname;
|
2018-02-02 03:32:58 +01:00
|
|
|
if (VN_IS(nodep->lhsp(), VarRef)) {
|
2020-04-15 13:58:34 +02:00
|
|
|
varname = ": " + VN_CAST(nodep->lhsp(), VarRef)->varp()->prettyName();
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2019-11-06 03:53:26 +01:00
|
|
|
puts(")) VL_DBG_MSGF(\" CHANGE: ");
|
|
|
|
|
puts(protect(nodep->fileline()->filename()));
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(":" + cvtToStr(nodep->fileline()->lineno()));
|
|
|
|
|
puts(varname + "\\n\"); );\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstChangeDet* nodep) override { //
|
2019-05-19 22:13:13 +02:00
|
|
|
m_blkChangeDetVec.push_back(nodep);
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstCReset* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
AstVar* varp = nodep->varrefp()->varp();
|
|
|
|
|
emitVarReset(varp);
|
2016-05-12 13:19:02 +02:00
|
|
|
}
|
|
|
|
|
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstExecGraph* nodep) override {
|
2019-07-06 18:57:50 +02:00
|
|
|
UASSERT_OBJ(nodep == v3Global.rootp()->execGraphp(), nodep,
|
|
|
|
|
"ExecGraph should be a singleton!");
|
2018-07-23 02:54:28 +02:00
|
|
|
// The location of the AstExecGraph within the containing _eval()
|
|
|
|
|
// function is where we want to invoke the graph and wait for it to
|
|
|
|
|
// complete. Do that now.
|
|
|
|
|
//
|
|
|
|
|
// Don't recurse to children -- this isn't the place to emit
|
|
|
|
|
// function definitions for the nested CFuncs. We'll do that at the
|
|
|
|
|
// end.
|
|
|
|
|
puts("vlTOPp->__Vm_even_cycle = !vlTOPp->__Vm_even_cycle;\n");
|
|
|
|
|
|
|
|
|
|
// Build the list of initial mtasks to start
|
|
|
|
|
std::vector<const ExecMTask*> execMTasks;
|
|
|
|
|
|
|
|
|
|
// Start each root mtask
|
2020-04-15 13:58:34 +02:00
|
|
|
for (const V3GraphVertex* vxp = nodep->depGraphp()->verticesBeginp(); vxp;
|
|
|
|
|
vxp = vxp->verticesNextp()) {
|
2018-07-23 02:54:28 +02:00
|
|
|
const ExecMTask* etp = dynamic_cast<const ExecMTask*>(vxp);
|
|
|
|
|
if (etp->threadRoot()) execMTasks.push_back(etp);
|
|
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
UASSERT_OBJ(execMTasks.size() <= static_cast<unsigned>(v3Global.opt.threads()), nodep,
|
|
|
|
|
"More root mtasks than available threads");
|
2018-07-23 02:54:28 +02:00
|
|
|
|
|
|
|
|
if (!execMTasks.empty()) {
|
|
|
|
|
for (uint32_t i = 0; i < execMTasks.size(); ++i) {
|
|
|
|
|
bool runInline = (i == execMTasks.size() - 1);
|
|
|
|
|
if (runInline) {
|
|
|
|
|
// The thread calling eval() will run this mtask inline,
|
|
|
|
|
// along with its packed successors.
|
2019-10-06 19:24:21 +02:00
|
|
|
puts(protect(execMTasks[i]->cFuncName())
|
2018-07-23 02:54:28 +02:00
|
|
|
+ "(vlTOPp->__Vm_even_cycle, vlSymsp);\n");
|
|
|
|
|
puts("Verilated::mtaskId(0);\n");
|
|
|
|
|
} else {
|
|
|
|
|
// The other N-1 go to the thread pool.
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("vlTOPp->__Vm_threadPoolp->workerp(" + cvtToStr(i) + ")->addTask("
|
2019-10-06 19:24:21 +02:00
|
|
|
+ protect(execMTasks[i]->cFuncName())
|
2018-07-23 02:54:28 +02:00
|
|
|
+ ", vlTOPp->__Vm_even_cycle, vlSymsp);\n");
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
puts("vlTOPp->__Vm_mt_final.waitUntilUpstreamDone(vlTOPp->__Vm_even_cycle);\n");
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
//---------------------------------------
|
|
|
|
|
// ACCESSORS
|
|
|
|
|
|
|
|
|
|
// METHODS
|
|
|
|
|
// Low level
|
2020-02-01 22:45:11 +01:00
|
|
|
void emitModCUse(AstNodeModule* modp, VUseType useType) {
|
|
|
|
|
string nl;
|
|
|
|
|
for (AstNode* itemp = modp->stmtsp(); itemp; itemp = itemp->nextp()) {
|
|
|
|
|
if (AstCUse* usep = VN_CAST(itemp, CUse)) {
|
|
|
|
|
if (usep->useType() == useType) {
|
|
|
|
|
if (usep->useType().isInclude()) {
|
|
|
|
|
puts("#include \"" + prefixNameProtect(usep) + ".h\"\n");
|
|
|
|
|
}
|
|
|
|
|
if (usep->useType().isFwdClass()) {
|
|
|
|
|
puts("class " + prefixNameProtect(usep) + ";\n");
|
|
|
|
|
}
|
|
|
|
|
nl = "\n";
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
puts(nl);
|
|
|
|
|
}
|
|
|
|
|
|
2019-12-01 12:09:58 +01:00
|
|
|
void emitVarReset(AstVar* varp) {
|
|
|
|
|
AstNodeDType* dtypep = varp->dtypep()->skipRefp();
|
|
|
|
|
if (varp->isIO() && m_modp->isTop() && optSystemC()) {
|
|
|
|
|
// System C top I/O doesn't need loading, as the lower level subinst code does it.}
|
|
|
|
|
} else if (varp->isParam()) {
|
|
|
|
|
UASSERT_OBJ(varp->valuep(), varp, "No init for a param?");
|
|
|
|
|
// If a simple CONST value we initialize it using an enum
|
|
|
|
|
// If an ARRAYINIT we initialize it using an initial block similar to a signal
|
2020-04-15 13:58:34 +02:00
|
|
|
// puts("// parameter "+varp->nameProtect()+" = "+varp->valuep()->name()+"\n");
|
2019-12-01 12:09:58 +01:00
|
|
|
} else if (AstInitArray* initarp = VN_CAST(varp->valuep(), InitArray)) {
|
|
|
|
|
if (AstUnpackArrayDType* adtypep = VN_CAST(dtypep, UnpackArrayDType)) {
|
|
|
|
|
if (initarp->defaultp()) {
|
|
|
|
|
// MSVC++ pre V7 doesn't support 'for (int ...)', so declare in sep block
|
|
|
|
|
puts("{ int __Vi=0;");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(" for (; __Vi<" + cvtToStr(adtypep->elementsConst()));
|
2019-12-01 12:09:58 +01:00
|
|
|
puts("; ++__Vi) {\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
emitSetVarConstant(varp->nameProtect() + "[__Vi]",
|
2019-12-01 12:09:58 +01:00
|
|
|
VN_CAST(initarp->defaultp(), Const));
|
|
|
|
|
puts("}}\n");
|
|
|
|
|
}
|
|
|
|
|
const AstInitArray::KeyItemMap& mapr = initarp->map();
|
2020-04-15 13:58:34 +02:00
|
|
|
for (AstInitArray::KeyItemMap::const_iterator it = mapr.begin(); it != mapr.end();
|
|
|
|
|
++it) {
|
2019-12-01 12:09:58 +01:00
|
|
|
AstNode* valuep = it->second->valuep();
|
2020-04-15 13:58:34 +02:00
|
|
|
emitSetVarConstant(varp->nameProtect() + "[" + cvtToStr(it->first) + "]",
|
2019-12-01 12:09:58 +01:00
|
|
|
VN_CAST(valuep, Const));
|
|
|
|
|
}
|
|
|
|
|
} else {
|
|
|
|
|
varp->v3fatalSrc("InitArray under non-arrayed var");
|
|
|
|
|
}
|
|
|
|
|
} else {
|
|
|
|
|
puts(emitVarResetRecurse(varp, dtypep, 0, ""));
|
|
|
|
|
}
|
|
|
|
|
}
|
2020-02-04 05:21:56 +01:00
|
|
|
string emitVarResetRecurse(AstVar* varp, AstNodeDType* dtypep, int depth,
|
|
|
|
|
const string& suffix) {
|
2019-12-01 12:09:58 +01:00
|
|
|
dtypep = dtypep->skipRefp();
|
|
|
|
|
AstBasicDType* basicp = dtypep->basicp();
|
|
|
|
|
// Returns string to do resetting, empty to do nothing (which caller should handle)
|
2019-12-01 17:52:48 +01:00
|
|
|
if (AstAssocArrayDType* adtypep = VN_CAST(dtypep, AssocArrayDType)) {
|
2020-05-24 03:58:45 +02:00
|
|
|
// Access std::array as C array
|
|
|
|
|
string cvtarray = (adtypep->subDTypep()->isWide() ? ".data()" : "");
|
2020-04-15 13:58:34 +02:00
|
|
|
return emitVarResetRecurse(varp, adtypep->subDTypep(), depth + 1,
|
2019-12-01 17:52:48 +01:00
|
|
|
".atDefault()" + cvtarray);
|
2020-05-27 14:45:11 +02:00
|
|
|
} else if (VN_IS(dtypep, ClassRefDType)) {
|
2020-04-05 15:30:23 +02:00
|
|
|
return ""; // Constructor does it
|
2020-04-15 13:58:34 +02:00
|
|
|
} else if (AstDynArrayDType* adtypep = VN_CAST(dtypep, DynArrayDType)) {
|
2020-05-24 03:58:45 +02:00
|
|
|
// Access std::array as C array
|
|
|
|
|
string cvtarray = (adtypep->subDTypep()->isWide() ? ".data()" : "");
|
|
|
|
|
return emitVarResetRecurse(varp, adtypep->subDTypep(), depth + 1,
|
|
|
|
|
".atDefault()" + cvtarray);
|
2020-04-15 13:58:34 +02:00
|
|
|
} else if (AstQueueDType* adtypep = VN_CAST(dtypep, QueueDType)) {
|
2020-05-24 03:58:45 +02:00
|
|
|
// Access std::array as C array
|
|
|
|
|
string cvtarray = (adtypep->subDTypep()->isWide() ? ".data()" : "");
|
|
|
|
|
return emitVarResetRecurse(varp, adtypep->subDTypep(), depth + 1,
|
|
|
|
|
".atDefault()" + cvtarray);
|
2020-04-15 13:58:34 +02:00
|
|
|
} else if (AstUnpackArrayDType* adtypep = VN_CAST(dtypep, UnpackArrayDType)) {
|
2019-12-01 12:09:58 +01:00
|
|
|
UASSERT_OBJ(adtypep->msb() >= adtypep->lsb(), varp,
|
|
|
|
|
"Should have swapped msb & lsb earlier.");
|
2020-04-15 13:58:34 +02:00
|
|
|
string ivar = string("__Vi") + cvtToStr(depth);
|
2019-12-01 12:09:58 +01:00
|
|
|
// MSVC++ pre V7 doesn't support 'for (int ...)', so declare in sep block
|
2020-04-15 13:58:34 +02:00
|
|
|
string pre = ("{ int " + ivar + "=" + cvtToStr(0) + ";" + " for (; " + ivar + "<"
|
|
|
|
|
+ cvtToStr(adtypep->elementsConst()) + "; ++" + ivar + ") {\n");
|
|
|
|
|
string below = emitVarResetRecurse(varp, adtypep->subDTypep(), depth + 1,
|
|
|
|
|
suffix + "[" + ivar + "]");
|
2019-12-01 12:09:58 +01:00
|
|
|
string post = "}}\n";
|
|
|
|
|
return below.empty() ? "" : pre + below + post;
|
2020-04-15 13:58:34 +02:00
|
|
|
} else if (basicp && basicp->keyword() == AstBasicDTypeKwd::STRING) {
|
2019-12-01 12:09:58 +01:00
|
|
|
// String's constructor deals with it
|
|
|
|
|
return "";
|
2020-04-15 13:58:34 +02:00
|
|
|
} else if (basicp) {
|
|
|
|
|
bool zeroit
|
|
|
|
|
= (varp->attrFileDescr() // Zero so we don't core dump if never $fopen
|
|
|
|
|
|| (basicp && basicp->isZeroInit())
|
|
|
|
|
|| (v3Global.opt.underlineZero() && !varp->name().empty()
|
|
|
|
|
&& varp->name()[0] == '_')
|
|
|
|
|
|| (v3Global.opt.xInitial() == "fast" || v3Global.opt.xInitial() == "0"));
|
2019-12-01 12:09:58 +01:00
|
|
|
splitSizeInc(1);
|
|
|
|
|
if (dtypep->isWide()) { // Handle unpacked; not basicp->isWide
|
|
|
|
|
string out;
|
2020-04-15 13:58:34 +02:00
|
|
|
if (zeroit) {
|
|
|
|
|
out += "VL_ZERO_RESET_W(";
|
|
|
|
|
} else {
|
|
|
|
|
out += "VL_RAND_RESET_W(";
|
|
|
|
|
}
|
2019-12-01 12:09:58 +01:00
|
|
|
out += cvtToStr(dtypep->widthMin());
|
2020-04-15 13:58:34 +02:00
|
|
|
out += ", " + varp->nameProtect() + suffix + ");\n";
|
2019-12-01 12:09:58 +01:00
|
|
|
return out;
|
|
|
|
|
} else {
|
|
|
|
|
string out = varp->nameProtect() + suffix;
|
|
|
|
|
// If --x-initial-edge is set, we want to force an initial
|
|
|
|
|
// edge on uninitialized clocks (from 'X' to whatever the
|
|
|
|
|
// first value is). Since the class is instantiated before
|
|
|
|
|
// initial blocks are evaluated, this should not clash
|
|
|
|
|
// with any initial block settings.
|
|
|
|
|
if (zeroit || (v3Global.opt.xInitialEdge() && varp->isUsedClock())) {
|
|
|
|
|
out += " = 0;\n";
|
|
|
|
|
} else {
|
|
|
|
|
out += " = VL_RAND_RESET_";
|
|
|
|
|
out += dtypep->charIQWN();
|
2020-04-15 13:58:34 +02:00
|
|
|
out += "(" + cvtToStr(dtypep->widthMin()) + ");\n";
|
2019-12-01 12:09:58 +01:00
|
|
|
}
|
|
|
|
|
return out;
|
|
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
} else {
|
|
|
|
|
v3fatalSrc("Unknown node type in reset generator: " << varp->prettyTypeName());
|
2019-12-01 12:09:58 +01:00
|
|
|
}
|
|
|
|
|
return "";
|
|
|
|
|
}
|
|
|
|
|
|
2009-11-07 12:20:20 +01:00
|
|
|
void emitCellCtors(AstNodeModule* modp);
|
2006-08-26 13:35:28 +02:00
|
|
|
void emitSensitives();
|
|
|
|
|
// Medium level
|
2009-11-07 12:20:20 +01:00
|
|
|
void emitCtorImp(AstNodeModule* modp);
|
|
|
|
|
void emitConfigureImp(AstNodeModule* modp);
|
|
|
|
|
void emitCoverageDecl(AstNodeModule* modp);
|
|
|
|
|
void emitCoverageImp(AstNodeModule* modp);
|
|
|
|
|
void emitDestructorImp(AstNodeModule* modp);
|
2012-08-27 03:13:47 +02:00
|
|
|
void emitSavableImp(AstNodeModule* modp);
|
2006-08-26 13:35:28 +02:00
|
|
|
void emitTextSection(AstType type);
|
|
|
|
|
// High level
|
2020-01-25 15:33:43 +01:00
|
|
|
void emitImpTop(AstNodeModule* modp);
|
2009-11-07 12:20:20 +01:00
|
|
|
void emitImp(AstNodeModule* modp);
|
2018-06-15 00:59:24 +02:00
|
|
|
void emitSettleLoop(const std::string& eval_call, bool initial);
|
2009-11-07 12:20:20 +01:00
|
|
|
void emitWrapEval(AstNodeModule* modp);
|
2018-07-23 02:54:28 +02:00
|
|
|
void emitMTaskState();
|
|
|
|
|
void emitMTaskVertexCtors(bool* firstp);
|
2020-02-02 01:32:04 +01:00
|
|
|
void emitIntTop(AstNodeModule* modp);
|
2009-11-07 12:20:20 +01:00
|
|
|
void emitInt(AstNodeModule* modp);
|
2018-05-30 01:49:27 +02:00
|
|
|
void maybeSplit(AstNodeModule* modp);
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
public:
|
|
|
|
|
EmitCImp() {
|
2019-05-19 22:13:13 +02:00
|
|
|
m_modp = NULL;
|
|
|
|
|
m_slow = false;
|
|
|
|
|
m_fast = false;
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
virtual ~EmitCImp() {}
|
2020-05-25 12:35:06 +02:00
|
|
|
void mainImp(AstNodeModule* modp, bool slow);
|
2020-02-02 01:32:04 +01:00
|
|
|
void mainInt(AstNodeModule* modp);
|
2020-04-15 13:58:34 +02:00
|
|
|
void mainDoFunc(AstCFunc* nodep) { iterate(nodep); }
|
2006-08-26 13:35:28 +02:00
|
|
|
};
|
|
|
|
|
|
|
|
|
|
//######################################################################
|
|
|
|
|
// Internal EmitCStmts
|
|
|
|
|
|
2018-02-02 03:32:58 +01:00
|
|
|
void EmitCStmts::emitVarDecl(const AstVar* nodep, const string& prefixIfImp) {
|
2019-05-19 22:13:13 +02:00
|
|
|
AstBasicDType* basicp = nodep->basicp();
|
2019-11-13 03:52:25 +01:00
|
|
|
if (nodep->isIO() && nodep->isSc()) {
|
2019-12-01 12:09:58 +01:00
|
|
|
UASSERT_OBJ(basicp, nodep, "Unimplemented: Outputting this data type");
|
2019-11-13 03:52:25 +01:00
|
|
|
m_ctorVarsVec.push_back(nodep);
|
|
|
|
|
if (nodep->attrScClocked() && nodep->isReadOnly()) {
|
|
|
|
|
puts("sc_in_clk ");
|
|
|
|
|
} else {
|
2020-04-15 13:58:34 +02:00
|
|
|
if (nodep->isInoutish()) {
|
|
|
|
|
puts("sc_inout<");
|
|
|
|
|
} else if (nodep->isWritable()) {
|
|
|
|
|
puts("sc_out<");
|
|
|
|
|
} else if (nodep->isNonOutput()) {
|
|
|
|
|
puts("sc_in<");
|
|
|
|
|
} else {
|
|
|
|
|
nodep->v3fatalSrc("Unknown type");
|
|
|
|
|
}
|
2019-11-13 03:52:25 +01:00
|
|
|
puts(nodep->scType());
|
|
|
|
|
puts("> ");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2019-11-13 03:52:25 +01:00
|
|
|
puts(nodep->nameProtect());
|
2019-05-19 22:13:13 +02:00
|
|
|
emitDeclArrayBrackets(nodep);
|
|
|
|
|
puts(";\n");
|
2019-11-13 03:52:25 +01:00
|
|
|
} else if (nodep->isIO() && basicp && !basicp->isOpaque()) {
|
2020-04-15 13:58:34 +02:00
|
|
|
if (nodep->isInoutish()) {
|
|
|
|
|
puts("VL_INOUT");
|
|
|
|
|
} else if (nodep->isWritable()) {
|
|
|
|
|
puts("VL_OUT");
|
|
|
|
|
} else if (nodep->isNonOutput()) {
|
|
|
|
|
puts("VL_IN");
|
|
|
|
|
} else {
|
|
|
|
|
nodep->v3fatalSrc("Unknown type");
|
|
|
|
|
}
|
2019-11-13 03:52:25 +01:00
|
|
|
|
2020-04-15 13:58:34 +02:00
|
|
|
if (nodep->isQuad()) {
|
|
|
|
|
puts("64");
|
|
|
|
|
} else if (nodep->widthMin() <= 8) {
|
|
|
|
|
puts("8");
|
|
|
|
|
} else if (nodep->widthMin() <= 16) {
|
|
|
|
|
puts("16");
|
|
|
|
|
} else if (nodep->isWide()) {
|
|
|
|
|
puts("W");
|
|
|
|
|
}
|
2019-11-13 03:52:25 +01:00
|
|
|
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("(" + nodep->nameProtect());
|
2019-05-19 22:13:13 +02:00
|
|
|
emitDeclArrayBrackets(nodep);
|
|
|
|
|
// If it's a packed struct/array then nodep->width is the whole
|
|
|
|
|
// thing, msb/lsb is just lowest dimension
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("," + cvtToStr(basicp->lsb() + nodep->width() - 1) + "," + cvtToStr(basicp->lsb()));
|
|
|
|
|
if (nodep->isWide()) puts("," + cvtToStr(nodep->widthWords()));
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(");\n");
|
2019-11-13 03:52:25 +01:00
|
|
|
} else {
|
|
|
|
|
// strings and other fundamental c types
|
|
|
|
|
puts(nodep->vlArgType(true, false, false, prefixIfImp));
|
|
|
|
|
puts(";\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2018-05-30 01:49:27 +02:00
|
|
|
void EmitCStmts::emitCtorSep(bool* firstp) {
|
|
|
|
|
if (*firstp) {
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(" : ");
|
|
|
|
|
*firstp = false;
|
2018-05-30 01:49:27 +02:00
|
|
|
} else {
|
|
|
|
|
puts(", ");
|
|
|
|
|
}
|
|
|
|
|
if (ofp()->exceededWidth()) puts("\n ");
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void EmitCStmts::emitVarCtors(bool* firstp) {
|
2012-02-02 02:20:43 +01:00
|
|
|
if (!m_ctorVarsVec.empty()) {
|
2019-05-19 22:13:13 +02:00
|
|
|
ofp()->indentInc();
|
|
|
|
|
puts("\n");
|
|
|
|
|
puts("#if (SYSTEMC_VERSION>20011000)\n"); // SystemC 2.0.1 and newer
|
2018-08-23 11:09:12 +02:00
|
|
|
for (VarVec::iterator it = m_ctorVarsVec.begin(); it != m_ctorVarsVec.end(); ++it) {
|
2018-02-02 03:32:58 +01:00
|
|
|
const AstVar* varp = *it;
|
|
|
|
|
bool isArray = !VN_CAST(varp->dtypeSkipRefp(), BasicDType);
|
2019-05-19 22:13:13 +02:00
|
|
|
if (isArray) {
|
|
|
|
|
puts("// Skipping array: ");
|
2019-10-06 19:24:21 +02:00
|
|
|
puts(varp->nameProtect());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("\n");
|
|
|
|
|
} else {
|
2018-05-30 01:49:27 +02:00
|
|
|
emitCtorSep(firstp);
|
2019-10-06 19:24:21 +02:00
|
|
|
puts(varp->nameProtect());
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("(");
|
|
|
|
|
putsQuoted(varp->nameProtect());
|
|
|
|
|
puts(")");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
|
|
|
|
}
|
2018-08-25 15:52:45 +02:00
|
|
|
puts("\n#endif\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
ofp()->indentDec();
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
bool EmitCStmts::emitSimpleOk(AstNodeMath* nodep) {
|
|
|
|
|
// Can we put out a simple (A + B) instead of VL_ADD_III(A,B)?
|
|
|
|
|
if (nodep->emitSimpleOperator() == "") return false;
|
|
|
|
|
if (nodep->isWide()) return false;
|
2020-04-15 13:58:34 +02:00
|
|
|
if (nodep->op1p()) {
|
|
|
|
|
if (nodep->op1p()->isWide()) return false;
|
|
|
|
|
}
|
|
|
|
|
if (nodep->op2p()) {
|
|
|
|
|
if (nodep->op2p()->isWide()) return false;
|
|
|
|
|
}
|
|
|
|
|
if (nodep->op3p()) {
|
|
|
|
|
if (nodep->op3p()->isWide()) return false;
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
return true;
|
|
|
|
|
}
|
|
|
|
|
|
2020-04-15 13:58:34 +02:00
|
|
|
void EmitCStmts::emitOpName(AstNode* nodep, const string& format, AstNode* lhsp, AstNode* rhsp,
|
|
|
|
|
AstNode* thsp) {
|
2008-06-30 02:02:24 +02:00
|
|
|
// Look at emitOperator() format for term/uni/dual/triops,
|
|
|
|
|
// and write out appropriate text.
|
2019-05-19 22:13:13 +02:00
|
|
|
// %n* node
|
|
|
|
|
// %nq emitIQW on the [node]
|
|
|
|
|
// %nw width in bits
|
|
|
|
|
// %nW width in words
|
|
|
|
|
// %ni iterate
|
|
|
|
|
// %l* lhsp - if appropriate, then second char as above
|
|
|
|
|
// %r* rhsp - if appropriate, then second char as above
|
|
|
|
|
// %t* thsp - if appropriate, then second char as above
|
|
|
|
|
// %k Potential line break
|
|
|
|
|
// %P Wide temporary name
|
|
|
|
|
// , Commas suppressed if the previous field is suppressed
|
2008-06-30 02:02:24 +02:00
|
|
|
string nextComma;
|
|
|
|
|
bool needComma = false;
|
2020-04-15 13:58:34 +02:00
|
|
|
#define COMMA \
|
2020-05-30 19:46:12 +02:00
|
|
|
do { \
|
2020-04-15 13:58:34 +02:00
|
|
|
if (!nextComma.empty()) { \
|
|
|
|
|
puts(nextComma); \
|
|
|
|
|
nextComma = ""; \
|
|
|
|
|
} \
|
2020-05-30 19:46:12 +02:00
|
|
|
} while (false)
|
2008-06-30 02:02:24 +02:00
|
|
|
|
|
|
|
|
putbs("");
|
|
|
|
|
for (string::const_iterator pos = format.begin(); pos != format.end(); ++pos) {
|
2020-04-15 13:58:34 +02:00
|
|
|
if (pos[0] == ',') {
|
2019-05-19 22:13:13 +02:00
|
|
|
// Remember we need to add one, but don't do yet to avoid ",)"
|
|
|
|
|
if (needComma) {
|
2020-04-15 13:58:34 +02:00
|
|
|
if (pos[1] == ' ') {
|
|
|
|
|
nextComma = ", ";
|
|
|
|
|
} else
|
|
|
|
|
nextComma = ",";
|
2019-05-19 22:13:13 +02:00
|
|
|
needComma = false;
|
|
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
if (pos[1] == ' ') { ++pos; } // Must do even if no nextComma
|
|
|
|
|
} else if (pos[0] == '%') {
|
2019-05-19 22:13:13 +02:00
|
|
|
++pos;
|
|
|
|
|
bool detail = false;
|
|
|
|
|
AstNode* detailp = NULL;
|
|
|
|
|
switch (pos[0]) {
|
2020-04-15 13:58:34 +02:00
|
|
|
case '%': puts("%"); break;
|
|
|
|
|
case 'k': putbs(""); break;
|
|
|
|
|
case 'n':
|
|
|
|
|
detail = true;
|
|
|
|
|
detailp = nodep;
|
|
|
|
|
break;
|
|
|
|
|
case 'l':
|
|
|
|
|
detail = true;
|
|
|
|
|
detailp = lhsp;
|
|
|
|
|
break;
|
|
|
|
|
case 'r':
|
|
|
|
|
detail = true;
|
|
|
|
|
detailp = rhsp;
|
|
|
|
|
break;
|
|
|
|
|
case 't':
|
|
|
|
|
detail = true;
|
|
|
|
|
detailp = thsp;
|
|
|
|
|
break;
|
2019-05-19 22:13:13 +02:00
|
|
|
case 'P':
|
|
|
|
|
if (nodep->isWide()) {
|
2019-07-06 18:57:50 +02:00
|
|
|
UASSERT_OBJ(m_wideTempRefp, nodep,
|
|
|
|
|
"Wide Op w/ no temp, perhaps missing op in V3EmitC?");
|
2019-05-19 22:13:13 +02:00
|
|
|
COMMA;
|
2019-10-06 19:24:21 +02:00
|
|
|
puts(m_wideTempRefp->hiernameProtect());
|
|
|
|
|
puts(m_wideTempRefp->varp()->nameProtect());
|
2019-05-19 22:13:13 +02:00
|
|
|
m_wideTempRefp = NULL;
|
|
|
|
|
needComma = true;
|
|
|
|
|
}
|
|
|
|
|
break;
|
2020-04-15 13:58:34 +02:00
|
|
|
default: nodep->v3fatalSrc("Unknown emitOperator format code: %" << pos[0]); break;
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
|
|
|
|
if (detail) {
|
|
|
|
|
// Get next letter of %[nlrt]
|
|
|
|
|
++pos;
|
|
|
|
|
switch (pos[0]) {
|
|
|
|
|
case 'q': emitIQW(detailp); break;
|
|
|
|
|
case 'w':
|
|
|
|
|
COMMA;
|
|
|
|
|
puts(cvtToStr(detailp->widthMin()));
|
|
|
|
|
needComma = true;
|
|
|
|
|
break;
|
|
|
|
|
case 'W':
|
|
|
|
|
if (lhsp->isWide()) {
|
|
|
|
|
COMMA;
|
|
|
|
|
puts(cvtToStr(lhsp->widthWords()));
|
|
|
|
|
needComma = true;
|
|
|
|
|
}
|
|
|
|
|
break;
|
|
|
|
|
case 'i':
|
|
|
|
|
COMMA;
|
2019-07-06 18:57:50 +02:00
|
|
|
UASSERT_OBJ(detailp, nodep, "emitOperator() references undef node");
|
|
|
|
|
iterateAndNextNull(detailp);
|
2019-05-19 22:13:13 +02:00
|
|
|
needComma = true;
|
|
|
|
|
break;
|
|
|
|
|
default:
|
2020-04-15 13:58:34 +02:00
|
|
|
nodep->v3fatalSrc("Unknown emitOperator format code: %[nlrt]" << pos[0]);
|
2019-05-19 22:13:13 +02:00
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
} else if (pos[0] == ')') {
|
2020-04-15 13:58:34 +02:00
|
|
|
nextComma = "";
|
|
|
|
|
puts(")");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else if (pos[0] == '(') {
|
2020-04-15 13:58:34 +02:00
|
|
|
COMMA;
|
|
|
|
|
needComma = false;
|
|
|
|
|
puts("(");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else {
|
|
|
|
|
// Normal text
|
|
|
|
|
if (isalnum(pos[0])) needComma = true;
|
|
|
|
|
COMMA;
|
2020-04-15 13:58:34 +02:00
|
|
|
string s;
|
|
|
|
|
s += pos[0];
|
|
|
|
|
puts(s);
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
//----------------------------------------------------------------------
|
|
|
|
|
// Mid level - VISITS
|
|
|
|
|
|
|
|
|
|
// We only do one display at once, so can just use static state
|
|
|
|
|
|
|
|
|
|
struct EmitDispState {
|
2020-04-15 13:58:34 +02:00
|
|
|
string m_format; // "%s" and text from user
|
|
|
|
|
std::vector<char> m_argsChar; // Format of each argument to be printed
|
2019-05-19 22:13:13 +02:00
|
|
|
std::vector<AstNode*> m_argsp; // Each argument to be printed
|
|
|
|
|
std::vector<string> m_argsFunc; // Function before each argument to be printed
|
2006-08-26 13:35:28 +02:00
|
|
|
EmitDispState() { clear(); }
|
|
|
|
|
void clear() {
|
2019-05-19 22:13:13 +02:00
|
|
|
m_format = "";
|
|
|
|
|
m_argsChar.clear();
|
|
|
|
|
m_argsp.clear();
|
|
|
|
|
m_argsFunc.clear();
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
void pushFormat(const string& fmt) { m_format += fmt; }
|
|
|
|
|
void pushFormat(char fmt) { m_format += fmt; }
|
2014-11-28 21:01:50 +01:00
|
|
|
void pushArg(char fmtChar, AstNode* nodep, const string& func) {
|
2019-05-19 22:13:13 +02:00
|
|
|
m_argsChar.push_back(fmtChar);
|
2020-04-15 13:58:34 +02:00
|
|
|
m_argsp.push_back(nodep);
|
|
|
|
|
m_argsFunc.push_back(func);
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
} emitDispState;
|
|
|
|
|
|
2008-07-01 20:15:10 +02:00
|
|
|
void EmitCStmts::displayEmit(AstNode* nodep, bool isScan) {
|
|
|
|
|
if (emitDispState.m_format == ""
|
2018-02-02 03:32:58 +01:00
|
|
|
&& VN_IS(nodep, Display)) { // not fscanf etc, as they need to return value
|
2019-05-19 22:13:13 +02:00
|
|
|
// NOP
|
2008-07-01 20:15:10 +02:00
|
|
|
} else {
|
2019-05-19 22:13:13 +02:00
|
|
|
// Format
|
|
|
|
|
bool isStmt = false;
|
2018-02-02 03:32:58 +01:00
|
|
|
if (const AstFScanF* dispp = VN_CAST(nodep, FScanF)) {
|
2019-05-19 22:13:13 +02:00
|
|
|
isStmt = false;
|
|
|
|
|
puts("VL_FSCANF_IX(");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterate(dispp->filep());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(",");
|
2018-02-02 03:32:58 +01:00
|
|
|
} else if (const AstSScanF* dispp = VN_CAST(nodep, SScanF)) {
|
2019-05-19 22:13:13 +02:00
|
|
|
isStmt = false;
|
|
|
|
|
checkMaxWords(dispp->fromp());
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("VL_SSCANF_I");
|
|
|
|
|
emitIQW(dispp->fromp());
|
|
|
|
|
puts("X(");
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(cvtToStr(dispp->fromp()->widthMin()));
|
|
|
|
|
puts(",");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterate(dispp->fromp());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(",");
|
2018-02-02 03:32:58 +01:00
|
|
|
} else if (const AstDisplay* dispp = VN_CAST(nodep, Display)) {
|
2019-05-19 22:13:13 +02:00
|
|
|
isStmt = true;
|
|
|
|
|
if (dispp->filep()) {
|
|
|
|
|
puts("VL_FWRITEF(");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterate(dispp->filep());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(",");
|
|
|
|
|
} else {
|
|
|
|
|
puts("VL_WRITEF(");
|
|
|
|
|
}
|
2018-02-02 03:32:58 +01:00
|
|
|
} else if (const AstSFormat* dispp = VN_CAST(nodep, SFormat)) {
|
2019-05-19 22:13:13 +02:00
|
|
|
isStmt = true;
|
|
|
|
|
puts("VL_SFORMAT_X(");
|
|
|
|
|
puts(cvtToStr(dispp->lhsp()->widthMin()));
|
|
|
|
|
putbs(",");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterate(dispp->lhsp());
|
2019-05-19 22:13:13 +02:00
|
|
|
putbs(",");
|
2020-04-06 00:30:46 +02:00
|
|
|
} else if (VN_IS(nodep, SFormatF)) {
|
2019-05-19 22:13:13 +02:00
|
|
|
isStmt = false;
|
|
|
|
|
puts("VL_SFORMATF_NX(");
|
|
|
|
|
} else {
|
|
|
|
|
nodep->v3fatalSrc("Unknown displayEmit node type");
|
|
|
|
|
}
|
|
|
|
|
ofp()->putsQuoted(emitDispState.m_format);
|
|
|
|
|
// Arguments
|
2020-04-15 13:58:34 +02:00
|
|
|
for (unsigned i = 0; i < emitDispState.m_argsp.size(); i++) {
|
|
|
|
|
char fmt = emitDispState.m_argsChar[i];
|
2019-05-19 22:13:13 +02:00
|
|
|
AstNode* argp = emitDispState.m_argsp[i];
|
2020-04-15 13:58:34 +02:00
|
|
|
string func = emitDispState.m_argsFunc[i];
|
2020-05-12 04:13:59 +02:00
|
|
|
if (func != "" || argp) {
|
|
|
|
|
puts(",");
|
|
|
|
|
ofp()->indentInc();
|
|
|
|
|
ofp()->putbs("");
|
|
|
|
|
if (func != "") {
|
|
|
|
|
puts(func);
|
|
|
|
|
} else if (argp) {
|
|
|
|
|
if (isScan) {
|
|
|
|
|
puts("&(");
|
|
|
|
|
} else if (fmt == '@') {
|
|
|
|
|
puts("&(");
|
|
|
|
|
}
|
|
|
|
|
iterate(argp);
|
|
|
|
|
if (isScan) {
|
|
|
|
|
puts(")");
|
|
|
|
|
} else if (fmt == '@') {
|
|
|
|
|
puts(")");
|
|
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
}
|
2020-05-12 04:13:59 +02:00
|
|
|
ofp()->indentDec();
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
// End
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(")");
|
2020-04-15 13:58:34 +02:00
|
|
|
if (isStmt)
|
|
|
|
|
puts(";\n");
|
|
|
|
|
else
|
|
|
|
|
puts(" ");
|
2019-05-19 22:13:13 +02:00
|
|
|
// Prep for next
|
|
|
|
|
emitDispState.clear();
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2020-04-15 13:58:34 +02:00
|
|
|
void EmitCStmts::displayArg(AstNode* dispp, AstNode** elistp, bool isScan, const string& vfmt,
|
2020-05-12 04:13:59 +02:00
|
|
|
bool ignore, char fmtLetter) {
|
2006-08-26 13:35:28 +02:00
|
|
|
// Print display argument, edits elistp
|
2020-05-12 04:13:59 +02:00
|
|
|
AstNode* argp = NULL;
|
|
|
|
|
if (!ignore) {
|
|
|
|
|
argp = *elistp;
|
|
|
|
|
// Prep for next parameter
|
|
|
|
|
*elistp = (*elistp)->nextp();
|
|
|
|
|
if (VL_UNCOVERABLE(!argp)) {
|
|
|
|
|
// expectDisplay() checks this first, so internal error if found here
|
|
|
|
|
dispp->v3error(
|
|
|
|
|
"Internal: Missing arguments for $display-like format"); // LCOV_EXCL_LINE
|
|
|
|
|
return; // LCOV_EXCL_LINE
|
|
|
|
|
}
|
|
|
|
|
if (argp->widthMin() > VL_VALUE_STRING_MAX_WIDTH) {
|
|
|
|
|
dispp->v3error("Exceeded limit of " + cvtToStr(VL_VALUE_STRING_MAX_WIDTH)
|
|
|
|
|
+ " bits for any $display-like arguments");
|
|
|
|
|
}
|
|
|
|
|
if (argp->widthMin() > 8 && fmtLetter == 'c') {
|
|
|
|
|
// Technically legal, but surely not what the user intended.
|
|
|
|
|
argp->v3warn(WIDTH, dispp->verilogKwd() << "of %c format of > 8 bit value");
|
|
|
|
|
}
|
2008-06-30 20:31:58 +02:00
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
// string pfmt = "%"+displayFormat(argp, vfmt, fmtLetter)+fmtLetter;
|
2008-06-30 20:31:58 +02:00
|
|
|
string pfmt;
|
2020-04-16 01:39:03 +02:00
|
|
|
if ((fmtLetter == '#' || fmtLetter == 'd') && !isScan
|
2019-05-19 22:13:13 +02:00
|
|
|
&& vfmt == "") { // Size decimal output. Spec says leading spaces, not zeros
|
2020-05-12 04:13:59 +02:00
|
|
|
const double mantissabits = ignore ? 0 : (argp->widthMin() - ((fmtLetter == 'd') ? 1 : 0));
|
2020-04-15 23:26:15 +02:00
|
|
|
// This is log10(2**mantissabits) as log2(2**mantissabits)/log2(10),
|
|
|
|
|
// + 1.0 rounding bias.
|
|
|
|
|
double dchars = mantissabits / 3.321928094887362 + 1.0;
|
2020-04-15 13:58:34 +02:00
|
|
|
if (fmtLetter == 'd') dchars++; // space for sign
|
2019-05-19 22:13:13 +02:00
|
|
|
int nchars = int(dchars);
|
|
|
|
|
pfmt = string("%") + cvtToStr(nchars) + fmtLetter;
|
2006-08-26 13:35:28 +02:00
|
|
|
} else {
|
2019-05-19 22:13:13 +02:00
|
|
|
pfmt = string("%") + vfmt + fmtLetter;
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2008-06-30 20:31:58 +02:00
|
|
|
emitDispState.pushFormat(pfmt);
|
2020-05-12 04:13:59 +02:00
|
|
|
if (!ignore) {
|
|
|
|
|
emitDispState.pushArg(' ', NULL, cvtToStr(argp->widthMin()));
|
|
|
|
|
emitDispState.pushArg(fmtLetter, argp, "");
|
|
|
|
|
} else {
|
|
|
|
|
emitDispState.pushArg(fmtLetter, NULL, "");
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
2020-04-15 13:58:34 +02:00
|
|
|
void EmitCStmts::displayNode(AstNode* nodep, AstScopeName* scopenamep, const string& vformat,
|
|
|
|
|
AstNode* exprsp, bool isScan) {
|
2008-07-01 20:15:10 +02:00
|
|
|
AstNode* elistp = exprsp;
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
// Convert Verilog display to C printf formats
|
2019-05-19 22:13:13 +02:00
|
|
|
// "%0t" becomes "%d"
|
2006-08-26 13:35:28 +02:00
|
|
|
emitDispState.clear();
|
2018-10-14 05:06:36 +02:00
|
|
|
string vfmt;
|
2008-07-01 20:15:10 +02:00
|
|
|
string::const_iterator pos = vformat.begin();
|
2006-08-26 13:35:28 +02:00
|
|
|
bool inPct = false;
|
2020-05-12 04:13:59 +02:00
|
|
|
bool ignore = false;
|
2006-08-26 13:35:28 +02:00
|
|
|
for (; pos != vformat.end(); ++pos) {
|
2020-04-15 13:58:34 +02:00
|
|
|
// UINFO(1, "Parse '" << *pos << "' IP" << inPct << " List " << cvtToHex(elistp) << endl);
|
|
|
|
|
if (!inPct && pos[0] == '%') {
|
2019-05-19 22:13:13 +02:00
|
|
|
inPct = true;
|
2020-05-12 04:13:59 +02:00
|
|
|
ignore = false;
|
2019-05-19 22:13:13 +02:00
|
|
|
vfmt = "";
|
|
|
|
|
} else if (!inPct) { // Normal text
|
|
|
|
|
emitDispState.pushFormat(*pos);
|
|
|
|
|
} else { // Format character
|
|
|
|
|
inPct = false;
|
|
|
|
|
switch (tolower(pos[0])) {
|
2020-05-28 23:39:20 +02:00
|
|
|
case '0': // FALLTHRU
|
|
|
|
|
case '1': // FALLTHRU
|
|
|
|
|
case '2': // FALLTHRU
|
|
|
|
|
case '3': // FALLTHRU
|
|
|
|
|
case '4': // FALLTHRU
|
|
|
|
|
case '5': // FALLTHRU
|
|
|
|
|
case '6': // FALLTHRU
|
|
|
|
|
case '7': // FALLTHRU
|
|
|
|
|
case '8': // FALLTHRU
|
|
|
|
|
case '9': // FALLTHRU
|
2020-01-15 13:32:45 +01:00
|
|
|
case '.': // FALLTHRU
|
|
|
|
|
case '-':
|
2019-05-19 22:13:13 +02:00
|
|
|
// Digits, like %5d, etc.
|
|
|
|
|
vfmt += pos[0];
|
|
|
|
|
inPct = true; // Get more digits
|
|
|
|
|
break;
|
|
|
|
|
case '%':
|
|
|
|
|
emitDispState.pushFormat("%%"); // We're printf'ing it, so need to quote the %
|
|
|
|
|
break;
|
2020-05-12 04:13:59 +02:00
|
|
|
case '*':
|
|
|
|
|
vfmt += pos[0];
|
|
|
|
|
inPct = true; // Get more digits
|
|
|
|
|
ignore = true;
|
|
|
|
|
break;
|
2019-05-19 22:13:13 +02:00
|
|
|
// Special codes
|
2020-05-12 04:13:59 +02:00
|
|
|
case '~':
|
|
|
|
|
displayArg(nodep, &elistp, isScan, vfmt, ignore, 'd');
|
|
|
|
|
break; // Signed decimal
|
2020-04-15 13:58:34 +02:00
|
|
|
case '@':
|
2020-05-12 04:13:59 +02:00
|
|
|
displayArg(nodep, &elistp, isScan, vfmt, ignore, '@');
|
2020-04-15 13:58:34 +02:00
|
|
|
break; // Packed string
|
2019-05-19 22:13:13 +02:00
|
|
|
// Spec: h d o b c l
|
2020-05-12 04:13:59 +02:00
|
|
|
case 'b': displayArg(nodep, &elistp, isScan, vfmt, ignore, 'b'); break;
|
|
|
|
|
case 'c': displayArg(nodep, &elistp, isScan, vfmt, ignore, 'c'); break;
|
|
|
|
|
case 't': displayArg(nodep, &elistp, isScan, vfmt, ignore, 't'); break;
|
|
|
|
|
case 'd':
|
|
|
|
|
displayArg(nodep, &elistp, isScan, vfmt, ignore, '#');
|
|
|
|
|
break; // Unsigned decimal
|
|
|
|
|
case 'o': displayArg(nodep, &elistp, isScan, vfmt, ignore, 'o'); break;
|
2019-05-19 22:13:13 +02:00
|
|
|
case 'h': // FALLTHRU
|
2020-05-12 04:13:59 +02:00
|
|
|
case 'x': displayArg(nodep, &elistp, isScan, vfmt, ignore, 'x'); break;
|
|
|
|
|
case 's': displayArg(nodep, &elistp, isScan, vfmt, ignore, 's'); break;
|
|
|
|
|
case 'e': displayArg(nodep, &elistp, isScan, vfmt, ignore, 'e'); break;
|
|
|
|
|
case 'f': displayArg(nodep, &elistp, isScan, vfmt, ignore, 'f'); break;
|
|
|
|
|
case 'g': displayArg(nodep, &elistp, isScan, vfmt, ignore, 'g'); break;
|
|
|
|
|
case '^': displayArg(nodep, &elistp, isScan, vfmt, ignore, '^'); break; // Realtime
|
|
|
|
|
case 'v': displayArg(nodep, &elistp, isScan, vfmt, ignore, 'v'); break;
|
2020-05-18 14:10:32 +02:00
|
|
|
case 'u': displayArg(nodep, &elistp, isScan, vfmt, ignore, 'u'); break;
|
|
|
|
|
case 'z': displayArg(nodep, &elistp, isScan, vfmt, ignore, 'z'); break;
|
2019-05-19 22:13:13 +02:00
|
|
|
case 'm': {
|
2019-07-06 18:57:50 +02:00
|
|
|
UASSERT_OBJ(scopenamep, nodep, "Display with %m but no AstScopeName");
|
2019-05-19 22:13:13 +02:00
|
|
|
string suffix = scopenamep->scopePrettySymName();
|
2020-04-15 13:58:34 +02:00
|
|
|
if (suffix == "") {
|
|
|
|
|
emitDispState.pushFormat("%S");
|
|
|
|
|
} else {
|
|
|
|
|
emitDispState.pushFormat("%N"); // Add a . when needed
|
|
|
|
|
}
|
2019-05-19 22:13:13 +02:00
|
|
|
emitDispState.pushArg(' ', NULL, "vlSymsp->name()");
|
|
|
|
|
emitDispState.pushFormat(suffix);
|
|
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
case 'l': {
|
2015-10-28 01:37:52 +01:00
|
|
|
// Better than not compiling
|
2019-05-19 22:13:13 +02:00
|
|
|
emitDispState.pushFormat("----");
|
|
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
default:
|
2020-04-15 13:58:34 +02:00
|
|
|
nodep->v3error("Unknown $display-like format code: '%" << pos[0] << "'");
|
2019-05-19 22:13:13 +02:00
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2019-07-01 04:37:03 +02:00
|
|
|
if (VL_UNCOVERABLE(elistp)) {
|
2019-05-19 22:13:13 +02:00
|
|
|
// expectFormat also checks this, and should have found it first, so internal
|
2019-07-01 04:37:03 +02:00
|
|
|
elistp->v3error("Internal: Extra arguments for $display-like format"); // LCOV_EXCL_LINE
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2008-07-01 20:15:10 +02:00
|
|
|
displayEmit(nodep, isScan);
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
//######################################################################
|
|
|
|
|
// Internal EmitC
|
|
|
|
|
|
2009-11-07 12:20:20 +01:00
|
|
|
void EmitCImp::emitCoverageDecl(AstNodeModule* modp) {
|
2008-12-05 16:54:14 +01:00
|
|
|
if (v3Global.opt.coverage()) {
|
2019-05-19 22:13:13 +02:00
|
|
|
ofp()->putsPrivate(true);
|
|
|
|
|
putsDecoration("// Coverage\n");
|
2020-02-04 00:43:41 +01:00
|
|
|
puts("void __vlCoverInsert(");
|
|
|
|
|
puts(v3Global.opt.threads() ? "std::atomic<uint32_t>" : "uint32_t");
|
|
|
|
|
puts("* countp, bool enable, const char* filenamep, int lineno, int column,\n");
|
2020-05-31 21:52:17 +02:00
|
|
|
puts("const char* hierp, const char* pagep, const char* commentp, const char* "
|
|
|
|
|
"linescovp);\n");
|
2006-08-30 03:14:29 +02:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2018-07-23 02:54:28 +02:00
|
|
|
void EmitCImp::emitMTaskVertexCtors(bool* firstp) {
|
|
|
|
|
AstExecGraph* execGraphp = v3Global.rootp()->execGraphp();
|
2019-07-06 18:57:50 +02:00
|
|
|
UASSERT_OBJ(execGraphp, v3Global.rootp(), "Root should have an execGraphp");
|
2018-07-23 02:54:28 +02:00
|
|
|
const V3Graph* depGraphp = execGraphp->depGraphp();
|
|
|
|
|
|
|
|
|
|
unsigned finalEdgesInCt = 0;
|
2020-04-15 13:58:34 +02:00
|
|
|
for (const V3GraphVertex* vxp = depGraphp->verticesBeginp(); vxp; vxp = vxp->verticesNextp()) {
|
2018-07-23 02:54:28 +02:00
|
|
|
const ExecMTask* mtp = dynamic_cast<const ExecMTask*>(vxp);
|
|
|
|
|
unsigned edgesInCt = packedMTaskMayBlock(mtp);
|
|
|
|
|
if (packedMTaskMayBlock(mtp) > 0) {
|
|
|
|
|
emitCtorSep(firstp);
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("__Vm_mt_" + cvtToStr(mtp->id()) + "(" + cvtToStr(edgesInCt) + ")");
|
2018-07-23 02:54:28 +02:00
|
|
|
}
|
|
|
|
|
// Each mtask with no packed successor will become a dependency
|
|
|
|
|
// for the final node:
|
|
|
|
|
if (!mtp->packNextp()) ++finalEdgesInCt;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
emitCtorSep(firstp);
|
|
|
|
|
puts("__Vm_mt_final(" + cvtToStr(finalEdgesInCt) + ")");
|
|
|
|
|
|
|
|
|
|
// This will flip to 'true' before the start of the 0th cycle.
|
2020-04-15 13:58:34 +02:00
|
|
|
emitCtorSep(firstp);
|
|
|
|
|
puts("__Vm_threadPoolp(NULL)");
|
2018-07-23 02:54:28 +02:00
|
|
|
if (v3Global.opt.profThreads()) {
|
2020-04-15 13:58:34 +02:00
|
|
|
emitCtorSep(firstp);
|
|
|
|
|
puts("__Vm_profile_cycle_start(0)");
|
2018-07-23 02:54:28 +02:00
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
emitCtorSep(firstp);
|
|
|
|
|
puts("__Vm_even_cycle(false)");
|
2018-07-23 02:54:28 +02:00
|
|
|
}
|
|
|
|
|
|
2009-11-07 12:20:20 +01:00
|
|
|
void EmitCImp::emitCtorImp(AstNodeModule* modp) {
|
2006-08-30 19:27:53 +02:00
|
|
|
puts("\n");
|
2018-05-30 01:49:27 +02:00
|
|
|
bool first = true;
|
2020-02-03 03:15:07 +01:00
|
|
|
if (VN_IS(modp, Class)) {
|
|
|
|
|
modp->v3fatalSrc("constructors should be AstCFuncs instead");
|
|
|
|
|
} else if (optSystemC() && modp->isTop()) {
|
2020-01-25 15:16:00 +01:00
|
|
|
puts("VL_SC_CTOR_IMP(" + prefixNameProtect(modp) + ")");
|
2006-08-30 19:27:53 +02:00
|
|
|
} else {
|
2020-01-25 15:16:00 +01:00
|
|
|
puts("VL_CTOR_IMP(" + prefixNameProtect(modp) + ")");
|
2018-05-30 01:49:27 +02:00
|
|
|
first = false; // VL_CTOR_IMP includes the first ':'
|
2006-08-30 19:27:53 +02:00
|
|
|
}
|
2018-05-30 01:49:27 +02:00
|
|
|
emitVarCtors(&first);
|
2020-04-15 13:58:34 +02:00
|
|
|
if (modp->isTop() && v3Global.opt.mtasks()) emitMTaskVertexCtors(&first);
|
2020-06-16 13:07:59 +02:00
|
|
|
string section("");
|
|
|
|
|
emitParams(modp, true, &first, section /*ref*/);
|
2006-08-30 19:27:53 +02:00
|
|
|
puts(" {\n");
|
|
|
|
|
emitCellCtors(modp);
|
|
|
|
|
emitSensitives();
|
2016-05-12 13:19:02 +02:00
|
|
|
|
2016-09-14 04:28:07 +02:00
|
|
|
putsDecoration("// Reset internal values\n");
|
2016-05-12 13:19:02 +02:00
|
|
|
if (modp->isTop()) {
|
2019-05-19 22:13:13 +02:00
|
|
|
if (v3Global.opt.inhibitSim()) puts("__Vm_inhibitSim = false;\n");
|
|
|
|
|
puts("\n");
|
2016-05-12 13:19:02 +02:00
|
|
|
}
|
2016-09-14 04:28:07 +02:00
|
|
|
putsDecoration("// Reset structure values\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(protect("_ctor_var_reset") + "();\n");
|
2016-11-05 14:47:56 +01:00
|
|
|
emitTextSection(AstType::atScCtor);
|
2018-07-23 02:54:28 +02:00
|
|
|
|
|
|
|
|
if (modp->isTop() && v3Global.opt.mtasks()) {
|
|
|
|
|
// TODO-- For now each top module creates its own ThreadPool here,
|
|
|
|
|
// and deletes it in the destructor. If A and B are each top level
|
|
|
|
|
// modules, each creates a separate thread pool. This allows
|
|
|
|
|
// A.eval() and B.eval() to run concurrently without any
|
|
|
|
|
// interference -- so long as the physical machine has enough cores
|
|
|
|
|
// to support both pools and all testbench threads.
|
|
|
|
|
//
|
|
|
|
|
// In the future, we might want to let the client provide a
|
|
|
|
|
// threadpool to the constructor. This would allow two or more
|
|
|
|
|
// models to share a single threadpool.
|
|
|
|
|
//
|
|
|
|
|
// For example: suppose models A and B are each compiled to run on
|
|
|
|
|
// 4 threads. The client might create a single thread pool with 3
|
2019-09-09 13:50:21 +02:00
|
|
|
// threads and pass it to both models. If the client can ensure that
|
2018-07-23 02:54:28 +02:00
|
|
|
// A.eval() and B.eval() do NOT run concurrently, there will be no
|
|
|
|
|
// contention for the threads. This mode is missing for now. (Is
|
|
|
|
|
// there demand for such a setup?)
|
|
|
|
|
puts("__Vm_threadPoolp = new VlThreadPool("
|
|
|
|
|
// Note we create N-1 threads in the thread pool. The thread
|
|
|
|
|
// that calls eval() becomes the final Nth thread for the
|
|
|
|
|
// duration of the eval call.
|
2020-04-15 13:58:34 +02:00
|
|
|
+ cvtToStr(v3Global.opt.threads() - 1) + ", " + cvtToStr(v3Global.opt.profThreads())
|
2018-07-23 02:54:28 +02:00
|
|
|
+ ");\n");
|
|
|
|
|
|
|
|
|
|
if (v3Global.opt.profThreads()) {
|
|
|
|
|
puts("__Vm_profile_cycle_start = 0;\n");
|
|
|
|
|
puts("__Vm_profile_time_finished = 0;\n");
|
|
|
|
|
puts("__Vm_profile_window_ct = 0;");
|
|
|
|
|
}
|
|
|
|
|
}
|
2006-08-30 19:27:53 +02:00
|
|
|
puts("}\n");
|
|
|
|
|
}
|
|
|
|
|
|
2009-11-07 12:20:20 +01:00
|
|
|
void EmitCImp::emitConfigureImp(AstNodeModule* modp) {
|
2020-01-25 15:16:00 +01:00
|
|
|
puts("\nvoid " + prefixNameProtect(modp) + "::" + protect("__Vconfigure") + "("
|
|
|
|
|
+ symClassName() + "* vlSymsp, bool first) {\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("if (false && first) {} // Prevent unused\n");
|
|
|
|
|
puts("this->__VlSymsp = vlSymsp;\n"); // First, as later stuff needs it.
|
|
|
|
|
puts("if (false && this->__VlSymsp) {} // Prevent unused\n");
|
2020-04-16 01:39:03 +02:00
|
|
|
if (v3Global.opt.coverage()) { puts(protect("_configure_coverage") + "(vlSymsp, first);\n"); }
|
|
|
|
|
if (modp->isTop() && !v3Global.rootp()->timeunit().isNone()) {
|
2020-05-11 14:15:52 +02:00
|
|
|
puts("Verilated::timeunit(" + cvtToStr(v3Global.rootp()->timeunit().powerOfTen())
|
2020-04-16 01:39:03 +02:00
|
|
|
+ ");\n");
|
|
|
|
|
}
|
|
|
|
|
if (modp->isTop() && !v3Global.rootp()->timeprecision().isNone()) {
|
2020-05-12 04:13:59 +02:00
|
|
|
puts("Verilated::timeprecision(" + cvtToStr(v3Global.rootp()->timeprecision().powerOfTen())
|
|
|
|
|
+ ");\n");
|
2020-04-16 01:39:03 +02:00
|
|
|
}
|
2006-08-30 19:27:53 +02:00
|
|
|
puts("}\n");
|
2013-09-04 01:35:32 +02:00
|
|
|
splitSizeInc(10);
|
2006-08-30 19:27:53 +02:00
|
|
|
}
|
|
|
|
|
|
2009-11-07 12:20:20 +01:00
|
|
|
void EmitCImp::emitCoverageImp(AstNodeModule* modp) {
|
2020-04-15 13:58:34 +02:00
|
|
|
if (v3Global.opt.coverage()) {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("\n// Coverage\n");
|
|
|
|
|
// Rather than putting out VL_COVER_INSERT calls directly, we do it via this function
|
|
|
|
|
// This gets around gcc slowness constructing all of the template arguments.
|
2020-02-04 00:43:41 +01:00
|
|
|
puts("void " + prefixNameProtect(m_modp) + "::__vlCoverInsert(");
|
|
|
|
|
puts(v3Global.opt.threads() ? "std::atomic<uint32_t>" : "uint32_t");
|
|
|
|
|
puts("* countp, bool enable, const char* filenamep, int lineno, int column,\n");
|
2020-05-31 21:52:17 +02:00
|
|
|
puts("const char* hierp, const char* pagep, const char* commentp, const char* linescovp) "
|
|
|
|
|
"{\n");
|
2020-02-04 00:43:41 +01:00
|
|
|
if (v3Global.opt.threads()) {
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("assert(sizeof(uint32_t) == sizeof(std::atomic<uint32_t>));\n");
|
|
|
|
|
puts("uint32_t* count32p = reinterpret_cast<uint32_t*>(countp);\n");
|
2020-02-04 00:43:41 +01:00
|
|
|
} else {
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("uint32_t* count32p = countp;\n");
|
2020-02-04 00:43:41 +01:00
|
|
|
}
|
|
|
|
|
// static doesn't need save-restore as is constant
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("static uint32_t fake_zero_count = 0;\n");
|
2020-02-04 00:43:41 +01:00
|
|
|
// Used for second++ instantiation of identical bin
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("if (!enable) count32p = &fake_zero_count;\n");
|
|
|
|
|
puts("*count32p = 0;\n");
|
2020-02-04 00:43:41 +01:00
|
|
|
puts("VL_COVER_INSERT(count32p,");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(" \"filename\",filenamep,");
|
|
|
|
|
puts(" \"lineno\",lineno,");
|
|
|
|
|
puts(" \"column\",column,\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
// Need to move hier into scopes and back out if do this
|
2020-04-15 13:58:34 +02:00
|
|
|
// puts( "\"hier\",std::string(__VlSymsp->name())+hierp,");
|
|
|
|
|
puts("\"hier\",std::string(name())+hierp,");
|
|
|
|
|
puts(" \"page\",pagep,");
|
2020-05-31 21:52:17 +02:00
|
|
|
puts(" \"comment\",commentp,");
|
|
|
|
|
puts(" (linescovp[0] ? \"linescov\" : \"\"), linescovp);\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("}\n");
|
|
|
|
|
splitSizeInc(10);
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2009-11-07 12:20:20 +01:00
|
|
|
void EmitCImp::emitDestructorImp(AstNodeModule* modp) {
|
2006-08-30 19:27:53 +02:00
|
|
|
puts("\n");
|
2020-01-25 15:16:00 +01:00
|
|
|
puts(prefixNameProtect(modp) + "::~" + prefixNameProtect(modp) + "() {\n");
|
2020-03-02 03:39:23 +01:00
|
|
|
if (modp->isTop()) {
|
2020-04-24 03:22:47 +02:00
|
|
|
if (v3Global.opt.mtasks()) {
|
|
|
|
|
puts("VL_DO_CLEAR(delete __Vm_threadPoolp, __Vm_threadPoolp = NULL);\n");
|
|
|
|
|
}
|
2020-03-02 03:39:23 +01:00
|
|
|
// Call via function in __Trace.cpp as this .cpp file does not have trace header
|
|
|
|
|
if (v3Global.needTraceDumper()) {
|
|
|
|
|
puts("#ifdef VM_TRACE\n");
|
2020-03-04 01:19:50 +01:00
|
|
|
puts("if (VL_UNLIKELY(__VlSymsp->__Vm_dumping)) _traceDumpClose();\n");
|
2020-03-02 03:39:23 +01:00
|
|
|
puts("#endif // VM_TRACE\n");
|
|
|
|
|
}
|
2018-07-23 02:54:28 +02:00
|
|
|
}
|
2016-11-05 14:47:56 +01:00
|
|
|
emitTextSection(AstType::atScDtor);
|
2020-04-24 03:22:47 +02:00
|
|
|
if (modp->isTop()) puts("VL_DO_CLEAR(delete __VlSymsp, __VlSymsp = NULL);\n");
|
2006-08-30 19:27:53 +02:00
|
|
|
puts("}\n");
|
2013-09-04 01:35:32 +02:00
|
|
|
splitSizeInc(10);
|
2006-08-30 19:27:53 +02:00
|
|
|
}
|
|
|
|
|
|
2012-08-27 03:13:47 +02:00
|
|
|
void EmitCImp::emitSavableImp(AstNodeModule* modp) {
|
2020-04-15 13:58:34 +02:00
|
|
|
if (v3Global.opt.savable()) {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("\n// Savable\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
for (int de = 0; de < 2; ++de) {
|
2019-05-19 22:13:13 +02:00
|
|
|
string classname = de ? "VerilatedDeserialize" : "VerilatedSerialize";
|
|
|
|
|
string funcname = de ? "__Vdeserialize" : "__Vserialize";
|
|
|
|
|
string op = de ? ">>" : "<<";
|
2018-10-14 17:10:11 +02:00
|
|
|
// NOLINTNEXTLINE(performance-inefficient-string-concatenation)
|
2020-01-25 15:16:00 +01:00
|
|
|
puts("void " + prefixNameProtect(modp) + "::" + protect(funcname) + "(" + classname
|
|
|
|
|
+ "& os) {\n");
|
2020-01-25 02:10:44 +01:00
|
|
|
// Place a computed checksum to ensure proper structure save/restore formatting
|
2019-05-19 22:13:13 +02:00
|
|
|
// OK if this hash includes some things we won't dump, since
|
|
|
|
|
// just looking for loading the wrong model
|
2019-09-28 19:32:28 +02:00
|
|
|
VHashSha256 hash;
|
2019-05-19 22:13:13 +02:00
|
|
|
for (AstNode* nodep = modp->stmtsp(); nodep; nodep = nodep->nextp()) {
|
2018-02-02 03:32:58 +01:00
|
|
|
if (const AstVar* varp = VN_CAST(nodep, Var)) {
|
2019-05-19 22:13:13 +02:00
|
|
|
hash.insert(varp->name());
|
|
|
|
|
hash.insert(varp->dtypep()->width());
|
|
|
|
|
}
|
|
|
|
|
}
|
2020-05-29 02:32:07 +02:00
|
|
|
ofp()->printf("vluint64_t __Vcheckval = 0x%" VL_PRI64 "xULL;\n",
|
2020-04-15 13:58:34 +02:00
|
|
|
static_cast<vluint64_t>(hash.digestUInt64()));
|
2019-05-19 22:13:13 +02:00
|
|
|
if (de) {
|
|
|
|
|
puts("os.readAssert(__Vcheckval);\n");
|
|
|
|
|
} else {
|
|
|
|
|
puts("os<<__Vcheckval;\n");
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Save all members
|
2020-04-15 13:58:34 +02:00
|
|
|
if (v3Global.opt.inhibitSim()) puts("os" + op + "__Vm_inhibitSim;\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
for (AstNode* nodep = modp->stmtsp(); nodep; nodep = nodep->nextp()) {
|
2018-02-02 03:32:58 +01:00
|
|
|
if (const AstVar* varp = VN_CAST(nodep, Var)) {
|
2019-05-19 22:13:13 +02:00
|
|
|
if (varp->isIO() && modp->isTop() && optSystemC()) {
|
|
|
|
|
// System C top I/O doesn't need loading, as the
|
|
|
|
|
// lower level subinst code does it.
|
2020-04-15 13:58:34 +02:00
|
|
|
} else if (varp->isParam()) {
|
|
|
|
|
} else if (varp->isStatic() && varp->isConst()) {
|
|
|
|
|
} else {
|
2019-05-19 22:13:13 +02:00
|
|
|
int vects = 0;
|
2019-06-15 00:42:27 +02:00
|
|
|
AstNodeDType* elementp = varp->dtypeSkipRefp();
|
|
|
|
|
for (AstUnpackArrayDType* arrayp = VN_CAST(elementp, UnpackArrayDType);
|
2020-04-15 13:58:34 +02:00
|
|
|
arrayp; arrayp = VN_CAST(elementp, UnpackArrayDType)) {
|
2019-05-19 22:13:13 +02:00
|
|
|
int vecnum = vects++;
|
2019-07-06 18:57:50 +02:00
|
|
|
UASSERT_OBJ(arrayp->msb() >= arrayp->lsb(), varp,
|
|
|
|
|
"Should have swapped msb & lsb earlier.");
|
2020-04-15 13:58:34 +02:00
|
|
|
string ivar = string("__Vi") + cvtToStr(vecnum);
|
2019-05-19 22:13:13 +02:00
|
|
|
// MSVC++ pre V7 doesn't support 'for (int ...)',
|
|
|
|
|
// so declare in sep block
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("{ int __Vi" + cvtToStr(vecnum) + "=" + cvtToStr(0) + ";");
|
|
|
|
|
puts(" for (; " + ivar + "<" + cvtToStr(arrayp->elementsConst()));
|
|
|
|
|
puts("; ++" + ivar + ") {\n");
|
2019-06-15 00:42:27 +02:00
|
|
|
elementp = arrayp->subDTypep()->skipRefp();
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2019-06-15 00:42:27 +02:00
|
|
|
// Want to detect types that are represented as arrays
|
|
|
|
|
// (i.e. packed types of more than 64 bits).
|
|
|
|
|
AstBasicDType* basicp = elementp->basicp();
|
|
|
|
|
if (elementp->isWide()
|
|
|
|
|
&& !(basicp && basicp->keyword() == AstBasicDTypeKwd::STRING)) {
|
|
|
|
|
int vecnum = vects++;
|
2020-04-15 13:58:34 +02:00
|
|
|
string ivar = string("__Vi") + cvtToStr(vecnum);
|
|
|
|
|
puts("{ int __Vi" + cvtToStr(vecnum) + "=" + cvtToStr(0) + ";");
|
|
|
|
|
puts(" for (; " + ivar + "<" + cvtToStr(elementp->widthWords()));
|
|
|
|
|
puts("; ++" + ivar + ") {\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("os" + op + varp->nameProtect());
|
|
|
|
|
for (int v = 0; v < vects; ++v) puts("[__Vi" + cvtToStr(v) + "]");
|
2019-06-15 00:42:27 +02:00
|
|
|
puts(";\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
for (int v = 0; v < vects; ++v) puts("}}\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (modp->isTop()) { // Save the children
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("__VlSymsp->" + protect(funcname) + "(os);\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
|
|
|
|
puts("}\n");
|
|
|
|
|
}
|
2012-08-27 03:13:47 +02:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
void EmitCImp::emitTextSection(AstType type) {
|
|
|
|
|
int last_line = -999;
|
2019-11-07 01:47:34 +01:00
|
|
|
for (AstNode* nodep = m_modp->stmtsp(); nodep; nodep = nodep->nextp()) {
|
2018-02-02 03:32:58 +01:00
|
|
|
if (const AstNodeText* textp = VN_CAST(nodep, NodeText)) {
|
2019-05-19 22:13:13 +02:00
|
|
|
if (nodep->type() == type) {
|
|
|
|
|
if (last_line != nodep->fileline()->lineno()) {
|
|
|
|
|
if (last_line < 0) {
|
|
|
|
|
puts("\n//*** Below code from `systemc in Verilog file\n");
|
|
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
putsDecoration(
|
|
|
|
|
ifNoProtect("// From `systemc at " + nodep->fileline()->ascii() + "\n"));
|
2019-05-19 22:13:13 +02:00
|
|
|
last_line = nodep->fileline()->lineno();
|
|
|
|
|
}
|
|
|
|
|
ofp()->putsNoTracking(textp->text());
|
|
|
|
|
last_line++;
|
|
|
|
|
}
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
if (last_line > 0) puts("//*** Above code from `systemc in Verilog file\n\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
2009-11-07 12:20:20 +01:00
|
|
|
void EmitCImp::emitCellCtors(AstNodeModule* modp) {
|
2006-08-26 13:35:28 +02:00
|
|
|
if (modp->isTop()) {
|
2019-05-19 22:13:13 +02:00
|
|
|
// Must be before other constructors, as __vlCoverInsert calls it
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(EmitCBaseVisitor::symClassVar() + " = __VlSymsp = new " + symClassName()
|
|
|
|
|
+ "(this, name());\n");
|
|
|
|
|
puts(EmitCBaseVisitor::symTopAssign() + "\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2019-05-19 22:13:13 +02:00
|
|
|
for (AstNode* nodep = modp->stmtsp(); nodep; nodep = nodep->nextp()) {
|
|
|
|
|
if (AstCell* cellp = VN_CAST(nodep, Cell)) {
|
2020-01-25 15:16:00 +01:00
|
|
|
puts("VL_CELL(" + cellp->nameProtect() + ", " + prefixNameProtect(cellp->modp())
|
|
|
|
|
+ ");\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void EmitCImp::emitSensitives() {
|
|
|
|
|
// Create sensitivity list for when to evaluate the model.
|
|
|
|
|
// If C++ code, the user must call this routine themself.
|
|
|
|
|
if (m_modp->isTop() && optSystemC()) {
|
2019-05-19 22:13:13 +02:00
|
|
|
putsDecoration("// Sensitivities on all clocks and combo inputs\n");
|
|
|
|
|
puts("SC_METHOD(eval);\n");
|
|
|
|
|
for (AstNode* nodep = m_modp->stmtsp(); nodep; nodep = nodep->nextp()) {
|
2018-02-02 03:32:58 +01:00
|
|
|
if (const AstVar* varp = VN_CAST(nodep, Var)) {
|
2020-04-15 13:58:34 +02:00
|
|
|
if (varp->isNonOutput() && (varp->isScSensitive() || varp->isUsedClock())) {
|
2018-10-27 23:29:00 +02:00
|
|
|
int vects = 0;
|
|
|
|
|
// This isn't very robust and may need cleanup for other data types
|
2019-05-19 22:13:13 +02:00
|
|
|
for (AstUnpackArrayDType* arrayp
|
2020-04-15 13:58:34 +02:00
|
|
|
= VN_CAST(varp->dtypeSkipRefp(), UnpackArrayDType);
|
2018-02-02 03:32:58 +01:00
|
|
|
arrayp;
|
|
|
|
|
arrayp = VN_CAST(arrayp->subDTypep()->skipRefp(), UnpackArrayDType)) {
|
2019-05-19 22:13:13 +02:00
|
|
|
int vecnum = vects++;
|
2019-07-06 18:57:50 +02:00
|
|
|
UASSERT_OBJ(arrayp->msb() >= arrayp->lsb(), varp,
|
|
|
|
|
"Should have swapped msb & lsb earlier.");
|
2020-04-15 13:58:34 +02:00
|
|
|
string ivar = string("__Vi") + cvtToStr(vecnum);
|
2019-05-19 22:13:13 +02:00
|
|
|
// MSVC++ pre V7 doesn't support 'for (int ...)', so declare in sep block
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("{ int __Vi" + cvtToStr(vecnum) + "=" + cvtToStr(arrayp->lsb())
|
|
|
|
|
+ ";");
|
|
|
|
|
puts(" for (; " + ivar + "<=" + cvtToStr(arrayp->msb()));
|
|
|
|
|
puts("; ++" + ivar + ") {\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("sensitive << " + varp->nameProtect());
|
|
|
|
|
for (int v = 0; v < vects; ++v) puts("[__Vi" + cvtToStr(v) + "]");
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(";\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
for (int v = 0; v < vects; ++v) puts("}}\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
puts("\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2018-06-15 00:59:24 +02:00
|
|
|
void EmitCImp::emitSettleLoop(const std::string& eval_call, bool initial) {
|
2018-03-10 18:52:11 +01:00
|
|
|
putsDecoration("// Evaluate till stable\n");
|
|
|
|
|
puts("int __VclockLoop = 0;\n");
|
|
|
|
|
puts("QData __Vchange = 1;\n");
|
|
|
|
|
puts("do {\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(eval_call + "\n");
|
|
|
|
|
puts("if (VL_UNLIKELY(++__VclockLoop > " + cvtToStr(v3Global.opt.convergeLimit()) + ")) {\n");
|
|
|
|
|
puts("// About to fail, so enable debug to see what's not settling.\n");
|
|
|
|
|
puts("// Note you must run make with OPT=-DVL_DEBUG for debug prints.\n");
|
|
|
|
|
puts("int __Vsaved_debug = Verilated::debug();\n");
|
|
|
|
|
puts("Verilated::debug(1);\n");
|
|
|
|
|
puts("__Vchange = " + protect("_change_request") + "(vlSymsp);\n");
|
|
|
|
|
puts("Verilated::debug(__Vsaved_debug);\n");
|
|
|
|
|
puts("VL_FATAL_MT(");
|
2019-11-07 01:47:34 +01:00
|
|
|
putsQuoted(protect(m_modp->fileline()->filename()));
|
|
|
|
|
puts(", ");
|
|
|
|
|
puts(cvtToStr(m_modp->fileline()->lineno()));
|
|
|
|
|
puts(", \"\",\n");
|
|
|
|
|
puts("\"Verilated model didn't ");
|
2019-05-19 22:13:13 +02:00
|
|
|
if (initial) puts("DC ");
|
2019-11-07 01:47:34 +01:00
|
|
|
puts("converge\\n\"\n");
|
|
|
|
|
puts("\"- See DIDNOTCONVERGE in the Verilator manual\");\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("} else {\n");
|
|
|
|
|
puts("__Vchange = " + protect("_change_request") + "(vlSymsp);\n");
|
|
|
|
|
puts("}\n");
|
2018-03-10 18:52:11 +01:00
|
|
|
puts("} while (VL_UNLIKELY(__Vchange));\n");
|
|
|
|
|
}
|
|
|
|
|
|
2009-11-07 12:20:20 +01:00
|
|
|
void EmitCImp::emitWrapEval(AstNodeModule* modp) {
|
2020-03-02 03:39:23 +01:00
|
|
|
puts("\nvoid " + prefixNameProtect(modp) + "::eval_step() {\n");
|
2020-01-25 15:16:00 +01:00
|
|
|
puts("VL_DEBUG_IF(VL_DBG_MSGF(\"+++++TOP Evaluate " + prefixNameProtect(modp)
|
|
|
|
|
+ "::eval\\n\"); );\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(EmitCBaseVisitor::symClassVar() + " = this->__VlSymsp; // Setup global symbol table\n");
|
|
|
|
|
puts(EmitCBaseVisitor::symTopAssign() + "\n");
|
2017-11-06 03:47:55 +01:00
|
|
|
puts("#ifdef VL_DEBUG\n");
|
|
|
|
|
putsDecoration("// Debug assertions\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(protect("_eval_debug_assertions") + "();\n");
|
2019-07-30 03:07:37 +02:00
|
|
|
puts("#endif // VL_DEBUG\n");
|
2016-09-14 04:28:07 +02:00
|
|
|
putsDecoration("// Initialize\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("if (VL_UNLIKELY(!vlSymsp->__Vm_didInit)) " + protect("_eval_initial_loop")
|
|
|
|
|
+ "(vlSymsp);\n");
|
|
|
|
|
if (v3Global.opt.inhibitSim()) puts("if (VL_UNLIKELY(__Vm_inhibitSim)) return;\n");
|
2017-10-27 03:51:51 +02:00
|
|
|
|
2018-05-30 01:49:27 +02:00
|
|
|
if (v3Global.opt.threads() == 1) {
|
2019-05-19 22:13:13 +02:00
|
|
|
uint32_t mtaskId = 0;
|
2020-04-15 13:58:34 +02:00
|
|
|
putsDecoration("// MTask " + cvtToStr(mtaskId) + " start\n");
|
|
|
|
|
puts("VL_DEBUG_IF(VL_DBG_MSGF(\"MTask" + cvtToStr(mtaskId) + " starting\\n\"););\n");
|
|
|
|
|
puts("Verilated::mtaskId(" + cvtToStr(mtaskId) + ");\n");
|
2017-10-27 03:51:51 +02:00
|
|
|
}
|
2018-07-23 02:54:28 +02:00
|
|
|
|
2020-04-15 13:58:34 +02:00
|
|
|
if (v3Global.opt.mtasks() && v3Global.opt.profThreads()) {
|
2018-07-23 02:54:28 +02:00
|
|
|
puts("if (VL_UNLIKELY((Verilated::profThreadsStart() != __Vm_profile_time_finished)\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(" && (VL_TIME_Q() > Verilated::profThreadsStart())\n");
|
|
|
|
|
puts(" && (Verilated::profThreadsWindow() >= 1))) {\n");
|
2018-07-23 02:54:28 +02:00
|
|
|
// Within a profile (either starting, middle, or end)
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("if (vlTOPp->__Vm_profile_window_ct == 0) {\n"); // Opening file?
|
2018-07-23 02:54:28 +02:00
|
|
|
// Start profile on this cycle. We'll capture a window worth, then
|
|
|
|
|
// only analyze the next window worth. The idea is that the first window
|
|
|
|
|
// capture will hit some cache-cold stuff (eg printf) but it'll be warm
|
|
|
|
|
// by the time we hit the second window, we hope.
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("vlTOPp->__Vm_profile_cycle_start = VL_RDTSC_Q();\n");
|
2018-07-23 02:54:28 +02:00
|
|
|
// "* 2" as first half is warmup, second half is collection
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("vlTOPp->__Vm_profile_window_ct = Verilated::profThreadsWindow() * 2 + 1;\n");
|
|
|
|
|
puts("}\n");
|
|
|
|
|
puts("--vlTOPp->__Vm_profile_window_ct;\n");
|
|
|
|
|
puts("if (vlTOPp->__Vm_profile_window_ct == (Verilated::profThreadsWindow())) {\n");
|
2018-07-23 02:54:28 +02:00
|
|
|
// This barrier record in every threads' profile demarcates the
|
|
|
|
|
// cache-warm-up cycles before the barrier from the actual profile
|
|
|
|
|
// cycles afterward.
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("vlTOPp->__Vm_threadPoolp->profileAppendAll(");
|
|
|
|
|
puts("VlProfileRec(VlProfileRec::Barrier()));\n");
|
|
|
|
|
puts("vlTOPp->__Vm_profile_cycle_start = VL_RDTSC_Q();\n");
|
|
|
|
|
puts("}\n");
|
|
|
|
|
puts("else if (vlTOPp->__Vm_profile_window_ct == 0) {\n");
|
2018-07-23 02:54:28 +02:00
|
|
|
// Ending file.
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("vluint64_t elapsed = VL_RDTSC_Q() - vlTOPp->__Vm_profile_cycle_start;\n");
|
|
|
|
|
puts("vlTOPp->__Vm_threadPoolp->profileDump(Verilated::profThreadsFilenamep(), "
|
|
|
|
|
"elapsed);\n");
|
2018-07-23 02:54:28 +02:00
|
|
|
// This turns off the test to enter the profiling code, but still
|
|
|
|
|
// allows the user to collect another profile by changing
|
|
|
|
|
// profThreadsStart
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("__Vm_profile_time_finished = Verilated::profThreadsStart();\n");
|
|
|
|
|
puts("vlTOPp->__Vm_profile_cycle_start = 0;\n");
|
|
|
|
|
puts("}\n");
|
2018-07-23 02:54:28 +02:00
|
|
|
puts("}\n");
|
|
|
|
|
}
|
|
|
|
|
|
2020-04-15 13:58:34 +02:00
|
|
|
emitSettleLoop((string("VL_DEBUG_IF(VL_DBG_MSGF(\"+ Clock loop\\n\"););\n")
|
|
|
|
|
+ (v3Global.opt.trace() ? "vlSymsp->__Vm_activity = true;\n" : "")
|
|
|
|
|
+ protect("_eval") + "(vlSymsp);"),
|
|
|
|
|
false);
|
2018-05-30 01:49:27 +02:00
|
|
|
if (v3Global.opt.threads() == 1) {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("Verilated::endOfThreadMTask(vlSymsp->__Vm_evalMsgQp);\n");
|
2017-10-27 03:51:51 +02:00
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
if (v3Global.opt.threads()) puts("Verilated::endOfEval(vlSymsp->__Vm_evalMsgQp);\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
puts("}\n");
|
2013-09-04 01:35:32 +02:00
|
|
|
splitSizeInc(10);
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-02 03:39:23 +01:00
|
|
|
//
|
|
|
|
|
if (v3Global.needTraceDumper() && !optSystemC()) {
|
|
|
|
|
puts("\nvoid " + prefixNameProtect(modp) + "::eval_end_step() {\n");
|
|
|
|
|
puts("VL_DEBUG_IF(VL_DBG_MSGF(\"+eval_end_step " + prefixNameProtect(modp)
|
|
|
|
|
+ "::eval_end_step\\n\"); );\n");
|
|
|
|
|
puts("#ifdef VM_TRACE\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(EmitCBaseVisitor::symClassVar()
|
|
|
|
|
+ " = this->__VlSymsp; // Setup global symbol table\n");
|
|
|
|
|
puts(EmitCBaseVisitor::symTopAssign() + "\n");
|
2020-03-02 03:39:23 +01:00
|
|
|
putsDecoration("// Tracing\n");
|
|
|
|
|
// SystemC's eval loop deals with calling trace, not us
|
2020-03-04 01:19:50 +01:00
|
|
|
puts("if (VL_UNLIKELY(vlSymsp->__Vm_dumping)) _traceDump();\n");
|
2020-03-02 03:39:23 +01:00
|
|
|
puts("#endif // VM_TRACE\n");
|
|
|
|
|
puts("}\n");
|
|
|
|
|
}
|
|
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
//
|
2020-01-25 15:16:00 +01:00
|
|
|
puts("\nvoid " + prefixNameProtect(modp) + "::" + protect("_eval_initial_loop") + "("
|
|
|
|
|
+ EmitCBaseVisitor::symClassVar() + ") {\n");
|
2006-08-30 23:07:55 +02:00
|
|
|
puts("vlSymsp->__Vm_didInit = true;\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(protect("_eval_initial") + "(vlSymsp);\n");
|
|
|
|
|
if (v3Global.opt.trace()) puts("vlSymsp->__Vm_activity = true;\n");
|
|
|
|
|
emitSettleLoop((protect("_eval_settle") + "(vlSymsp);\n" //
|
|
|
|
|
+ protect("_eval") + "(vlSymsp);"),
|
|
|
|
|
true);
|
2006-08-26 13:35:28 +02:00
|
|
|
puts("}\n");
|
2013-09-04 01:35:32 +02:00
|
|
|
splitSizeInc(10);
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
//----------------------------------------------------------------------
|
|
|
|
|
// Top interface/ implementation
|
|
|
|
|
|
2020-02-02 02:11:21 +01:00
|
|
|
void EmitCStmts::emitVarList(AstNode* firstp, EisWhich which, const string& prefixIfImp,
|
|
|
|
|
string& sectionr) {
|
2006-08-26 13:35:28 +02:00
|
|
|
// Put out a list of signal declarations
|
2006-09-19 17:27:15 +02:00
|
|
|
// in order of 0:clocks, 1:vluint8, 2:vluint16, 4:vluint32, 5:vluint64, 6:wide, 7:arrays
|
2006-08-26 13:35:28 +02:00
|
|
|
// This aids cache packing and locality
|
2018-06-16 13:45:30 +02:00
|
|
|
//
|
2018-07-23 02:54:28 +02:00
|
|
|
// Largest->smallest reduces the number of pad variables. Also
|
|
|
|
|
// experimented with alternating between large->small and small->large
|
|
|
|
|
// on successive Mtask groups, but then when a new mtask gets added may
|
|
|
|
|
// cause a huge delta.
|
|
|
|
|
//
|
|
|
|
|
// TODO: Move this sort to an earlier visitor stage.
|
2017-12-09 17:52:35 +01:00
|
|
|
VarSortMap varAnonMap;
|
|
|
|
|
VarSortMap varNonanonMap;
|
|
|
|
|
|
2020-04-15 13:58:34 +02:00
|
|
|
for (int isstatic = 1; isstatic >= 0; isstatic--) {
|
|
|
|
|
if (prefixIfImp != "" && !isstatic) continue;
|
|
|
|
|
for (AstNode* nodep = firstp; nodep; nodep = nodep->nextp()) {
|
2018-02-02 03:32:58 +01:00
|
|
|
if (const AstVar* varp = VN_CAST(nodep, Var)) {
|
2017-12-09 17:52:35 +01:00
|
|
|
bool doit = true;
|
|
|
|
|
switch (which) {
|
2020-04-15 13:58:34 +02:00
|
|
|
case EVL_CLASS_IO: doit = varp->isIO(); break;
|
2020-04-11 03:10:21 +02:00
|
|
|
case EVL_CLASS_SIG:
|
2020-04-15 13:58:34 +02:00
|
|
|
doit = ((varp->isSignal() || varp->isClassMember()) && !varp->isIO());
|
|
|
|
|
break;
|
2017-12-09 17:52:35 +01:00
|
|
|
case EVL_CLASS_TEMP: doit = (varp->isTemp() && !varp->isIO()); break;
|
2020-04-15 13:58:34 +02:00
|
|
|
case EVL_CLASS_PAR:
|
|
|
|
|
doit = (varp->isParam() && !VN_IS(varp->valuep(), Const));
|
|
|
|
|
break;
|
|
|
|
|
case EVL_CLASS_ALL: doit = true; break;
|
|
|
|
|
case EVL_FUNC_ALL: doit = true; break;
|
2017-12-09 17:52:35 +01:00
|
|
|
default: v3fatalSrc("Bad Case");
|
|
|
|
|
}
|
2019-05-19 22:13:13 +02:00
|
|
|
if (varp->isStatic() ? !isstatic : isstatic) doit = false;
|
2017-12-09 17:52:35 +01:00
|
|
|
if (doit) {
|
|
|
|
|
int sigbytes = varp->dtypeSkipRefp()->widthAlignBytes();
|
|
|
|
|
int sortbytes = 9;
|
2020-04-15 13:58:34 +02:00
|
|
|
if (varp->isUsedClock() && varp->widthMin() == 1) {
|
|
|
|
|
sortbytes = 0;
|
|
|
|
|
} else if (VN_IS(varp->dtypeSkipRefp(), UnpackArrayDType)) {
|
|
|
|
|
sortbytes = 8;
|
|
|
|
|
} else if (varp->basicp() && varp->basicp()->isOpaque()) {
|
|
|
|
|
sortbytes = 7;
|
|
|
|
|
} else if (varp->isScBv() || varp->isScBigUint()) {
|
|
|
|
|
sortbytes = 6;
|
|
|
|
|
} else if (sigbytes == 8) {
|
|
|
|
|
sortbytes = 5;
|
|
|
|
|
} else if (sigbytes == 4) {
|
|
|
|
|
sortbytes = 4;
|
|
|
|
|
} else if (sigbytes == 2) {
|
|
|
|
|
sortbytes = 2;
|
|
|
|
|
} else if (sigbytes == 1) {
|
|
|
|
|
sortbytes = 1;
|
|
|
|
|
}
|
2017-12-09 17:52:35 +01:00
|
|
|
bool anonOk = (v3Global.opt.compLimitMembers() != 0 // Enabled
|
2020-04-15 13:58:34 +02:00
|
|
|
&& !varp->isStatic() && !varp->isIO() // Confusing to user
|
2017-12-09 17:52:35 +01:00
|
|
|
&& !varp->isSc() // Aggregates can't be anon
|
2020-04-15 13:58:34 +02:00
|
|
|
&& (varp->basicp()
|
|
|
|
|
&& !varp->basicp()->isOpaque()) // Aggregates can't be anon
|
|
|
|
|
&& which != EVL_FUNC_ALL); // Anon not legal in funcs, and gcc
|
|
|
|
|
// bug free there anyhow
|
2017-12-09 17:52:35 +01:00
|
|
|
if (anonOk) {
|
2018-06-16 13:45:30 +02:00
|
|
|
varAnonMap[sortbytes].push_back(varp);
|
2017-12-09 17:52:35 +01:00
|
|
|
} else {
|
2018-06-16 13:45:30 +02:00
|
|
|
varNonanonMap[sortbytes].push_back(varp);
|
2017-12-09 17:52:35 +01:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2020-02-02 02:11:21 +01:00
|
|
|
if (!varAnonMap.empty() || !varNonanonMap.empty()) {
|
|
|
|
|
if (!sectionr.empty()) {
|
|
|
|
|
puts(sectionr);
|
|
|
|
|
sectionr = "";
|
|
|
|
|
}
|
|
|
|
|
VarVec anons;
|
|
|
|
|
VarVec nonanons;
|
|
|
|
|
emitVarSort(varAnonMap, &anons);
|
|
|
|
|
emitVarSort(varNonanonMap, &nonanons);
|
|
|
|
|
emitSortedVarList(anons, nonanons, prefixIfImp);
|
|
|
|
|
}
|
2018-06-16 13:45:30 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void EmitCStmts::emitVarSort(const VarSortMap& vmap, VarVec* sortedp) {
|
|
|
|
|
UASSERT(sortedp->empty(), "Sorted should be initially empty");
|
2018-07-23 02:54:28 +02:00
|
|
|
if (!v3Global.opt.mtasks()) {
|
|
|
|
|
// Plain old serial mode. Sort by size, from small to large,
|
|
|
|
|
// to optimize for both packing and small offsets in code.
|
2020-04-15 13:58:34 +02:00
|
|
|
for (VarSortMap::const_iterator it = vmap.begin(); it != vmap.end(); ++it) {
|
|
|
|
|
for (VarVec::const_iterator jt = it->second.begin(); jt != it->second.end(); ++jt) {
|
2018-06-16 13:45:30 +02:00
|
|
|
sortedp->push_back(*jt);
|
|
|
|
|
}
|
|
|
|
|
}
|
2018-07-23 02:54:28 +02:00
|
|
|
return;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// MacroTask mode. Sort by MTask-affinity group first, size second.
|
|
|
|
|
typedef std::map<MTaskIdSet, VarSortMap> MTaskVarSortMap;
|
|
|
|
|
MTaskVarSortMap m2v;
|
|
|
|
|
for (VarSortMap::const_iterator it = vmap.begin(); it != vmap.end(); ++it) {
|
|
|
|
|
int size_class = it->first;
|
|
|
|
|
const VarVec& vec = it->second;
|
|
|
|
|
for (VarVec::const_iterator jt = vec.begin(); jt != vec.end(); ++jt) {
|
|
|
|
|
const AstVar* varp = *jt;
|
|
|
|
|
m2v[varp->mtaskIds()][size_class].push_back(varp);
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Create a TSP sort state for each MTaskIdSet footprint
|
|
|
|
|
V3TSP::StateVec states;
|
|
|
|
|
for (MTaskVarSortMap::iterator it = m2v.begin(); it != m2v.end(); ++it) {
|
|
|
|
|
states.push_back(new EmitVarTspSorter(it->first));
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Do the TSP sort
|
|
|
|
|
V3TSP::StateVec sorted_states;
|
|
|
|
|
V3TSP::tspSort(states, &sorted_states);
|
|
|
|
|
|
2020-04-15 13:58:34 +02:00
|
|
|
for (V3TSP::StateVec::iterator it = sorted_states.begin(); it != sorted_states.end(); ++it) {
|
2018-07-23 02:54:28 +02:00
|
|
|
const EmitVarTspSorter* statep = dynamic_cast<const EmitVarTspSorter*>(*it);
|
|
|
|
|
const VarSortMap& localVmap = m2v[statep->mtaskIds()];
|
|
|
|
|
// use rbegin/rend to sort size large->small
|
2020-04-15 13:58:34 +02:00
|
|
|
for (VarSortMap::const_reverse_iterator jt = localVmap.rbegin(); jt != localVmap.rend();
|
|
|
|
|
++jt) {
|
2018-07-23 02:54:28 +02:00
|
|
|
const VarVec& vec = jt->second;
|
2020-04-15 13:58:34 +02:00
|
|
|
for (VarVec::const_iterator kt = vec.begin(); kt != vec.end(); ++kt) {
|
2018-07-23 02:54:28 +02:00
|
|
|
sortedp->push_back(*kt);
|
|
|
|
|
}
|
|
|
|
|
}
|
2020-01-17 02:17:11 +01:00
|
|
|
VL_DO_DANGLING(delete statep, statep);
|
2018-06-16 13:45:30 +02:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2020-04-15 13:58:34 +02:00
|
|
|
void EmitCStmts::emitSortedVarList(const VarVec& anons, const VarVec& nonanons,
|
2018-06-16 13:45:30 +02:00
|
|
|
const string& prefixIfImp) {
|
2018-10-14 05:06:36 +02:00
|
|
|
string curVarCmt;
|
2017-12-09 17:52:35 +01:00
|
|
|
// Output anons
|
|
|
|
|
{
|
2018-06-16 13:45:30 +02:00
|
|
|
int anonMembers = anons.size();
|
2017-12-09 17:52:35 +01:00
|
|
|
int lim = v3Global.opt.compLimitMembers();
|
|
|
|
|
int anonL3s = 1;
|
|
|
|
|
int anonL2s = 1;
|
|
|
|
|
int anonL1s = 1;
|
2020-04-15 13:58:34 +02:00
|
|
|
if (anonMembers > (lim * lim * lim)) {
|
|
|
|
|
anonL3s = (anonMembers + (lim * lim * lim) - 1) / (lim * lim * lim);
|
2017-12-09 17:52:35 +01:00
|
|
|
anonL2s = lim;
|
|
|
|
|
anonL1s = lim;
|
2020-04-15 13:58:34 +02:00
|
|
|
} else if (anonMembers > (lim * lim)) {
|
|
|
|
|
anonL2s = (anonMembers + (lim * lim) - 1) / (lim * lim);
|
2017-12-09 17:52:35 +01:00
|
|
|
anonL1s = lim;
|
|
|
|
|
} else if (anonMembers > lim) {
|
|
|
|
|
anonL1s = (anonMembers + lim - 1) / lim;
|
|
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
if (anonL1s != 1)
|
|
|
|
|
puts("// Anonymous structures to workaround compiler member-count bugs\n");
|
2018-06-16 13:45:30 +02:00
|
|
|
VarVec::const_iterator it = anons.begin();
|
2020-04-15 13:58:34 +02:00
|
|
|
for (int l3 = 0; l3 < anonL3s && it != anons.end(); ++l3) {
|
2017-12-09 17:52:35 +01:00
|
|
|
if (anonL3s != 1) puts("struct {\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
for (int l2 = 0; l2 < anonL2s && it != anons.end(); ++l2) {
|
2017-12-09 17:52:35 +01:00
|
|
|
if (anonL2s != 1) puts("struct {\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
for (int l1 = 0; l1 < anonL1s && it != anons.end(); ++l1) {
|
2017-12-09 17:52:35 +01:00
|
|
|
if (anonL1s != 1) puts("struct {\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
for (int l0 = 0; l0 < lim && it != anons.end(); ++l0) {
|
2018-06-16 13:45:30 +02:00
|
|
|
const AstVar* varp = *it;
|
2018-07-23 02:54:28 +02:00
|
|
|
emitVarCmtChg(varp, &curVarCmt);
|
2017-12-09 17:52:35 +01:00
|
|
|
emitVarDecl(varp, prefixIfImp);
|
|
|
|
|
++it;
|
|
|
|
|
}
|
|
|
|
|
if (anonL1s != 1) puts("};\n");
|
|
|
|
|
}
|
|
|
|
|
if (anonL2s != 1) puts("};\n");
|
|
|
|
|
}
|
|
|
|
|
if (anonL3s != 1) puts("};\n");
|
|
|
|
|
}
|
|
|
|
|
// Leftovers, just in case off by one error somewhere above
|
2018-06-16 13:45:30 +02:00
|
|
|
for (; it != anons.end(); ++it) {
|
|
|
|
|
const AstVar* varp = *it;
|
2018-07-23 02:54:28 +02:00
|
|
|
emitVarCmtChg(varp, &curVarCmt);
|
2017-12-09 17:52:35 +01:00
|
|
|
emitVarDecl(varp, prefixIfImp);
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
// Output nonanons
|
2018-06-16 13:45:30 +02:00
|
|
|
for (VarVec::const_iterator it = nonanons.begin(); it != nonanons.end(); ++it) {
|
|
|
|
|
const AstVar* varp = *it;
|
2018-07-23 02:54:28 +02:00
|
|
|
emitVarCmtChg(varp, &curVarCmt);
|
2017-12-09 17:52:35 +01:00
|
|
|
emitVarDecl(varp, prefixIfImp);
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2018-07-23 02:54:28 +02:00
|
|
|
void EmitCImp::emitMTaskState() {
|
|
|
|
|
ofp()->putsPrivate(true);
|
|
|
|
|
AstExecGraph* execGraphp = v3Global.rootp()->execGraphp();
|
2019-07-06 18:57:50 +02:00
|
|
|
UASSERT_OBJ(execGraphp, v3Global.rootp(), "Root should have an execGraphp");
|
2018-07-23 02:54:28 +02:00
|
|
|
|
|
|
|
|
const V3Graph* depGraphp = execGraphp->depGraphp();
|
2020-04-15 13:58:34 +02:00
|
|
|
for (const V3GraphVertex* vxp = depGraphp->verticesBeginp(); vxp; vxp = vxp->verticesNextp()) {
|
2018-07-23 02:54:28 +02:00
|
|
|
const ExecMTask* mtp = dynamic_cast<const ExecMTask*>(vxp);
|
|
|
|
|
if (packedMTaskMayBlock(mtp) > 0) {
|
|
|
|
|
puts("VlMTaskVertex __Vm_mt_" + cvtToStr(mtp->id()) + ";\n");
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
// This fake mtask depends on all the real ones. We use it to block
|
|
|
|
|
// eval() until all mtasks are done.
|
|
|
|
|
//
|
|
|
|
|
// In the future we might allow _eval() to return before the graph is
|
|
|
|
|
// fully done executing, for "half wave" scheduling. For now we wait
|
|
|
|
|
// for all mtasks though.
|
|
|
|
|
puts("VlMTaskVertex __Vm_mt_final;\n");
|
|
|
|
|
puts("VlThreadPool* __Vm_threadPoolp;\n");
|
|
|
|
|
|
|
|
|
|
if (v3Global.opt.profThreads()) {
|
|
|
|
|
// rdtsc() at current cycle start
|
|
|
|
|
puts("vluint64_t __Vm_profile_cycle_start;\n");
|
|
|
|
|
// Time we finished analysis
|
|
|
|
|
puts("vluint64_t __Vm_profile_time_finished;\n");
|
|
|
|
|
// Track our position in the cache warmup and actual profile window
|
|
|
|
|
puts("vluint32_t __Vm_profile_window_ct;\n");
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
puts("bool __Vm_even_cycle;\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
2020-02-02 01:32:04 +01:00
|
|
|
void EmitCImp::emitIntTop(AstNodeModule* modp) {
|
2006-08-26 13:35:28 +02:00
|
|
|
// Always have this first; gcc has short circuiting if #ifdef is first in a file
|
2019-12-24 01:00:17 +01:00
|
|
|
ofp()->putsGuard();
|
2017-09-08 03:08:49 +02:00
|
|
|
puts("\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
ofp()->putsIntTopInclude();
|
2010-01-17 21:10:37 +01:00
|
|
|
if (v3Global.needHeavy()) {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("#include \"verilated_heavy.h\"\n");
|
2010-01-17 21:10:37 +01:00
|
|
|
} else {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("#include \"verilated.h\"\n");
|
2010-01-17 21:10:37 +01:00
|
|
|
}
|
2020-02-02 01:32:04 +01:00
|
|
|
if (v3Global.opt.mtasks()) puts("#include \"verilated_threads.h\"\n");
|
|
|
|
|
if (v3Global.opt.savable()) puts("#include \"verilated_save.h\"\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
if (v3Global.opt.coverage()) {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("#include \"verilated_cov.h\"\n");
|
|
|
|
|
if (v3Global.opt.savable()) v3error("--coverage and --savable not supported together");
|
2007-11-30 23:38:21 +01:00
|
|
|
}
|
2011-05-12 12:59:13 +02:00
|
|
|
if (v3Global.dpi()) {
|
2019-05-19 22:13:13 +02:00
|
|
|
// do this before including our main .h file so that any references to
|
|
|
|
|
// types defined in svdpi.h are available
|
2020-01-25 15:33:43 +01:00
|
|
|
puts("#include \"" + topClassName() + "__Dpi.h\"\n");
|
2011-05-12 12:59:13 +02:00
|
|
|
}
|
2020-02-02 01:32:04 +01:00
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-02-02 01:32:04 +01:00
|
|
|
void EmitCImp::emitInt(AstNodeModule* modp) {
|
2020-02-03 03:15:07 +01:00
|
|
|
puts("\n//==========\n\n");
|
2020-02-01 22:45:11 +01:00
|
|
|
emitModCUse(modp, VUseType::INT_INCLUDE);
|
|
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
// Declare foreign instances up front to make C++ happy
|
2020-02-01 22:45:11 +01:00
|
|
|
puts("class " + symClassName() + ";\n");
|
|
|
|
|
emitModCUse(modp, VUseType::INT_FWD_CLASS);
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
puts("\n//----------\n\n");
|
2016-11-05 14:47:56 +01:00
|
|
|
emitTextSection(AstType::atScHdr);
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-04-05 15:30:23 +02:00
|
|
|
if (AstClass* classp = VN_CAST(modp, Class)) {
|
|
|
|
|
puts("class " + prefixNameProtect(modp));
|
|
|
|
|
if (classp->extendsp()) puts(" : public " + classp->extendsp()->classp()->nameProtect());
|
|
|
|
|
puts(" {\n");
|
|
|
|
|
} else if (optSystemC() && modp->isTop()) {
|
2020-01-25 15:16:00 +01:00
|
|
|
puts("SC_MODULE(" + prefixNameProtect(modp) + ") {\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
} else {
|
2020-01-25 15:16:00 +01:00
|
|
|
puts("VL_MODULE(" + prefixNameProtect(modp) + ") {\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
ofp()->resetPrivate();
|
|
|
|
|
ofp()->putsPrivate(false); // public:
|
|
|
|
|
|
2017-09-23 04:27:03 +02:00
|
|
|
{ // Instantiated cells
|
2019-05-19 22:13:13 +02:00
|
|
|
bool did = false;
|
|
|
|
|
for (AstNode* nodep = modp->stmtsp(); nodep; nodep = nodep->nextp()) {
|
|
|
|
|
if (AstCell* cellp = VN_CAST(nodep, Cell)) {
|
|
|
|
|
if (!did) {
|
|
|
|
|
did = true;
|
|
|
|
|
putsDecoration("// CELLS\n");
|
2020-02-04 05:21:56 +01:00
|
|
|
if (modp->isTop()) {
|
|
|
|
|
puts("// Public to allow access to /*verilator_public*/ items;\n");
|
|
|
|
|
puts("// otherwise the application code can consider these internals.\n");
|
|
|
|
|
}
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2020-01-25 15:16:00 +01:00
|
|
|
puts(prefixNameProtect(cellp->modp()) + "* " + cellp->nameProtect() + ";\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
2014-11-07 13:50:11 +01:00
|
|
|
emitTypedefs(modp->stmtsp());
|
|
|
|
|
|
2020-02-02 02:11:21 +01:00
|
|
|
string section;
|
|
|
|
|
section = "\n// PORTS\n";
|
2020-04-16 03:47:37 +02:00
|
|
|
if (modp->isTop()) {
|
2020-04-15 13:58:34 +02:00
|
|
|
section += ("// The application code writes and reads these signals to\n"
|
|
|
|
|
"// propagate new values into/out from the Verilated model.\n");
|
2020-04-16 03:47:37 +02:00
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
emitVarList(modp->stmtsp(), EVL_CLASS_IO, "", section /*ref*/);
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-02-02 02:11:21 +01:00
|
|
|
section = "\n// LOCAL SIGNALS\n";
|
|
|
|
|
if (modp->isTop()) section += "// Internals; generally not touched by application code\n";
|
2020-04-15 13:58:34 +02:00
|
|
|
emitVarList(modp->stmtsp(), EVL_CLASS_SIG, "", section /*ref*/);
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-02-02 02:11:21 +01:00
|
|
|
section = "\n// LOCAL VARIABLES\n";
|
|
|
|
|
if (modp->isTop()) section += "// Internals; generally not touched by application code\n";
|
2020-04-15 13:58:34 +02:00
|
|
|
emitVarList(modp->stmtsp(), EVL_CLASS_TEMP, "", section /*ref*/);
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
puts("\n// INTERNAL VARIABLES\n");
|
2009-12-03 03:15:56 +01:00
|
|
|
if (modp->isTop()) puts("// Internals; generally not touched by application code\n");
|
2020-04-07 18:17:48 +02:00
|
|
|
if (!VN_IS(modp, Class)) { // Avoid clang unused error (& don't want in every object)
|
|
|
|
|
ofp()->putsPrivate(!modp->isTop()); // private: unless top
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(symClassName() + "* __VlSymsp; // Symbol table\n");
|
2020-04-07 18:17:48 +02:00
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
ofp()->putsPrivate(false); // public:
|
2020-03-02 03:39:23 +01:00
|
|
|
if (modp->isTop()) {
|
|
|
|
|
if (v3Global.opt.inhibitSim()) {
|
|
|
|
|
puts("bool __Vm_inhibitSim; ///< Set true to disable evaluation of module\n");
|
|
|
|
|
}
|
|
|
|
|
if (v3Global.opt.mtasks()) emitMTaskState();
|
2018-07-23 02:54:28 +02:00
|
|
|
}
|
2019-05-19 22:13:13 +02:00
|
|
|
emitCoverageDecl(modp); // may flip public/private
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-02-02 02:11:21 +01:00
|
|
|
section = "\n// PARAMETERS\n";
|
2020-04-15 13:58:34 +02:00
|
|
|
if (modp->isTop())
|
|
|
|
|
section += "// Parameters marked /*verilator public*/ for use by application code\n";
|
2006-08-26 13:35:28 +02:00
|
|
|
ofp()->putsPrivate(false); // public:
|
2020-04-15 13:58:34 +02:00
|
|
|
emitVarList(modp->stmtsp(), EVL_CLASS_PAR, "",
|
|
|
|
|
section /*ref*/); // Only those that are non-CONST
|
2020-06-16 13:07:59 +02:00
|
|
|
bool first = true;
|
|
|
|
|
emitParams(modp, false, &first, section /*ref*/);
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-02-03 03:15:07 +01:00
|
|
|
if (!VN_IS(modp, Class)) {
|
|
|
|
|
puts("\n// CONSTRUCTORS\n");
|
|
|
|
|
ofp()->resetPrivate();
|
|
|
|
|
// We don't need a private copy constructor, as VerilatedModule has one for us.
|
|
|
|
|
ofp()->putsPrivate(true);
|
|
|
|
|
puts("VL_UNCOPYABLE(" + prefixNameProtect(modp) + "); ///< Copying not allowed\n");
|
|
|
|
|
}
|
2006-08-30 23:07:55 +02:00
|
|
|
|
2020-02-03 03:15:07 +01:00
|
|
|
if (VN_IS(modp, Class)) {
|
|
|
|
|
// CFuncs with isConstructor/isDestructor used instead
|
|
|
|
|
} else if (optSystemC() && modp->isTop()) {
|
|
|
|
|
ofp()->putsPrivate(false); // public:
|
2020-01-25 15:16:00 +01:00
|
|
|
puts("SC_CTOR(" + prefixNameProtect(modp) + ");\n");
|
|
|
|
|
puts("virtual ~" + prefixNameProtect(modp) + "();\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
} else if (optSystemC()) {
|
2020-02-03 03:15:07 +01:00
|
|
|
ofp()->putsPrivate(false); // public:
|
2020-01-25 15:16:00 +01:00
|
|
|
puts("VL_CTOR(" + prefixNameProtect(modp) + ");\n");
|
|
|
|
|
puts("~" + prefixNameProtect(modp) + "();\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
} else {
|
2020-02-03 03:15:07 +01:00
|
|
|
ofp()->putsPrivate(false); // public:
|
2019-05-19 22:13:13 +02:00
|
|
|
if (modp->isTop()) {
|
|
|
|
|
puts("/// Construct the model; called by application code\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("/// The special name "
|
|
|
|
|
" may be used to make a wrapper with a\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("/// single model invisible with respect to DPI scope names.\n");
|
|
|
|
|
}
|
2020-04-05 15:30:23 +02:00
|
|
|
if (VN_IS(modp, Class)) {
|
|
|
|
|
// TODO move all constructor definition to e.g. V3CUse
|
|
|
|
|
puts(prefixNameProtect(modp) + "();\n");
|
|
|
|
|
} else {
|
|
|
|
|
puts(prefixNameProtect(modp) + "(const char* name = \"TOP\");\n");
|
|
|
|
|
}
|
2020-01-25 15:16:00 +01:00
|
|
|
if (modp->isTop()) {
|
|
|
|
|
puts("/// Destroy the model; called (often implicitly) by application code\n");
|
|
|
|
|
}
|
|
|
|
|
puts("~" + prefixNameProtect(modp) + "();\n");
|
2007-12-13 14:54:04 +01:00
|
|
|
}
|
2019-10-06 02:16:33 +02:00
|
|
|
if (v3Global.opt.trace() && modp->isTop()) {
|
|
|
|
|
puts("/// Trace signals in the model; called by application code\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("void trace(" + v3Global.opt.traceClassBase()
|
|
|
|
|
+ "C* tfp, int levels, int options = 0);\n");
|
2019-10-06 02:16:33 +02:00
|
|
|
if (optSystemC()) {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("/// SC tracing; avoid overloaded virtual function lint warning\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("virtual void trace(sc_trace_file* tfp) const { "
|
|
|
|
|
"::sc_core::sc_module::trace(tfp); }\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
2016-11-05 14:47:56 +01:00
|
|
|
emitTextSection(AstType::atScInt);
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
if (modp->isTop()) {
|
2020-02-02 01:32:04 +01:00
|
|
|
puts("\n// API METHODS\n");
|
2020-03-02 03:39:23 +01:00
|
|
|
string callEvalEndStep
|
|
|
|
|
= (v3Global.needTraceDumper() && !optSystemC()) ? "eval_end_step(); " : "";
|
2020-04-15 13:58:34 +02:00
|
|
|
if (optSystemC()) {
|
|
|
|
|
ofp()->putsPrivate(true); ///< eval() is invoked by our sensitive() calls.
|
|
|
|
|
}
|
|
|
|
|
if (!optSystemC()) {
|
|
|
|
|
puts("/// Evaluate the model. Application must call when inputs change.\n");
|
|
|
|
|
}
|
2020-03-02 03:39:23 +01:00
|
|
|
puts("void eval() { eval_step(); " + callEvalEndStep + "}\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
if (!optSystemC()) {
|
|
|
|
|
puts("/// Evaluate when calling multiple units/models per time step.\n");
|
|
|
|
|
}
|
2020-03-02 03:39:23 +01:00
|
|
|
puts("void eval_step();\n");
|
|
|
|
|
if (!optSystemC()) {
|
|
|
|
|
puts("/// Evaluate at end of a timestep for tracing, when using eval_step().\n");
|
|
|
|
|
puts("/// Application must call after all eval() and before time changes.\n");
|
|
|
|
|
puts("void eval_end_step()");
|
2020-04-15 13:58:34 +02:00
|
|
|
if (callEvalEndStep == "") {
|
|
|
|
|
puts(" {}\n");
|
|
|
|
|
} else {
|
|
|
|
|
puts(";\n");
|
|
|
|
|
}
|
2020-03-02 03:39:23 +01:00
|
|
|
}
|
2019-05-19 22:13:13 +02:00
|
|
|
ofp()->putsPrivate(false); // public:
|
2020-04-15 13:58:34 +02:00
|
|
|
if (!optSystemC()) {
|
|
|
|
|
puts("/// Simulation complete, run final blocks. Application "
|
|
|
|
|
"must call on completion.\n");
|
|
|
|
|
}
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("void final();\n");
|
|
|
|
|
if (v3Global.opt.inhibitSim()) {
|
2020-03-02 03:39:23 +01:00
|
|
|
puts("/// Disable evaluation of module (e.g. turn off)\n");
|
|
|
|
|
puts("void inhibitSim(bool flag) { __Vm_inhibitSim = flag; }\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2009-12-03 03:15:56 +01:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
puts("\n// INTERNAL METHODS\n");
|
|
|
|
|
if (modp->isTop()) {
|
2019-05-19 22:13:13 +02:00
|
|
|
ofp()->putsPrivate(true); // private:
|
2020-04-06 00:30:46 +02:00
|
|
|
puts("static void " + protect("_eval_initial_loop") + "(" + EmitCBaseVisitor::symClassVar()
|
|
|
|
|
+ ");\n");
|
|
|
|
|
if (v3Global.needTraceDumper()) {
|
|
|
|
|
if (!optSystemC()) puts("void _traceDump();");
|
|
|
|
|
puts("void _traceDumpOpen();");
|
|
|
|
|
puts("void _traceDumpClose();");
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
2020-02-03 03:15:07 +01:00
|
|
|
if (!VN_IS(modp, Class)) {
|
|
|
|
|
ofp()->putsPrivate(false); // public:
|
|
|
|
|
puts("void " + protect("__Vconfigure") + "(" + symClassName() + "* symsp, bool first);\n");
|
|
|
|
|
}
|
2009-12-03 03:15:56 +01:00
|
|
|
|
2020-04-05 15:30:23 +02:00
|
|
|
ofp()->putsPrivate(false); // public:
|
2020-02-01 16:57:55 +01:00
|
|
|
emitIntFuncDecls(modp, true);
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-02-03 03:15:07 +01:00
|
|
|
if (v3Global.opt.trace() && !VN_IS(modp, Class)) {
|
2020-05-09 00:42:34 +02:00
|
|
|
ofp()->putsPrivate(true); // private:
|
|
|
|
|
puts("static void " + protect("traceInit") + "(void* userp, "
|
|
|
|
|
+ v3Global.opt.traceClassBase() + "* tracep, uint32_t code) VL_ATTR_COLD;\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2012-08-27 03:13:47 +02:00
|
|
|
if (v3Global.opt.savable()) {
|
2019-05-19 22:13:13 +02:00
|
|
|
ofp()->putsPrivate(false); // public:
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("void " + protect("__Vserialize") + "(VerilatedSerialize& os);\n");
|
|
|
|
|
puts("void " + protect("__Vdeserialize") + "(VerilatedDeserialize& os);\n");
|
2012-08-27 03:13:47 +02:00
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-02-02 02:11:21 +01:00
|
|
|
puts("}");
|
2020-02-02 02:28:03 +01:00
|
|
|
if (!VN_IS(modp, Class)) puts(" VL_ATTR_ALIGNED(VL_CACHE_LINE_BYTES)");
|
2020-02-02 02:11:21 +01:00
|
|
|
puts(";\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-02-03 03:15:07 +01:00
|
|
|
puts("\n//----------\n\n");
|
2020-02-01 16:57:55 +01:00
|
|
|
emitIntFuncDecls(modp, false);
|
|
|
|
|
|
2012-08-27 03:13:47 +02:00
|
|
|
// Save/restore
|
|
|
|
|
if (v3Global.opt.savable() && modp->isTop()) {
|
2019-12-24 18:47:27 +01:00
|
|
|
puts("\n");
|
|
|
|
|
puts("inline VerilatedSerialize& operator<<(VerilatedSerialize& os, "
|
2020-04-15 13:58:34 +02:00
|
|
|
+ prefixNameProtect(modp) + "& rhs) {\n" //
|
|
|
|
|
+ "Verilated::quiesce(); rhs." + protect("__Vserialize") + "(os); return os; }\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("inline VerilatedDeserialize& operator>>(VerilatedDeserialize& os, "
|
2020-04-15 13:58:34 +02:00
|
|
|
+ prefixNameProtect(modp) + "& rhs) {\n" //
|
|
|
|
|
+ "Verilated::quiesce(); rhs." + protect("__Vdeserialize") + "(os); return os; }\n");
|
2012-08-27 03:13:47 +02:00
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
//----------------------------------------------------------------------
|
|
|
|
|
|
2020-01-25 15:33:43 +01:00
|
|
|
void EmitCImp::emitImpTop(AstNodeModule* fileModp) {
|
2019-12-24 01:00:17 +01:00
|
|
|
puts("\n");
|
2020-01-25 15:33:43 +01:00
|
|
|
puts("#include \"" + prefixNameProtect(fileModp) + ".h\"\n");
|
2020-01-25 15:16:00 +01:00
|
|
|
puts("#include \"" + symClassName() + ".h\"\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2011-05-21 03:33:31 +02:00
|
|
|
if (v3Global.dpi()) {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("\n");
|
|
|
|
|
puts("#include \"verilated_dpi.h\"\n");
|
2011-05-21 03:33:31 +02:00
|
|
|
}
|
|
|
|
|
|
2020-02-01 22:45:11 +01:00
|
|
|
emitModCUse(fileModp, VUseType::IMP_INCLUDE);
|
|
|
|
|
emitModCUse(fileModp, VUseType::IMP_FWD_CLASS);
|
|
|
|
|
|
2016-11-05 14:47:56 +01:00
|
|
|
emitTextSection(AstType::atScImpHdr);
|
2020-01-25 15:33:43 +01:00
|
|
|
}
|
2006-08-29 02:58:48 +02:00
|
|
|
|
2020-01-25 15:33:43 +01:00
|
|
|
void EmitCImp::emitImp(AstNodeModule* modp) {
|
2020-02-03 03:15:07 +01:00
|
|
|
puts("\n//==========\n");
|
2020-01-25 15:33:43 +01:00
|
|
|
if (m_slow) {
|
2020-02-02 02:11:21 +01:00
|
|
|
string section;
|
2020-04-15 13:58:34 +02:00
|
|
|
emitVarList(modp->stmtsp(), EVL_CLASS_ALL, prefixNameProtect(modp), section /*ref*/);
|
2020-02-03 03:15:07 +01:00
|
|
|
if (!VN_IS(modp, Class)) emitCtorImp(modp);
|
|
|
|
|
if (!VN_IS(modp, Class)) emitConfigureImp(modp);
|
|
|
|
|
if (!VN_IS(modp, Class)) emitDestructorImp(modp);
|
2019-05-19 22:13:13 +02:00
|
|
|
emitSavableImp(modp);
|
|
|
|
|
emitCoverageImp(modp);
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2006-08-30 19:27:53 +02:00
|
|
|
|
2020-01-25 15:33:43 +01:00
|
|
|
if (m_fast) {
|
|
|
|
|
emitTextSection(AstType::atScImp);
|
2020-02-03 03:15:07 +01:00
|
|
|
if (modp->isTop()) emitWrapEval(modp);
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Blocks
|
2020-01-25 15:33:43 +01:00
|
|
|
for (AstNode* nodep = modp->stmtsp(); nodep; nodep = nodep->nextp()) {
|
|
|
|
|
if (AstCFunc* funcp = VN_CAST(nodep, CFunc)) {
|
|
|
|
|
maybeSplit(modp);
|
|
|
|
|
mainDoFunc(funcp);
|
|
|
|
|
}
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
//######################################################################
|
|
|
|
|
|
2020-01-25 15:33:43 +01:00
|
|
|
void EmitCImp::maybeSplit(AstNodeModule* fileModp) {
|
2018-05-30 01:49:27 +02:00
|
|
|
if (splitNeeded()) {
|
2020-05-25 22:12:34 +02:00
|
|
|
// Splitting file, so using parallel build.
|
|
|
|
|
v3Global.useParallelBuild(true);
|
2018-05-30 01:49:27 +02:00
|
|
|
// Close old file
|
2020-01-18 16:29:49 +01:00
|
|
|
VL_DO_CLEAR(delete m_ofp, m_ofp = NULL);
|
2018-05-30 01:49:27 +02:00
|
|
|
// Open a new file
|
2020-04-15 13:58:34 +02:00
|
|
|
m_ofp = newOutCFile(fileModp, !m_fast, true /*source*/, splitFilenumInc());
|
2020-01-25 15:33:43 +01:00
|
|
|
emitImpTop(fileModp);
|
2018-05-30 01:49:27 +02:00
|
|
|
}
|
|
|
|
|
splitSizeInc(10); // Even blank functions get a file with a low csplit
|
|
|
|
|
}
|
|
|
|
|
|
2020-02-02 01:32:04 +01:00
|
|
|
void EmitCImp::mainInt(AstNodeModule* modp) {
|
|
|
|
|
AstNodeModule* fileModp = modp; // Filename constructed using this module
|
|
|
|
|
m_modp = modp;
|
|
|
|
|
m_slow = true;
|
|
|
|
|
m_fast = true;
|
|
|
|
|
|
|
|
|
|
UINFO(5, " Emitting " << prefixNameProtect(modp) << endl);
|
|
|
|
|
|
2020-04-15 13:58:34 +02:00
|
|
|
m_ofp = newOutCFile(fileModp, false /*slow*/, false /*source*/);
|
2020-02-02 01:32:04 +01:00
|
|
|
emitIntTop(modp);
|
|
|
|
|
emitInt(modp);
|
2020-04-05 15:30:23 +02:00
|
|
|
if (AstClassPackage* packagep = VN_CAST(modp, ClassPackage)) {
|
|
|
|
|
// Put the non-static class implementation in same h file for speed
|
|
|
|
|
m_modp = packagep->classp();
|
|
|
|
|
emitInt(packagep->classp());
|
|
|
|
|
m_modp = modp;
|
|
|
|
|
}
|
2020-02-02 01:32:04 +01:00
|
|
|
ofp()->putsEndGuard();
|
|
|
|
|
VL_DO_CLEAR(delete m_ofp, m_ofp = NULL);
|
|
|
|
|
}
|
|
|
|
|
|
2020-05-25 12:35:06 +02:00
|
|
|
void EmitCImp::mainImp(AstNodeModule* modp, bool slow) {
|
2006-08-26 13:35:28 +02:00
|
|
|
// Output a module
|
2020-01-25 15:33:43 +01:00
|
|
|
AstNodeModule* fileModp = modp; // Filename constructed using this module
|
2006-08-26 13:35:28 +02:00
|
|
|
m_modp = modp;
|
|
|
|
|
m_slow = slow;
|
2020-05-25 12:35:06 +02:00
|
|
|
m_fast = !slow;
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-01-25 15:16:00 +01:00
|
|
|
UINFO(5, " Emitting " << prefixNameProtect(modp) << endl);
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-04-15 13:58:34 +02:00
|
|
|
m_ofp = newOutCFile(fileModp, !m_fast, true /*source*/);
|
2020-01-25 15:33:43 +01:00
|
|
|
emitImpTop(fileModp);
|
2018-05-30 01:49:27 +02:00
|
|
|
emitImp(modp);
|
2008-06-10 03:25:10 +02:00
|
|
|
|
2020-04-05 15:30:23 +02:00
|
|
|
if (AstClassPackage* packagep = VN_CAST(modp, ClassPackage)) {
|
|
|
|
|
// Put the non-static class implementation in same C++ files as
|
|
|
|
|
// often optimizations are possible when both are seen by the
|
|
|
|
|
// compiler together
|
|
|
|
|
m_modp = packagep->classp();
|
|
|
|
|
emitImp(packagep->classp());
|
|
|
|
|
m_modp = modp;
|
|
|
|
|
}
|
|
|
|
|
|
2020-05-25 12:35:06 +02:00
|
|
|
if (m_fast && modp->isTop() && v3Global.opt.mtasks()) {
|
2018-07-23 02:54:28 +02:00
|
|
|
// Make a final pass and emit function definitions for the mtasks
|
|
|
|
|
// in the ExecGraph
|
|
|
|
|
AstExecGraph* execGraphp = v3Global.rootp()->execGraphp();
|
|
|
|
|
const V3Graph* depGraphp = execGraphp->depGraphp();
|
2020-04-15 13:58:34 +02:00
|
|
|
for (const V3GraphVertex* vxp = depGraphp->verticesBeginp(); vxp;
|
|
|
|
|
vxp = vxp->verticesNextp()) {
|
2018-07-23 02:54:28 +02:00
|
|
|
const ExecMTask* mtaskp = dynamic_cast<const ExecMTask*>(vxp);
|
|
|
|
|
if (mtaskp->threadRoot()) {
|
|
|
|
|
maybeSplit(modp);
|
|
|
|
|
// Only define one function for all the mtasks packed on
|
|
|
|
|
// a given thread. We'll name this function after the
|
|
|
|
|
// root mtask though it contains multiple mtasks' worth
|
|
|
|
|
// of logic.
|
|
|
|
|
iterate(mtaskp->bodyp());
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
}
|
2020-01-18 16:29:49 +01:00
|
|
|
VL_DO_CLEAR(delete m_ofp, m_ofp = NULL);
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
//######################################################################
|
|
|
|
|
// Tracing routines
|
|
|
|
|
|
|
|
|
|
class EmitCTrace : EmitCStmts {
|
2018-10-08 13:21:22 +02:00
|
|
|
// NODE STATE/TYPES
|
|
|
|
|
// Cleared on netlist
|
|
|
|
|
// AstNode::user1() -> int. Enum number
|
|
|
|
|
AstUser1InUse m_inuser1;
|
|
|
|
|
|
|
|
|
|
// MEMBERS
|
2020-04-15 13:58:34 +02:00
|
|
|
AstCFunc* m_funcp; // Function we're in now
|
|
|
|
|
bool m_slow; // Making slow file
|
|
|
|
|
int m_enumNum; // Enumeration number (whole netlist)
|
|
|
|
|
int m_baseCode; // Code of first AstTraceInc in this function
|
2008-11-17 23:13:57 +01:00
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
// METHODS
|
2008-11-17 23:13:57 +01:00
|
|
|
void newOutCFile(int filenum) {
|
2020-04-15 13:58:34 +02:00
|
|
|
string filename
|
|
|
|
|
= (v3Global.opt.makeDir() + "/" + topClassName() + "_" + protect("_Trace"));
|
|
|
|
|
if (filenum) filename += "__" + cvtToStr(filenum);
|
|
|
|
|
filename += (m_slow ? "__Slow" : "");
|
2018-11-30 02:35:21 +01:00
|
|
|
filename += ".cpp";
|
2008-11-17 23:13:57 +01:00
|
|
|
|
2020-04-15 13:58:34 +02:00
|
|
|
AstCFile* cfilep = newCFile(filename, m_slow, true /*source*/);
|
2019-05-19 22:13:13 +02:00
|
|
|
cfilep->support(true);
|
2008-11-17 23:13:57 +01:00
|
|
|
|
2019-05-19 22:13:13 +02:00
|
|
|
if (m_ofp) v3fatalSrc("Previous file not closed");
|
2020-04-10 05:03:28 +02:00
|
|
|
if (optSystemC()) {
|
|
|
|
|
m_ofp = new V3OutScFile(filename);
|
|
|
|
|
} else {
|
|
|
|
|
m_ofp = new V3OutCFile(filename);
|
|
|
|
|
}
|
2019-05-19 22:13:13 +02:00
|
|
|
m_ofp->putsHeader();
|
2020-04-15 13:58:34 +02:00
|
|
|
m_ofp->puts("// DESCR"
|
|
|
|
|
"IPTION: Verilator output: Tracing implementation internals\n");
|
2008-11-17 23:13:57 +01:00
|
|
|
|
2019-05-19 22:13:13 +02:00
|
|
|
emitTraceHeader();
|
2008-11-17 23:13:57 +01:00
|
|
|
}
|
|
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
void emitTraceHeader() {
|
2019-05-19 22:13:13 +02:00
|
|
|
// Includes
|
2020-03-02 03:39:23 +01:00
|
|
|
puts("#include \"" + v3Global.opt.traceSourceLang() + ".h\"\n");
|
|
|
|
|
puts("#include \"" + symClassName() + ".h\"\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void emitTraceSlow() {
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("\n//======================\n\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-02 03:39:23 +01:00
|
|
|
if (v3Global.needTraceDumper() && !optSystemC()) {
|
|
|
|
|
puts("void " + topClassName() + "::_traceDump() {\n");
|
|
|
|
|
// Caller checked for __Vm_dumperp non-NULL
|
2020-05-29 00:23:37 +02:00
|
|
|
puts("const VerilatedLockGuard lock(__VlSymsp->__Vm_dumperMutex);\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("__VlSymsp->__Vm_dumperp->dump(VL_TIME_Q());\n");
|
2020-03-02 03:39:23 +01:00
|
|
|
puts("}\n");
|
|
|
|
|
splitSizeInc(10);
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (v3Global.needTraceDumper()) {
|
|
|
|
|
puts("void " + topClassName() + "::_traceDumpOpen() {\n");
|
2020-05-29 00:23:37 +02:00
|
|
|
puts("const VerilatedLockGuard lock(__VlSymsp->__Vm_dumperMutex);\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("if (VL_UNLIKELY(!__VlSymsp->__Vm_dumperp)) {\n");
|
|
|
|
|
puts("__VlSymsp->__Vm_dumperp = new " + v3Global.opt.traceClassLang() + "();\n");
|
|
|
|
|
puts("const char* cp = vl_dumpctl_filenamep();\n");
|
|
|
|
|
puts("trace(__VlSymsp->__Vm_dumperp, 0, 0);\n");
|
|
|
|
|
puts("__VlSymsp->__Vm_dumperp->open(vl_dumpctl_filenamep());\n");
|
|
|
|
|
puts("__VlSymsp->__Vm_dumperp->changeThread();\n");
|
|
|
|
|
puts("__VlSymsp->__Vm_dumping = true;\n");
|
|
|
|
|
puts("}\n");
|
2020-03-02 03:39:23 +01:00
|
|
|
puts("}\n");
|
|
|
|
|
splitSizeInc(10);
|
|
|
|
|
|
|
|
|
|
puts("void " + topClassName() + "::_traceDumpClose() {\n");
|
2020-05-29 00:23:37 +02:00
|
|
|
puts("const VerilatedLockGuard lock(__VlSymsp->__Vm_dumperMutex);\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("__VlSymsp->__Vm_dumping = false;\n");
|
2020-04-24 03:22:47 +02:00
|
|
|
puts("VL_DO_CLEAR(delete __VlSymsp->__Vm_dumperp, __VlSymsp->__Vm_dumperp = NULL);\n");
|
2020-03-02 03:39:23 +01:00
|
|
|
puts("}\n");
|
|
|
|
|
splitSizeInc(10);
|
|
|
|
|
}
|
|
|
|
|
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("void " + topClassName() + "::trace(");
|
|
|
|
|
puts(v3Global.opt.traceClassBase() + "C* tfp, int, int) {\n");
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("tfp->spTrace()->addInitCb(&" + protect("traceInit") + ", __VlSymsp);\n");
|
|
|
|
|
puts(protect("traceRegister") + "(tfp->spTrace());\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("}\n");
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("\n");
|
2019-05-19 22:13:13 +02:00
|
|
|
splitSizeInc(10);
|
|
|
|
|
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("void " + topClassName() + "::" + protect("traceInit") + "(void* userp, "
|
|
|
|
|
+ v3Global.opt.traceClassBase() + "* tracep, uint32_t code) {\n");
|
|
|
|
|
putsDecoration("// Callback from tracep->open()\n");
|
|
|
|
|
puts(symClassVar() + " = static_cast<" + symClassName() + "*>(userp);\n");
|
2018-03-10 22:32:04 +01:00
|
|
|
puts("if (!Verilated::calcUnusedSigs()) {\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("VL_FATAL_MT(__FILE__, __LINE__, __FILE__,\n");
|
|
|
|
|
puts(" \"Turning on wave traces requires Verilated::traceEverOn(true) call "
|
|
|
|
|
"before time 0.\");\n");
|
2018-03-10 22:32:04 +01:00
|
|
|
puts("}\n");
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("vlSymsp->__Vm_baseCode = code;\n");
|
|
|
|
|
puts("tracep->module(vlSymsp->name());\n");
|
|
|
|
|
puts("tracep->scopeEscape(' ');\n");
|
|
|
|
|
puts(topClassName() + "::" + protect("traceInitTop") + "(vlSymsp, tracep);\n");
|
|
|
|
|
puts("tracep->scopeEscape('.');\n"); // Restore so later traced files won't break
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("}\n");
|
|
|
|
|
splitSizeInc(10);
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("\n//======================\n\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
2009-03-13 19:17:30 +01:00
|
|
|
bool emitTraceIsScBv(AstTraceInc* nodep) {
|
2020-05-09 00:42:34 +02:00
|
|
|
const AstVarRef* varrefp = VN_CAST(nodep->declp()->valuep(), VarRef);
|
2019-05-19 22:13:13 +02:00
|
|
|
if (!varrefp) return false;
|
|
|
|
|
AstVar* varp = varrefp->varp();
|
|
|
|
|
return varp->isSc() && varp->isScBv();
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2013-04-27 03:02:32 +02:00
|
|
|
|
|
|
|
|
bool emitTraceIsScBigUint(AstTraceInc* nodep) {
|
2020-05-09 00:42:34 +02:00
|
|
|
const AstVarRef* varrefp = VN_CAST(nodep->declp()->valuep(), VarRef);
|
2019-05-19 22:13:13 +02:00
|
|
|
if (!varrefp) return false;
|
|
|
|
|
AstVar* varp = varrefp->varp();
|
|
|
|
|
return varp->isSc() && varp->isScBigUint();
|
2013-04-27 03:02:32 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
bool emitTraceIsScUint(AstTraceInc* nodep) {
|
2020-05-09 00:42:34 +02:00
|
|
|
const AstVarRef* varrefp = VN_CAST(nodep->declp()->valuep(), VarRef);
|
2019-05-19 22:13:13 +02:00
|
|
|
if (!varrefp) return false;
|
|
|
|
|
AstVar* varp = varrefp->varp();
|
|
|
|
|
return varp->isSc() && varp->isScUint();
|
2013-04-27 03:02:32 +02:00
|
|
|
}
|
|
|
|
|
|
2018-10-08 13:21:22 +02:00
|
|
|
void emitTraceInitOne(AstTraceDecl* nodep, int enumNum) {
|
2019-05-19 22:13:13 +02:00
|
|
|
if (nodep->dtypep()->basicp()->isDouble()) {
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("tracep->declDouble");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else if (nodep->isWide()) {
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("tracep->declArray");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else if (nodep->isQuad()) {
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("tracep->declQuad");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else if (nodep->bitRange().ranged()) {
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("tracep->declBus");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else {
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("tracep->declBit");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2018-10-04 01:51:05 +02:00
|
|
|
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("(c+" + cvtToStr(nodep->code()));
|
|
|
|
|
if (nodep->arrayRange().ranged()) puts("+i*" + cvtToStr(nodep->widthWords()));
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(",");
|
2020-04-15 13:58:34 +02:00
|
|
|
if (nodep->isScoped()) puts("Verilated::catName(scopep,");
|
2019-10-06 19:24:21 +02:00
|
|
|
putsQuoted(VIdProtect::protectWordsIf(nodep->showname(), nodep->protect()));
|
2020-04-15 13:58:34 +02:00
|
|
|
if (nodep->isScoped()) puts(",\" \")");
|
2018-10-04 01:51:05 +02:00
|
|
|
// Direction
|
2020-04-22 00:49:07 +02:00
|
|
|
if (v3Global.opt.traceFormat().fst()) {
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("," + cvtToStr(enumNum));
|
2018-10-05 02:24:41 +02:00
|
|
|
// fstVarDir
|
2020-04-15 13:58:34 +02:00
|
|
|
if (nodep->declDirection().isInoutish()) {
|
|
|
|
|
puts(",FST_VD_INOUT");
|
|
|
|
|
} else if (nodep->declDirection().isWritable()) {
|
|
|
|
|
puts(",FST_VD_OUTPUT");
|
|
|
|
|
} else if (nodep->declDirection().isNonOutput()) {
|
|
|
|
|
puts(",FST_VD_INPUT");
|
|
|
|
|
} else {
|
|
|
|
|
puts(", FST_VD_IMPLICIT");
|
|
|
|
|
}
|
2018-10-05 02:24:41 +02:00
|
|
|
//
|
|
|
|
|
// fstVarType
|
|
|
|
|
AstVarType vartype = nodep->varType();
|
|
|
|
|
AstBasicDTypeKwd kwd = nodep->declKwd();
|
|
|
|
|
string fstvt;
|
|
|
|
|
// Doubles have special decoding properties, so must indicate if a double
|
|
|
|
|
if (nodep->dtypep()->basicp()->isDouble()) {
|
|
|
|
|
if (vartype == AstVarType::GPARAM || vartype == AstVarType::LPARAM) {
|
|
|
|
|
fstvt = "FST_VT_VCD_REAL_PARAMETER";
|
2020-04-15 13:58:34 +02:00
|
|
|
} else {
|
|
|
|
|
fstvt = "FST_VT_VCD_REAL";
|
|
|
|
|
}
|
2018-10-05 02:24:41 +02:00
|
|
|
}
|
2020-04-15 13:58:34 +02:00
|
|
|
// clang-format off
|
2020-04-16 03:47:37 +02:00
|
|
|
else if (vartype == AstVarType::GPARAM) { fstvt = "FST_VT_VCD_PARAMETER"; }
|
|
|
|
|
else if (vartype == AstVarType::LPARAM) { fstvt = "FST_VT_VCD_PARAMETER"; }
|
|
|
|
|
else if (vartype == AstVarType::SUPPLY0) { fstvt = "FST_VT_VCD_SUPPLY0"; }
|
|
|
|
|
else if (vartype == AstVarType::SUPPLY1) { fstvt = "FST_VT_VCD_SUPPLY1"; }
|
|
|
|
|
else if (vartype == AstVarType::TRI0) { fstvt = "FST_VT_VCD_TRI0"; }
|
|
|
|
|
else if (vartype == AstVarType::TRI1) { fstvt = "FST_VT_VCD_TRI1"; }
|
|
|
|
|
else if (vartype == AstVarType::TRIWIRE) { fstvt = "FST_VT_VCD_TRI"; }
|
|
|
|
|
else if (vartype == AstVarType::WIRE) { fstvt = "FST_VT_VCD_WIRE"; }
|
|
|
|
|
else if (vartype == AstVarType::PORT) { fstvt = "FST_VT_VCD_WIRE"; }
|
2018-10-05 02:24:41 +02:00
|
|
|
//
|
2020-04-16 03:47:37 +02:00
|
|
|
else if (kwd == AstBasicDTypeKwd::INTEGER) { fstvt = "FST_VT_VCD_INTEGER"; }
|
|
|
|
|
else if (kwd == AstBasicDTypeKwd::BIT) { fstvt = "FST_VT_SV_BIT"; }
|
|
|
|
|
else if (kwd == AstBasicDTypeKwd::LOGIC) { fstvt = "FST_VT_SV_LOGIC"; }
|
|
|
|
|
else if (kwd == AstBasicDTypeKwd::INT) { fstvt = "FST_VT_SV_INT"; }
|
|
|
|
|
else if (kwd == AstBasicDTypeKwd::SHORTINT) { fstvt = "FST_VT_SV_SHORTINT"; }
|
|
|
|
|
else if (kwd == AstBasicDTypeKwd::LONGINT) { fstvt = "FST_VT_SV_LONGINT"; }
|
|
|
|
|
else if (kwd == AstBasicDTypeKwd::BYTE) { fstvt = "FST_VT_SV_BYTE"; }
|
|
|
|
|
else { fstvt = "FST_VT_SV_BIT"; }
|
2020-04-15 13:58:34 +02:00
|
|
|
// clang-format on
|
2018-10-05 02:24:41 +02:00
|
|
|
//
|
|
|
|
|
// Not currently supported
|
|
|
|
|
// FST_VT_VCD_EVENT
|
|
|
|
|
// FST_VT_VCD_PORT
|
|
|
|
|
// FST_VT_VCD_SHORTREAL
|
|
|
|
|
// FST_VT_VCD_REALTIME
|
|
|
|
|
// FST_VT_VCD_SPARRAY
|
|
|
|
|
// FST_VT_VCD_TRIAND
|
|
|
|
|
// FST_VT_VCD_TRIOR
|
|
|
|
|
// FST_VT_VCD_TRIREG
|
|
|
|
|
// FST_VT_VCD_WAND
|
|
|
|
|
// FST_VT_VCD_WOR
|
|
|
|
|
// FST_VT_SV_ENUM
|
|
|
|
|
// FST_VT_GEN_STRING
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("," + fstvt);
|
2018-10-04 01:51:05 +02:00
|
|
|
}
|
|
|
|
|
// Range
|
2019-05-19 22:13:13 +02:00
|
|
|
if (nodep->arrayRange().ranged()) {
|
2020-01-08 13:32:31 +01:00
|
|
|
puts(", true,(i+" + cvtToStr(nodep->arrayRange().lo()) + ")");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else {
|
2020-01-08 13:32:31 +01:00
|
|
|
puts(", false,-1");
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2020-01-08 13:32:31 +01:00
|
|
|
if (!nodep->dtypep()->basicp()->isDouble() && nodep->bitRange().ranged()) {
|
|
|
|
|
puts(", " + cvtToStr(nodep->bitRange().left()) + ","
|
|
|
|
|
+ cvtToStr(nodep->bitRange().right()));
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
|
|
|
|
puts(");");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
2018-10-08 13:21:22 +02:00
|
|
|
int emitTraceDeclDType(AstNodeDType* nodep) {
|
|
|
|
|
// Return enum number or -1 for none
|
2020-04-22 00:49:07 +02:00
|
|
|
if (v3Global.opt.traceFormat().fst()) {
|
2018-10-08 13:21:22 +02:00
|
|
|
// Skip over refs-to-refs, but stop before final ref so can get data type name
|
2019-05-02 01:18:45 +02:00
|
|
|
// Alternatively back in V3Width we could push enum names from upper typedefs
|
2018-10-08 13:21:22 +02:00
|
|
|
if (AstEnumDType* enump = VN_CAST(nodep->skipRefToEnump(), EnumDType)) {
|
|
|
|
|
int enumNum = enump->user1();
|
|
|
|
|
if (!enumNum) {
|
|
|
|
|
enumNum = ++m_enumNum;
|
|
|
|
|
enump->user1(enumNum);
|
|
|
|
|
int nvals = 0;
|
|
|
|
|
puts("{\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("const char* " + protect("__VenumItemNames") + "[]\n");
|
2018-10-08 13:21:22 +02:00
|
|
|
puts("= {");
|
|
|
|
|
for (AstEnumItem* itemp = enump->itemsp(); itemp;
|
2019-05-19 22:13:13 +02:00
|
|
|
itemp = VN_CAST(itemp->nextp(), EnumItem)) {
|
2018-10-08 13:21:22 +02:00
|
|
|
if (++nvals > 1) puts(", ");
|
2020-04-15 13:58:34 +02:00
|
|
|
putbs("\"" + itemp->prettyName() + "\"");
|
2018-10-08 13:21:22 +02:00
|
|
|
}
|
|
|
|
|
puts("};\n");
|
|
|
|
|
nvals = 0;
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("const char* " + protect("__VenumItemValues") + "[]\n");
|
2018-10-08 13:21:22 +02:00
|
|
|
puts("= {");
|
|
|
|
|
for (AstEnumItem* itemp = enump->itemsp(); itemp;
|
2019-05-19 22:13:13 +02:00
|
|
|
itemp = VN_CAST(itemp->nextp(), EnumItem)) {
|
2018-10-08 13:21:22 +02:00
|
|
|
AstConst* constp = VN_CAST(itemp->valuep(), Const);
|
|
|
|
|
if (++nvals > 1) puts(", ");
|
2020-04-15 13:58:34 +02:00
|
|
|
putbs("\"" + constp->num().displayed(nodep, "%0b") + "\"");
|
2018-10-08 13:21:22 +02:00
|
|
|
}
|
|
|
|
|
puts("};\n");
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("tracep->declDTypeEnum(" + cvtToStr(enumNum) + ", \""
|
|
|
|
|
+ enump->prettyName() + "\", " + cvtToStr(nvals) + ", "
|
|
|
|
|
+ cvtToStr(enump->widthMin()) + ", " + protect("__VenumItemNames") + ", "
|
|
|
|
|
+ protect("__VenumItemValues") + ");\n");
|
2018-10-08 13:21:22 +02:00
|
|
|
puts("}\n");
|
|
|
|
|
}
|
|
|
|
|
return enumNum;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
return -1;
|
|
|
|
|
}
|
|
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
void emitTraceChangeOne(AstTraceInc* nodep, int arrayindex) {
|
2018-05-11 02:55:37 +02:00
|
|
|
iterateAndNextNull(nodep->precondsp());
|
2020-05-09 00:42:34 +02:00
|
|
|
const string func = nodep->full() ? "full" : "chg";
|
2020-04-30 01:09:09 +02:00
|
|
|
bool emitWidth = true;
|
2019-05-19 22:13:13 +02:00
|
|
|
if (nodep->dtypep()->basicp()->isDouble()) {
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("tracep->" + func + "Double");
|
2020-04-30 01:09:09 +02:00
|
|
|
emitWidth = false;
|
2019-05-19 22:13:13 +02:00
|
|
|
} else if (nodep->isWide() || emitTraceIsScBv(nodep) || emitTraceIsScBigUint(nodep)) {
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("tracep->" + func + "WData");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else if (nodep->isQuad()) {
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("tracep->" + func + "QData");
|
2020-04-30 01:09:09 +02:00
|
|
|
} else if (nodep->declp()->widthMin() > 16) {
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("tracep->" + func + "IData");
|
2020-04-30 01:09:09 +02:00
|
|
|
} else if (nodep->declp()->widthMin() > 8) {
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("tracep->" + func + "SData");
|
2020-04-14 01:13:10 +02:00
|
|
|
} else if (nodep->declp()->widthMin() > 1) {
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("tracep->" + func + "CData");
|
2019-05-19 22:13:13 +02:00
|
|
|
} else {
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("tracep->" + func + "Bit");
|
2020-04-30 01:09:09 +02:00
|
|
|
emitWidth = false;
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2020-04-14 01:13:10 +02:00
|
|
|
|
|
|
|
|
const uint32_t offset = (arrayindex < 0) ? 0 : (arrayindex * nodep->declp()->widthWords());
|
|
|
|
|
const uint32_t code = nodep->declp()->code() + offset;
|
2020-05-09 00:42:34 +02:00
|
|
|
puts(v3Global.opt.trueTraceThreads() && !nodep->full() ? "(base+" : "(oldp+");
|
2020-04-25 23:38:25 +02:00
|
|
|
puts(cvtToStr(code - m_baseCode));
|
|
|
|
|
puts(",");
|
2019-05-19 22:13:13 +02:00
|
|
|
emitTraceValue(nodep, arrayindex);
|
2020-04-14 01:13:10 +02:00
|
|
|
if (emitWidth) puts("," + cvtToStr(nodep->declp()->widthMin()));
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(");\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
void emitTraceValue(AstTraceInc* nodep, int arrayindex) {
|
2020-05-09 00:42:34 +02:00
|
|
|
if (AstVarRef* const varrefp = VN_CAST(nodep->valuep(), VarRef)) {
|
2019-05-19 22:13:13 +02:00
|
|
|
AstVar* varp = varrefp->varp();
|
|
|
|
|
puts("(");
|
2020-04-15 13:58:34 +02:00
|
|
|
if (emitTraceIsScBigUint(nodep)) {
|
|
|
|
|
puts("(vluint32_t*)");
|
|
|
|
|
} else if (emitTraceIsScBv(nodep)) {
|
|
|
|
|
puts("VL_SC_BV_DATAP(");
|
|
|
|
|
}
|
2018-05-11 02:55:37 +02:00
|
|
|
iterate(varrefp); // Put var name out
|
2019-05-19 22:13:13 +02:00
|
|
|
// Tracing only supports 1D arrays
|
|
|
|
|
if (nodep->declp()->arrayRange().ranged()) {
|
2020-04-15 13:58:34 +02:00
|
|
|
if (arrayindex == -2) {
|
|
|
|
|
puts("[i]");
|
|
|
|
|
} else if (arrayindex == -1) {
|
|
|
|
|
puts("[0]");
|
|
|
|
|
} else {
|
|
|
|
|
puts("[" + cvtToStr(arrayindex) + "]");
|
|
|
|
|
}
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
|
|
|
|
if (varp->isSc()) puts(".read()");
|
2020-04-15 13:58:34 +02:00
|
|
|
if (emitTraceIsScUint(nodep)) {
|
|
|
|
|
puts(nodep->isQuad() ? ".to_uint64()" : ".to_uint()");
|
|
|
|
|
} else if (emitTraceIsScBigUint(nodep)) {
|
|
|
|
|
puts(".get_raw()");
|
|
|
|
|
} else if (emitTraceIsScBv(nodep)) {
|
|
|
|
|
puts(")");
|
|
|
|
|
}
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(")");
|
|
|
|
|
} else {
|
|
|
|
|
puts("(");
|
2018-05-11 02:55:37 +02:00
|
|
|
iterate(nodep->valuep());
|
2019-05-19 22:13:13 +02:00
|
|
|
puts(")");
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// VISITORS
|
2019-09-09 13:50:21 +02:00
|
|
|
using EmitCStmts::visit; // Suppress hidden overloaded virtual function warning
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstNetlist* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
// Top module only
|
2018-05-11 02:55:37 +02:00
|
|
|
iterate(nodep->topModulep());
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstNodeModule* nodep) override { iterateChildren(nodep); }
|
|
|
|
|
virtual void visit(AstCFunc* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
if (nodep->slow() != m_slow) return;
|
|
|
|
|
if (nodep->funcType().isTrace()) { // TRACE_*
|
|
|
|
|
m_funcp = nodep;
|
|
|
|
|
|
|
|
|
|
if (splitNeeded()) {
|
2020-05-25 22:12:34 +02:00
|
|
|
// Splitting file, so using parallel build.
|
|
|
|
|
v3Global.useParallelBuild(true);
|
2019-05-19 22:13:13 +02:00
|
|
|
// Close old file
|
2020-01-18 16:29:49 +01:00
|
|
|
VL_DO_CLEAR(delete m_ofp, m_ofp = NULL);
|
2019-05-19 22:13:13 +02:00
|
|
|
// Open a new file
|
2018-08-25 15:52:45 +02:00
|
|
|
newOutCFile(splitFilenumInc());
|
2019-05-19 22:13:13 +02:00
|
|
|
}
|
2008-11-17 23:13:57 +01:00
|
|
|
|
2019-05-19 22:13:13 +02:00
|
|
|
splitSizeInc(nodep);
|
2008-11-17 23:13:57 +01:00
|
|
|
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("\n");
|
2020-04-15 13:58:34 +02:00
|
|
|
puts(nodep->rtnTypeVoid());
|
|
|
|
|
puts(" ");
|
|
|
|
|
puts(topClassName() + "::" + nodep->nameProtect() + "(" + cFuncArgs(nodep) + ") {\n");
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-05-09 00:42:34 +02:00
|
|
|
if (nodep->funcType() != AstCFuncType::TRACE_REGISTER) {
|
|
|
|
|
puts(symClassVar() + " = static_cast<" + symClassName() + "*>(userp);\n");
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (nodep->symProlog()) puts(symTopAssign() + "\n");
|
2006-08-31 00:00:55 +02:00
|
|
|
|
2020-04-14 01:13:10 +02:00
|
|
|
m_baseCode = -1;
|
|
|
|
|
|
2020-05-09 00:42:34 +02:00
|
|
|
if (nodep->funcType() == AstCFuncType::TRACE_CHANGE_SUB) {
|
|
|
|
|
const AstNode* const stmtp = nodep->stmtsp();
|
|
|
|
|
const AstIf* const ifp = VN_CAST_CONST(stmtp, If);
|
|
|
|
|
const AstTraceInc* const tracep
|
|
|
|
|
= VN_CAST_CONST(ifp ? ifp->ifsp() : stmtp, TraceInc);
|
|
|
|
|
// On rare occasions we can end up with an empty sub function
|
|
|
|
|
m_baseCode = tracep ? tracep->declp()->code() : 0;
|
|
|
|
|
if (v3Global.opt.trueTraceThreads()) {
|
|
|
|
|
puts("const vluint32_t base = vlSymsp->__Vm_baseCode + " + cvtToStr(m_baseCode)
|
|
|
|
|
+ ";\n");
|
|
|
|
|
puts("if (false && tracep && base) {} // Prevent unused\n");
|
2020-04-25 23:38:25 +02:00
|
|
|
} else {
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("vluint32_t* const oldp = tracep->oldp(vlSymsp->__Vm_baseCode + "
|
|
|
|
|
+ cvtToStr(m_baseCode) + ");\n");
|
|
|
|
|
puts("if (false && oldp) {} // Prevent unused\n");
|
2020-04-25 23:38:25 +02:00
|
|
|
}
|
2020-05-09 00:42:34 +02:00
|
|
|
} else if (nodep->funcType() == AstCFuncType::TRACE_FULL_SUB) {
|
|
|
|
|
m_baseCode = 0;
|
|
|
|
|
puts("vluint32_t* const oldp = tracep->oldp(vlSymsp->__Vm_baseCode);\n");
|
|
|
|
|
puts("if (false && oldp) {} // Prevent unused\n");
|
2020-04-14 01:13:10 +02:00
|
|
|
} else if (nodep->funcType() == AstCFuncType::TRACE_INIT_SUB) {
|
2020-05-09 00:42:34 +02:00
|
|
|
puts("const int c = vlSymsp->__Vm_baseCode;\n");
|
|
|
|
|
puts("if (false && tracep && c) {} // Prevent unused\n");
|
2020-04-14 01:13:10 +02:00
|
|
|
}
|
|
|
|
|
|
2020-02-02 02:11:21 +01:00
|
|
|
if (nodep->initsp()) {
|
|
|
|
|
string section;
|
|
|
|
|
putsDecoration("// Variables\n");
|
|
|
|
|
emitVarList(nodep->initsp(), EVL_FUNC_ALL, "", section /*ref*/);
|
|
|
|
|
iterateAndNextNull(nodep->initsp());
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-02-02 02:11:21 +01:00
|
|
|
if (nodep->stmtsp()) {
|
|
|
|
|
putsDecoration("// Body\n");
|
|
|
|
|
puts("{\n");
|
|
|
|
|
iterateAndNextNull(nodep->stmtsp());
|
|
|
|
|
puts("}\n");
|
|
|
|
|
}
|
|
|
|
|
if (nodep->finalsp()) {
|
|
|
|
|
putsDecoration("// Final\n");
|
|
|
|
|
iterateAndNextNull(nodep->finalsp());
|
|
|
|
|
}
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("}\n");
|
|
|
|
|
}
|
|
|
|
|
m_funcp = NULL;
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstTraceDecl* nodep) override {
|
2018-10-08 13:21:22 +02:00
|
|
|
int enumNum = emitTraceDeclDType(nodep->dtypep());
|
2019-05-19 22:13:13 +02:00
|
|
|
if (nodep->arrayRange().ranged()) {
|
2020-04-15 13:58:34 +02:00
|
|
|
puts("{int i; for (i=0; i<" + cvtToStr(nodep->arrayRange().elements()) + "; i++) {\n");
|
2018-10-08 13:21:22 +02:00
|
|
|
emitTraceInitOne(nodep, enumNum);
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("}}\n");
|
|
|
|
|
} else {
|
2018-10-08 13:21:22 +02:00
|
|
|
emitTraceInitOne(nodep, enumNum);
|
2019-05-19 22:13:13 +02:00
|
|
|
puts("\n");
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstTraceInc* nodep) override {
|
2019-05-19 22:13:13 +02:00
|
|
|
if (nodep->declp()->arrayRange().ranged()) {
|
|
|
|
|
// It traces faster if we unroll the loop
|
2020-04-15 13:58:34 +02:00
|
|
|
for (int i = 0; i < nodep->declp()->arrayRange().elements(); i++) {
|
2019-05-19 22:13:13 +02:00
|
|
|
emitTraceChangeOne(nodep, i);
|
|
|
|
|
}
|
|
|
|
|
} else {
|
|
|
|
|
emitTraceChangeOne(nodep, -1);
|
|
|
|
|
}
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
2020-08-15 16:03:34 +02:00
|
|
|
virtual void visit(AstCoverDecl* nodep) override {}
|
|
|
|
|
virtual void visit(AstCoverInc* nodep) override {}
|
2008-06-10 03:25:10 +02:00
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
public:
|
2015-10-04 04:33:06 +02:00
|
|
|
explicit EmitCTrace(bool slow) {
|
2019-05-19 22:13:13 +02:00
|
|
|
m_funcp = NULL;
|
|
|
|
|
m_slow = slow;
|
2018-10-08 13:21:22 +02:00
|
|
|
m_enumNum = 0;
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
virtual ~EmitCTrace() {}
|
|
|
|
|
void main() {
|
2019-05-19 22:13:13 +02:00
|
|
|
// Put out the file
|
|
|
|
|
newOutCFile(0);
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-05-09 00:42:34 +02:00
|
|
|
if (m_slow) { emitTraceSlow(); }
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2018-05-11 02:55:37 +02:00
|
|
|
iterate(v3Global.rootp());
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-01-18 16:29:49 +01:00
|
|
|
VL_DO_CLEAR(delete m_ofp, m_ofp = NULL);
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
};
|
|
|
|
|
|
|
|
|
|
//######################################################################
|
|
|
|
|
// EmitC class functions
|
|
|
|
|
|
|
|
|
|
void V3EmitC::emitc() {
|
2020-04-15 13:58:34 +02:00
|
|
|
UINFO(2, __FUNCTION__ << ": " << endl);
|
2006-08-26 13:35:28 +02:00
|
|
|
// Process each module in turn
|
2020-04-15 13:58:34 +02:00
|
|
|
for (AstNodeModule* nodep = v3Global.rootp()->modulesp(); nodep;
|
|
|
|
|
nodep = VN_CAST(nodep->nextp(), NodeModule)) {
|
2020-04-05 15:30:23 +02:00
|
|
|
if (VN_IS(nodep, Class)) continue; // Imped with ClassPackage
|
2020-04-15 13:58:34 +02:00
|
|
|
// clang-format off
|
2020-08-13 14:23:02 +02:00
|
|
|
EmitCImp cint; cint.mainInt(nodep);
|
|
|
|
|
cint.mainImp(nodep, true);
|
2020-05-25 12:35:06 +02:00
|
|
|
{ EmitCImp fast; fast.mainImp(nodep, false); }
|
2020-04-15 13:58:34 +02:00
|
|
|
// clang-format on
|
2006-08-26 13:35:28 +02:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void V3EmitC::emitcTrace() {
|
2020-04-15 13:58:34 +02:00
|
|
|
UINFO(2, __FUNCTION__ << ": " << endl);
|
2006-08-26 13:35:28 +02:00
|
|
|
if (v3Global.opt.trace()) {
|
2020-04-15 13:58:34 +02:00
|
|
|
// clang-format off
|
|
|
|
|
{ EmitCTrace slow(true); slow.main(); }
|
2018-05-01 02:34:52 +02:00
|
|
|
{ EmitCTrace fast(false); fast.main(); }
|
2020-04-15 13:58:34 +02:00
|
|
|
// clang-format on
|
2009-12-03 12:55:29 +01:00
|
|
|
}
|
|
|
|
|
}
|
2019-09-27 09:44:23 +02:00
|
|
|
|
|
|
|
|
void V3EmitC::emitcFiles() {
|
2020-04-15 13:58:34 +02:00
|
|
|
UINFO(2, __FUNCTION__ << ": " << endl);
|
2020-01-22 01:54:14 +01:00
|
|
|
for (AstNodeFile* filep = v3Global.rootp()->filesp(); filep;
|
|
|
|
|
filep = VN_CAST(filep->nextp(), NodeFile)) {
|
2019-09-27 09:44:23 +02:00
|
|
|
AstCFile* cfilep = VN_CAST(filep, CFile);
|
|
|
|
|
if (cfilep && cfilep->tblockp()) {
|
|
|
|
|
V3OutCFile of(cfilep->name());
|
2020-04-15 13:58:34 +02:00
|
|
|
of.puts("// DESCR"
|
|
|
|
|
"IPTION: Verilator generated C++\n");
|
2019-09-27 09:44:23 +02:00
|
|
|
EmitCStmts visitor(cfilep->tblockp(), &of, true);
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
}
|