From c66f4f4aa15cf92b0be45f23cf7bbd6de4c89e55 Mon Sep 17 00:00:00 2001 From: Tomasz Michalak Date: Wed, 6 May 2020 06:05:23 +0200 Subject: [PATCH] Add license headers to tcl files Signed-off-by: Tomasz Michalak Signed-off-by: Tim 'mithro' Ansell --- experiments/clbpips/generate.tcl | 7 + experiments/example/utilities.tcl | 7 + experiments/gndvcc/generate.tcl | 7 + experiments/gndvcc/piplist.tcl | 7 + experiments/pipsroute/generate.tcl | 7 + experiments/pipsroute/piplist.tcl | 7 + fuzzers/001-part-yaml/generate.tcl | 7 + fuzzers/005-tilegrid/bram/generate.tcl | 7 + fuzzers/005-tilegrid/bram_block/generate.tcl | 7 + fuzzers/005-tilegrid/bram_int/generate.tcl | 7 + fuzzers/005-tilegrid/cfg/generate.tcl | 7 + fuzzers/005-tilegrid/cfg_int/generate.tcl | 7 + fuzzers/005-tilegrid/clb/generate.tcl | 7 + fuzzers/005-tilegrid/clb_int/generate.tcl | 7 + fuzzers/005-tilegrid/clk_bufg/generate.tcl | 7 + fuzzers/005-tilegrid/clk_hrow/generate.tcl | 7 + fuzzers/005-tilegrid/dsp/generate.tcl | 7 + fuzzers/005-tilegrid/dsp_int/generate.tcl | 7 + fuzzers/005-tilegrid/fifo_int/generate.tcl | 7 + fuzzers/005-tilegrid/generate_tiles.tcl | 7 + fuzzers/005-tilegrid/hclk_cmt/generate.tcl | 7 + fuzzers/005-tilegrid/hclk_ioi/generate.tcl | 7 + fuzzers/005-tilegrid/iob/generate.tcl | 7 + fuzzers/005-tilegrid/iob_int/generate.tcl | 7 + fuzzers/005-tilegrid/ioi/generate.tcl | 7 + fuzzers/005-tilegrid/mmcm/generate.tcl | 7 + fuzzers/005-tilegrid/monitor/generate.tcl | 7 + fuzzers/005-tilegrid/monitor_int/generate.tcl | 7 + .../orphan_int_column/generate.tcl | 7 + fuzzers/005-tilegrid/pll/generate.tcl | 7 + fuzzers/005-tilegrid/ps7_int/generate.tcl | 7 + fuzzers/005-tilegrid/util.tcl | 7 + fuzzers/007-timing/bel/runme.tcl | 7 + fuzzers/007-timing/routing-bels/runme.tcl | 7 + fuzzers/010-clb-lutinit/generate.tcl | 7 + fuzzers/011-clb-ffconfig/generate.tcl | 7 + fuzzers/012-clb-n5ffmux/generate.tcl | 7 + fuzzers/013-clb-ncy0/generate.tcl | 7 + fuzzers/014-clb-ffsrcemux/generate.tcl | 7 + fuzzers/015-clb-nffmux/generate.tcl | 7 + fuzzers/016-clb-noutmux/generate.tcl | 7 + fuzzers/017-clb-precyinit/generate.tcl | 7 + fuzzers/018-clb-ram/generate.tcl | 7 + fuzzers/019-clb-ndi1mux/generate.tcl | 7 + fuzzers/025-bram-config/generate.tcl | 7 + fuzzers/025-bram-config/minitest/runme.tcl | 7 + fuzzers/026-bram-data/generate.tcl | 7 + fuzzers/026-bram-data/minitest/runme.tcl | 7 + fuzzers/027-bram36-config/generate.tcl | 7 + fuzzers/028-fifo-config/generate.tcl | 7 + fuzzers/029-bram-fifo-config/generate.tcl | 7 + fuzzers/030-iob/generate.tcl | 7 + fuzzers/030-iob/minitest/DRIVE.tcl | 7 + fuzzers/030-iob/minitest/IOSTANDARD.tcl | 7 + fuzzers/030-iob/minitest/PULLTYPE.tcl | 7 + fuzzers/030-iob/minitest/SLEW.tcl | 7 + fuzzers/030-iob/minitest/generate.tcl | 7 + fuzzers/030-iob/minitest/runme.tcl | 7 + fuzzers/030-iob/minitest/sweep.tcl | 7 + fuzzers/030-iob/minitest/template.tcl | 7 + fuzzers/030-iob/write_io_banks.tcl | 7 + fuzzers/031-cmt-mmcm/generate.tcl | 7 + fuzzers/032-cmt-pll/generate.tcl | 7 + fuzzers/033-mon-xadc/generate.tcl | 7 + fuzzers/034-cmt-pll-pips/cmt_top_upper_t.tcl | 7 + fuzzers/034-cmt-pll-pips/generate.tcl | 7 + fuzzers/035-iob-ilogic/generate.tcl | 1421 +++++++++++++++++ fuzzers/035a-iob-idelay/generate.tcl | 7 + fuzzers/036-iob-ologic/generate.tcl | 7 + fuzzers/037-iob-pips/generate.tcl | 7 + fuzzers/037-iob-pips/ioi3_pip_list.tcl | 7 + fuzzers/037-iob-pips/output_cmt.tcl | 7 + fuzzers/038-cfg/generate.tcl | 7 + fuzzers/039-hclk-config/generate.tcl | 7 + fuzzers/040-clk-hrow-config/generate.tcl | 7 + .../041-clk-hrow-pips/clk_hrow_pip_list.tcl | 7 + fuzzers/041-clk-hrow-pips/generate.tcl | 7 + fuzzers/041-clk-hrow-pips/output_cmt.tcl | 7 + .../041-clk-hrow-pips/output_pss_clocks.tcl | 7 + fuzzers/042-clk-bufg-config/generate.tcl | 7 + fuzzers/043-clk-rebuf-pips/generate.tcl | 7 + .../044-clk-bufg-pips/clk_bufg_pip_list.tcl | 7 + fuzzers/044-clk-bufg-pips/generate.tcl | 7 + fuzzers/044-clk-bufg-pips/output_cmt.tcl | 7 + fuzzers/045-hclk-cmt-pips/generate.tcl | 7 + .../045-hclk-cmt-pips/hclk_cmt_pip_list.tcl | 7 + fuzzers/045-hclk-cmt-pips/output_cmt.tcl | 7 + .../clk_bufg_pip_list.tcl | 7 + fuzzers/046-clk-bufg-muxed-pips/generate.tcl | 7 + .../046-clk-bufg-muxed-pips/output_cmt.tcl | 7 + fuzzers/047-hclk-ioi-pips/generate.tcl | 7 + .../047-hclk-ioi-pips/hclk_ioi3_pip_list.tcl | 7 + fuzzers/047-hclk-ioi-pips/output_cmt.tcl | 7 + .../047a-hclk-idelayctrl-pips/generate.tcl | 7 + .../hclk_ioi3_pip_list.tcl | 7 + .../047a-hclk-idelayctrl-pips/output_cmt.tcl | 7 + fuzzers/049-int-imux-gfan/generate.tcl | 7 + fuzzers/050-pip-seed/generate.tcl | 7 + fuzzers/051-pip-imuxlout-bypalts/generate.tcl | 7 + fuzzers/052-pip-clkin/generate.tcl | 7 + fuzzers/053-pip-ctrlin/generate.tcl | 7 + fuzzers/054-pip-fan-alt/generate.tcl | 7 + fuzzers/055-pip-gnd/generate.tcl | 7 + fuzzers/056-pip-rem/generate.tcl | 7 + fuzzers/057-pip-bi/bipiplist.tcl | 7 + fuzzers/057-pip-bi/generate.tcl | 7 + fuzzers/058-pip-hclk/generate.tcl | 7 + fuzzers/059-pip-byp-bounce/generate.tcl | 7 + fuzzers/060-bram-cascades/bram_pip_list.tcl | 7 + fuzzers/060-bram-cascades/generate.tcl | 7 + fuzzers/071-ppips/generate.tcl | 7 + fuzzers/072-ordered_wires/get_pipscount.tcl | 7 + fuzzers/072-ordered_wires/job.tcl | 7 + fuzzers/073-get_counts/generate.tcl | 7 + fuzzers/074-dump_all/get_nodescount.tcl | 7 + fuzzers/074-dump_all/get_speed_model.tcl | 7 + fuzzers/074-dump_all/get_tilescount.tcl | 7 + fuzzers/074-dump_all/jobnodes.tcl | 7 + fuzzers/074-dump_all/jobtiles.tcl | 7 + fuzzers/075-pins/generate.tcl | 7 + fuzzers/076-ps7/generate.tcl | 7 + fuzzers/100-dsp-mskpat/generate.tcl | 7 + fuzzers/piplist/piplist.tcl | 7 + gridinfo/logicframes.tcl | 7 + gridinfo/tiledata.tcl | 7 + minitests/bram-sdp/runme.tcl | 7 + minitests/clb-carry_cin_cyinit/runme.tcl | 7 + minitests/clb-configs/runme.tcl | 7 + minitests/clkbuf/runme.tcl | 7 + minitests/eccbits/runme.tcl | 7 + minitests/fixedpnr/runme.tcl | 7 + minitests/iostandard/dump_iobs.tcl | 7 + minitests/iostandard/syn+par.tcl | 7 + minitests/iserdes.idelay/sim/sim.tcl | 7 + minitests/iserdes.idelay/tcl/par.tcl | 7 + minitests/iserdes.idelay/tcl/syn.tcl | 7 + minitests/iserdes.sdr_ddr/sim/sim.tcl | 7 + minitests/iserdes.sdr_ddr/tcl/par.tcl | 7 + minitests/iserdes.sdr_ddr/tcl/syn.tcl | 7 + minitests/litex/min/arty/src.vivado/top.tcl | 7 + minitests/litex/min/arty/src.yosys/top.tcl | 7 + .../litex/min_ddr/arty/src.vivado/top.tcl | 7 + .../litex/min_ddr/arty/src.yosys/top.tcl | 7 + minitests/litex/src.vivado/top.tcl | 7 + minitests/litex/src.yosys/top.tcl | 7 + .../litex/uart_ddr/arty/src.vivado/top.tcl | 7 + .../litex/uart_ddr/arty/src.yosys/top.tcl | 7 + minitests/litex_litedram/src.vivado/top.tcl | 7 + minitests/litex_litedram/src.yosys/top.tcl | 7 + minitests/lvb_long_mux/runme.tcl | 7 + minitests/nodes_wires_list/runme.tcl | 7 + minitests/oserdes/sim/sim.tcl | 7 + minitests/oserdes/tcl/par.tcl | 7 + minitests/oserdes/tcl/syn.tcl | 7 + .../harness_implement.tcl | 7 + .../harness_synthesize.tcl | 7 + .../partial_reconfig_flow/roi_implement.tcl | 7 + .../partial_reconfig_flow/roi_synthesize.tcl | 7 + .../partial_reconfig_flow/write_bitstream.tcl | 7 + minitests/picorv32-v/runme.tcl | 7 + minitests/picorv32-y/runme.tcl | 7 + minitests/pip-switchboxes/pips.tcl | 7 + minitests/pip-switchboxes/routes.tcl | 7 + minitests/pip-switchboxes/runme.tcl | 7 + minitests/plle2_adv/tcl/par.tcl | 7 + minitests/plle2_adv/tcl/syn.tcl | 7 + minitests/roi_harness/runme.tcl | 7 + minitests/srl/par.tcl | 7 + minitests/srl/syn.tcl | 7 + minitests/tiles_wires_pips/runme.tcl | 7 + minitests/timing/runme.tcl | 7 + minitests/util/runme.tcl | 7 + minitests/z7_blinky_emio/pl/syn+par.tcl | 7 + minitests/z7_blinky_emio/ps/blink.tcl | 7 + utils/utils.tcl | 7 + utils/write_timing_info.tcl | 7 + 176 files changed, 2646 insertions(+) diff --git a/experiments/clbpips/generate.tcl b/experiments/clbpips/generate.tcl index fe083034..17ce258b 100644 --- a/experiments/clbpips/generate.tcl +++ b/experiments/clbpips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog ../top.v diff --git a/experiments/example/utilities.tcl b/experiments/example/utilities.tcl index 4dfd3627..66e422b0 100644 --- a/experiments/example/utilities.tcl +++ b/experiments/example/utilities.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc puts_list {l} { foreach e $l {puts $e} } diff --git a/experiments/gndvcc/generate.tcl b/experiments/gndvcc/generate.tcl index bf3a3870..546d5121 100644 --- a/experiments/gndvcc/generate.tcl +++ b/experiments/gndvcc/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog ../top.v diff --git a/experiments/gndvcc/piplist.tcl b/experiments/gndvcc/piplist.tcl index d3b03b3c..4a32ecb5 100644 --- a/experiments/gndvcc/piplist.tcl +++ b/experiments/gndvcc/piplist.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) piplist piplist read_verilog top.v diff --git a/experiments/pipsroute/generate.tcl b/experiments/pipsroute/generate.tcl index 22571c32..30156e4e 100644 --- a/experiments/pipsroute/generate.tcl +++ b/experiments/pipsroute/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog ../top.v diff --git a/experiments/pipsroute/piplist.tcl b/experiments/pipsroute/piplist.tcl index d3b03b3c..4a32ecb5 100644 --- a/experiments/pipsroute/piplist.tcl +++ b/experiments/pipsroute/piplist.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) piplist piplist read_verilog top.v diff --git a/fuzzers/001-part-yaml/generate.tcl b/fuzzers/001-part-yaml/generate.tcl index 27b16f93..5cce0a95 100644 --- a/fuzzers/001-part-yaml/generate.tcl +++ b/fuzzers/001-part-yaml/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc extract_iobanks {filename} { set fp [open $filename "w"] foreach iobank [get_iobanks] { diff --git a/fuzzers/005-tilegrid/bram/generate.tcl b/fuzzers/005-tilegrid/bram/generate.tcl index 5e72d333..86d58a90 100644 --- a/fuzzers/005-tilegrid/bram/generate.tcl +++ b/fuzzers/005-tilegrid/bram/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/bram_block/generate.tcl b/fuzzers/005-tilegrid/bram_block/generate.tcl index 9e8cab8a..5a47419d 100644 --- a/fuzzers/005-tilegrid/bram_block/generate.tcl +++ b/fuzzers/005-tilegrid/bram_block/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/bram_int/generate.tcl b/fuzzers/005-tilegrid/bram_int/generate.tcl index 5a69791f..352f6ca5 100644 --- a/fuzzers/005-tilegrid/bram_int/generate.tcl +++ b/fuzzers/005-tilegrid/bram_int/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/cfg/generate.tcl b/fuzzers/005-tilegrid/cfg/generate.tcl index b28533cd..1c52b909 100644 --- a/fuzzers/005-tilegrid/cfg/generate.tcl +++ b/fuzzers/005-tilegrid/cfg/generate.tcl @@ -1,2 +1,9 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/cfg_int/generate.tcl b/fuzzers/005-tilegrid/cfg_int/generate.tcl index 5a69791f..352f6ca5 100644 --- a/fuzzers/005-tilegrid/cfg_int/generate.tcl +++ b/fuzzers/005-tilegrid/cfg_int/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/clb/generate.tcl b/fuzzers/005-tilegrid/clb/generate.tcl index 26a9b409..17a6eb55 100644 --- a/fuzzers/005-tilegrid/clb/generate.tcl +++ b/fuzzers/005-tilegrid/clb/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/clb_int/generate.tcl b/fuzzers/005-tilegrid/clb_int/generate.tcl index 7fed629d..268e600e 100644 --- a/fuzzers/005-tilegrid/clb_int/generate.tcl +++ b/fuzzers/005-tilegrid/clb_int/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/clk_bufg/generate.tcl b/fuzzers/005-tilegrid/clk_bufg/generate.tcl index 5a69791f..352f6ca5 100644 --- a/fuzzers/005-tilegrid/clk_bufg/generate.tcl +++ b/fuzzers/005-tilegrid/clk_bufg/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/clk_hrow/generate.tcl b/fuzzers/005-tilegrid/clk_hrow/generate.tcl index 5a69791f..352f6ca5 100644 --- a/fuzzers/005-tilegrid/clk_hrow/generate.tcl +++ b/fuzzers/005-tilegrid/clk_hrow/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/dsp/generate.tcl b/fuzzers/005-tilegrid/dsp/generate.tcl index 5a69791f..352f6ca5 100644 --- a/fuzzers/005-tilegrid/dsp/generate.tcl +++ b/fuzzers/005-tilegrid/dsp/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/dsp_int/generate.tcl b/fuzzers/005-tilegrid/dsp_int/generate.tcl index cadfbf3e..308ad8ad 100644 --- a/fuzzers/005-tilegrid/dsp_int/generate.tcl +++ b/fuzzers/005-tilegrid/dsp_int/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/fifo_int/generate.tcl b/fuzzers/005-tilegrid/fifo_int/generate.tcl index 5a69791f..352f6ca5 100644 --- a/fuzzers/005-tilegrid/fifo_int/generate.tcl +++ b/fuzzers/005-tilegrid/fifo_int/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/generate_tiles.tcl b/fuzzers/005-tilegrid/generate_tiles.tcl index e5a32ecf..7ccce687 100644 --- a/fuzzers/005-tilegrid/generate_tiles.tcl +++ b/fuzzers/005-tilegrid/generate_tiles.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(FUZDIR)/util.tcl" proc write_tiles_txt {} { diff --git a/fuzzers/005-tilegrid/hclk_cmt/generate.tcl b/fuzzers/005-tilegrid/hclk_cmt/generate.tcl index 5a69791f..352f6ca5 100644 --- a/fuzzers/005-tilegrid/hclk_cmt/generate.tcl +++ b/fuzzers/005-tilegrid/hclk_cmt/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/hclk_ioi/generate.tcl b/fuzzers/005-tilegrid/hclk_ioi/generate.tcl index 5a69791f..352f6ca5 100644 --- a/fuzzers/005-tilegrid/hclk_ioi/generate.tcl +++ b/fuzzers/005-tilegrid/hclk_ioi/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/iob/generate.tcl b/fuzzers/005-tilegrid/iob/generate.tcl index 8d7ddbf4..d68fa6ae 100644 --- a/fuzzers/005-tilegrid/iob/generate.tcl +++ b/fuzzers/005-tilegrid/iob/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc make_io_pin_sites {} { diff --git a/fuzzers/005-tilegrid/iob_int/generate.tcl b/fuzzers/005-tilegrid/iob_int/generate.tcl index dc7f5674..5a14611d 100644 --- a/fuzzers/005-tilegrid/iob_int/generate.tcl +++ b/fuzzers/005-tilegrid/iob_int/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc make_io_pin_sites {} { diff --git a/fuzzers/005-tilegrid/ioi/generate.tcl b/fuzzers/005-tilegrid/ioi/generate.tcl index 96cf1c4c..c0177646 100644 --- a/fuzzers/005-tilegrid/ioi/generate.tcl +++ b/fuzzers/005-tilegrid/ioi/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc make_io_pin_sites {} { diff --git a/fuzzers/005-tilegrid/mmcm/generate.tcl b/fuzzers/005-tilegrid/mmcm/generate.tcl index cb0271e1..198529a8 100644 --- a/fuzzers/005-tilegrid/mmcm/generate.tcl +++ b/fuzzers/005-tilegrid/mmcm/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/monitor/generate.tcl b/fuzzers/005-tilegrid/monitor/generate.tcl index 5e72d333..86d58a90 100644 --- a/fuzzers/005-tilegrid/monitor/generate.tcl +++ b/fuzzers/005-tilegrid/monitor/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/monitor_int/generate.tcl b/fuzzers/005-tilegrid/monitor_int/generate.tcl index 5a69791f..352f6ca5 100644 --- a/fuzzers/005-tilegrid/monitor_int/generate.tcl +++ b/fuzzers/005-tilegrid/monitor_int/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/orphan_int_column/generate.tcl b/fuzzers/005-tilegrid/orphan_int_column/generate.tcl index 41aeaf39..061cb4a2 100644 --- a/fuzzers/005-tilegrid/orphan_int_column/generate.tcl +++ b/fuzzers/005-tilegrid/orphan_int_column/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/pll/generate.tcl b/fuzzers/005-tilegrid/pll/generate.tcl index 51239079..5954683f 100644 --- a/fuzzers/005-tilegrid/pll/generate.tcl +++ b/fuzzers/005-tilegrid/pll/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/ps7_int/generate.tcl b/fuzzers/005-tilegrid/ps7_int/generate.tcl index cb0271e1..198529a8 100644 --- a/fuzzers/005-tilegrid/ps7_int/generate.tcl +++ b/fuzzers/005-tilegrid/ps7_int/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/util.tcl b/fuzzers/005-tilegrid/util.tcl index 3765fff3..581b9945 100644 --- a/fuzzers/005-tilegrid/util.tcl +++ b/fuzzers/005-tilegrid/util.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc min_ysite { duts_in_column } { # Given a list of sites, return the one with the lowest Y coordinate diff --git a/fuzzers/007-timing/bel/runme.tcl b/fuzzers/007-timing/bel/runme.tcl index 2d20c3d3..1c2c7abe 100644 --- a/fuzzers/007-timing/bel/runme.tcl +++ b/fuzzers/007-timing/bel/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc create_design {} { diff --git a/fuzzers/007-timing/routing-bels/runme.tcl b/fuzzers/007-timing/routing-bels/runme.tcl index 8dd33e21..778108fc 100644 --- a/fuzzers/007-timing/routing-bels/runme.tcl +++ b/fuzzers/007-timing/routing-bels/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc create_design {} { diff --git a/fuzzers/010-clb-lutinit/generate.tcl b/fuzzers/010-clb-lutinit/generate.tcl index 7e531f96..46731727 100644 --- a/fuzzers/010-clb-lutinit/generate.tcl +++ b/fuzzers/010-clb-lutinit/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog ../../top.v diff --git a/fuzzers/011-clb-ffconfig/generate.tcl b/fuzzers/011-clb-ffconfig/generate.tcl index c5b68d62..c98df8a4 100644 --- a/fuzzers/011-clb-ffconfig/generate.tcl +++ b/fuzzers/011-clb-ffconfig/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/012-clb-n5ffmux/generate.tcl b/fuzzers/012-clb-n5ffmux/generate.tcl index dd10ec60..79425b3f 100644 --- a/fuzzers/012-clb-n5ffmux/generate.tcl +++ b/fuzzers/012-clb-n5ffmux/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/013-clb-ncy0/generate.tcl b/fuzzers/013-clb-ncy0/generate.tcl index dd10ec60..79425b3f 100644 --- a/fuzzers/013-clb-ncy0/generate.tcl +++ b/fuzzers/013-clb-ncy0/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/014-clb-ffsrcemux/generate.tcl b/fuzzers/014-clb-ffsrcemux/generate.tcl index b98d2204..20c0456e 100644 --- a/fuzzers/014-clb-ffsrcemux/generate.tcl +++ b/fuzzers/014-clb-ffsrcemux/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/015-clb-nffmux/generate.tcl b/fuzzers/015-clb-nffmux/generate.tcl index dd10ec60..79425b3f 100644 --- a/fuzzers/015-clb-nffmux/generate.tcl +++ b/fuzzers/015-clb-nffmux/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/016-clb-noutmux/generate.tcl b/fuzzers/016-clb-noutmux/generate.tcl index dd10ec60..79425b3f 100644 --- a/fuzzers/016-clb-noutmux/generate.tcl +++ b/fuzzers/016-clb-noutmux/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/017-clb-precyinit/generate.tcl b/fuzzers/017-clb-precyinit/generate.tcl index dd10ec60..79425b3f 100644 --- a/fuzzers/017-clb-precyinit/generate.tcl +++ b/fuzzers/017-clb-precyinit/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/018-clb-ram/generate.tcl b/fuzzers/018-clb-ram/generate.tcl index 42bc88a6..fc0308ea 100644 --- a/fuzzers/018-clb-ram/generate.tcl +++ b/fuzzers/018-clb-ram/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc build {} { create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/fuzzers/019-clb-ndi1mux/generate.tcl b/fuzzers/019-clb-ndi1mux/generate.tcl index dd10ec60..79425b3f 100644 --- a/fuzzers/019-clb-ndi1mux/generate.tcl +++ b/fuzzers/019-clb-ndi1mux/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/025-bram-config/generate.tcl b/fuzzers/025-bram-config/generate.tcl index ddc0fba2..ef8cd739 100644 --- a/fuzzers/025-bram-config/generate.tcl +++ b/fuzzers/025-bram-config/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/025-bram-config/minitest/runme.tcl b/fuzzers/025-bram-config/minitest/runme.tcl index 4463822b..957aaf0e 100644 --- a/fuzzers/025-bram-config/minitest/runme.tcl +++ b/fuzzers/025-bram-config/minitest/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design #read_verilog $::env(SRC_DIR)/$::env(PROJECT).v read_verilog $::env(TOP_V) diff --git a/fuzzers/026-bram-data/generate.tcl b/fuzzers/026-bram-data/generate.tcl index 44fcac08..f1dd581c 100644 --- a/fuzzers/026-bram-data/generate.tcl +++ b/fuzzers/026-bram-data/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/026-bram-data/minitest/runme.tcl b/fuzzers/026-bram-data/minitest/runme.tcl index 4463822b..957aaf0e 100644 --- a/fuzzers/026-bram-data/minitest/runme.tcl +++ b/fuzzers/026-bram-data/minitest/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design #read_verilog $::env(SRC_DIR)/$::env(PROJECT).v read_verilog $::env(TOP_V) diff --git a/fuzzers/027-bram36-config/generate.tcl b/fuzzers/027-bram36-config/generate.tcl index ae41001f..8df506a7 100644 --- a/fuzzers/027-bram36-config/generate.tcl +++ b/fuzzers/027-bram36-config/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc run {} { create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/fuzzers/028-fifo-config/generate.tcl b/fuzzers/028-fifo-config/generate.tcl index 0a07fd14..613b0067 100644 --- a/fuzzers/028-fifo-config/generate.tcl +++ b/fuzzers/028-fifo-config/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc run {} { create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/fuzzers/029-bram-fifo-config/generate.tcl b/fuzzers/029-bram-fifo-config/generate.tcl index 3044e100..60c93bb0 100644 --- a/fuzzers/029-bram-fifo-config/generate.tcl +++ b/fuzzers/029-bram-fifo-config/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc run {} { create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/fuzzers/030-iob/generate.tcl b/fuzzers/030-iob/generate.tcl index 7d1c18d5..df9f94ba 100644 --- a/fuzzers/030-iob/generate.tcl +++ b/fuzzers/030-iob/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc make_io_pin_sites {} { diff --git a/fuzzers/030-iob/minitest/DRIVE.tcl b/fuzzers/030-iob/minitest/DRIVE.tcl index 2666dc66..a4fa2d1e 100644 --- a/fuzzers/030-iob/minitest/DRIVE.tcl +++ b/fuzzers/030-iob/minitest/DRIVE.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(SRC_DIR)/template.tcl" # set vals "0 4 8 12 16 24" diff --git a/fuzzers/030-iob/minitest/IOSTANDARD.tcl b/fuzzers/030-iob/minitest/IOSTANDARD.tcl index e347303b..a054db6a 100644 --- a/fuzzers/030-iob/minitest/IOSTANDARD.tcl +++ b/fuzzers/030-iob/minitest/IOSTANDARD.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(SRC_DIR)/template.tcl" set prop IOSTANDARD diff --git a/fuzzers/030-iob/minitest/PULLTYPE.tcl b/fuzzers/030-iob/minitest/PULLTYPE.tcl index a6c2113e..28bf90c1 100644 --- a/fuzzers/030-iob/minitest/PULLTYPE.tcl +++ b/fuzzers/030-iob/minitest/PULLTYPE.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(SRC_DIR)/template.tcl" set port [get_ports di] diff --git a/fuzzers/030-iob/minitest/SLEW.tcl b/fuzzers/030-iob/minitest/SLEW.tcl index 3384a312..3c6cc17e 100644 --- a/fuzzers/030-iob/minitest/SLEW.tcl +++ b/fuzzers/030-iob/minitest/SLEW.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(SRC_DIR)/template.tcl" # set vals "SLOW MEDIUM FAST" diff --git a/fuzzers/030-iob/minitest/generate.tcl b/fuzzers/030-iob/minitest/generate.tcl index a20e2263..69f9f5dd 100644 --- a/fuzzers/030-iob/minitest/generate.tcl +++ b/fuzzers/030-iob/minitest/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc make_io_pin_sites {} { diff --git a/fuzzers/030-iob/minitest/runme.tcl b/fuzzers/030-iob/minitest/runme.tcl index 59240ab3..6ce1d198 100644 --- a/fuzzers/030-iob/minitest/runme.tcl +++ b/fuzzers/030-iob/minitest/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design #read_verilog $::env(SRC_DIR)/$::env(PROJECT).v read_verilog $::env(TOP_V) diff --git a/fuzzers/030-iob/minitest/sweep.tcl b/fuzzers/030-iob/minitest/sweep.tcl index b64251e6..8946be15 100644 --- a/fuzzers/030-iob/minitest/sweep.tcl +++ b/fuzzers/030-iob/minitest/sweep.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # Sweep all values of $prop on given I/O $port # Write out bitstream for all legal values diff --git a/fuzzers/030-iob/minitest/template.tcl b/fuzzers/030-iob/minitest/template.tcl index ac13861e..24ffeaeb 100644 --- a/fuzzers/030-iob/minitest/template.tcl +++ b/fuzzers/030-iob/minitest/template.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # Create a simple design with a few IOs create_project -force -part $::env(XRAY_PART) design design diff --git a/fuzzers/030-iob/write_io_banks.tcl b/fuzzers/030-iob/write_io_banks.tcl index 190271b3..9ba176f6 100644 --- a/fuzzers/030-iob/write_io_banks.tcl +++ b/fuzzers/030-iob/write_io_banks.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/031-cmt-mmcm/generate.tcl b/fuzzers/031-cmt-mmcm/generate.tcl index b3e18603..c0508a0a 100644 --- a/fuzzers/031-cmt-mmcm/generate.tcl +++ b/fuzzers/031-cmt-mmcm/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/032-cmt-pll/generate.tcl b/fuzzers/032-cmt-pll/generate.tcl index cfc2f3f7..44735bab 100644 --- a/fuzzers/032-cmt-pll/generate.tcl +++ b/fuzzers/032-cmt-pll/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc make_manual_routes {filename} { diff --git a/fuzzers/033-mon-xadc/generate.tcl b/fuzzers/033-mon-xadc/generate.tcl index b3e18603..c0508a0a 100644 --- a/fuzzers/033-mon-xadc/generate.tcl +++ b/fuzzers/033-mon-xadc/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/034-cmt-pll-pips/cmt_top_upper_t.tcl b/fuzzers/034-cmt-pll-pips/cmt_top_upper_t.tcl index 26a4d368..1f2f2e87 100644 --- a/fuzzers/034-cmt-pll-pips/cmt_top_upper_t.tcl +++ b/fuzzers/034-cmt-pll-pips/cmt_top_upper_t.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc print_tile_pips {tile_type filename} { set tile [lindex [get_tiles -filter "TYPE == $tile_type"] 0] puts "Dumping PIPs for tile $tile ($tile_type) to $filename." diff --git a/fuzzers/034-cmt-pll-pips/generate.tcl b/fuzzers/034-cmt-pll-pips/generate.tcl index 19be7bd4..0175b65d 100644 --- a/fuzzers/034-cmt-pll-pips/generate.tcl +++ b/fuzzers/034-cmt-pll-pips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc write_pip_txtdata {filename} { diff --git a/fuzzers/035-iob-ilogic/generate.tcl b/fuzzers/035-iob-ilogic/generate.tcl index 37a015c2..18eaf708 100644 --- a/fuzzers/035-iob-ilogic/generate.tcl +++ b/fuzzers/035-iob-ilogic/generate.tcl @@ -1,3 +1,1424 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc make_io_pin_sites {} { diff --git a/fuzzers/035a-iob-idelay/generate.tcl b/fuzzers/035a-iob-idelay/generate.tcl index 15aa2de7..e2761309 100644 --- a/fuzzers/035a-iob-idelay/generate.tcl +++ b/fuzzers/035a-iob-idelay/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/036-iob-ologic/generate.tcl b/fuzzers/036-iob-ologic/generate.tcl index 9cd70195..b61e69d8 100644 --- a/fuzzers/036-iob-ologic/generate.tcl +++ b/fuzzers/036-iob-ologic/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc make_io_pin_sites {} { diff --git a/fuzzers/037-iob-pips/generate.tcl b/fuzzers/037-iob-pips/generate.tcl index c5f74c59..f8972f38 100644 --- a/fuzzers/037-iob-pips/generate.tcl +++ b/fuzzers/037-iob-pips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc write_pip_txtdata {filename} { diff --git a/fuzzers/037-iob-pips/ioi3_pip_list.tcl b/fuzzers/037-iob-pips/ioi3_pip_list.tcl index f592f9f6..1a30c24c 100644 --- a/fuzzers/037-iob-pips/ioi3_pip_list.tcl +++ b/fuzzers/037-iob-pips/ioi3_pip_list.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc print_tile_pips {tile_type filename} { set fp [open $filename w] set pips [dict create] diff --git a/fuzzers/037-iob-pips/output_cmt.tcl b/fuzzers/037-iob-pips/output_cmt.tcl index 663789a5..6eb15490 100644 --- a/fuzzers/037-iob-pips/output_cmt.tcl +++ b/fuzzers/037-iob-pips/output_cmt.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/038-cfg/generate.tcl b/fuzzers/038-cfg/generate.tcl index 79d768e9..f4caaa39 100644 --- a/fuzzers/038-cfg/generate.tcl +++ b/fuzzers/038-cfg/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design #read_verilog $::env(FUZDIR)/top.v read_verilog top.v diff --git a/fuzzers/039-hclk-config/generate.tcl b/fuzzers/039-hclk-config/generate.tcl index 3044e100..60c93bb0 100644 --- a/fuzzers/039-hclk-config/generate.tcl +++ b/fuzzers/039-hclk-config/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc run {} { create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/fuzzers/040-clk-hrow-config/generate.tcl b/fuzzers/040-clk-hrow-config/generate.tcl index 3044e100..60c93bb0 100644 --- a/fuzzers/040-clk-hrow-config/generate.tcl +++ b/fuzzers/040-clk-hrow-config/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc run {} { create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/fuzzers/041-clk-hrow-pips/clk_hrow_pip_list.tcl b/fuzzers/041-clk-hrow-pips/clk_hrow_pip_list.tcl index 791a369d..cfb36c39 100644 --- a/fuzzers/041-clk-hrow-pips/clk_hrow_pip_list.tcl +++ b/fuzzers/041-clk-hrow-pips/clk_hrow_pip_list.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc print_tile_pips {tile_type filename} { set fp [open $filename w] set pips [dict create] diff --git a/fuzzers/041-clk-hrow-pips/generate.tcl b/fuzzers/041-clk-hrow-pips/generate.tcl index 3b040dfa..7d5faf58 100644 --- a/fuzzers/041-clk-hrow-pips/generate.tcl +++ b/fuzzers/041-clk-hrow-pips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc write_pip_txtdata {filename} { diff --git a/fuzzers/041-clk-hrow-pips/output_cmt.tcl b/fuzzers/041-clk-hrow-pips/output_cmt.tcl index 06aba163..cc4059d0 100644 --- a/fuzzers/041-clk-hrow-pips/output_cmt.tcl +++ b/fuzzers/041-clk-hrow-pips/output_cmt.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/041-clk-hrow-pips/output_pss_clocks.tcl b/fuzzers/041-clk-hrow-pips/output_pss_clocks.tcl index 8aacf2d9..7a12cf9f 100644 --- a/fuzzers/041-clk-hrow-pips/output_pss_clocks.tcl +++ b/fuzzers/041-clk-hrow-pips/output_pss_clocks.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/042-clk-bufg-config/generate.tcl b/fuzzers/042-clk-bufg-config/generate.tcl index 3044e100..60c93bb0 100644 --- a/fuzzers/042-clk-bufg-config/generate.tcl +++ b/fuzzers/042-clk-bufg-config/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc run {} { create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/fuzzers/043-clk-rebuf-pips/generate.tcl b/fuzzers/043-clk-rebuf-pips/generate.tcl index 64bf1204..b7340fce 100644 --- a/fuzzers/043-clk-rebuf-pips/generate.tcl +++ b/fuzzers/043-clk-rebuf-pips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc write_pip_txtdata {filename} { diff --git a/fuzzers/044-clk-bufg-pips/clk_bufg_pip_list.tcl b/fuzzers/044-clk-bufg-pips/clk_bufg_pip_list.tcl index c870f353..1fe388db 100644 --- a/fuzzers/044-clk-bufg-pips/clk_bufg_pip_list.tcl +++ b/fuzzers/044-clk-bufg-pips/clk_bufg_pip_list.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc print_tile_pips {tile_type filename} { set tile [lindex [get_tiles -filter "TYPE == $tile_type"] 0] puts "Dumping PIPs for tile $tile ($tile_type) to $filename." diff --git a/fuzzers/044-clk-bufg-pips/generate.tcl b/fuzzers/044-clk-bufg-pips/generate.tcl index f3bbef42..14030f08 100644 --- a/fuzzers/044-clk-bufg-pips/generate.tcl +++ b/fuzzers/044-clk-bufg-pips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc write_pip_txtdata {filename} { diff --git a/fuzzers/044-clk-bufg-pips/output_cmt.tcl b/fuzzers/044-clk-bufg-pips/output_cmt.tcl index 540c8143..cb93e754 100644 --- a/fuzzers/044-clk-bufg-pips/output_cmt.tcl +++ b/fuzzers/044-clk-bufg-pips/output_cmt.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/045-hclk-cmt-pips/generate.tcl b/fuzzers/045-hclk-cmt-pips/generate.tcl index bf158c07..c2d677d4 100644 --- a/fuzzers/045-hclk-cmt-pips/generate.tcl +++ b/fuzzers/045-hclk-cmt-pips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc write_pip_txtdata {filename} { diff --git a/fuzzers/045-hclk-cmt-pips/hclk_cmt_pip_list.tcl b/fuzzers/045-hclk-cmt-pips/hclk_cmt_pip_list.tcl index a41b692b..e69b5146 100644 --- a/fuzzers/045-hclk-cmt-pips/hclk_cmt_pip_list.tcl +++ b/fuzzers/045-hclk-cmt-pips/hclk_cmt_pip_list.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc print_tile_pips {tile_type filename} { set fp [open $filename w] set pips [dict create] diff --git a/fuzzers/045-hclk-cmt-pips/output_cmt.tcl b/fuzzers/045-hclk-cmt-pips/output_cmt.tcl index 6cc74090..0c4edd3c 100644 --- a/fuzzers/045-hclk-cmt-pips/output_cmt.tcl +++ b/fuzzers/045-hclk-cmt-pips/output_cmt.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/046-clk-bufg-muxed-pips/clk_bufg_pip_list.tcl b/fuzzers/046-clk-bufg-muxed-pips/clk_bufg_pip_list.tcl index c870f353..1fe388db 100644 --- a/fuzzers/046-clk-bufg-muxed-pips/clk_bufg_pip_list.tcl +++ b/fuzzers/046-clk-bufg-muxed-pips/clk_bufg_pip_list.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc print_tile_pips {tile_type filename} { set tile [lindex [get_tiles -filter "TYPE == $tile_type"] 0] puts "Dumping PIPs for tile $tile ($tile_type) to $filename." diff --git a/fuzzers/046-clk-bufg-muxed-pips/generate.tcl b/fuzzers/046-clk-bufg-muxed-pips/generate.tcl index f3bbef42..14030f08 100644 --- a/fuzzers/046-clk-bufg-muxed-pips/generate.tcl +++ b/fuzzers/046-clk-bufg-muxed-pips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc write_pip_txtdata {filename} { diff --git a/fuzzers/046-clk-bufg-muxed-pips/output_cmt.tcl b/fuzzers/046-clk-bufg-muxed-pips/output_cmt.tcl index 540c8143..cb93e754 100644 --- a/fuzzers/046-clk-bufg-muxed-pips/output_cmt.tcl +++ b/fuzzers/046-clk-bufg-muxed-pips/output_cmt.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/047-hclk-ioi-pips/generate.tcl b/fuzzers/047-hclk-ioi-pips/generate.tcl index 69617361..ec7756a9 100644 --- a/fuzzers/047-hclk-ioi-pips/generate.tcl +++ b/fuzzers/047-hclk-ioi-pips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc load_todo {{dir "dst"}} { diff --git a/fuzzers/047-hclk-ioi-pips/hclk_ioi3_pip_list.tcl b/fuzzers/047-hclk-ioi-pips/hclk_ioi3_pip_list.tcl index 36d1e7af..24f700fc 100644 --- a/fuzzers/047-hclk-ioi-pips/hclk_ioi3_pip_list.tcl +++ b/fuzzers/047-hclk-ioi-pips/hclk_ioi3_pip_list.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc print_tile_pips {tile_type filename} { set fp [open $filename w] set pips [dict create] diff --git a/fuzzers/047-hclk-ioi-pips/output_cmt.tcl b/fuzzers/047-hclk-ioi-pips/output_cmt.tcl index 30bbd3e1..67ba3257 100644 --- a/fuzzers/047-hclk-ioi-pips/output_cmt.tcl +++ b/fuzzers/047-hclk-ioi-pips/output_cmt.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/047a-hclk-idelayctrl-pips/generate.tcl b/fuzzers/047a-hclk-idelayctrl-pips/generate.tcl index edf1494f..10866254 100644 --- a/fuzzers/047a-hclk-idelayctrl-pips/generate.tcl +++ b/fuzzers/047a-hclk-idelayctrl-pips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc load_todo {{dir "dst"}} { diff --git a/fuzzers/047a-hclk-idelayctrl-pips/hclk_ioi3_pip_list.tcl b/fuzzers/047a-hclk-idelayctrl-pips/hclk_ioi3_pip_list.tcl index 36d1e7af..24f700fc 100644 --- a/fuzzers/047a-hclk-idelayctrl-pips/hclk_ioi3_pip_list.tcl +++ b/fuzzers/047a-hclk-idelayctrl-pips/hclk_ioi3_pip_list.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc print_tile_pips {tile_type filename} { set fp [open $filename w] set pips [dict create] diff --git a/fuzzers/047a-hclk-idelayctrl-pips/output_cmt.tcl b/fuzzers/047a-hclk-idelayctrl-pips/output_cmt.tcl index 30bbd3e1..67ba3257 100644 --- a/fuzzers/047a-hclk-idelayctrl-pips/output_cmt.tcl +++ b/fuzzers/047a-hclk-idelayctrl-pips/output_cmt.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/049-int-imux-gfan/generate.tcl b/fuzzers/049-int-imux-gfan/generate.tcl index 2e56b7aa..5972b6d7 100644 --- a/fuzzers/049-int-imux-gfan/generate.tcl +++ b/fuzzers/049-int-imux-gfan/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/050-pip-seed/generate.tcl b/fuzzers/050-pip-seed/generate.tcl index d63927ee..8830ae0d 100644 --- a/fuzzers/050-pip-seed/generate.tcl +++ b/fuzzers/050-pip-seed/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC puts "FUZ([pwd]): Creating project" create_project -force -part $::env(XRAY_PART) design design diff --git a/fuzzers/051-pip-imuxlout-bypalts/generate.tcl b/fuzzers/051-pip-imuxlout-bypalts/generate.tcl index 786a4b3d..0ef7478f 100644 --- a/fuzzers/051-pip-imuxlout-bypalts/generate.tcl +++ b/fuzzers/051-pip-imuxlout-bypalts/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" create_project -force -part $::env(XRAY_PART) design design diff --git a/fuzzers/052-pip-clkin/generate.tcl b/fuzzers/052-pip-clkin/generate.tcl index 41c0bc12..012d6454 100644 --- a/fuzzers/052-pip-clkin/generate.tcl +++ b/fuzzers/052-pip-clkin/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc randsample_list_unique {num lst {axis ""}} { set rlst {} diff --git a/fuzzers/053-pip-ctrlin/generate.tcl b/fuzzers/053-pip-ctrlin/generate.tcl index 8436938f..8986bfef 100644 --- a/fuzzers/053-pip-ctrlin/generate.tcl +++ b/fuzzers/053-pip-ctrlin/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" create_project -force -part $::env(XRAY_PART) design design diff --git a/fuzzers/054-pip-fan-alt/generate.tcl b/fuzzers/054-pip-fan-alt/generate.tcl index 0539ef48..7b913bf9 100644 --- a/fuzzers/054-pip-fan-alt/generate.tcl +++ b/fuzzers/054-pip-fan-alt/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc build_basic {} { diff --git a/fuzzers/055-pip-gnd/generate.tcl b/fuzzers/055-pip-gnd/generate.tcl index 4cd65159..98274692 100644 --- a/fuzzers/055-pip-gnd/generate.tcl +++ b/fuzzers/055-pip-gnd/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" create_project -force -part $::env(XRAY_PART) design design diff --git a/fuzzers/056-pip-rem/generate.tcl b/fuzzers/056-pip-rem/generate.tcl index 67865c0d..500701b6 100644 --- a/fuzzers/056-pip-rem/generate.tcl +++ b/fuzzers/056-pip-rem/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" # This fuzzer occasionally fails on the PDIL-1 DRC diff --git a/fuzzers/057-pip-bi/bipiplist.tcl b/fuzzers/057-pip-bi/bipiplist.tcl index bfd3d478..3e2b6f87 100644 --- a/fuzzers/057-pip-bi/bipiplist.tcl +++ b/fuzzers/057-pip-bi/bipiplist.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) bipiplist bipiplist read_verilog $::env(XRAY_FUZZERS_DIR)/piplist/piplist.v diff --git a/fuzzers/057-pip-bi/generate.tcl b/fuzzers/057-pip-bi/generate.tcl index eb9fb92b..7ea37b87 100644 --- a/fuzzers/057-pip-bi/generate.tcl +++ b/fuzzers/057-pip-bi/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog $::env(FUZDIR)/top.v diff --git a/fuzzers/058-pip-hclk/generate.tcl b/fuzzers/058-pip-hclk/generate.tcl index c03b20f1..2fa207b2 100644 --- a/fuzzers/058-pip-hclk/generate.tcl +++ b/fuzzers/058-pip-hclk/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" create_project -force -part $::env(XRAY_PART) design design diff --git a/fuzzers/059-pip-byp-bounce/generate.tcl b/fuzzers/059-pip-byp-bounce/generate.tcl index 0539ef48..7b913bf9 100644 --- a/fuzzers/059-pip-byp-bounce/generate.tcl +++ b/fuzzers/059-pip-byp-bounce/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc build_basic {} { diff --git a/fuzzers/060-bram-cascades/bram_pip_list.tcl b/fuzzers/060-bram-cascades/bram_pip_list.tcl index af175f38..088169a4 100644 --- a/fuzzers/060-bram-cascades/bram_pip_list.tcl +++ b/fuzzers/060-bram-cascades/bram_pip_list.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/060-bram-cascades/generate.tcl b/fuzzers/060-bram-cascades/generate.tcl index e65d9b96..5dd8b841 100644 --- a/fuzzers/060-bram-cascades/generate.tcl +++ b/fuzzers/060-bram-cascades/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC puts "FUZ([pwd]): Creating project" create_project -force -part $::env(XRAY_PART) design design diff --git a/fuzzers/071-ppips/generate.tcl b/fuzzers/071-ppips/generate.tcl index d1308095..b1f1faa0 100644 --- a/fuzzers/071-ppips/generate.tcl +++ b/fuzzers/071-ppips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog $::env(FUZDIR)/top.v diff --git a/fuzzers/072-ordered_wires/get_pipscount.tcl b/fuzzers/072-ordered_wires/get_pipscount.tcl index 0ec67ea4..5d97a5b1 100644 --- a/fuzzers/072-ordered_wires/get_pipscount.tcl +++ b/fuzzers/072-ordered_wires/get_pipscount.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/072-ordered_wires/job.tcl b/fuzzers/072-ordered_wires/job.tcl index a987bd78..cf4e9943 100644 --- a/fuzzers/072-ordered_wires/job.tcl +++ b/fuzzers/072-ordered_wires/job.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set blocknb [lindex $argv 0] set start [expr int([lindex $argv 1])] set stop [expr int([lindex $argv 2])] diff --git a/fuzzers/073-get_counts/generate.tcl b/fuzzers/073-get_counts/generate.tcl index cb7179e5..e2e5479a 100644 --- a/fuzzers/073-get_counts/generate.tcl +++ b/fuzzers/073-get_counts/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # This script dumps the count of each major object count for sanity checking. # # For large parts, this may take a while, hence why it is a seperate generate diff --git a/fuzzers/074-dump_all/get_nodescount.tcl b/fuzzers/074-dump_all/get_nodescount.tcl index d20532ad..c34afc08 100644 --- a/fuzzers/074-dump_all/get_nodescount.tcl +++ b/fuzzers/074-dump_all/get_nodescount.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/074-dump_all/get_speed_model.tcl b/fuzzers/074-dump_all/get_speed_model.tcl index dc752566..c84a821d 100644 --- a/fuzzers/074-dump_all/get_speed_model.tcl +++ b/fuzzers/074-dump_all/get_speed_model.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set filename [lindex $argv 0] create_project -force -part $::env(XRAY_PART) -name $filename diff --git a/fuzzers/074-dump_all/get_tilescount.tcl b/fuzzers/074-dump_all/get_tilescount.tcl index 19469ed1..835319fe 100644 --- a/fuzzers/074-dump_all/get_tilescount.tcl +++ b/fuzzers/074-dump_all/get_tilescount.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/074-dump_all/jobnodes.tcl b/fuzzers/074-dump_all/jobnodes.tcl index 824c7e8d..7b3915f0 100644 --- a/fuzzers/074-dump_all/jobnodes.tcl +++ b/fuzzers/074-dump_all/jobnodes.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set blocknb [lindex $argv 0] set start [expr int([lindex $argv 1])] set stop [expr int([lindex $argv 2])] diff --git a/fuzzers/074-dump_all/jobtiles.tcl b/fuzzers/074-dump_all/jobtiles.tcl index 15336674..4eb7d3d1 100644 --- a/fuzzers/074-dump_all/jobtiles.tcl +++ b/fuzzers/074-dump_all/jobtiles.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set blocknb [lindex $argv 0] set start [expr int([lindex $argv 1])] set stop [expr int([lindex $argv 2])] diff --git a/fuzzers/075-pins/generate.tcl b/fuzzers/075-pins/generate.tcl index 2b86aa8a..92c486b3 100644 --- a/fuzzers/075-pins/generate.tcl +++ b/fuzzers/075-pins/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/076-ps7/generate.tcl b/fuzzers/076-ps7/generate.tcl index f8a55556..0fd5fd5f 100644 --- a/fuzzers/076-ps7/generate.tcl +++ b/fuzzers/076-ps7/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name design -part $::env(XRAY_PART) set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/100-dsp-mskpat/generate.tcl b/fuzzers/100-dsp-mskpat/generate.tcl index ee2542fe..12504afd 100644 --- a/fuzzers/100-dsp-mskpat/generate.tcl +++ b/fuzzers/100-dsp-mskpat/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/piplist/piplist.tcl b/fuzzers/piplist/piplist.tcl index 70f67ba1..e2ed5075 100644 --- a/fuzzers/piplist/piplist.tcl +++ b/fuzzers/piplist/piplist.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc build_project {} { diff --git a/gridinfo/logicframes.tcl b/gridinfo/logicframes.tcl index debe075f..ec57df25 100644 --- a/gridinfo/logicframes.tcl +++ b/gridinfo/logicframes.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set sites [get_sites -filter {(SITE_TYPE == "SLICEL" || SITE_TYPE == "SLICEM") && (NAME =~ "*Y0" || NAME =~ "*Y50" || NAME =~ "*Y?00" || NAME =~ "*Y?50")}] diff --git a/gridinfo/tiledata.tcl b/gridinfo/tiledata.tcl index eef28b2d..ccf2b0c7 100644 --- a/gridinfo/tiledata.tcl +++ b/gridinfo/tiledata.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC foreach tile [get_tiles] { foreach prop [list_property $tile] { diff --git a/minitests/bram-sdp/runme.tcl b/minitests/bram-sdp/runme.tcl index 9656f2aa..315908b2 100644 --- a/minitests/bram-sdp/runme.tcl +++ b/minitests/bram-sdp/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog ../top.v synth_design -top top -flatten_hierarchy none diff --git a/minitests/clb-carry_cin_cyinit/runme.tcl b/minitests/clb-carry_cin_cyinit/runme.tcl index be080be3..2ad7f328 100644 --- a/minitests/clb-carry_cin_cyinit/runme.tcl +++ b/minitests/clb-carry_cin_cyinit/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/minitests/clb-configs/runme.tcl b/minitests/clb-configs/runme.tcl index 89ff5bf3..640b8ea3 100644 --- a/minitests/clb-configs/runme.tcl +++ b/minitests/clb-configs/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/minitests/clkbuf/runme.tcl b/minitests/clkbuf/runme.tcl index 68383375..875e75df 100644 --- a/minitests/clkbuf/runme.tcl +++ b/minitests/clkbuf/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/minitests/eccbits/runme.tcl b/minitests/eccbits/runme.tcl index d0649784..b3124901 100644 --- a/minitests/eccbits/runme.tcl +++ b/minitests/eccbits/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/minitests/fixedpnr/runme.tcl b/minitests/fixedpnr/runme.tcl index e71e07c0..2631e987 100644 --- a/minitests/fixedpnr/runme.tcl +++ b/minitests/fixedpnr/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design_fdre design_fdre read_verilog top_fdre.v diff --git a/minitests/iostandard/dump_iobs.tcl b/minitests/iostandard/dump_iobs.tcl index c00cfe09..d322c90e 100644 --- a/minitests/iostandard/dump_iobs.tcl +++ b/minitests/iostandard/dump_iobs.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc dump_iobs {file_name} { set fp [open $file_name w] diff --git a/minitests/iostandard/syn+par.tcl b/minitests/iostandard/syn+par.tcl index 363d760d..f0175db0 100644 --- a/minitests/iostandard/syn+par.tcl +++ b/minitests/iostandard/syn+par.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part $env(PART) set_property SEVERITY {Warning} [get_drc_checks NSTD-1] diff --git a/minitests/iserdes.idelay/sim/sim.tcl b/minitests/iserdes.idelay/sim/sim.tcl index e185aa58..ef914f3c 100644 --- a/minitests/iserdes.idelay/sim/sim.tcl +++ b/minitests/iserdes.idelay/sim/sim.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part xc7a35ticsg324-1L $::env(TESTBENCH_TITLE) $::env(TESTBENCH_TITLE) read_verilog $::env(TESTBENCH_TITLE).v diff --git a/minitests/iserdes.idelay/tcl/par.tcl b/minitests/iserdes.idelay/tcl/par.tcl index e198dd57..5aa97fba 100644 --- a/minitests/iserdes.idelay/tcl/par.tcl +++ b/minitests/iserdes.idelay/tcl/par.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35tcpg236-1 read_edif ../$env(PROJECT_NAME).edif diff --git a/minitests/iserdes.idelay/tcl/syn.tcl b/minitests/iserdes.idelay/tcl/syn.tcl index c4501ce6..8d15c46d 100644 --- a/minitests/iserdes.idelay/tcl/syn.tcl +++ b/minitests/iserdes.idelay/tcl/syn.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35tcpg236-1 read_verilog ../$env(PROJECT_NAME).v diff --git a/minitests/iserdes.sdr_ddr/sim/sim.tcl b/minitests/iserdes.sdr_ddr/sim/sim.tcl index e185aa58..ef914f3c 100644 --- a/minitests/iserdes.sdr_ddr/sim/sim.tcl +++ b/minitests/iserdes.sdr_ddr/sim/sim.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part xc7a35ticsg324-1L $::env(TESTBENCH_TITLE) $::env(TESTBENCH_TITLE) read_verilog $::env(TESTBENCH_TITLE).v diff --git a/minitests/iserdes.sdr_ddr/tcl/par.tcl b/minitests/iserdes.sdr_ddr/tcl/par.tcl index 60d66e80..c8ef0401 100644 --- a/minitests/iserdes.sdr_ddr/tcl/par.tcl +++ b/minitests/iserdes.sdr_ddr/tcl/par.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35tcpg236-1 read_edif ../$env(PROJECT_NAME).edif diff --git a/minitests/iserdes.sdr_ddr/tcl/syn.tcl b/minitests/iserdes.sdr_ddr/tcl/syn.tcl index c4501ce6..8d15c46d 100644 --- a/minitests/iserdes.sdr_ddr/tcl/syn.tcl +++ b/minitests/iserdes.sdr_ddr/tcl/syn.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35tcpg236-1 read_verilog ../$env(PROJECT_NAME).v diff --git a/minitests/litex/min/arty/src.vivado/top.tcl b/minitests/litex/min/arty/src.vivado/top.tcl index bdcab95c..110ebd9e 100644 --- a/minitests/litex/min/arty/src.vivado/top.tcl +++ b/minitests/litex/min/arty/src.vivado/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name top -part $::env(XRAY_PART) set_msg_config -id {Common 17-55} -new_severity {Warning} read_verilog ../../verilog/VexRiscv_Lite.v diff --git a/minitests/litex/min/arty/src.yosys/top.tcl b/minitests/litex/min/arty/src.yosys/top.tcl index 8968fc36..bf3144c5 100644 --- a/minitests/litex/min/arty/src.yosys/top.tcl +++ b/minitests/litex/min/arty/src.yosys/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc write_iobuf_report {filename} { set fp [open $filename w] puts $fp "{ \"tiles\": \[" diff --git a/minitests/litex/min_ddr/arty/src.vivado/top.tcl b/minitests/litex/min_ddr/arty/src.vivado/top.tcl index 26bd28e2..2b7bda98 100644 --- a/minitests/litex/min_ddr/arty/src.vivado/top.tcl +++ b/minitests/litex/min_ddr/arty/src.vivado/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name top -part xc7a35ticsg324-1L add_files {../../verilog/top.v} add_files {../../verilog/VexRiscv_Lite.v} diff --git a/minitests/litex/min_ddr/arty/src.yosys/top.tcl b/minitests/litex/min_ddr/arty/src.yosys/top.tcl index 5537f2f4..7cb7e62d 100644 --- a/minitests/litex/min_ddr/arty/src.yosys/top.tcl +++ b/minitests/litex/min_ddr/arty/src.yosys/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc write_iobuf_report {filename} { set fp [open $filename w] puts $fp "{ \"tiles\": \[" diff --git a/minitests/litex/src.vivado/top.tcl b/minitests/litex/src.vivado/top.tcl index 467bb53c..3a86ee8d 100644 --- a/minitests/litex/src.vivado/top.tcl +++ b/minitests/litex/src.vivado/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name top -part xc7a35ticsg324-1L add_files {../top.v} add_files {../VexRiscv_Linux.v} diff --git a/minitests/litex/src.yosys/top.tcl b/minitests/litex/src.yosys/top.tcl index 5537f2f4..7cb7e62d 100644 --- a/minitests/litex/src.yosys/top.tcl +++ b/minitests/litex/src.yosys/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc write_iobuf_report {filename} { set fp [open $filename w] puts $fp "{ \"tiles\": \[" diff --git a/minitests/litex/uart_ddr/arty/src.vivado/top.tcl b/minitests/litex/uart_ddr/arty/src.vivado/top.tcl index d2dfce5f..560fe1b7 100644 --- a/minitests/litex/uart_ddr/arty/src.vivado/top.tcl +++ b/minitests/litex/uart_ddr/arty/src.vivado/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name top -part xc7a35ticsg324-1L add_files {../../generated/top.v} read_xdc ../../generated/top.xdc diff --git a/minitests/litex/uart_ddr/arty/src.yosys/top.tcl b/minitests/litex/uart_ddr/arty/src.yosys/top.tcl index 539f8a7e..954e56f9 100644 --- a/minitests/litex/uart_ddr/arty/src.yosys/top.tcl +++ b/minitests/litex/uart_ddr/arty/src.yosys/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc write_iobuf_report {filename} { set fp [open $filename w] puts $fp "{ \"tiles\": \[" diff --git a/minitests/litex_litedram/src.vivado/top.tcl b/minitests/litex_litedram/src.vivado/top.tcl index 9adbe824..f4e102a6 100644 --- a/minitests/litex_litedram/src.vivado/top.tcl +++ b/minitests/litex_litedram/src.vivado/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name top -part $::env(XRAY_PART) set_msg_config -id {Common 17-55} -new_severity {Warning} read_verilog ../verilog/VexRiscv.v diff --git a/minitests/litex_litedram/src.yosys/top.tcl b/minitests/litex_litedram/src.yosys/top.tcl index 8968fc36..bf3144c5 100644 --- a/minitests/litex_litedram/src.yosys/top.tcl +++ b/minitests/litex_litedram/src.yosys/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc write_iobuf_report {filename} { set fp [open $filename w] puts $fp "{ \"tiles\": \[" diff --git a/minitests/lvb_long_mux/runme.tcl b/minitests/lvb_long_mux/runme.tcl index b83050f2..28c23177 100644 --- a/minitests/lvb_long_mux/runme.tcl +++ b/minitests/lvb_long_mux/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/minitests/nodes_wires_list/runme.tcl b/minitests/nodes_wires_list/runme.tcl index 11607f1e..306058a1 100644 --- a/minitests/nodes_wires_list/runme.tcl +++ b/minitests/nodes_wires_list/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/minitests/oserdes/sim/sim.tcl b/minitests/oserdes/sim/sim.tcl index e185aa58..ef914f3c 100644 --- a/minitests/oserdes/sim/sim.tcl +++ b/minitests/oserdes/sim/sim.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part xc7a35ticsg324-1L $::env(TESTBENCH_TITLE) $::env(TESTBENCH_TITLE) read_verilog $::env(TESTBENCH_TITLE).v diff --git a/minitests/oserdes/tcl/par.tcl b/minitests/oserdes/tcl/par.tcl index 2d72f262..fd76a6a4 100644 --- a/minitests/oserdes/tcl/par.tcl +++ b/minitests/oserdes/tcl/par.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35tcpg236-1 read_edif ../$env(PROJECT_NAME).edif diff --git a/minitests/oserdes/tcl/syn.tcl b/minitests/oserdes/tcl/syn.tcl index c4501ce6..8d15c46d 100644 --- a/minitests/oserdes/tcl/syn.tcl +++ b/minitests/oserdes/tcl/syn.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35tcpg236-1 read_verilog ../$env(PROJECT_NAME).v diff --git a/minitests/partial_reconfig_flow/harness_implement.tcl b/minitests/partial_reconfig_flow/harness_implement.tcl index ad2e554d..2d9145c2 100644 --- a/minitests/partial_reconfig_flow/harness_implement.tcl +++ b/minitests/partial_reconfig_flow/harness_implement.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC open_checkpoint harness_synth.dcp create_pblock roi diff --git a/minitests/partial_reconfig_flow/harness_synthesize.tcl b/minitests/partial_reconfig_flow/harness_synthesize.tcl index bde35810..8d69d9d5 100644 --- a/minitests/partial_reconfig_flow/harness_synthesize.tcl +++ b/minitests/partial_reconfig_flow/harness_synthesize.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC read_verilog harness.v synth_design -top top -part $::env(XRAY_PART) write_checkpoint -force harness_synth.dcp diff --git a/minitests/partial_reconfig_flow/roi_implement.tcl b/minitests/partial_reconfig_flow/roi_implement.tcl index ea8b6c2f..d296a354 100644 --- a/minitests/partial_reconfig_flow/roi_implement.tcl +++ b/minitests/partial_reconfig_flow/roi_implement.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC open_checkpoint harness_impl.dcp read_checkpoint -cell roi [lindex $argv 0] opt_design diff --git a/minitests/partial_reconfig_flow/roi_synthesize.tcl b/minitests/partial_reconfig_flow/roi_synthesize.tcl index f928e1c7..a20e8901 100644 --- a/minitests/partial_reconfig_flow/roi_synthesize.tcl +++ b/minitests/partial_reconfig_flow/roi_synthesize.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC read_verilog [lindex $argv 0] synth_design -mode out_of_context -top roi -part $::env(XRAY_PART) write_checkpoint -force [lindex $argv 1] diff --git a/minitests/partial_reconfig_flow/write_bitstream.tcl b/minitests/partial_reconfig_flow/write_bitstream.tcl index 62beef9e..fecce16b 100644 --- a/minitests/partial_reconfig_flow/write_bitstream.tcl +++ b/minitests/partial_reconfig_flow/write_bitstream.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC open_checkpoint [lindex $argv 0] # Disabling CRC just replaces the CRC register writes with Reset CRC commands. diff --git a/minitests/picorv32-v/runme.tcl b/minitests/picorv32-v/runme.tcl index 6a71b076..5eb59ea5 100644 --- a/minitests/picorv32-v/runme.tcl +++ b/minitests/picorv32-v/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v read_verilog picorv32.v diff --git a/minitests/picorv32-y/runme.tcl b/minitests/picorv32-y/runme.tcl index 410889df..a67b3677 100644 --- a/minitests/picorv32-y/runme.tcl +++ b/minitests/picorv32-y/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design # read_verilog top.v diff --git a/minitests/pip-switchboxes/pips.tcl b/minitests/pip-switchboxes/pips.tcl index a8996752..f1c6a0d3 100644 --- a/minitests/pip-switchboxes/pips.tcl +++ b/minitests/pip-switchboxes/pips.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc tile_pip_report {fd tile_name} { set tile [get_tile $tile_name] diff --git a/minitests/pip-switchboxes/routes.tcl b/minitests/pip-switchboxes/routes.tcl index d7977718..95fda85b 100644 --- a/minitests/pip-switchboxes/routes.tcl +++ b/minitests/pip-switchboxes/routes.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set_property FIXED_ROUTE {} [get_nets] route_design -unroute diff --git a/minitests/pip-switchboxes/runme.tcl b/minitests/pip-switchboxes/runme.tcl index c0ef0794..3bbff5d9 100644 --- a/minitests/pip-switchboxes/runme.tcl +++ b/minitests/pip-switchboxes/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/minitests/plle2_adv/tcl/par.tcl b/minitests/plle2_adv/tcl/par.tcl index 7f9dcdcc..0f73461e 100644 --- a/minitests/plle2_adv/tcl/par.tcl +++ b/minitests/plle2_adv/tcl/par.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35tcpg236-1 read_edif ../$env(PROJECT_NAME).edif diff --git a/minitests/plle2_adv/tcl/syn.tcl b/minitests/plle2_adv/tcl/syn.tcl index c4501ce6..8d15c46d 100644 --- a/minitests/plle2_adv/tcl/syn.tcl +++ b/minitests/plle2_adv/tcl/syn.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35tcpg236-1 read_verilog ../$env(PROJECT_NAME).v diff --git a/minitests/roi_harness/runme.tcl b/minitests/roi_harness/runme.tcl index a18da488..df9f1cb5 100644 --- a/minitests/roi_harness/runme.tcl +++ b/minitests/roi_harness/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # WARNING: this is somewhat paramaterized, but is only tested on A50T/A35T with the traditional ROI # Your ROI should at least have a SLICEL on the left diff --git a/minitests/srl/par.tcl b/minitests/srl/par.tcl index b0f9eae4..a439c6e9 100644 --- a/minitests/srl/par.tcl +++ b/minitests/srl/par.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35ticsg324-1L #read_xdc ../top.xdc diff --git a/minitests/srl/syn.tcl b/minitests/srl/syn.tcl index 2bce1beb..60fcaf1d 100644 --- a/minitests/srl/syn.tcl +++ b/minitests/srl/syn.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35ticsg324-1L read_verilog ../$env(PROJECT_NAME).v diff --git a/minitests/tiles_wires_pips/runme.tcl b/minitests/tiles_wires_pips/runme.tcl index a20b3689..173871d3 100644 --- a/minitests/tiles_wires_pips/runme.tcl +++ b/minitests/tiles_wires_pips/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/minitests/timing/runme.tcl b/minitests/timing/runme.tcl index f3ee2986..d5a805c4 100644 --- a/minitests/timing/runme.tcl +++ b/minitests/timing/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source $::env(XRAY_UTILS_DIR)/write_timing_info.tcl proc create_design {design_name sig_mask verilogs} { diff --git a/minitests/util/runme.tcl b/minitests/util/runme.tcl index c4468336..64019c5d 100644 --- a/minitests/util/runme.tcl +++ b/minitests/util/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top -flatten_hierarchy none diff --git a/minitests/z7_blinky_emio/pl/syn+par.tcl b/minitests/z7_blinky_emio/pl/syn+par.tcl index 4c62eeb8..06b588cb 100644 --- a/minitests/z7_blinky_emio/pl/syn+par.tcl +++ b/minitests/z7_blinky_emio/pl/syn+par.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part $env(VIVADO_PART) read_verilog ../$env(PROJECT_NAME).v diff --git a/minitests/z7_blinky_emio/ps/blink.tcl b/minitests/z7_blinky_emio/ps/blink.tcl index bf70d962..8cea5b1d 100644 --- a/minitests/z7_blinky_emio/ps/blink.tcl +++ b/minitests/z7_blinky_emio/ps/blink.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC connect targets -set -nocase -filter {name =~ "ARM* #0"} rst -system diff --git a/utils/utils.tcl b/utils/utils.tcl index 34fd4e21..751f8847 100644 --- a/utils/utils.tcl +++ b/utils/utils.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc route_via { net nodes {assert 1} } { # Route a simple source to dest net via one or more intermediate nodes # the nodes do not have have to be directly reachable from each other diff --git a/utils/write_timing_info.tcl b/utils/write_timing_info.tcl index 46c85e14..b6cee237 100644 --- a/utils/write_timing_info.tcl +++ b/utils/write_timing_info.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # Writes a JSON5 to filename containing timing for current design. # This can be used with create_timing_worksheet_db.py to compare prjxray model # with Vivado timing model outputs.