diff --git a/fuzzers/051-intpips2/Makefile b/fuzzers/051-intpips2/Makefile index 1daf68d0..6ec874e3 100644 --- a/fuzzers/051-intpips2/Makefile +++ b/fuzzers/051-intpips2/Makefile @@ -21,10 +21,12 @@ $(SPECIMENS_OK): todo.txt touch $@ todo.txt: - : vivado -mode batch -source piplist.tcl - python3 maketodo.py | sort -R | head -n 50 > todo.txt + vivado -mode batch -source piplist.tcl + python3 maketodo.py > todo.txt clean: + rm -rf .Xil/ filtered_seg_int_[lr].segbits + rm -rf todo.txt vivado* piplist/ piplist.dcp pattern_[lr].txt pips_int_[lr].txt rm -rf specimen_[0-9][0-9][0-9]/ seg_int_[lr].segbits mask_clbl[lm]_[lr].segbits .PHONY: database pushdb clean diff --git a/fuzzers/051-intpips2/generate.tcl b/fuzzers/051-intpips2/generate.tcl index c21523c1..61ab9ac6 100644 --- a/fuzzers/051-intpips2/generate.tcl +++ b/fuzzers/051-intpips2/generate.tcl @@ -1,4 +1,3 @@ -if 0 { create_project -force -part $::env(XRAY_PART) design design read_verilog ../top.v @@ -20,7 +19,6 @@ place_design route_design write_checkpoint -force design.dcp -} source ../../../utils/utils.tcl @@ -53,7 +51,7 @@ for {gets $fp line} {$line != ""} {gets $fp line} { } close $fp -for {set i 100} {$i < 120} {incr i} { +for {set i 100} {$i < 200} {incr i} { set route_nodes {} foreach line [randsample_list 5 $todo_lines] { set line [split $line .] diff --git a/fuzzers/051-intpips2/maketodo.py b/fuzzers/051-intpips2/maketodo.py index 5f021500..0229313f 100644 --- a/fuzzers/051-intpips2/maketodo.py +++ b/fuzzers/051-intpips2/maketodo.py @@ -15,7 +15,9 @@ def maketodo(pipfile, dbfile): continue if line.endswith(".GND_WIRE"): continue - if ".CTRL" in line: + if re.match(r".*\.(L[HV]B?|G?CLK)(_L)?(_B)?[0-9]", line): + continue + if re.match(r"^INT_[LR]\.(CTRL|GFAN)(_L)?[0-9]", line): continue print(line)