From 7a236be2f360a114b7dcc32bf4c3de7987f83a68 Mon Sep 17 00:00:00 2001 From: John McMaster Date: Tue, 18 Dec 2018 17:36:31 -0800 Subject: [PATCH] dsp-maskpat: move to build dir Signed-off-by: John McMaster --- fuzzers/100-dsp-mskpat/.gitignore | 5 ++--- fuzzers/100-dsp-mskpat/Makefile | 14 +++++++------- fuzzers/100-dsp-mskpat/generate.sh | 6 ++++-- fuzzers/100-dsp-mskpat/generate.tcl | 5 +++-- 4 files changed, 16 insertions(+), 14 deletions(-) diff --git a/fuzzers/100-dsp-mskpat/.gitignore b/fuzzers/100-dsp-mskpat/.gitignore index 4a41bd96..9482bcd3 100644 --- a/fuzzers/100-dsp-mskpat/.gitignore +++ b/fuzzers/100-dsp-mskpat/.gitignore @@ -1,3 +1,2 @@ -/specimen_[0-9][0-9][0-9]/ -/seg_dsp_[lr].segbits -/run.ok +build +run.ok diff --git a/fuzzers/100-dsp-mskpat/Makefile b/fuzzers/100-dsp-mskpat/Makefile index c0e919b6..588ecc38 100644 --- a/fuzzers/100-dsp-mskpat/Makefile +++ b/fuzzers/100-dsp-mskpat/Makefile @@ -1,16 +1,16 @@ N := 1 -SPECIMENS := $(addprefix specimen_,$(shell seq -f '%03.0f' $(N))) +SPECIMENS := $(addprefix build/specimen_,$(shell seq -f '%03.0f' $(N))) SPECIMENS_OK := $(addsuffix /OK,$(SPECIMENS)) database: $(SPECIMENS_OK) - ${XRAY_SEGMATCH} -o segbits_dsp_l.db $(addsuffix /segdata_dsp_l_*.txt,$(SPECIMENS)) - ${XRAY_SEGMATCH} -o segbits_dsp_r.db $(addsuffix /segdata_dsp_r_*.txt,$(SPECIMENS)) + ${XRAY_SEGMATCH} -o build/segbits_dsp_l.db $(addsuffix /segdata_dsp_l_*.txt,$(SPECIMENS)) + ${XRAY_SEGMATCH} -o build/segbits_dsp_r.db $(addsuffix /segdata_dsp_r_*.txt,$(SPECIMENS)) + ${XRAY_DBFIXUP} --db-root build --clb-int pushdb: - ${XRAY_DBFIXUP} --db-root . --clb-int - ${XRAY_MERGEDB} dsp_l segbits_dsp_l.db - ${XRAY_MERGEDB} dsp_r segbits_dsp_r.db + ${XRAY_MERGEDB} dsp_l build/segbits_dsp_l.db + ${XRAY_MERGEDB} dsp_r build/segbits_dsp_r.db $(SPECIMENS_OK): bash generate.sh $(subst /OK,,$@) @@ -23,7 +23,7 @@ run: touch run.ok clean: - rm -rf specimen_[0-9][0-9][0-9]/ segbits_dsp_l.db segbits_dsp_r.db run.ok + rm -rf build run.ok .PHONY: database pushdb run clean diff --git a/fuzzers/100-dsp-mskpat/generate.sh b/fuzzers/100-dsp-mskpat/generate.sh index 8ee71679..6470bcb1 100644 --- a/fuzzers/100-dsp-mskpat/generate.sh +++ b/fuzzers/100-dsp-mskpat/generate.sh @@ -1,11 +1,13 @@ #!/bin/bash +set -ex + source ${XRAY_GENHEADER} -vivado -mode batch -source ../generate.tcl +vivado -mode batch -source $FUZDIR/generate.tcl for i in {10..29}; do ${XRAY_BITREAD} -F $XRAY_ROI_FRAMES -o design_${i}.bits -z -y design_${i}.bit - python3 ../generate.py $i + python3 $FUZDIR/generate.py $i done diff --git a/fuzzers/100-dsp-mskpat/generate.tcl b/fuzzers/100-dsp-mskpat/generate.tcl index 579fea0c..b4321e0b 100644 --- a/fuzzers/100-dsp-mskpat/generate.tcl +++ b/fuzzers/100-dsp-mskpat/generate.tcl @@ -1,6 +1,8 @@ +source "$::env(XRAY_DIR)/utils/utils.tcl" + create_project -force -part $::env(XRAY_PART) design design -read_verilog ../top.v +read_verilog "$::env(FUZDIR)/top.v" synth_design -top top set_property -dict "PACKAGE_PIN $::env(XRAY_PIN_00) IOSTANDARD LVCMOS33" [get_ports i] @@ -19,7 +21,6 @@ route_design write_checkpoint -force design.dcp -source ../../../utils/utils.tcl set cells [list] set gnd_net [create_net gnd_net]