From 3cfe5dab469f9e9eb5a37c9521aaa84f534eb5e7 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Fri, 22 Dec 2017 20:08:46 +0100 Subject: [PATCH] Set tcl.collectionResultDisplayLimit to unlimited in all fuzzers Signed-off-by: Clifford Wolf --- fuzzers/001-part-yaml/generate.tcl | 1 + fuzzers/005-tilegrid/generate.tcl | 1 + fuzzers/010-lutinit/generate.tcl | 1 + fuzzers/011-ffconfig/generate.tcl | 1 + fuzzers/012-clbn5ffmux/generate.tcl | 1 + fuzzers/013-clbncy0/generate.tcl | 1 + fuzzers/014-ffsrcemux/generate.tcl | 1 + fuzzers/015-clbnffmux/generate.tcl | 1 + fuzzers/016-clbnoutmux/generate.tcl | 1 + fuzzers/017-clbprecyinit/generate.tcl | 1 + fuzzers/018-clbram/generate.tcl | 1 + fuzzers/019-ndi1mux/generate.tcl | 1 + fuzzers/051-imuxlout/generate.tcl | 1 + fuzzers/052-clkin/generate.tcl | 1 + fuzzers/053-ctrlin/generate.tcl | 1 + fuzzers/054-gfan/generate.tcl | 1 + fuzzers/055-gnd/generate.tcl | 1 + fuzzers/056-rempips/generate.tcl | 1 + fuzzers/057-bipips/generate.tcl | 1 + fuzzers/058-hclkpips/generate.tcl | 1 + fuzzers/070-tileconn/generate.tcl | 1 + fuzzers/071-ppips/generate.tcl | 1 + 22 files changed, 22 insertions(+) diff --git a/fuzzers/001-part-yaml/generate.tcl b/fuzzers/001-part-yaml/generate.tcl index f95d3e2d..6a9b3ed8 100644 --- a/fuzzers/001-part-yaml/generate.tcl +++ b/fuzzers/001-part-yaml/generate.tcl @@ -10,6 +10,7 @@ set_property -dict "PACKAGE_PIN $::env(XRAY_PIN_03) IOSTANDARD LVCMOS33" [get_po set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] +set_param tcl.collectionResultDisplayLimit 0 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF] diff --git a/fuzzers/005-tilegrid/generate.tcl b/fuzzers/005-tilegrid/generate.tcl index 5021587a..d1bb7df2 100644 --- a/fuzzers/005-tilegrid/generate.tcl +++ b/fuzzers/005-tilegrid/generate.tcl @@ -15,6 +15,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF] diff --git a/fuzzers/010-lutinit/generate.tcl b/fuzzers/010-lutinit/generate.tcl index a358489b..8c5490b5 100644 --- a/fuzzers/010-lutinit/generate.tcl +++ b/fuzzers/010-lutinit/generate.tcl @@ -18,6 +18,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF] diff --git a/fuzzers/011-ffconfig/generate.tcl b/fuzzers/011-ffconfig/generate.tcl index cd4efe0e..833b860f 100644 --- a/fuzzers/011-ffconfig/generate.tcl +++ b/fuzzers/011-ffconfig/generate.tcl @@ -15,6 +15,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF] diff --git a/fuzzers/012-clbn5ffmux/generate.tcl b/fuzzers/012-clbn5ffmux/generate.tcl index 86162f92..9e981032 100644 --- a/fuzzers/012-clbn5ffmux/generate.tcl +++ b/fuzzers/012-clbn5ffmux/generate.tcl @@ -15,6 +15,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF] diff --git a/fuzzers/013-clbncy0/generate.tcl b/fuzzers/013-clbncy0/generate.tcl index 86162f92..9e981032 100644 --- a/fuzzers/013-clbncy0/generate.tcl +++ b/fuzzers/013-clbncy0/generate.tcl @@ -15,6 +15,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF] diff --git a/fuzzers/014-ffsrcemux/generate.tcl b/fuzzers/014-ffsrcemux/generate.tcl index 50983877..329580ee 100644 --- a/fuzzers/014-ffsrcemux/generate.tcl +++ b/fuzzers/014-ffsrcemux/generate.tcl @@ -15,6 +15,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF] diff --git a/fuzzers/015-clbnffmux/generate.tcl b/fuzzers/015-clbnffmux/generate.tcl index 86162f92..9e981032 100644 --- a/fuzzers/015-clbnffmux/generate.tcl +++ b/fuzzers/015-clbnffmux/generate.tcl @@ -15,6 +15,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF] diff --git a/fuzzers/016-clbnoutmux/generate.tcl b/fuzzers/016-clbnoutmux/generate.tcl index 86162f92..9e981032 100644 --- a/fuzzers/016-clbnoutmux/generate.tcl +++ b/fuzzers/016-clbnoutmux/generate.tcl @@ -15,6 +15,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF] diff --git a/fuzzers/017-clbprecyinit/generate.tcl b/fuzzers/017-clbprecyinit/generate.tcl index 86162f92..9e981032 100644 --- a/fuzzers/017-clbprecyinit/generate.tcl +++ b/fuzzers/017-clbprecyinit/generate.tcl @@ -15,6 +15,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF] diff --git a/fuzzers/018-clbram/generate.tcl b/fuzzers/018-clbram/generate.tcl index 86162f92..9e981032 100644 --- a/fuzzers/018-clbram/generate.tcl +++ b/fuzzers/018-clbram/generate.tcl @@ -15,6 +15,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF] diff --git a/fuzzers/019-ndi1mux/generate.tcl b/fuzzers/019-ndi1mux/generate.tcl index 86162f92..9e981032 100644 --- a/fuzzers/019-ndi1mux/generate.tcl +++ b/fuzzers/019-ndi1mux/generate.tcl @@ -15,6 +15,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF] diff --git a/fuzzers/051-imuxlout/generate.tcl b/fuzzers/051-imuxlout/generate.tcl index 9e0ea29c..ec5255f1 100644 --- a/fuzzers/051-imuxlout/generate.tcl +++ b/fuzzers/051-imuxlout/generate.tcl @@ -12,6 +12,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 place_design route_design diff --git a/fuzzers/052-clkin/generate.tcl b/fuzzers/052-clkin/generate.tcl index 6e76bd36..d4aaa065 100644 --- a/fuzzers/052-clkin/generate.tcl +++ b/fuzzers/052-clkin/generate.tcl @@ -12,6 +12,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 place_design route_design diff --git a/fuzzers/053-ctrlin/generate.tcl b/fuzzers/053-ctrlin/generate.tcl index 6bdaf747..75301594 100644 --- a/fuzzers/053-ctrlin/generate.tcl +++ b/fuzzers/053-ctrlin/generate.tcl @@ -12,6 +12,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 place_design route_design diff --git a/fuzzers/054-gfan/generate.tcl b/fuzzers/054-gfan/generate.tcl index b8fb9d1e..71d7e8a8 100644 --- a/fuzzers/054-gfan/generate.tcl +++ b/fuzzers/054-gfan/generate.tcl @@ -12,6 +12,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 place_design route_design diff --git a/fuzzers/055-gnd/generate.tcl b/fuzzers/055-gnd/generate.tcl index 454e722b..aabb6ee0 100644 --- a/fuzzers/055-gnd/generate.tcl +++ b/fuzzers/055-gnd/generate.tcl @@ -12,6 +12,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 place_design route_design diff --git a/fuzzers/056-rempips/generate.tcl b/fuzzers/056-rempips/generate.tcl index dbe686a8..5f160173 100644 --- a/fuzzers/056-rempips/generate.tcl +++ b/fuzzers/056-rempips/generate.tcl @@ -12,6 +12,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 place_design route_design diff --git a/fuzzers/057-bipips/generate.tcl b/fuzzers/057-bipips/generate.tcl index c2d572b8..bc07c66a 100644 --- a/fuzzers/057-bipips/generate.tcl +++ b/fuzzers/057-bipips/generate.tcl @@ -12,6 +12,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 place_design route_design diff --git a/fuzzers/058-hclkpips/generate.tcl b/fuzzers/058-hclkpips/generate.tcl index 337c5f1e..0737adca 100644 --- a/fuzzers/058-hclkpips/generate.tcl +++ b/fuzzers/058-hclkpips/generate.tcl @@ -12,6 +12,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 place_design route_design diff --git a/fuzzers/070-tileconn/generate.tcl b/fuzzers/070-tileconn/generate.tcl index 659bbdd7..06d841c0 100644 --- a/fuzzers/070-tileconn/generate.tcl +++ b/fuzzers/070-tileconn/generate.tcl @@ -12,6 +12,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 place_design route_design diff --git a/fuzzers/071-ppips/generate.tcl b/fuzzers/071-ppips/generate.tcl index c47709c2..b896ed6b 100644 --- a/fuzzers/071-ppips/generate.tcl +++ b/fuzzers/071-ppips/generate.tcl @@ -12,6 +12,7 @@ resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)" set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design] +set_param tcl.collectionResultDisplayLimit 0 place_design route_design