From bd42850bf8387e4aa035ff764b19c49f8de0d4cd Mon Sep 17 00:00:00 2001 From: Fischer Moseley <42497969+fischermoseley@users.noreply.github.com> Date: Wed, 15 Feb 2023 13:57:45 -0500 Subject: [PATCH] use only ubuntu-latest for all tests --- .github/workflows/build_examples.yml | 6 +----- .github/workflows/functional_simulation.yml | 10 ++-------- src/manta/fifo.sv | 2 +- 3 files changed, 4 insertions(+), 14 deletions(-) diff --git a/.github/workflows/build_examples.yml b/.github/workflows/build_examples.yml index 772c493..8b0eefb 100644 --- a/.github/workflows/build_examples.yml +++ b/.github/workflows/build_examples.yml @@ -2,13 +2,9 @@ name: build_examples on: [push] jobs: counter: - strategy: - matrix: - os: [ubuntu-latest, ubuntu-22.04, ubuntu-20.04] - runs-on: ${{ matrix.os }} + runs-on: ubuntu-latest steps: - uses: actions/checkout@v3 - - name: Add SSH Keys run: | mkdir ~/.ssh diff --git a/.github/workflows/functional_simulation.yml b/.github/workflows/functional_simulation.yml index f820178..5956b07 100644 --- a/.github/workflows/functional_simulation.yml +++ b/.github/workflows/functional_simulation.yml @@ -2,10 +2,7 @@ name: functional_simulation on: [push] jobs: uart: - strategy: - matrix: - os: [ubuntu-latest, ubuntu-22.04, ubuntu-20.04] - runs-on: ${{ matrix.os }} + runs-on: ubuntu-latest steps: - uses: actions/checkout@v3 - run: sudo apt install iverilog @@ -13,10 +10,7 @@ jobs: - run: vvp sim.out fifo: - strategy: - matrix: - os: [ubuntu-latest, ubuntu-22.04, ubuntu-20.04] - runs-on: ${{ matrix.os }} + runs-on: ubuntu-latest steps: - uses: actions/checkout@v3 - run: sudo apt install iverilog diff --git a/src/manta/fifo.sv b/src/manta/fifo.sv index 2cd3ceb..8abd3c3 100644 --- a/src/manta/fifo.sv +++ b/src/manta/fifo.sv @@ -37,7 +37,7 @@ module fifo ( logic output_valid_pip_0; logic output_valid_pip_1; - always @(posedge clk) begin + always_ff @(posedge clk) begin if (input_ready && ~full) write_pointer <= write_pointer + 1'd1;