diff --git a/examples/nexys_a7/video_sprite/data/example.mem b/examples/nexys_a7/video_sprite/data/example.mem new file mode 100644 index 0000000..e66ab88 --- /dev/null +++ b/examples/nexys_a7/video_sprite/data/example.mem @@ -0,0 +1,1024 @@ +000 +001 +002 +003 +004 +005 +006 +007 +008 +009 +00a +00b +00c +00d +00e +00f +010 +011 +012 +013 +014 +015 +016 +017 +018 +019 +01a +01b +01c +01d +01e +01f +020 +021 +022 +023 +024 +025 +026 +027 +028 +029 +02a +02b +02c +02d +02e +02f +030 +031 +032 +033 +034 +035 +036 +037 +038 +039 +03a +03b +03c +03d +03e +03f +040 +041 +042 +043 +044 +045 +046 +047 +048 +049 +04a +04b +04c +04d +04e +04f +050 +051 +052 +053 +054 +055 +056 +057 +058 +059 +05a +05b +05c +05d +05e +05f +060 +061 +062 +063 +064 +065 +066 +067 +068 +069 +06a +06b +06c +06d +06e +06f +070 +071 +072 +073 +074 +075 +076 +077 +078 +079 +07a +07b +07c +07d +07e +07f +080 +081 +082 +083 +084 +085 +086 +087 +088 +089 +08a +08b +08c +08d +08e +08f +090 +091 +092 +093 +094 +095 +096 +097 +098 +099 +09a +09b +09c +09d +09e +09f +0a0 +0a1 +0a2 +0a3 +0a4 +0a5 +0a6 +0a7 +0a8 +0a9 +0aa +0ab +0ac +0ad +0ae +0af +0b0 +0b1 +0b2 +0b3 +0b4 +0b5 +0b6 +0b7 +0b8 +0b9 +0ba +0bb +0bc +0bd +0be +0bf +0c0 +0c1 +0c2 +0c3 +0c4 +0c5 +0c6 +0c7 +0c8 +0c9 +0ca +0cb +0cc +0cd +0ce +0cf +0d0 +0d1 +0d2 +0d3 +0d4 +0d5 +0d6 +0d7 +0d8 +0d9 +0da +0db +0dc +0dd +0de +0df +0e0 +0e1 +0e2 +0e3 +0e4 +0e5 +0e6 +0e7 +0e8 +0e9 +0ea +0eb +0ec +0ed +0ee +0ef +0f0 +0f1 +0f2 +0f3 +0f4 +0f5 +0f6 +0f7 +0f8 +0f9 +0fa +0fb +0fc +0fd +0fe +0ff +100 +101 +102 +103 +104 +105 +106 +107 +108 +109 +10a +10b +10c +10d +10e +10f +110 +111 +112 +113 +114 +115 +116 +117 +118 +119 +11a +11b +11c +11d +11e +11f +120 +121 +122 +123 +124 +125 +126 +127 +128 +129 +12a +12b +12c +12d +12e +12f +130 +131 +132 +133 +134 +135 +136 +137 +138 +139 +13a +13b +13c +13d +13e +13f +140 +141 +142 +143 +144 +145 +146 +147 +148 +149 +14a +14b +14c +14d +14e +14f +150 +151 +152 +153 +154 +155 +156 +157 +158 +159 +15a +15b +15c +15d +15e +15f +160 +161 +162 +163 +164 +165 +166 +167 +168 +169 +16a +16b +16c +16d +16e +16f +170 +171 +172 +173 +174 +175 +176 +177 +178 +179 +17a +17b +17c +17d +17e +17f +180 +181 +182 +183 +184 +185 +186 +187 +188 +189 +18a +18b +18c +18d +18e +18f +190 +191 +192 +193 +194 +195 +196 +197 +198 +199 +19a +19b +19c +19d +19e +19f +1a0 +1a1 +1a2 +1a3 +1a4 +1a5 +1a6 +1a7 +1a8 +1a9 +1aa +1ab +1ac +1ad +1ae +1af +1b0 +1b1 +1b2 +1b3 +1b4 +1b5 +1b6 +1b7 +1b8 +1b9 +1ba +1bb +1bc +1bd +1be +1bf +1c0 +1c1 +1c2 +1c3 +1c4 +1c5 +1c6 +1c7 +1c8 +1c9 +1ca +1cb +1cc +1cd +1ce +1cf +1d0 +1d1 +1d2 +1d3 +1d4 +1d5 +1d6 +1d7 +1d8 +1d9 +1da +1db +1dc +1dd +1de +1df +1e0 +1e1 +1e2 +1e3 +1e4 +1e5 +1e6 +1e7 +1e8 +1e9 +1ea +1eb +1ec +1ed +1ee +1ef +1f0 +1f1 +1f2 +1f3 +1f4 +1f5 +1f6 +1f7 +1f8 +1f9 +1fa +1fb +1fc +1fd +1fe +1ff +200 +201 +202 +203 +204 +205 +206 +207 +208 +209 +20a +20b +20c +20d +20e +20f +210 +211 +212 +213 +214 +215 +216 +217 +218 +219 +21a +21b +21c +21d +21e +21f +220 +221 +222 +223 +224 +225 +226 +227 +228 +229 +22a +22b +22c +22d +22e +22f +230 +231 +232 +233 +234 +235 +236 +237 +238 +239 +23a +23b +23c +23d +23e +23f +240 +241 +242 +243 +244 +245 +246 +247 +248 +249 +24a +24b +24c +24d +24e +24f +250 +251 +252 +253 +254 +255 +256 +257 +258 +259 +25a +25b +25c +25d +25e +25f +260 +261 +262 +263 +264 +265 +266 +267 +268 +269 +26a +26b +26c +26d +26e +26f +270 +271 +272 +273 +274 +275 +276 +277 +278 +279 +27a +27b +27c +27d +27e +27f +280 +281 +282 +283 +284 +285 +286 +287 +288 +289 +28a +28b +28c +28d +28e +28f +290 +291 +292 +293 +294 +295 +296 +297 +298 +299 +29a +29b +29c +29d +29e +29f +2a0 +2a1 +2a2 +2a3 +2a4 +2a5 +2a6 +2a7 +2a8 +2a9 +2aa +2ab +2ac +2ad +2ae +2af +2b0 +2b1 +2b2 +2b3 +2b4 +2b5 +2b6 +2b7 +2b8 +2b9 +2ba +2bb +2bc +2bd +2be +2bf +2c0 +2c1 +2c2 +2c3 +2c4 +2c5 +2c6 +2c7 +2c8 +2c9 +2ca +2cb +2cc +2cd +2ce +2cf +2d0 +2d1 +2d2 +2d3 +2d4 +2d5 +2d6 +2d7 +2d8 +2d9 +2da +2db +2dc +2dd +2de +2df +2e0 +2e1 +2e2 +2e3 +2e4 +2e5 +2e6 +2e7 +2e8 +2e9 +2ea +2eb +2ec +2ed +2ee +2ef +2f0 +2f1 +2f2 +2f3 +2f4 +2f5 +2f6 +2f7 +2f8 +2f9 +2fa +2fb +2fc +2fd +2fe +2ff +300 +301 +302 +303 +304 +305 +306 +307 +308 +309 +30a +30b +30c +30d +30e +30f +310 +311 +312 +313 +314 +315 +316 +317 +318 +319 +31a +31b +31c +31d +31e +31f +320 +321 +322 +323 +324 +325 +326 +327 +328 +329 +32a +32b +32c +32d +32e +32f +330 +331 +332 +333 +334 +335 +336 +337 +338 +339 +33a +33b +33c +33d +33e +33f +340 +341 +342 +343 +344 +345 +346 +347 +348 +349 +34a +34b +34c +34d +34e +34f +350 +351 +352 +353 +354 +355 +356 +357 +358 +359 +35a +35b +35c +35d +35e +35f +360 +361 +362 +363 +364 +365 +366 +367 +368 +369 +36a +36b +36c +36d +36e +36f +370 +371 +372 +373 +374 +375 +376 +377 +378 +379 +37a +37b +37c +37d +37e +37f +380 +381 +382 +383 +384 +385 +386 +387 +388 +389 +38a +38b +38c +38d +38e +38f +390 +391 +392 +393 +394 +395 +396 +397 +398 +399 +39a +39b +39c +39d +39e +39f +3a0 +3a1 +3a2 +3a3 +3a4 +3a5 +3a6 +3a7 +3a8 +3a9 +3aa +3ab +3ac +3ad +3ae +3af +3b0 +3b1 +3b2 +3b3 +3b4 +3b5 +3b6 +3b7 +3b8 +3b9 +3ba +3bb +3bc +3bd +3be +3bf +3c0 +3c1 +3c2 +3c3 +3c4 +3c5 +3c6 +3c7 +3c8 +3c9 +3ca +3cb +3cc +3cd +3ce +3cf +3d0 +3d1 +3d2 +3d3 +3d4 +3d5 +3d6 +3d7 +3d8 +3d9 +3da +3db +3dc +3dd +3de +3df +3e0 +3e1 +3e2 +3e3 +3e4 +3e5 +3e6 +3e7 +3e8 +3e9 +3ea +3eb +3ec +3ed +3ee +3ef +3f0 +3f1 +3f2 +3f3 +3f4 +3f5 +3f6 +3f7 +3f8 +3f9 +3fa +3fb +3fc +3fd +3fe +3ff \ No newline at end of file diff --git a/examples/nexys_a7/video_sprite/data/image.mem b/examples/nexys_a7/video_sprite/data/image.mem new file mode 100644 index 0000000..2442390 --- /dev/null +++ b/examples/nexys_a7/video_sprite/data/image.mem @@ -0,0 +1,65536 @@ +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +37 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +31 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +25 +25 +25 +24 +24 +24 +24 +25 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +25 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +20 +1f +1b +1b +1f +24 +24 +25 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +24 +24 +24 +1f +1f +1f +1f +1f +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1a +1a +1a +1a +1b +24 +24 +24 +24 +24 +24 +24 +25 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +24 +24 +24 +1b +1b +1a +1a +1a +1a +1a +1a +18 +18 +18 +18 +18 +18 +15 +15 +15 +14 +14 +18 +1a +1b +1f +24 +24 +24 +24 +24 +24 +24 +24 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +24 +24 +24 +1b +1a +18 +18 +18 +18 +15 +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +18 +18 +1a +1a +1b +1b +1f +20 +20 +24 +24 +24 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +24 +24 +1b +1a +18 +18 +1a +1a +18 +18 +17 +17 +17 +14 +14 +14 +14 +14 +14 +14 +14 +14 +17 +18 +18 +1a +1a +1a +1b +1b +1b +1b +1b +1f +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +24 +24 +24 +1b +1a +18 +18 +1a +1a +1a +1a +1a +18 +17 +17 +17 +14 +14 +14 +14 +17 +14 +14 +17 +18 +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1b +1f +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +24 +24 +20 +1b +1a +18 +18 +1a +1a +1a +1a +1a +1a +18 +17 +17 +14 +14 +14 +17 +17 +14 +17 +17 +17 +18 +1a +1a +1a +1a +1a +1a +1a +1a +1a +18 +1a +1b +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +20 +20 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +20 +1b +1a +18 +18 +1a +1a +1a +1a +1e +1a +1a +18 +17 +17 +17 +17 +17 +14 +17 +14 +17 +17 +17 +18 +18 +1a +1a +1a +1a +1a +1a +1a +1a +18 +18 +1b +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +20 +1f +1f +1f +1f +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +24 +24 +20 +20 +24 +20 +20 +24 +20 +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +20 +1f +1b +1a +18 +1a +1a +1a +1a +1a +1a +1e +1a +18 +18 +18 +17 +17 +17 +17 +17 +17 +17 +17 +17 +18 +18 +1a +1a +1a +1a +1a +1a +1a +1a +1a +18 +18 +1a +1f +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +1f +1f +1f +1f +1f +1f +27 +27 +27 +28 +2a +2a +2a +2a +2a +2a +2a +27 +27 +24 +24 +24 +24 +20 +20 +20 +20 +1f +1f +1f +1f +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +1f +1f +1f +1b +1a +1a +1a +1a +1a +1a +1f +1f +1f +1e +1a +18 +18 +18 +17 +17 +17 +17 +17 +17 +17 +17 +17 +18 +18 +1a +1e +1e +1e +1e +1e +1e +1a +1a +18 +18 +1a +1b +1f +1f +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +20 +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1b +1b +1f +24 +27 +27 +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +28 +27 +27 +27 +27 +24 +24 +24 +20 +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1b +1a +1a +1a +1a +1e +1f +1f +1f +1f +1f +1e +1a +18 +18 +18 +17 +17 +17 +17 +17 +17 +17 +17 +18 +18 +18 +1e +1e +1e +1e +1e +1e +1e +1e +1a +18 +18 +18 +1b +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1f +24 +27 +27 +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +2a +2a +28 +27 +27 +27 +24 +1f +1f +1f +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1a +18 +18 +1a +1a +23 +23 +23 +23 +23 +1f +1f +1f +1a +18 +18 +18 +17 +17 +17 +17 +17 +17 +17 +17 +18 +18 +18 +1e +1e +1e +1e +1e +1e +1e +1e +1a +1a +18 +18 +1a +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1a +1b +1f +24 +27 +2a +2d +2d +2d +2d +2d +2d +31 +31 +31 +31 +31 +31 +2d +2d +2d +2d +2d +2d +2d +2a +2a +27 +27 +24 +1f +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1a +1a +18 +18 +15 +1a +1a +23 +23 +27 +27 +23 +23 +23 +1f +1f +1e +1a +18 +18 +17 +17 +17 +17 +17 +17 +17 +18 +18 +18 +18 +1a +1a +1e +1e +1e +1e +1e +1e +1a +1a +18 +15 +18 +1a +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +1a +1b +1f +27 +29 +2d +2d +2d +2d +2d +2d +31 +34 +34 +32 +32 +32 +34 +32 +31 +31 +31 +2d +2d +2d +2d +2d +2d +2a +28 +27 +23 +1f +1b +1a +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +15 +18 +1a +23 +23 +27 +27 +27 +27 +23 +23 +1f +1f +1e +18 +18 +18 +17 +17 +17 +17 +17 +17 +18 +18 +18 +18 +18 +1a +1a +1a +1a +1e +1e +1e +1e +1e +1a +18 +15 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +1b +23 +27 +2d +2d +2d +2d +2d +2d +2d +32 +34 +34 +32 +32 +32 +34 +34 +34 +31 +31 +2e +2e +2d +2d +2d +2d +2d +2d +2a +27 +24 +1f +1a +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +15 +14 +15 +1a +1e +23 +27 +27 +27 +27 +27 +23 +23 +1f +1f +1e +18 +18 +18 +17 +17 +17 +17 +17 +17 +18 +18 +18 +18 +1a +1a +1a +1a +1a +1e +1e +1e +1e +1e +1a +1a +14 +15 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +1a +24 +2a +2d +2d +2d +2d +31 +32 +32 +31 +34 +34 +32 +32 +32 +34 +34 +34 +31 +31 +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2a +24 +1f +18 +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +1a +1e +23 +27 +27 +28 +27 +27 +27 +23 +23 +1f +1e +1a +18 +18 +18 +17 +17 +17 +17 +17 +18 +18 +18 +18 +18 +18 +1a +1a +1a +1a +1e +1e +1e +1e +1e +1a +1a +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +1a +24 +2a +2d +34 +34 +34 +33 +33 +33 +31 +31 +31 +31 +31 +31 +2d +2d +31 +31 +31 +2e +2e +2e +2e +2e +2e +2e +31 +31 +31 +2d +2a +24 +1b +18 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +1a +1a +23 +27 +27 +2a +2a +2a +27 +27 +24 +1f +1f +1e +1a +18 +18 +18 +17 +17 +17 +17 +18 +18 +18 +18 +18 +18 +18 +18 +1a +1e +1e +1e +1e +1e +1e +1e +1a +1a +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +18 +24 +2d +34 +34 +34 +34 +34 +34 +34 +34 +33 +33 +33 +31 +31 +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +32 +32 +32 +34 +34 +32 +2e +2d +27 +1b +18 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +1a +1a +23 +27 +27 +2a +2a +2a +2a +27 +27 +24 +1f +1f +1e +1a +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +1a +1e +1e +1e +1e +1e +1e +1e +1e +1e +1a +1a +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +14 +18 +24 +2d +34 +34 +34 +34 +34 +34 +34 +34 +34 +33 +33 +33 +33 +33 +2d +31 +31 +2d +2d +2e +2e +2e +2e +2e +32 +32 +34 +34 +34 +34 +32 +2d +27 +1b +18 +14 +14 +14 +14 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +14 +14 +14 +14 +14 +14 +15 +1a +1e +23 +27 +27 +2a +2d +2d +2a +2a +27 +27 +24 +1f +1f +1f +1a +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +1a +1e +1e +1e +1e +1e +1e +1e +1e +1e +1e +1a +1a +14 +14 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +18 +24 +2d +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +33 +33 +33 +33 +33 +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +34 +34 +34 +34 +34 +34 +2d +27 +23 +1b +1a +14 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +14 +14 +14 +14 +18 +1a +1f +23 +27 +27 +2a +2d +2d +2d +2a +2a +28 +27 +24 +1f +1f +1e +1a +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +1a +1e +1e +1f +1f +1f +1e +1e +1e +1e +1e +1e +1a +15 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +18 +24 +2d +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +33 +33 +33 +33 +31 +31 +31 +2e +2e +2d +2e +2e +2e +2e +34 +34 +34 +34 +34 +34 +34 +32 +2d +29 +24 +1f +18 +14 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +14 +14 +14 +14 +14 +1a +1a +1f +23 +27 +28 +2a +2d +2d +2d +2d +2d +2a +27 +27 +24 +1f +1f +1e +1a +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +1e +1e +1f +1f +1f +1f +1f +1f +1e +1e +1e +1e +1a +18 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +18 +24 +2d +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +33 +33 +33 +2d +31 +2d +2e +2d +2e +2e +2e +2e +2e +2e +34 +34 +34 +34 +34 +34 +34 +34 +32 +2d +29 +1f +1a +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +14 +14 +18 +1a +1f +23 +27 +27 +2a +2d +2d +2d +2d +2d +2d +2d +28 +28 +27 +24 +1f +1f +1e +1a +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +1a +1e +1e +1f +1f +1f +1f +1f +1f +1f +1f +1f +1e +1e +1a +18 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +18 +24 +2d +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +33 +33 +33 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2a +23 +1a +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +14 +14 +14 +14 +14 +18 +1a +1f +23 +27 +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +28 +27 +24 +1f +1f +1f +1a +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +1e +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1e +1a +18 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +18 +24 +2e +34 +34 +34 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +33 +33 +33 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +30 +34 +34 +34 +34 +34 +34 +34 +2e +2a +24 +1b +18 +14 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +14 +14 +14 +18 +1a +1a +23 +27 +2a +2d +2d +2d +2e +2e +2e +2d +2d +2d +2d +2a +28 +27 +24 +1f +1f +1f +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +1c +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1e +1a +18 +15 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +18 +24 +2e +34 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +33 +33 +31 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +34 +34 +34 +34 +34 +34 +34 +2e +2d +27 +1f +1a +15 +14 +14 +14 +14 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +12 +12 +12 +12 +12 +0d +0d +0d +0d +0d +0d +0d +0c +08 +08 +06 +06 +06 +08 +08 +08 +0d +0d +0d +14 +14 +14 +1a +1a +1f +27 +2a +2d +2e +2e +2e +2e +2e +2d +2d +2d +2d +2a +28 +27 +24 +1f +1f +1f +18 +18 +18 +18 +18 +18 +18 +1a +1a +1a +1e +1e +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1e +1a +1a +18 +14 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +18 +24 +2e +34 +35 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +33 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +34 +34 +34 +34 +34 +34 +32 +2d +2a +24 +1f +1b +18 +18 +15 +14 +14 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +0d +0d +0d +0d +0d +0d +0d +0d +0d +08 +06 +06 +06 +06 +06 +05 +05 +05 +05 +06 +06 +08 +08 +0d +0d +0d +14 +14 +14 +18 +1a +23 +27 +2d +2d +2d +2d +2d +2d +2d +2d +2d +28 +28 +27 +24 +1f +1f +1f +1c +18 +18 +18 +18 +18 +18 +18 +1a +1e +1e +1e +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1e +1a +18 +14 +14 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +18 +24 +2e +35 +35 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +33 +33 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +34 +34 +34 +34 +34 +34 +34 +2e +2d +2a +27 +24 +1f +1b +18 +15 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +14 +14 +14 +18 +1a +1a +1a +1a +1a +1a +1a +18 +18 +14 +12 +0d +08 +08 +06 +06 +06 +06 +06 +06 +06 +05 +05 +05 +05 +02 +02 +02 +02 +02 +05 +06 +06 +06 +08 +0c +0d +0d +0d +0d +12 +14 +1a +1f +27 +27 +29 +2a +2a +28 +28 +28 +27 +27 +27 +24 +1f +1f +1f +1d +18 +18 +18 +18 +18 +18 +1a +1e +1e +1e +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1e +1a +18 +14 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +18 +24 +2e +35 +35 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +33 +33 +31 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +34 +34 +34 +34 +34 +34 +34 +2e +2d +2a +27 +24 +1f +18 +18 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +14 +14 +14 +18 +1a +1b +23 +23 +23 +23 +23 +23 +1a +1a +1a +14 +13 +0d +08 +06 +06 +06 +06 +06 +05 +05 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +05 +05 +06 +06 +06 +06 +06 +06 +08 +0d +0d +0d +14 +18 +1a +1f +1f +24 +24 +24 +27 +27 +27 +24 +20 +1f +1f +1f +1f +18 +18 +18 +18 +1a +1e +1e +1e +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1e +1a +18 +14 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +18 +24 +2e +35 +35 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +33 +34 +2f +2f +2d +2d +2f +2d +2d +2d +2d +2d +28 +28 +28 +28 +2b +2d +2d +2d +2d +2d +2d +2e +2e +34 +34 +34 +34 +34 +34 +34 +2e +2d +28 +24 +1f +1a +18 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +14 +14 +14 +18 +18 +1b +23 +23 +23 +23 +23 +23 +23 +23 +1f +1a +1a +14 +0d +0d +06 +06 +06 +05 +05 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +05 +05 +06 +06 +06 +06 +06 +08 +08 +0d +0d +14 +14 +18 +1a +1a +1b +1f +1f +1f +1f +1f +1f +1f +1f +1b +1b +1a +18 +1e +1e +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1e +1a +18 +14 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +14 +18 +24 +2e +35 +35 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +33 +33 +2f +2f +2d +2f +30 +2d +2d +2d +2d +2d +2b +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2e +2e +30 +34 +35 +35 +35 +35 +34 +2e +2d +28 +24 +1f +1a +18 +14 +14 +14 +14 +12 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +12 +14 +14 +14 +14 +14 +14 +14 +15 +18 +1a +1f +1f +23 +23 +23 +23 +23 +23 +23 +23 +23 +1a +1a +18 +14 +0d +08 +06 +06 +05 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +05 +05 +06 +06 +06 +06 +06 +06 +0d +0d +0d +12 +14 +14 +15 +15 +18 +18 +18 +18 +18 +1b +1b +19 +1f +1c +1e +1e +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1e +1a +18 +14 +14 +14 +14 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +13 +14 +18 +24 +2e +35 +35 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +33 +33 +2f +2f +2f +30 +2e +2d +2d +2d +2d +2d +2d +28 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2e +2e +2e +30 +34 +35 +35 +35 +35 +35 +2e +2e +2a +27 +24 +1f +1a +18 +14 +14 +14 +14 +14 +13 +13 +13 +13 +11 +11 +11 +11 +11 +11 +11 +11 +11 +13 +13 +13 +14 +14 +14 +14 +14 +14 +14 +18 +1a +1f +23 +24 +27 +27 +27 +27 +27 +26 +23 +23 +23 +23 +1a +1a +14 +14 +0d +08 +06 +06 +05 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +05 +05 +05 +06 +06 +06 +06 +06 +06 +0d +0d +0d +0d +12 +12 +12 +14 +14 +18 +18 +18 +18 +18 +1b +1c +1e +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +18 +18 +14 +14 +14 +13 +13 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +14 +1a +24 +2e +34 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +33 +33 +2f +2f +2f +30 +30 +2e +2d +2d +2d +2d +2d +2d +28 +28 +28 +28 +28 +28 +28 +2a +2d +2d +2d +2e +2e +30 +34 +35 +35 +35 +35 +35 +34 +32 +2e +2d +28 +27 +24 +1f +1a +18 +15 +14 +14 +14 +14 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +14 +14 +14 +14 +15 +18 +1a +1b +1f +23 +27 +27 +27 +29 +2a +29 +29 +29 +27 +26 +23 +23 +1a +1a +14 +14 +0d +0d +08 +06 +06 +05 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +05 +06 +06 +06 +06 +06 +06 +0d +0d +0d +0d +0d +0d +12 +12 +14 +14 +18 +18 +18 +18 +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +18 +18 +18 +14 +14 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +13 +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +13 +14 +1a +24 +32 +34 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +34 +33 +2f +33 +33 +33 +2f +2f +30 +30 +30 +2d +2d +2d +2d +2d +2d +2d +2d +28 +28 +28 +28 +28 +2a +2d +2d +2d +2d +2e +2e +30 +35 +35 +35 +35 +35 +35 +35 +34 +32 +2e +2d +2a +27 +27 +23 +1f +1b +1a +15 +14 +14 +14 +14 +13 +13 +13 +13 +13 +13 +14 +14 +14 +14 +14 +1a +1b +1f +24 +27 +27 +27 +2a +2d +2d +2d +2d +2d +29 +29 +27 +26 +23 +1a +1a +14 +14 +0d +0d +08 +06 +06 +06 +05 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +05 +05 +06 +06 +06 +06 +0b +0d +0d +0d +0d +0d +0d +12 +12 +14 +17 +18 +18 +19 +1f +1f +1f +1f +1f +1f +1f +1f +21 +21 +1f +1f +21 +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1d +18 +18 +18 +14 +14 +13 +13 +13 +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +14 +1a +24 +2d +34 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +33 +33 +2f +33 +2f +33 +2f +33 +30 +34 +30 +2f +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +2a +2d +2d +2d +2e +2e +30 +35 +35 +35 +35 +35 +35 +35 +35 +35 +34 +32 +2e +2e +2d +2a +27 +27 +23 +1f +1a +1a +18 +14 +14 +14 +14 +14 +14 +14 +14 +14 +1a +1a +1f +24 +27 +2a +2a +2d +2d +2d +2d +2d +2d +2d +29 +29 +29 +27 +23 +1a +1a +14 +0f +0d +08 +06 +06 +06 +05 +05 +05 +06 +05 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +05 +06 +06 +06 +06 +06 +0b +0d +0d +0d +0d +0d +0e +12 +14 +14 +18 +18 +1f +1f +1f +1f +1f +21 +21 +21 +21 +21 +21 +21 +21 +21 +21 +1f +1f +1f +1f +1f +1f +1f +1f +1f +1d +18 +18 +15 +14 +14 +13 +13 +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +14 +1a +24 +2d +34 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +33 +33 +2f +33 +33 +33 +33 +34 +34 +34 +30 +30 +30 +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +2d +2d +2d +2d +2e +2e +30 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +34 +32 +2e +2d +2d +2a +27 +27 +23 +23 +23 +1f +1f +1f +1f +1b +1b +1f +1f +24 +27 +2a +2d +2e +2e +2e +2d +2d +2d +2d +2a +2a +27 +27 +23 +23 +1f +1a +14 +13 +0d +08 +06 +06 +06 +06 +06 +05 +05 +05 +05 +05 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +05 +06 +06 +06 +06 +06 +0d +0d +0d +0d +0d +12 +14 +18 +1a +1b +1f +1f +1f +20 +24 +22 +27 +27 +27 +27 +22 +22 +22 +22 +22 +22 +21 +21 +21 +1f +1f +1f +1f +1f +1f +1f +18 +18 +18 +14 +14 +14 +13 +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +14 +1a +24 +2d +34 +34 +34 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +33 +33 +34 +33 +34 +34 +34 +34 +34 +30 +30 +30 +2e +2e +2e +2d +2d +2d +2d +2d +2d +2a +2a +2d +2d +2d +2d +2e +2e +30 +34 +35 +35 +35 +35 +35 +39 +39 +39 +39 +39 +35 +35 +35 +34 +34 +32 +2e +2e +2e +2d +2d +2d +2a +2a +2a +28 +28 +2a +2a +2d +2d +32 +34 +34 +32 +2e +2e +2d +2d +2a +2a +27 +27 +23 +1f +1a +1a +17 +14 +0d +0d +06 +06 +05 +05 +05 +05 +05 +05 +05 +05 +05 +05 +05 +05 +02 +05 +05 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +05 +06 +06 +06 +06 +06 +06 +0d +0d +0d +0e +14 +1b +23 +27 +27 +29 +2d +2d +2d +2d +2d +2d +2d +2d +28 +27 +27 +27 +27 +27 +22 +22 +22 +22 +21 +1f +1f +1f +1f +1f +1f +18 +18 +18 +15 +14 +14 +13 +13 +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +14 +1a +24 +2d +34 +34 +34 +34 +35 +34 +34 +34 +34 +34 +34 +34 +33 +33 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +30 +30 +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +30 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +3c +3c +35 +35 +35 +35 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +34 +34 +34 +32 +2e +2d +2d +2a +27 +27 +24 +1f +1a +1a +14 +14 +0d +0d +0d +06 +06 +06 +05 +05 +05 +05 +05 +05 +05 +05 +05 +05 +05 +05 +05 +05 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +06 +06 +06 +06 +06 +06 +0b +0d +0d +14 +1f +27 +2d +34 +35 +37 +3c +3c +3c +3c +3c +3c +38 +34 +30 +2d +2b +27 +27 +27 +27 +22 +22 +22 +21 +1f +1f +1f +1f +1f +1d +18 +18 +18 +18 +14 +14 +14 +13 +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +14 +1a +24 +2d +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +30 +30 +30 +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +30 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +3d +3d +3d +3c +3c +3c +39 +39 +39 +3c +39 +3c +3c +35 +35 +35 +35 +35 +35 +35 +35 +34 +34 +2e +2e +2d +2a +28 +27 +27 +1f +1b +18 +14 +14 +0d +0d +0d +06 +06 +06 +06 +06 +05 +05 +05 +05 +05 +05 +05 +05 +05 +05 +05 +05 +05 +05 +05 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +06 +06 +06 +06 +06 +06 +0d +12 +1a +27 +34 +3c +43 +45 +45 +45 +45 +45 +45 +45 +45 +45 +40 +3c +34 +30 +2d +2b +27 +27 +22 +22 +22 +21 +1f +1f +1f +1f +1f +1d +18 +18 +18 +18 +14 +14 +14 +14 +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +14 +1a +23 +2d +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +30 +30 +30 +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +30 +30 +35 +35 +35 +39 +39 +39 +39 +39 +3a +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3c +3c +3c +35 +35 +34 +34 +2e +2e +2d +2a +27 +27 +24 +1f +1a +15 +14 +0d +0d +0b +06 +06 +06 +06 +06 +06 +05 +05 +05 +05 +05 +05 +06 +06 +06 +06 +06 +06 +06 +05 +05 +05 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +00 +00 +02 +05 +06 +06 +06 +06 +06 +0b +12 +1f +2d +35 +3c +3c +3c +3c +45 +45 +45 +45 +50 +50 +50 +4b +45 +40 +3c +34 +2d +28 +27 +27 +22 +22 +22 +21 +1f +1f +1f +1f +1f +18 +18 +18 +18 +15 +14 +14 +14 +14 +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +14 +15 +1f +2a +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +30 +30 +30 +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +30 +35 +35 +35 +35 +39 +39 +39 +39 +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3c +3c +39 +35 +35 +34 +32 +2e +2e +2d +28 +27 +24 +1b +18 +14 +12 +0d +0d +06 +06 +06 +06 +06 +06 +06 +06 +05 +05 +05 +05 +06 +06 +06 +06 +06 +06 +06 +06 +06 +05 +05 +05 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +00 +02 +02 +02 +06 +06 +06 +06 +06 +06 +0d +18 +24 +2d +32 +34 +34 +35 +3c +3c +40 +45 +4b +50 +50 +4f +4f +45 +45 +3c +34 +2d +27 +27 +27 +22 +22 +22 +1f +1f +1f +1f +1f +18 +18 +18 +18 +18 +15 +14 +14 +14 +14 +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +14 +14 +1f +2a +32 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +34 +34 +34 +35 +34 +34 +34 +34 +30 +2e +2e +2e +2d +2d +2d +2d +2d +2d +2e +2e +2e +30 +30 +35 +35 +39 +39 +39 +39 +39 +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3c +3c +3c +39 +35 +35 +34 +34 +2e +2e +2a +28 +27 +1f +1b +18 +14 +0e +0d +0d +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +05 +05 +05 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +04 +06 +06 +06 +06 +06 +0d +12 +18 +1f +27 +27 +2d +2d +34 +34 +3c +3c +45 +4f +50 +4f +4f +4b +45 +40 +34 +2d +2d +27 +27 +27 +27 +22 +1f +1f +1f +1f +1f +18 +18 +18 +18 +18 +18 +14 +15 +15 +14 +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +14 +14 +1f +2a +2e +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +34 +30 +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2e +2e +30 +30 +35 +39 +39 +39 +39 +3a +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3d +3c +3c +39 +39 +35 +35 +35 +35 +34 +32 +2e +2d +28 +24 +1f +1b +18 +14 +0e +0d +0d +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +05 +05 +05 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +00 +00 +05 +06 +06 +06 +06 +06 +06 +0d +12 +14 +18 +1a +1f +26 +29 +2d +34 +3c +45 +45 +4f +4f +4f +4f +45 +45 +3c +34 +2d +2d +27 +27 +27 +27 +22 +21 +1f +1f +1f +18 +18 +18 +18 +18 +15 +18 +18 +1a +14 +13 +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +13 +14 +1f +27 +2d +32 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +34 +30 +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +30 +30 +35 +39 +39 +39 +3a +3d +3d +3d +3d +3d +3d +3d +3d +3d +3c +3c +39 +39 +35 +35 +35 +35 +35 +34 +34 +32 +2e +2d +28 +27 +1f +1b +18 +14 +0e +0d +0d +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +08 +08 +08 +08 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +05 +05 +05 +05 +05 +05 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +03 +05 +06 +06 +06 +06 +06 +06 +06 +06 +0d +0d +0d +12 +14 +1a +1f +27 +2d +3b +3c +44 +45 +45 +4f +45 +45 +45 +3c +34 +2e +2b +27 +27 +27 +27 +22 +1f +1f +1f +18 +18 +18 +18 +15 +15 +15 +15 +1a +18 +13 +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +13 +13 +17 +1f +27 +2d +2e +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +30 +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +30 +35 +39 +39 +39 +3a +3d +3d +3d +3d +3d +3c +39 +39 +35 +35 +35 +35 +35 +35 +34 +34 +34 +34 +32 +2e +2e +2d +2a +27 +24 +1f +18 +14 +12 +0d +0d +0d +06 +06 +06 +06 +06 +08 +08 +0c +0c +0c +0d +0d +0d +0d +0d +0d +0c +0c +0c +08 +08 +08 +06 +06 +06 +06 +06 +05 +05 +05 +05 +05 +05 +05 +05 +02 +02 +02 +02 +02 +02 +02 +05 +05 +05 +05 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +0b +0d +0d +12 +18 +1e +26 +27 +2d +36 +3c +44 +44 +44 +44 +3c +3b +34 +2d +28 +28 +27 +27 +22 +1f +1f +1f +18 +18 +18 +18 +18 +14 +15 +14 +1a +18 +13 +13 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +13 +14 +1f +27 +2d +2e +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +34 +30 +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +30 +35 +35 +39 +39 +3a +3d +3d +3a +39 +39 +35 +35 +35 +35 +35 +34 +34 +34 +34 +34 +2e +32 +2e +2e +2e +2d +2a +28 +24 +1f +1b +18 +14 +0e +0d +0d +0d +0d +0d +0d +0d +0d +13 +14 +14 +14 +14 +14 +14 +14 +13 +0f +0d +0d +0d +0d +0c +0c +08 +08 +06 +06 +06 +06 +06 +05 +05 +05 +05 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +08 +08 +08 +0c +0c +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0b +0b +0b +0d +0d +12 +14 +18 +1f +29 +2d +33 +34 +34 +34 +33 +2d +2d +28 +28 +27 +27 +27 +1f +1f +1f +18 +18 +18 +17 +17 +14 +15 +14 +18 +17 +13 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +13 +14 +1a +27 +2d +2e +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +34 +30 +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2e +30 +30 +35 +39 +39 +39 +3d +3c +39 +39 +35 +35 +35 +35 +34 +34 +34 +30 +2e +2e +2e +2e +2e +2e +2d +2d +28 +27 +27 +1f +1b +18 +14 +12 +0d +0d +0d +0d +0d +0d +14 +14 +1a +1a +23 +23 +23 +23 +23 +23 +1a +1a +1a +14 +14 +0d +0d +0d +0c +08 +06 +06 +06 +06 +06 +05 +05 +05 +05 +06 +06 +06 +06 +06 +06 +08 +0c +0c +0c +0d +0d +0d +13 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +17 +14 +14 +17 +17 +17 +17 +17 +14 +14 +0e +0d +0b +06 +06 +06 +06 +06 +0d +14 +15 +1a +1a +1e +1e +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +18 +18 +18 +18 +17 +14 +15 +14 +14 +14 +13 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +13 +14 +1a +24 +2a +2d +2e +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +30 +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2e +30 +30 +35 +39 +39 +39 +39 +39 +39 +35 +35 +35 +34 +34 +30 +30 +2e +2e +2e +2e +2e +2e +2e +2e +2d +28 +27 +27 +1f +1f +1a +17 +14 +0e +0d +0d +0d +14 +14 +1a +1a +23 +29 +2c +31 +33 +33 +36 +34 +33 +2d +29 +23 +1a +17 +14 +0d +0c +06 +06 +06 +06 +05 +05 +05 +02 +02 +02 +05 +05 +06 +06 +06 +08 +0c +0d +0d +0d +0f +14 +14 +17 +1a +1a +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +1f +1f +1f +1e +18 +14 +0d +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +0b +0d +0d +0d +0d +0e +12 +14 +18 +18 +1f +1f +1c +18 +18 +17 +17 +14 +14 +14 +14 +14 +13 +13 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +13 +14 +1a +1f +27 +2d +2e +30 +30 +34 +34 +34 +34 +34 +34 +35 +35 +39 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +34 +30 +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2e +30 +35 +39 +39 +39 +39 +39 +35 +35 +35 +34 +30 +30 +30 +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +27 +27 +23 +1f +1a +18 +14 +14 +14 +14 +14 +14 +1a +1a +27 +2d +33 +34 +36 +3b +3c +42 +42 +42 +42 +3b +33 +2c +27 +1a +14 +0d +08 +06 +05 +05 +02 +02 +02 +02 +02 +02 +02 +05 +05 +06 +06 +06 +08 +0c +0d +0d +14 +14 +1a +1a +23 +23 +27 +29 +2c +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2c +29 +27 +1f +1a +14 +0d +06 +06 +06 +04 +00 +00 +00 +00 +00 +00 +00 +00 +04 +04 +06 +06 +06 +06 +0b +0d +14 +18 +18 +18 +18 +17 +17 +14 +14 +14 +14 +14 +13 +13 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +13 +14 +1a +27 +2a +2d +2e +30 +30 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +39 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +30 +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2e +30 +30 +35 +39 +39 +39 +35 +35 +35 +34 +30 +30 +30 +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +28 +27 +23 +1f +1f +1a +18 +17 +14 +14 +17 +1a +1e +23 +2c +34 +3b +3c +3c +3c +3c +42 +42 +44 +45 +44 +42 +3b +33 +2c +23 +14 +0d +06 +05 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +05 +06 +06 +06 +08 +0d +0d +14 +14 +1a +1a +23 +23 +27 +29 +2d +31 +31 +31 +33 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2d +2d +27 +23 +1a +14 +0d +06 +06 +01 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +06 +06 +06 +0d +14 +18 +18 +17 +17 +17 +14 +14 +14 +14 +14 +13 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +11 +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +13 +14 +18 +23 +27 +2d +2d +2e +30 +30 +34 +34 +34 +34 +35 +35 +35 +35 +35 +39 +39 +39 +39 +39 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +34 +30 +2e +2e +2d +2d +2d +2d +2d +2d +2d +2e +30 +30 +35 +39 +39 +35 +35 +35 +34 +30 +30 +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +27 +27 +23 +1f +1f +1e +1a +1a +1a +1a +23 +27 +2c +34 +3b +42 +42 +3c +3b +3b +3c +42 +44 +45 +45 +45 +44 +3c +34 +31 +23 +14 +0d +06 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +02 +05 +06 +06 +06 +0c +0d +0d +14 +14 +1a +1a +23 +23 +27 +29 +29 +2d +2d +31 +33 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2d +27 +23 +18 +12 +0d +06 +06 +01 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +04 +06 +06 +0d +12 +14 +17 +17 +17 +17 +17 +14 +14 +14 +13 +13 +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +14 +14 +1b +27 +2d +2d +2d +2e +2e +30 +34 +34 +34 +35 +35 +35 +39 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +39 +39 +35 +35 +35 +35 +35 +35 +35 +35 +30 +30 +2e +2e +2e +2d +2d +2d +2d +2d +2d +2e +30 +30 +35 +35 +35 +35 +35 +35 +30 +30 +2e +2e +2e +2e +2e +30 +30 +30 +30 +2e +2d +2d +2d +27 +27 +26 +1f +1f +1f +1f +23 +23 +27 +2d +34 +3c +42 +44 +42 +3c +3c +3b +3b +42 +44 +45 +47 +47 +44 +42 +36 +31 +26 +1a +0d +06 +05 +02 +02 +00 +00 +02 +02 +02 +02 +02 +02 +05 +05 +06 +06 +08 +0d +0d +0d +13 +14 +14 +1a +1a +23 +23 +23 +27 +29 +2c +2d +2d +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +38 +38 +38 +38 +34 +33 +2d +27 +1f +18 +0e +0b +06 +06 +06 +04 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +06 +06 +06 +0d +14 +17 +17 +17 +17 +18 +14 +14 +14 +10 +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +0f +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +14 +1a +24 +2a +2d +2d +2d +2e +30 +30 +34 +34 +34 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +35 +35 +35 +35 +35 +35 +35 +30 +30 +30 +2e +2e +2d +2d +2d +2d +2d +2e +2e +30 +30 +35 +35 +35 +35 +35 +30 +30 +2e +2e +2e +2e +2e +2e +2e +30 +30 +2e +2d +2d +2d +2d +27 +27 +27 +26 +23 +26 +29 +29 +31 +36 +3c +44 +47 +47 +45 +44 +3c +3c +3c +3c +42 +47 +4f +4f +45 +42 +33 +29 +1a +14 +0d +06 +06 +05 +02 +00 +00 +00 +02 +02 +02 +02 +02 +02 +02 +05 +06 +06 +0c +0d +0d +0d +13 +14 +14 +1a +1a +1a +1f +23 +23 +27 +29 +2c +2d +34 +34 +34 +34 +34 +34 +38 +38 +38 +38 +38 +38 +38 +38 +38 +38 +38 +34 +34 +2d +27 +1f +17 +0d +0d +06 +06 +06 +06 +06 +06 +06 +04 +04 +04 +04 +04 +04 +04 +04 +00 +00 +00 +04 +06 +06 +0d +14 +14 +17 +18 +18 +15 +14 +14 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +10 +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +10 +14 +18 +1f +27 +2d +2d +2d +2d +2e +30 +34 +34 +34 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +35 +35 +35 +35 +35 +30 +30 +30 +2e +2e +2e +2d +2d +2d +2d +2e +2e +30 +30 +30 +30 +34 +30 +30 +30 +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +28 +27 +27 +27 +27 +27 +29 +2d +34 +42 +45 +4f +50 +50 +50 +4f +45 +44 +44 +44 +45 +45 +47 +47 +44 +36 +29 +1a +14 +0d +08 +06 +06 +05 +05 +02 +02 +02 +02 +02 +02 +02 +02 +02 +05 +06 +06 +06 +08 +0d +0d +0d +13 +14 +14 +14 +1a +1a +23 +23 +23 +23 +27 +29 +2d +2d +34 +34 +34 +34 +38 +38 +38 +38 +38 +38 +38 +38 +3c +3c +3c +3c +3c +38 +34 +2f +2d +27 +1a +14 +0e +0d +0b +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +04 +04 +04 +04 +00 +06 +06 +0d +0d +14 +17 +1a +18 +18 +14 +14 +14 +14 +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +1b +27 +28 +28 +2d +2d +2d +2e +30 +34 +34 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +35 +35 +34 +30 +30 +30 +30 +2e +2e +2e +2d +2d +2d +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +2e +2e +2e +2e +2e +2e +2e +2e +30 +2e +2e +2e +2d +2d +2d +28 +27 +27 +27 +27 +29 +2d +34 +44 +4f +50 +54 +53 +50 +50 +50 +50 +4f +47 +45 +45 +44 +42 +36 +29 +23 +14 +0d +08 +06 +06 +06 +06 +05 +05 +05 +02 +02 +02 +02 +02 +02 +05 +05 +06 +06 +06 +0c +0d +0d +13 +14 +14 +17 +1a +1f +23 +26 +27 +29 +29 +29 +2c +2d +2d +2f +34 +34 +38 +38 +38 +38 +38 +38 +3b +3c +3c +3c +3c +3c +3c +3c +3c +3c +38 +34 +2f +2d +26 +1a +14 +12 +0d +0d +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +04 +04 +06 +06 +06 +0d +0e +14 +1a +1a +18 +15 +14 +14 +14 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +12 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +1a +24 +28 +28 +28 +2d +2d +2d +2e +30 +34 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +35 +35 +34 +30 +30 +30 +30 +30 +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +2e +2d +2d +2d +28 +28 +27 +27 +27 +2d +34 +42 +4f +53 +54 +54 +53 +50 +50 +50 +50 +4f +47 +44 +3b +31 +29 +23 +14 +0d +0d +06 +06 +06 +06 +06 +06 +06 +05 +05 +05 +05 +06 +06 +06 +06 +06 +06 +06 +08 +0d +0d +14 +14 +14 +1a +1a +1f +23 +27 +29 +2c +2d +2d +2d +2d +2d +2d +2f +34 +34 +34 +38 +38 +38 +38 +39 +39 +3c +3c +39 +39 +3c +3c +3c +3c +3c +3c +39 +38 +34 +2f +2d +26 +1f +18 +14 +12 +0d +0d +0b +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +0d +0d +14 +1a +1a +18 +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1a +23 +27 +27 +27 +28 +2d +2d +2d +2e +34 +34 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +35 +35 +30 +30 +30 +30 +30 +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +2e +2d +2d +2d +28 +28 +28 +27 +2d +34 +3c +47 +50 +54 +53 +50 +50 +50 +50 +4f +47 +42 +3b +2d +23 +1a +14 +0d +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +08 +08 +08 +0d +0d +0d +14 +14 +18 +1a +1f +23 +27 +29 +2c +2d +2d +2d +2d +2d +2d +2d +2f +33 +34 +34 +34 +38 +38 +38 +39 +39 +39 +3c +39 +39 +39 +3c +3c +3c +3c +3c +3c +3c +3c +39 +38 +34 +2f +2d +27 +1f +1a +18 +14 +12 +0d +0d +0d +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +0d +14 +14 +1a +1a +18 +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1b +23 +27 +27 +27 +27 +28 +2b +2d +2e +30 +34 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +35 +35 +30 +30 +30 +30 +30 +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +2e +2d +2d +2d +28 +28 +28 +2d +2d +3b +45 +50 +50 +50 +50 +50 +4f +45 +44 +3c +34 +2c +23 +1a +14 +0d +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +0d +0d +0d +0d +13 +14 +14 +14 +1a +1e +23 +26 +27 +29 +2d +2d +2d +2d +2d +2f +33 +33 +33 +34 +34 +34 +34 +34 +38 +38 +39 +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +38 +34 +34 +2d +2c +27 +1f +1b +18 +14 +12 +0d +0d +0d +07 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +0b +0d +14 +18 +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +24 +27 +27 +27 +27 +27 +27 +2b +2d +2e +30 +34 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +30 +30 +30 +30 +30 +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +2e +2d +2d +2d +2d +2b +2d +2d +33 +3c +45 +4f +4f +47 +45 +44 +3c +34 +2d +26 +1a +14 +12 +0d +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +08 +0d +0d +0d +14 +14 +14 +1a +1a +1a +1f +23 +27 +29 +2c +2d +2d +2d +2d +2d +31 +33 +34 +34 +34 +34 +34 +34 +38 +38 +3c +3c +3c +3c +3c +3c +3c +3c +40 +3c +40 +40 +40 +40 +40 +40 +40 +40 +40 +40 +40 +3c +3c +3c +38 +34 +34 +2d +2d +27 +23 +1b +1a +15 +14 +0d +0d +0d +0b +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +0d +0d +14 +1a +1a +1b +1f +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +23 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +34 +31 +2d +27 +24 +24 +24 +27 +27 +28 +28 +2d +2e +30 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +30 +30 +30 +30 +30 +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +2e +2d +2d +2d +2d +2d +2d +2d +2f +34 +3c +3c +3c +36 +34 +31 +29 +1f +18 +14 +0d +0d +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +08 +0d +0d +14 +14 +18 +1a +1a +23 +23 +27 +27 +29 +2c +2d +2d +2d +2d +2d +33 +33 +34 +34 +38 +3c +3c +3c +3c +3c +40 +40 +45 +45 +45 +45 +45 +45 +45 +45 +45 +4b +4b +4b +4b +45 +4b +4b +4b +45 +45 +45 +45 +45 +41 +40 +3c +3c +3c +38 +34 +32 +2d +27 +24 +1f +1a +14 +14 +12 +0d +0d +0d +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +0b +0d +14 +1a +1a +1f +24 +29 +2d +31 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +36 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +42 +36 +29 +24 +1f +1f +20 +27 +27 +28 +2d +2e +2e +34 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +30 +30 +30 +30 +30 +30 +30 +30 +30 +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +2e +2d +2d +2d +2d +28 +28 +2d +2d +2d +2d +2d +29 +27 +1f +1a +17 +12 +0d +0d +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +08 +0d +0d +14 +14 +1a +1e +23 +23 +27 +29 +2c +2d +2d +2d +2d +33 +33 +34 +34 +34 +34 +3c +3c +45 +45 +45 +4b +4b +50 +50 +50 +50 +51 +51 +51 +51 +51 +56 +56 +56 +56 +56 +56 +56 +56 +56 +56 +56 +56 +55 +51 +51 +50 +50 +4f +45 +45 +45 +44 +3c +3c +34 +34 +2d +29 +23 +1f +1a +1a +14 +14 +0d +0d +0d +0b +06 +06 +06 +06 +06 +06 +0b +0b +0d +12 +18 +1a +1f +24 +29 +31 +37 +42 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +47 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +47 +42 +2d +24 +1f +1f +1f +20 +27 +27 +28 +2d +2e +30 +34 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +35 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +2e +2e +2e +2e +2d +2d +2d +2d +2d +2e +2e +2e +2e +30 +30 +34 +34 +34 +34 +30 +30 +30 +2e +2d +2d +28 +28 +27 +27 +27 +23 +1f +1e +1a +18 +14 +12 +0d +0d +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +08 +0d +0d +14 +14 +1a +23 +23 +27 +29 +2d +2d +2d +31 +33 +33 +34 +34 +34 +34 +3b +3c +40 +45 +4f +50 +51 +55 +56 +56 +59 +59 +59 +5a +5a +5a +5a +5a +5b +5b +5b +5d +5e +5e +5e +5e +5e +5e +5e +5b +5b +5a +5a +5a +5a +59 +56 +55 +50 +50 +47 +45 +45 +42 +3c +36 +31 +2d +29 +27 +23 +1f +1a +17 +14 +14 +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +12 +14 +1a +1a +1f +27 +2a +34 +42 +47 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +53 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +47 +42 +31 +27 +1f +1b +1f +1f +20 +27 +27 +28 +2d +2e +30 +34 +35 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +35 +35 +35 +30 +30 +30 +30 +30 +34 +34 +30 +30 +30 +30 +2e +2e +2d +2d +2d +2e +2e +2e +2e +2e +30 +30 +34 +34 +34 +34 +34 +30 +30 +30 +2d +2d +28 +27 +27 +24 +1f +1b +18 +14 +12 +0d +0d +0d +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +08 +0d +0d +13 +14 +1a +1a +23 +27 +29 +2c +2d +31 +33 +34 +34 +34 +34 +36 +3b +3c +3c +45 +45 +4f +50 +56 +59 +5a +5a +5a +5b +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5a +57 +56 +53 +50 +4f +47 +45 +42 +3c +34 +33 +2d +2c +27 +23 +1f +1a +14 +14 +12 +0d +0d +0d +0d +0d +0d +0d +0d +12 +14 +18 +1a +1f +23 +27 +2a +32 +42 +45 +47 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +48 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +37 +36 +2d +27 +1f +1b +1b +1f +1f +20 +24 +27 +28 +2d +2e +30 +30 +34 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +35 +35 +39 +35 +35 +35 +35 +35 +39 +39 +39 +35 +35 +35 +30 +30 +2e +2e +2d +2d +2e +2e +2e +2e +30 +30 +30 +34 +34 +34 +34 +34 +34 +30 +2e +2d +28 +27 +22 +1f +1b +18 +14 +0d +0d +0d +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +08 +0d +0d +0d +13 +14 +17 +1a +1f +23 +27 +29 +2d +2d +34 +34 +34 +3b +3c +3c +42 +44 +45 +45 +4f +50 +55 +56 +59 +5a +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5e +5e +5c +5b +57 +56 +53 +50 +50 +45 +45 +3c +3c +34 +34 +2d +2c +27 +23 +1a +1a +17 +14 +14 +12 +0d +0d +0d +0d +0d +12 +14 +18 +1a +1f +1f +23 +24 +27 +2d +34 +37 +37 +3c +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +42 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +29 +24 +1f +1b +1b +1f +1f +1f +20 +20 +27 +28 +2e +30 +30 +30 +34 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +34 +30 +30 +2e +2e +2e +2e +2e +2e +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +30 +2e +2d +27 +1f +1f +1a +15 +14 +0d +0b +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +08 +0d +0d +0d +14 +14 +17 +1a +1f +23 +26 +29 +2c +2d +33 +34 +34 +3c +3c +44 +45 +45 +4f +50 +55 +56 +56 +56 +5a +5a +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5b +5a +56 +55 +50 +4f +45 +45 +3c +3c +34 +34 +2d +29 +26 +1f +1e +18 +17 +14 +14 +12 +0e +0d +0e +12 +14 +17 +1a +1e +1f +23 +23 +1f +24 +27 +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +23 +1f +1b +1b +1b +1f +1f +1f +1f +24 +28 +2d +2e +30 +30 +30 +30 +35 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +35 +30 +30 +2e +2e +2e +2e +2e +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2d +27 +24 +1f +18 +18 +14 +0d +0d +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +0d +0d +0d +13 +14 +17 +1a +1a +1f +26 +27 +2c +2d +31 +34 +34 +3b +3c +40 +45 +45 +50 +50 +56 +56 +5a +5a +5a +5a +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5a +5a +56 +55 +50 +4f +45 +44 +3c +3b +34 +2d +2c +27 +23 +1f +1e +18 +17 +14 +14 +14 +14 +14 +14 +18 +1a +1e +23 +23 +23 +1f +1f +1f +23 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1b +1b +1b +1b +1f +1f +1f +1f +20 +27 +28 +2d +2e +2e +2e +30 +30 +30 +30 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +30 +30 +2e +2e +2e +2e +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +30 +2e +2d +27 +1f +1b +18 +14 +12 +0d +0b +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +08 +0d +0d +0f +14 +17 +18 +1a +1e +23 +27 +29 +2d +2d +34 +34 +3c +3c +44 +45 +47 +50 +50 +56 +56 +5a +5b +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5e +5e +5b +5a +56 +55 +50 +4f +45 +3c +3c +34 +33 +2d +2c +27 +23 +1f +1a +18 +14 +14 +14 +14 +17 +18 +1a +1e +1f +23 +23 +23 +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1f +1f +1f +1f +20 +27 +28 +28 +2d +2d +2e +2e +30 +30 +30 +30 +35 +35 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +30 +30 +2e +2e +2e +30 +30 +34 +34 +34 +34 +35 +35 +34 +34 +34 +34 +30 +2e +2b +27 +1f +1a +18 +14 +0e +0d +0b +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +0c +0d +0d +13 +14 +17 +18 +1e +1f +23 +27 +29 +2d +2d +34 +3b +3c +44 +45 +50 +50 +55 +56 +56 +5a +5a +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5e +5e +5e +5e +5b +57 +56 +50 +4f +45 +40 +3c +34 +34 +2d +2d +27 +27 +23 +1f +1a +18 +18 +18 +18 +18 +1a +1f +23 +23 +23 +23 +24 +1f +1f +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1f +1f +1f +1f +1f +20 +27 +27 +28 +28 +2b +2d +2d +2e +30 +30 +30 +30 +30 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +30 +30 +2e +2e +2e +30 +34 +34 +34 +35 +35 +35 +35 +35 +35 +34 +34 +34 +2d +28 +24 +1f +18 +15 +14 +0d +0d +0b +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +06 +0d +0d +0d +0d +14 +14 +18 +1a +1f +1f +23 +27 +29 +2d +33 +34 +3b +3c +45 +4f +50 +55 +56 +57 +57 +5a +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5e +5e +5e +5e +5e +5b +5a +56 +50 +4f +45 +44 +3c +34 +34 +33 +2d +2d +27 +27 +23 +1f +1e +1a +1a +1e +1e +1f +23 +23 +26 +27 +24 +24 +1f +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +1a +1a +1b +1b +1f +1f +1f +1f +1f +1f +1f +20 +20 +27 +27 +27 +28 +2b +2d +2e +30 +30 +30 +30 +30 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +34 +30 +30 +30 +2e +30 +30 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +34 +34 +2d +28 +24 +1b +18 +15 +14 +0d +0d +0b +06 +06 +06 +06 +06 +06 +06 +06 +06 +0a +0c +0d +0d +0d +0d +0d +0d +0d +14 +14 +18 +1e +1f +23 +27 +27 +29 +2d +33 +34 +3b +3c +45 +4f +50 +56 +57 +57 +5a +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5f +5f +5f +5e +5e +5e +5e +5e +5a +56 +50 +4f +45 +40 +3c +38 +34 +34 +2d +2d +29 +27 +26 +23 +1f +1f +1f +1f +1f +23 +23 +26 +27 +27 +24 +1b +1a +1a +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +1b +1b +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +22 +27 +27 +28 +2b +2d +2e +30 +30 +30 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +30 +30 +30 +30 +2e +30 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +34 +30 +2d +28 +24 +1b +18 +15 +14 +0d +0d +0d +0b +06 +06 +08 +0d +08 +0d +0d +0d +0d +0d +0d +0d +0d +0d +14 +14 +14 +14 +18 +1e +1f +27 +27 +29 +2d +2d +34 +36 +3c +42 +45 +4f +50 +56 +57 +5a +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5e +5e +5a +56 +50 +4f +45 +3c +3c +38 +34 +34 +33 +2d +2d +29 +27 +26 +23 +1f +1f +1f +23 +23 +27 +27 +27 +24 +1b +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +18 +1a +1b +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +22 +27 +27 +2b +2d +30 +30 +30 +30 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +35 +30 +30 +30 +30 +30 +30 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +34 +30 +2d +27 +20 +1b +18 +15 +14 +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +14 +14 +14 +14 +14 +17 +1a +1a +23 +27 +29 +2d +2d +33 +34 +3b +3c +45 +45 +4f +50 +55 +56 +5a +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5e +5e +5e +5a +56 +55 +50 +45 +40 +3c +38 +34 +34 +34 +2d +2d +29 +27 +27 +23 +23 +23 +23 +27 +27 +27 +27 +24 +1f +18 +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +18 +1b +1f +1f +1f +1f +1f +1f +1f +1d +1c +18 +1c +1d +1f +1f +1f +22 +27 +2b +2e +30 +30 +30 +30 +35 +35 +39 +39 +39 +39 +39 +39 +35 +35 +30 +30 +30 +30 +30 +30 +30 +34 +34 +35 +35 +35 +35 +35 +35 +3c +3c +3c +37 +34 +2e +2d +27 +1f +1b +18 +14 +14 +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +0d +13 +14 +14 +14 +14 +1a +1a +1a +1a +1f +23 +27 +29 +2d +2d +33 +34 +3c +44 +45 +4f +50 +51 +56 +59 +5a +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5e +5e +5e +5e +5a +56 +50 +4f +45 +40 +3c +3c +38 +34 +34 +2d +2d +2c +29 +27 +27 +27 +27 +27 +27 +27 +27 +24 +1f +1a +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +18 +1b +1f +1f +1f +1f +1f +1f +1f +1d +18 +18 +18 +18 +1c +1d +1f +1f +22 +27 +2d +30 +30 +30 +30 +30 +30 +34 +35 +39 +39 +39 +35 +30 +30 +30 +30 +30 +30 +2e +30 +34 +34 +35 +35 +35 +37 +35 +37 +3c +3c +43 +3d +3c +35 +2e +2d +27 +1f +1b +18 +15 +14 +0e +0d +0d +0d +0d +0d +0d +0d +0d +13 +14 +14 +14 +14 +14 +17 +1a +1a +1a +1e +23 +23 +27 +29 +2d +2d +34 +34 +3b +42 +45 +50 +50 +56 +56 +5a +5a +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5e +5e +5d +5a +56 +50 +4f +45 +40 +3c +3c +3b +38 +34 +33 +2d +2d +29 +27 +27 +27 +27 +27 +27 +27 +27 +24 +1b +18 +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +18 +1b +1b +1f +1f +1f +1f +1f +1f +1d +1c +18 +18 +18 +18 +18 +1d +1f +22 +27 +2d +2e +30 +30 +30 +30 +30 +34 +30 +34 +30 +30 +30 +30 +30 +30 +30 +30 +30 +2e +30 +34 +34 +35 +37 +37 +37 +3c +3c +3c +43 +45 +45 +3c +35 +32 +2a +27 +1f +1b +18 +15 +14 +12 +12 +0d +0d +0d +0d +13 +14 +14 +14 +14 +14 +14 +14 +1a +1a +1a +1f +1f +23 +27 +27 +29 +2d +33 +34 +34 +3b +3c +45 +50 +53 +56 +56 +5a +5a +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5e +5b +5a +56 +50 +4f +45 +40 +3c +3c +3c +38 +34 +34 +2d +2d +2d +29 +27 +27 +27 +27 +27 +27 +24 +1f +18 +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +1b +1b +1f +1f +1f +1f +1f +1f +1f +18 +18 +18 +18 +18 +18 +18 +1f +1f +27 +2b +2d +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +2e +30 +30 +30 +30 +34 +34 +35 +37 +3c +3c +3c +3d +45 +46 +46 +45 +3d +37 +34 +2d +27 +1f +1b +18 +15 +14 +14 +12 +12 +12 +14 +14 +14 +14 +14 +14 +14 +17 +1a +1a +1a +1a +1f +23 +23 +27 +29 +2c +2d +2d +34 +34 +3b +3c +45 +50 +56 +56 +5a +5a +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5e +5b +5a +56 +50 +4f +45 +44 +3c +3c +3c +3b +34 +34 +2d +2d +2d +29 +27 +27 +27 +27 +27 +27 +23 +1b +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +18 +1b +1f +1f +1f +1f +1f +1f +1f +18 +18 +18 +18 +18 +18 +18 +1d +1f +22 +28 +2d +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +2e +30 +30 +30 +30 +34 +35 +35 +3c +3c +3c +3d +43 +45 +48 +46 +45 +3d +3c +34 +2d +27 +1f +1b +18 +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +17 +1a +1a +1a +1a +1a +1a +23 +23 +27 +27 +29 +2d +2d +31 +34 +34 +3b +3c +45 +50 +55 +56 +5a +5b +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5e +5b +5a +56 +50 +4b +45 +45 +40 +3c +3c +3b +34 +34 +2d +2d +2d +29 +29 +27 +27 +27 +27 +24 +1b +18 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +18 +1b +1f +1f +1f +1f +1f +1f +1f +1c +18 +18 +18 +18 +18 +18 +1c +1f +22 +27 +2d +2e +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +2e +30 +30 +30 +34 +34 +35 +39 +3c +3c +3c +3d +45 +46 +48 +46 +45 +3d +37 +34 +2d +27 +1f +1b +1a +18 +15 +15 +14 +14 +14 +14 +17 +18 +1a +1a +1a +1a +1a +1a +1f +1f +23 +27 +27 +29 +2d +2d +33 +34 +34 +3c +42 +45 +50 +56 +56 +5a +5d +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5e +5b +5a +59 +56 +50 +4f +45 +45 +44 +3c +3c +3b +34 +34 +2d +2d +2d +2c +29 +29 +27 +27 +24 +1f +1a +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +1b +1b +1f +1f +1f +1f +1f +1f +1d +18 +18 +18 +18 +18 +18 +18 +1f +22 +27 +2d +2e +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +2e +2e +30 +30 +34 +35 +35 +3c +3c +3c +3d +45 +46 +48 +48 +46 +45 +3d +37 +34 +2d +27 +1f +1b +1a +18 +18 +15 +15 +18 +18 +18 +1a +1a +1a +1a +1a +1e +1f +1f +23 +23 +27 +27 +29 +2d +2d +31 +34 +34 +3c +44 +4f +50 +56 +5a +5a +5e +5e +5e +5e +5e +5e +5e +5f +5e +5e +5e +5f +5f +5f +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5e +5e +5b +5a +59 +56 +50 +4f +45 +45 +44 +3c +3c +38 +34 +34 +2d +2d +2d +2d +2d +29 +27 +27 +24 +1f +18 +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1b +1f +1f +1f +1f +1f +1f +1f +18 +18 +18 +18 +18 +18 +18 +1f +21 +27 +2d +2d +2e +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +2e +30 +30 +34 +34 +35 +35 +3c +3c +3d +45 +45 +48 +50 +48 +45 +45 +3c +37 +34 +2d +27 +1f +1b +1a +18 +18 +18 +18 +18 +1a +1a +1a +1a +1f +1f +1f +23 +23 +23 +26 +27 +27 +29 +2d +2d +2d +34 +34 +3c +44 +4f +50 +56 +5a +5d +5e +5e +5e +5e +5e +5e +5e +5f +5e +5e +5e +5e +5f +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5e +5e +5e +5e +5b +5a +59 +56 +50 +50 +45 +45 +40 +3c +3c +35 +34 +34 +2e +2d +2d +2d +2a +27 +27 +27 +24 +1f +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1b +1b +1f +1f +1f +1f +1f +1f +1c +18 +18 +18 +18 +18 +18 +1f +1f +27 +2b +2d +2e +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +2e +30 +30 +34 +34 +35 +39 +3c +3d +45 +45 +48 +50 +50 +46 +45 +43 +3c +35 +32 +2a +27 +1f +1b +1b +1a +1a +1a +1a +1b +1b +1e +1f +1f +1f +1f +23 +23 +26 +27 +27 +27 +29 +2c +2d +2d +33 +34 +3b +44 +4f +55 +59 +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5e +5e +5e +5e +5e +5b +5a +59 +55 +50 +4f +45 +45 +3c +3c +3c +35 +34 +34 +32 +2d +2d +2d +2a +29 +27 +27 +24 +1b +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1b +1b +1f +1f +1f +1f +1f +1c +18 +18 +18 +18 +18 +18 +1f +1f +27 +28 +2d +2e +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +34 +35 +35 +39 +3c +43 +45 +46 +50 +50 +48 +46 +45 +3d +3c +35 +2e +28 +24 +1f +1f +1b +1b +1b +1b +1b +1f +1f +1f +1f +1f +23 +23 +23 +27 +27 +27 +27 +29 +29 +2d +2d +33 +34 +36 +42 +47 +53 +56 +5a +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5e +5e +5e +5e +5e +5e +5b +5a +56 +55 +50 +4b +45 +45 +3c +3c +3c +35 +34 +34 +34 +34 +2d +2d +2a +2a +27 +24 +1f +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1b +1b +1f +1f +1f +1f +1f +1f +18 +18 +18 +18 +18 +1c +1f +1f +27 +27 +2d +2d +30 +30 +30 +30 +30 +34 +34 +34 +30 +30 +30 +30 +30 +30 +34 +34 +35 +35 +3c +3d +45 +46 +48 +50 +50 +48 +46 +45 +3d +37 +34 +2e +28 +27 +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +23 +23 +23 +26 +27 +27 +27 +27 +2a +2a +2d +2d +2d +34 +34 +3c +45 +53 +57 +5a +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5e +5e +5e +5e +5e +5a +5a +56 +50 +50 +45 +45 +44 +3c +3c +37 +35 +34 +34 +34 +2e +2d +2d +2a +2a +27 +24 +1b +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1b +1b +1f +1f +1f +1f +1f +1c +1c +1c +1c +18 +1d +1f +1f +22 +27 +2b +2d +2e +2e +30 +30 +30 +34 +34 +34 +30 +30 +30 +30 +30 +30 +34 +34 +35 +39 +3c +3d +45 +48 +50 +50 +50 +46 +45 +45 +3d +37 +34 +2d +28 +24 +1f +1f +1f +1f +1f +1f +1f +1f +23 +23 +23 +26 +27 +27 +27 +27 +27 +2a +2a +2d +2d +2d +34 +34 +3c +45 +50 +57 +5a +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5e +5e +5e +5e +5e +5b +5a +59 +56 +50 +4f +45 +45 +3c +3c +3c +37 +35 +34 +34 +34 +2e +2d +2a +2a +27 +24 +1b +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1b +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +22 +27 +27 +2d +2d +2d +30 +30 +30 +30 +34 +34 +34 +34 +30 +30 +34 +34 +34 +35 +35 +3c +3d +43 +46 +48 +50 +50 +50 +46 +45 +43 +3c +35 +32 +2d +27 +24 +1f +1f +1f +1f +1f +1f +1f +23 +24 +26 +27 +27 +27 +27 +27 +29 +2a +2a +2d +2d +2d +31 +34 +3b +42 +50 +56 +5a +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5f +5f +5f +5f +5f +5f +5f +5f +5e +5e +5e +5e +5e +5e +5e +5e +5e +5b +5a +56 +51 +50 +45 +45 +43 +3c +3c +3c +35 +35 +34 +34 +2e +2d +2d +2a +2a +27 +1f +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1b +1b +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +21 +27 +27 +27 +28 +2d +2d +2d +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +3c +3d +45 +48 +50 +50 +50 +48 +46 +45 +43 +3c +35 +2e +2d +27 +24 +1f +1f +1f +1f +1f +23 +24 +24 +27 +27 +27 +27 +27 +27 +29 +2d +2d +2d +2d +2d +31 +34 +34 +3c +46 +54 +5a +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5e +5e +5e +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5f +5e +5e +5f +5f +5f +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5a +59 +56 +50 +4f +45 +45 +3d +3c +3c +3c +35 +34 +34 +32 +2d +2d +2d +2a +28 +24 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +18 +1b +1f +1f +1f +1f +1f +1f +1f +1f +1f +1f +27 +27 +27 +27 +28 +2b +2d +2d +2d +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +3c +3c +45 +46 +50 +50 +50 +50 +48 +46 +45 +3d +3c +34 +2e +2d +27 +24 +1f +1f +1f +20 +24 +24 +27 +27 +27 +27 +27 +27 +27 +2a +2d +2d +2d +2d +2d +2d +34 +34 +3c +43 +50 +56 +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5d +5d +5d +5d +5d +5d +5d +5e +5e +5e +5e +5e +5d +5d +5d +5d +5e +5d +5d +5e +5d +5e +5e +5e +5e +5e +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5f +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5b +5a +56 +51 +50 +45 +45 +43 +3c +3c +3c +37 +35 +34 +34 +2e +2d +2d +2d +2a +27 +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +18 +1f +1f +1f +21 +27 +27 +27 +27 +27 +27 +28 +2b +2d +2d +2d +2d +2d +2d +2d +30 +30 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +39 +3c +3d +45 +46 +50 +50 +50 +48 +46 +45 +43 +3c +35 +34 +2d +28 +27 +24 +1f +20 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +2a +2d +2d +2d +2d +2d +2d +2d +34 +34 +3c +45 +54 +5a +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5d +5d +5d +5d +5d +5d +5d +5d +5d +5b +5d +5d +5d +5d +5d +5d +5d +5d +5d +5b +5b +5d +5d +5d +5d +5d +5d +5d +5d +5d +5d +5d +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5b +5a +59 +55 +50 +4f +45 +45 +3d +3c +3c +3c +37 +34 +34 +32 +2d +2d +2d +2a +27 +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +18 +1b +1f +1f +27 +27 +28 +2d +2d +2d +2d +2e +2e +2e +2e +2e +2d +2d +2e +2e +30 +30 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +3c +3d +43 +46 +50 +50 +50 +50 +48 +46 +45 +3d +3c +35 +32 +2d +28 +27 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +2a +2d +2d +2d +2d +2d +2d +2d +31 +34 +3b +40 +4f +56 +5a +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5d +5d +5d +5d +5d +5d +5d +5d +5b +5b +5d +5d +5d +5d +5b +5b +5b +5b +5d +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5d +5d +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5a +5a +56 +50 +50 +45 +45 +3d +3d +3c +3c +37 +35 +34 +34 +2d +2d +2d +2d +2a +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +1b +1f +20 +27 +28 +2d +2d +2e +34 +34 +34 +34 +34 +34 +34 +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +35 +35 +35 +39 +39 +3c +3c +3d +45 +46 +50 +50 +50 +50 +48 +46 +45 +3c +37 +34 +2e +2d +28 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +2a +2a +2d +2d +2d +2d +2d +2d +2d +34 +34 +3c +45 +50 +59 +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5d +5d +5d +5d +5d +5b +5d +5d +5b +5b +5b +5b +5b +5d +5b +5b +5b +5b +5b +5d +5b +5b +5b +5a +5a +5b +5b +5a +5a +5b +5a +5a +5a +5b +5b +5b +5d +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5b +5a +56 +55 +50 +46 +45 +43 +3d +3c +3c +3c +35 +34 +34 +32 +2d +2d +2d +2a +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +18 +1f +1f +27 +28 +2d +34 +34 +39 +3c +3c +3c +3c +39 +35 +34 +34 +34 +30 +34 +34 +34 +34 +34 +34 +35 +39 +3c +3c +3c +3c +43 +45 +46 +50 +50 +50 +50 +48 +46 +45 +43 +3c +35 +34 +2e +2a +28 +27 +24 +24 +24 +27 +27 +27 +27 +27 +27 +28 +2a +2d +2d +2d +2d +2d +2d +2d +2d +2e +34 +38 +40 +4f +56 +5a +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5d +5d +5d +5d +5b +5b +5b +5b +5b +5b +5b +5b +5b +5a +5b +5b +5b +5b +5b +5b +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5b +5b +5d +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5b +5a +59 +56 +50 +50 +46 +45 +3d +3c +3c +3c +37 +34 +34 +34 +2d +2d +2d +2a +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +18 +1b +1f +27 +27 +2d +34 +3c +3d +43 +45 +45 +3d +3c +3c +35 +35 +35 +34 +34 +34 +34 +34 +35 +35 +39 +3c +3c +3c +3d +43 +45 +46 +48 +50 +50 +50 +50 +48 +46 +45 +3d +3c +35 +32 +2e +2a +28 +24 +24 +27 +27 +27 +27 +27 +27 +27 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +34 +34 +3c +45 +50 +59 +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5d +5d +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5a +5b +5d +5d +5b +5d +5d +5e +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5a +5a +56 +50 +50 +46 +45 +43 +3d +3c +3c +37 +35 +34 +34 +2e +2d +2d +2a +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +18 +1b +1f +24 +27 +2d +34 +3c +45 +45 +45 +45 +45 +45 +3d +3c +3c +39 +35 +35 +35 +35 +35 +39 +3c +3c +3c +3d +3d +43 +45 +46 +48 +50 +50 +50 +50 +50 +48 +46 +45 +3d +3c +35 +32 +2d +28 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +34 +3c +45 +50 +56 +5a +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5b +5b +5a +5a +5a +5a +5b +5d +5b +5b +5b +5a +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5a +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5a +5b +5d +5b +5b +5b +5d +5d +5b +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5a +5a +56 +51 +50 +48 +46 +45 +43 +3d +3c +3c +35 +34 +34 +2e +2d +2d +2d +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +15 +1b +1f +24 +27 +2d +34 +3d +46 +46 +50 +46 +46 +45 +45 +3d +3d +3c +3c +3c +3c +3c +3c +3c +3c +3c +3d +45 +45 +45 +46 +48 +50 +50 +50 +50 +50 +50 +48 +46 +45 +3d +37 +34 +2e +2d +28 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +34 +38 +40 +50 +56 +5a +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5d +5e +5d +5b +5a +5a +5a +5a +5a +5a +5d +5b +5b +5b +5b +5b +5b +5a +5b +5b +5b +5b +5b +5b +5b +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5a +5b +5b +5b +5d +5b +5b +5b +5b +5d +5b +5e +5d +5e +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5a +5a +56 +55 +50 +50 +46 +45 +45 +3d +3c +3c +37 +34 +34 +2e +2d +2d +2d +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +18 +1f +1f +27 +2d +34 +3d +46 +50 +50 +50 +46 +45 +45 +43 +3d +3d +3d +3c +3c +3c +3c +3c +3d +43 +45 +45 +45 +46 +48 +50 +50 +50 +50 +50 +50 +50 +48 +45 +43 +3c +35 +34 +2e +2d +28 +27 +27 +27 +27 +27 +27 +27 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +34 +34 +40 +4b +55 +59 +5a +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5d +5b +5b +5b +5d +5a +5b +5a +5a +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5a +5a +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5b +5b +5a +5a +5b +5b +5b +5b +5d +5d +5d +5d +5d +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5a +5a +59 +55 +50 +50 +46 +45 +45 +3d +3c +3c +37 +34 +34 +32 +2d +2d +2d +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +18 +1b +1f +27 +2d +34 +3c +46 +50 +50 +50 +46 +46 +45 +45 +45 +43 +3d +3d +3d +3d +3d +3d +45 +45 +45 +46 +46 +48 +50 +50 +50 +50 +50 +50 +50 +50 +46 +45 +3d +3c +35 +32 +2e +2a +28 +27 +27 +27 +27 +27 +27 +28 +28 +2b +2d +2d +2d +2d +2d +2d +2d +2d +2d +33 +34 +3c +45 +51 +59 +5a +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5d +5d +5d +5b +5b +5b +5b +5a +5b +5a +5a +5a +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5a +5a +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5b +5a +5b +5d +5d +5b +5b +5b +5b +5d +5b +5d +5d +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5a +5a +59 +56 +50 +50 +48 +46 +45 +3d +3c +3c +37 +35 +34 +34 +2d +2d +2d +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +1b +1f +24 +28 +34 +3c +46 +48 +50 +50 +48 +46 +46 +45 +45 +45 +45 +45 +45 +45 +45 +45 +45 +45 +46 +46 +50 +50 +50 +50 +53 +54 +54 +50 +50 +48 +46 +45 +3d +37 +34 +2e +2d +2a +28 +27 +27 +27 +27 +27 +28 +28 +2b +2d +2d +2d +2d +2d +2d +2d +2d +2d +34 +34 +3c +45 +50 +56 +5a +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5e +5d +5d +5d +5d +5d +5d +5b +5b +5b +5a +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5a +5a +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5b +5b +5b +5d +5b +5b +5b +5b +5d +5b +5a +5b +5d +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5b +5a +59 +56 +51 +50 +48 +46 +45 +3d +3d +3c +37 +35 +34 +34 +2e +2d +2d +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +1b +1f +24 +27 +2d +3c +45 +46 +48 +50 +48 +48 +46 +46 +46 +45 +45 +45 +45 +45 +45 +45 +46 +46 +48 +50 +50 +50 +50 +53 +54 +54 +54 +53 +50 +48 +45 +43 +3c +35 +34 +2e +2d +2a +28 +28 +27 +27 +27 +28 +28 +2b +2d +2d +2d +2d +2d +2d +2d +2d +2d +33 +34 +3c +40 +4b +51 +59 +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5d +5e +5e +5d +5d +5d +5b +5b +5a +5b +5a +5a +5a +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5a +5b +5b +5b +5b +5b +5d +5d +5b +5b +5b +5b +5a +5b +5b +5d +5d +5e +5e +5e +5e +5e +5e +5e +5a +5a +59 +56 +55 +50 +48 +46 +45 +43 +3d +3c +3c +35 +34 +34 +2e +2d +2d +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +18 +1f +24 +27 +2d +35 +43 +45 +46 +48 +48 +48 +46 +46 +46 +46 +46 +46 +46 +46 +46 +46 +46 +48 +50 +50 +50 +50 +53 +56 +56 +56 +56 +50 +50 +46 +45 +3d +3c +35 +34 +2e +2d +2a +28 +28 +27 +27 +28 +28 +28 +2d +2d +2d +2d +2d +2e +2d +30 +30 +33 +34 +3c +45 +4b +51 +56 +5a +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5d +5d +5d +5d +5d +5d +5b +5a +5b +5a +5a +5a +5a +5b +5b +5b +5a +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5b +5b +5b +5b +5d +5d +5d +5d +5b +5b +5a +5a +5a +5b +5b +5d +5d +5e +5e +5e +5e +5d +5a +5a +59 +56 +55 +50 +50 +46 +45 +43 +3d +3c +3c +35 +34 +34 +32 +2d +2d +15 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +14 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1b +24 +27 +2d +35 +3d +45 +46 +46 +48 +48 +48 +46 +46 +46 +46 +48 +48 +48 +48 +48 +50 +50 +50 +50 +50 +53 +56 +56 +56 +56 +56 +50 +50 +46 +45 +3d +3c +35 +34 +2e +2d +2d +28 +28 +27 +28 +28 +28 +2d +2d +2d +2d +2d +2e +2e +30 +30 +30 +34 +38 +40 +4b +51 +56 +5a +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5e +5e +5e +5e +5e +5d +5d +5d +5b +5a +5b +5b +5b +5a +5a +5a +5a +5a +5a +5b +5a +5a +5a +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5b +5b +5a +5b +5b +5b +5b +5b +5b +5b +5a +5a +5b +5b +5b +5b +5b +5d +5d +5d +5e +5e +5d +5b +5a +59 +56 +56 +50 +50 +46 +45 +43 +3d +3c +3c +37 +34 +34 +34 +2d +2d +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1b +24 +27 +2d +34 +3c +43 +45 +46 +48 +48 +48 +48 +48 +48 +50 +50 +50 +50 +50 +50 +50 +50 +50 +53 +53 +56 +56 +56 +56 +56 +56 +50 +48 +45 +43 +3c +37 +35 +32 +2e +2d +2d +28 +28 +27 +28 +28 +2d +2d +2d +2d +2d +2e +30 +30 +2f +34 +34 +34 +3c +45 +50 +56 +59 +5a +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5e +5d +5e +5d +5d +5d +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5b +5b +5b +5b +5b +5b +5b +5a +5b +5b +5b +5a +5b +5b +5b +5b +5d +5d +5d +5d +5d +5b +5a +5a +56 +56 +50 +50 +48 +45 +45 +3d +3c +3c +37 +35 +34 +34 +2e +2d +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1f +27 +2d +34 +3c +3d +45 +45 +46 +48 +50 +50 +50 +50 +50 +50 +50 +50 +50 +50 +50 +50 +53 +54 +56 +56 +56 +56 +56 +56 +56 +50 +46 +45 +3d +3c +35 +34 +32 +2e +2d +2d +2a +28 +28 +28 +2d +2d +2d +2d +2d +2d +30 +30 +30 +33 +34 +34 +38 +40 +4b +51 +56 +5a +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5e +5e +5d +5e +5d +5d +5d +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5b +5b +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5b +5d +5b +5a +5a +5a +56 +56 +50 +50 +48 +45 +45 +3d +3c +3c +3c +35 +34 +34 +2e +2d +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1b +24 +2a +32 +35 +3d +43 +45 +46 +50 +50 +50 +50 +50 +50 +50 +50 +50 +50 +50 +50 +53 +53 +56 +56 +56 +56 +56 +56 +56 +54 +50 +45 +43 +3c +3c +35 +34 +32 +2e +2d +2d +2a +28 +28 +2d +2d +2d +2d +2d +2d +2f +30 +30 +34 +34 +34 +34 +3c +45 +50 +56 +59 +5a +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5d +5d +5e +5d +5d +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5b +5a +5b +5a +5a +56 +56 +50 +50 +48 +46 +45 +3d +3c +3c +3c +35 +34 +34 +2e +2d +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1f +27 +2e +34 +3c +3d +45 +46 +50 +50 +50 +50 +50 +50 +50 +50 +50 +50 +50 +53 +53 +56 +56 +56 +56 +56 +57 +57 +56 +54 +50 +45 +3d +3c +37 +35 +34 +32 +2e +2d +2d +2a +28 +28 +2d +2d +2d +2d +2d +2d +2f +30 +34 +34 +34 +34 +38 +3c +45 +51 +56 +5a +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5b +5d +5b +5b +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5b +5b +5b +5b +5b +5b +5a +5a +56 +56 +50 +50 +48 +46 +45 +3d +3d +3c +3c +35 +34 +34 +32 +2d +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1b +24 +2d +34 +37 +3d +45 +46 +50 +50 +50 +50 +50 +53 +53 +54 +53 +50 +53 +54 +56 +56 +56 +56 +56 +57 +57 +57 +56 +54 +48 +45 +3d +3c +37 +35 +34 +34 +2e +2d +2d +2d +28 +2d +2d +2d +2d +2d +2e +30 +30 +34 +34 +34 +34 +34 +3c +40 +4b +55 +59 +5a +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5d +5d +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +56 +56 +51 +50 +50 +46 +45 +3d +3d +3c +3c +35 +34 +34 +32 +2d +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1a +1f +2a +2e +35 +3c +43 +46 +50 +50 +50 +54 +54 +54 +54 +54 +54 +54 +54 +56 +56 +56 +56 +57 +57 +57 +57 +57 +56 +54 +48 +45 +3d +3c +37 +35 +34 +32 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +30 +34 +34 +34 +34 +34 +38 +3c +45 +50 +56 +5a +5a +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +56 +56 +53 +50 +50 +46 +45 +43 +3d +3c +3c +35 +34 +34 +34 +2d +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1f +27 +2d +34 +37 +3d +45 +48 +50 +50 +54 +54 +54 +54 +54 +54 +56 +56 +56 +56 +57 +57 +57 +57 +57 +57 +57 +56 +50 +46 +43 +3c +3c +35 +34 +34 +32 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +30 +34 +34 +34 +34 +34 +38 +40 +45 +51 +56 +5a +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +56 +56 +53 +50 +50 +46 +45 +43 +3d +3c +3c +35 +34 +34 +32 +2d +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1b +27 +2d +32 +35 +3d +45 +48 +50 +50 +54 +54 +54 +56 +56 +56 +56 +56 +56 +56 +57 +57 +57 +57 +57 +57 +57 +56 +50 +46 +43 +3c +3c +35 +34 +34 +2e +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +30 +30 +34 +34 +34 +34 +34 +3c +40 +4b +51 +59 +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +5a +5a +59 +5a +5a +5a +59 +59 +56 +56 +56 +50 +50 +46 +45 +43 +3d +3c +37 +35 +34 +34 +32 +2e +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1b +24 +2d +2e +35 +3c +45 +48 +50 +53 +54 +56 +56 +56 +56 +56 +56 +56 +56 +57 +57 +57 +57 +57 +57 +57 +57 +56 +50 +45 +3d +3c +37 +35 +34 +34 +2e +2d +2d +2d +2d +2d +2d +2d +2d +2e +30 +30 +30 +34 +34 +34 +34 +38 +3c +45 +50 +55 +5a +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +56 +56 +56 +50 +50 +48 +45 +43 +3d +3c +3c +35 +34 +34 +32 +2e +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1b +24 +2d +2e +34 +3c +43 +48 +50 +53 +54 +56 +56 +56 +56 +56 +56 +56 +57 +57 +57 +57 +57 +57 +57 +57 +57 +54 +48 +45 +3d +3c +35 +35 +34 +34 +2e +2d +2d +2d +2d +2d +2d +2d +2d +2e +30 +30 +30 +34 +34 +34 +38 +38 +3c +45 +50 +56 +5a +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5d +5d +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +56 +56 +56 +50 +50 +48 +45 +43 +3d +3c +3c +35 +34 +34 +32 +2d +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1b +24 +2a +2e +34 +37 +43 +46 +50 +53 +54 +56 +56 +56 +56 +56 +56 +57 +57 +57 +57 +57 +57 +57 +57 +57 +56 +50 +46 +3d +3c +3c +35 +34 +34 +34 +2e +2d +2d +2d +2d +2d +2d +2d +2e +2e +30 +30 +34 +34 +34 +34 +38 +3c +3c +45 +50 +56 +5a +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5d +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +56 +56 +56 +50 +50 +48 +45 +43 +3d +3c +3c +35 +35 +34 +32 +2d +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1a +1f +28 +2e +32 +35 +3d +46 +50 +53 +54 +56 +56 +56 +56 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +56 +50 +45 +3d +3c +37 +35 +34 +34 +32 +2e +2d +2d +2d +2d +2d +2d +2d +2e +2e +30 +30 +34 +34 +34 +34 +38 +3c +40 +45 +50 +56 +5a +5e +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5d +5d +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +56 +56 +56 +56 +53 +50 +48 +45 +43 +3d +3c +3c +35 +35 +34 +34 +2d +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1b +24 +2a +32 +35 +3d +46 +50 +53 +54 +56 +56 +56 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +56 +50 +46 +43 +3c +37 +35 +35 +34 +34 +32 +2e +2d +2d +2d +2d +2d +2d +2d +2e +2e +30 +30 +34 +34 +34 +34 +38 +3c +40 +45 +50 +56 +5a +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5d +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +56 +56 +56 +56 +54 +50 +50 +48 +45 +43 +3d +3c +3c +35 +35 +34 +32 +2e +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +19 +1f +2a +2e +35 +3c +45 +50 +50 +54 +56 +56 +56 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +56 +50 +45 +3d +3c +37 +35 +35 +34 +34 +32 +2e +2d +2d +2d +2d +2d +2d +2d +2e +2e +30 +30 +34 +34 +34 +34 +38 +3c +40 +45 +50 +56 +5a +5d +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5d +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +56 +56 +56 +56 +56 +50 +50 +48 +45 +43 +3d +3c +3c +35 +35 +34 +32 +2e +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1b +24 +2d +34 +3c +45 +50 +50 +54 +56 +56 +56 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +54 +50 +45 +3d +3c +37 +35 +35 +34 +34 +32 +2e +2d +2d +2d +2d +2d +2d +2e +2e +30 +30 +30 +34 +34 +34 +34 +38 +3c +40 +4b +50 +56 +5a +5b +5e +5e +5e +5e +5e +5e +5e +5e +5e +5d +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +56 +56 +56 +56 +56 +54 +50 +50 +48 +45 +43 +3d +3c +3c +35 +35 +34 +32 +2e +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +19 +1f +27 +32 +37 +43 +48 +50 +54 +56 +56 +56 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +56 +54 +50 +45 +3d +3c +37 +35 +35 +35 +34 +34 +2e +2d +2d +2d +2d +2d +2d +2e +2e +30 +30 +30 +34 +34 +34 +38 +3b +3c +40 +45 +50 +56 +5a +5b +5d +5e +5e +5e +5e +5e +5d +5d +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +56 +59 +59 +56 +56 +56 +56 +56 +54 +50 +50 +46 +45 +43 +3d +3c +37 +35 +34 +34 +32 +2e +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1b +24 +2d +35 +3d +46 +50 +54 +56 +56 +56 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +56 +54 +50 +45 +3d +3c +3c +37 +35 +35 +34 +34 +2e +2d +2d +2d +2d +2d +2d +2e +2e +30 +30 +30 +34 +34 +34 +38 +3b +3c +40 +45 +50 +56 +59 +5a +5d +5e +5e +5e +5e +5e +5d +5d +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +56 +56 +56 +56 +56 +56 +54 +50 +50 +46 +45 +43 +3d +3c +37 +35 +34 +34 +32 +2e +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +18 +1f +28 +34 +3d +45 +50 +50 +54 +56 +56 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +56 +54 +50 +45 +43 +3c +3c +37 +35 +35 +34 +34 +2e +2d +2d +2d +2d +2e +2e +2e +2e +30 +30 +30 +34 +34 +34 +38 +38 +3c +40 +45 +50 +55 +59 +5a +5b +5d +5d +5d +5d +5d +5d +5d +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +56 +56 +56 +56 +56 +56 +50 +50 +48 +46 +45 +3d +3c +3c +37 +35 +34 +34 +2e +2e +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +1b +24 +32 +3c +45 +46 +50 +54 +56 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +56 +56 +50 +46 +45 +3d +3c +3c +37 +37 +34 +34 +2e +2d +2d +2d +2d +2e +2e +2e +2e +30 +30 +30 +30 +34 +34 +34 +38 +3c +40 +45 +50 +51 +59 +5a +5b +5b +5d +5b +5d +5b +5b +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +56 +56 +56 +56 +56 +56 +50 +50 +48 +45 +45 +3d +3c +3c +37 +35 +34 +32 +2e +2e +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +18 +1b +24 +2d +35 +3d +46 +50 +54 +56 +56 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +56 +56 +50 +48 +45 +43 +3d +3c +3c +37 +35 +34 +32 +2e +2d +2d +2d +2d +2e +2e +2e +30 +30 +30 +34 +34 +34 +34 +38 +3b +3c +45 +4b +51 +56 +5a +5a +5a +5b +5b +5b +5b +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +56 +56 +54 +50 +50 +46 +45 +45 +3d +3c +3c +35 +35 +34 +32 +2e +2e +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +1f +2a +34 +3c +45 +48 +50 +56 +56 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +56 +56 +50 +50 +46 +45 +3d +3c +3c +3c +35 +34 +2e +2d +2d +2d +2d +2d +2e +2e +2e +2e +30 +30 +30 +34 +34 +34 +34 +38 +3c +45 +4b +50 +56 +59 +5a +5a +5b +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +5a +5a +5a +5a +5a +5a +5a +5a +5a +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +56 +56 +56 +51 +50 +50 +48 +46 +45 +43 +3d +3c +3c +37 +35 +34 +32 +2e +2e +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +1f +27 +32 +3c +43 +46 +50 +56 +56 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +56 +50 +50 +46 +45 +43 +3d +3c +3c +37 +34 +32 +2e +2d +2d +2d +2e +2e +2e +2e +2e +30 +30 +30 +33 +34 +34 +34 +34 +3c +40 +4b +50 +56 +59 +59 +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +5a +5a +5a +5a +5a +5b +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +59 +58 +58 +59 +56 +56 +52 +50 +50 +48 +46 +45 +45 +3d +3d +3c +37 +35 +35 +34 +32 +2e +2e +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +1b +24 +2e +35 +3d +45 +50 +56 +56 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +56 +50 +50 +46 +45 +45 +43 +3d +3c +37 +34 +34 +2e +2d +2d +2d +2d +2e +2e +2e +2e +30 +30 +2f +2f +34 +34 +34 +34 +38 +3c +45 +50 +51 +56 +59 +59 +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +5a +5a +5a +5a +5b +5b +5a +5a +5a +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +52 +52 +51 +50 +50 +46 +45 +45 +3d +3d +3c +3c +37 +35 +35 +34 +32 +2e +2e +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +1b +24 +2d +35 +3d +45 +50 +54 +56 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +56 +53 +50 +48 +45 +45 +43 +3d +3c +37 +34 +34 +2e +2d +2d +2d +2d +2e +2e +2e +2e +30 +30 +2f +2f +2f +34 +34 +34 +34 +3c +40 +4b +50 +56 +56 +59 +59 +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +5a +5a +5a +5a +5a +5a +5a +5b +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +52 +52 +51 +50 +46 +45 +45 +3d +3d +3c +3c +37 +35 +35 +34 +34 +32 +2e +2e +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +1b +24 +2d +34 +3c +45 +48 +50 +56 +56 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +56 +54 +50 +48 +46 +45 +45 +3d +3c +3c +34 +34 +2e +2d +2d +2d +2e +2e +2e +2e +2e +30 +30 +2f +2f +2f +2f +33 +34 +34 +38 +3c +45 +50 +51 +56 +56 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +5a +5a +59 +59 +59 +5a +5a +5a +5b +5b +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +52 +51 +50 +4b +45 +45 +3d +3d +3c +3c +3c +37 +35 +35 +34 +34 +32 +2e +2e +1b +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +1b +20 +2a +32 +37 +43 +46 +50 +54 +56 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +56 +54 +50 +50 +46 +45 +45 +3d +3c +37 +34 +34 +2e +2d +2d +2d +2d +2d +2e +2e +2e +30 +2f +2f +2f +2f +2f +2f +2f +34 +34 +3c +40 +4b +50 +55 +56 +56 +56 +56 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +5a +5a +5a +5a +5b +5b +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +51 +51 +4c +45 +45 +3d +3d +3d +3c +3c +39 +35 +35 +35 +34 +34 +32 +2e +2e +1b +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1f +28 +32 +35 +3d +45 +50 +54 +56 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +57 +56 +54 +50 +48 +45 +45 +3d +3c +37 +34 +34 +2e +2d +2d +2d +2d +2d +2e +2e +2e +30 +2f +2f +2f +2f +2d +2d +2f +33 +34 +38 +3c +45 +50 +51 +55 +56 +56 +56 +56 +56 +56 +56 +59 +56 +56 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +51 +4c +4c +45 +45 +3d +3d +3d +3c +3c +35 +35 +35 +35 +34 +34 +32 +2e +2e +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +24 +2d +34 +3c +45 +48 +50 +56 +57 +57 +57 +57 +5a +5a +5a +5a +57 +57 +57 +56 +54 +50 +50 +46 +45 +3d +3c +37 +34 +34 +2e +2d +2d +2d +2d +2e +2e +2e +30 +30 +2f +2d +2f +2f +2f +2d +2f +2f +34 +34 +3c +40 +4b +50 +51 +56 +56 +56 +56 +56 +56 +56 +56 +56 +56 +56 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +52 +51 +4e +4c +4b +45 +3d +3d +3d +3c +3c +35 +35 +35 +35 +35 +34 +34 +32 +2e +2e +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +24 +2a +32 +35 +3d +46 +50 +54 +56 +57 +57 +57 +5a +5b +5b +5a +57 +57 +57 +57 +56 +54 +50 +46 +45 +3d +3c +37 +34 +34 +2e +2e +2e +2d +2d +2e +2e +30 +30 +30 +30 +30 +30 +30 +2f +2d +2f +2f +33 +34 +38 +3c +45 +50 +50 +55 +56 +56 +56 +56 +56 +56 +56 +56 +56 +56 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +51 +4e +4e +4c +4b +45 +3d +3d +3c +3c +39 +35 +35 +35 +34 +34 +34 +34 +32 +2e +2e +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +1b +1f +28 +2e +34 +3c +45 +50 +50 +56 +57 +57 +57 +5a +5b +5b +5b +5a +57 +57 +57 +56 +54 +50 +46 +45 +3d +3c +35 +34 +34 +2e +2e +2e +2d +2d +2e +30 +30 +30 +30 +30 +30 +30 +2e +2d +2d +2d +2f +2f +34 +34 +3c +40 +45 +50 +51 +56 +56 +56 +56 +56 +55 +56 +56 +56 +56 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +4e +4e +4c +4c +49 +41 +3d +3d +3c +39 +35 +35 +35 +35 +34 +34 +34 +34 +32 +2e +2d +1b +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +1b +24 +2a +32 +35 +3d +46 +50 +56 +57 +57 +57 +5a +5b +5b +5b +5a +5a +57 +57 +56 +56 +50 +46 +45 +3d +3c +35 +34 +32 +2e +2e +2e +2d +2e +2e +2e +30 +30 +30 +30 +2e +2e +2e +2d +2d +2d +2f +2f +34 +34 +38 +3c +45 +4b +50 +51 +51 +51 +51 +55 +51 +51 +51 +52 +52 +58 +59 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +51 +4e +4e +4c +4b +41 +41 +3d +3c +39 +39 +35 +35 +35 +35 +34 +34 +34 +34 +32 +2e +2d +19 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +1b +27 +2d +34 +3c +45 +50 +54 +56 +57 +57 +5a +5a +5b +5b +5a +5a +57 +57 +56 +54 +50 +46 +45 +3d +3c +35 +34 +32 +2e +2e +2e +2d +2e +2e +2e +2e +2e +30 +2e +2e +2d +2d +2d +2d +2d +2d +2f +2f +34 +34 +3c +40 +45 +4b +50 +50 +50 +50 +51 +51 +51 +51 +51 +52 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +51 +4e +4e +4c +4b +49 +41 +41 +3a +3c +39 +35 +35 +35 +35 +35 +34 +34 +34 +34 +32 +2e +2d +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1a +1f +27 +2d +35 +3d +46 +53 +56 +57 +57 +57 +57 +5a +5a +5a +5a +57 +57 +56 +54 +50 +46 +43 +3c +37 +35 +34 +32 +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2f +33 +34 +34 +3c +40 +45 +4b +4b +50 +50 +50 +50 +50 +51 +51 +51 +51 +52 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +5a +59 +59 +59 +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +58 +51 +58 +58 +58 +58 +58 +58 +51 +51 +4e +4e +4c +4a +49 +49 +41 +3f +39 +3c +39 +35 +35 +35 +35 +35 +34 +34 +34 +34 +2e +2e +2a +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1b +1f +2a +32 +37 +45 +50 +56 +57 +57 +57 +57 +57 +57 +5a +57 +57 +57 +56 +50 +50 +45 +3d +3c +35 +34 +34 +34 +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +2f +34 +34 +34 +3c +40 +45 +45 +4b +4b +4b +4c +4c +4c +51 +51 +51 +51 +52 +51 +51 +52 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +5a +59 +5a +59 +5a +5a +59 +5a +5a +5a +5a +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +58 +52 +51 +51 +58 +58 +58 +58 +51 +51 +4e +4e +4c +49 +49 +49 +49 +3f +3f +39 +39 +39 +35 +35 +35 +35 +34 +34 +34 +34 +34 +2e +2d +28 +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1b +24 +2d +35 +3d +50 +54 +57 +57 +57 +57 +57 +57 +57 +57 +57 +56 +56 +50 +48 +45 +3d +37 +35 +34 +34 +32 +2e +2e +2e +2d +2d +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +2f +33 +34 +34 +34 +3c +3c +45 +4b +4b +4c +4c +4c +4c +4c +51 +51 +51 +51 +51 +51 +51 +51 +52 +51 +51 +51 +52 +58 +58 +58 +58 +58 +58 +58 +58 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +51 +51 +51 +51 +51 +51 +51 +51 +51 +4e +4e +4e +4c +49 +49 +49 +49 +41 +3f +39 +39 +39 +39 +35 +35 +35 +35 +34 +34 +34 +34 +32 +2e +2d +27 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1b +27 +32 +3c +45 +50 +56 +57 +57 +57 +57 +57 +57 +57 +57 +56 +54 +50 +46 +43 +3c +35 +35 +34 +34 +32 +2e +2e +2e +2d +2d +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +2f +2f +34 +34 +38 +3c +40 +45 +45 +4b +4b +4b +4b +4c +50 +51 +51 +51 +51 +51 +51 +51 +51 +51 +51 +51 +51 +51 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +58 +51 +51 +51 +51 +4e +4e +4e +51 +4e +4e +4e +4e +4a +49 +49 +49 +49 +41 +3f +3e +39 +39 +39 +39 +35 +35 +35 +35 +34 +34 +34 +34 +32 +2e +28 +24 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +24 +2d +34 +43 +50 +56 +56 +57 +57 +57 +57 +57 +57 +56 +56 +53 +50 +45 +3c +37 +35 +35 +34 +34 +32 +2e +2d +2d +2d +2d +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +30 +2f +2f +34 +34 +38 +3c +40 +45 +45 +4b +4b +4b +4b +4c +4e +4c +4e +4e +4e +4e +4e +4e +4e +4e +51 +51 +51 +51 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +59 +59 +58 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +51 +51 +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4d +49 +49 +49 +49 +41 +3f +3e +3e +39 +39 +39 +35 +35 +35 +35 +35 +34 +34 +34 +34 +2e +2d +28 +20 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1b +27 +32 +3c +46 +50 +56 +56 +57 +57 +57 +57 +56 +56 +56 +50 +46 +45 +3c +37 +35 +34 +34 +34 +32 +2e +2e +2d +2d +2d +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2d +2f +2f +34 +34 +38 +3c +40 +41 +4b +4b +4b +4b +4b +4c +4c +4e +4e +4e +4e +4e +4e +4e +4e +4e +51 +51 +51 +51 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +59 +58 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +51 +51 +51 +4e +4e +4e +4e +4e +4e +4e +4e +4e +4d +49 +49 +49 +49 +41 +40 +3e +3e +39 +39 +39 +39 +35 +35 +35 +35 +35 +34 +34 +34 +34 +2e +2d +28 +20 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +19 +20 +2a +34 +3d +48 +50 +56 +56 +56 +56 +56 +56 +56 +54 +50 +46 +43 +3c +35 +35 +34 +34 +34 +2e +2e +2e +2e +2d +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2d +2f +2d +2f +34 +34 +38 +3c +40 +40 +41 +4b +4b +4b +4b +4c +4c +4c +4e +4e +4e +4e +4e +4e +4e +4e +4e +51 +51 +51 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +58 +51 +51 +51 +51 +51 +51 +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4d +49 +49 +49 +49 +41 +40 +3e +3e +39 +39 +38 +38 +35 +35 +35 +35 +35 +34 +34 +34 +34 +32 +2e +2d +27 +1f +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1b +27 +2e +3c +45 +50 +54 +56 +56 +56 +56 +56 +56 +50 +50 +45 +3d +3c +35 +35 +34 +34 +34 +32 +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +33 +34 +34 +38 +3c +40 +40 +41 +41 +4b +4b +4b +4b +4b +4c +4c +4e +4e +4e +4e +4e +4e +4e +4e +51 +51 +52 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +52 +51 +51 +51 +51 +51 +51 +4e +4e +4e +4e +4e +4e +4e +4e +4e +4a +49 +49 +49 +49 +41 +40 +3e +3e +39 +39 +39 +38 +38 +35 +35 +35 +35 +35 +34 +34 +34 +34 +32 +2d +2a +27 +1f +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1b +24 +2d +34 +3d +46 +50 +56 +56 +56 +56 +56 +54 +50 +50 +45 +3d +3c +35 +35 +34 +34 +34 +32 +2e +2e +2d +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +34 +34 +34 +34 +38 +39 +40 +40 +40 +41 +49 +4b +4b +4b +4b +4c +4c +4e +4e +4e +4e +4e +4e +4e +4e +51 +51 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +59 +59 +59 +59 +59 +59 +58 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +51 +51 +51 +51 +51 +51 +51 +4e +4e +4e +4e +4e +4e +4e +4e +4d +4a +49 +49 +49 +49 +41 +40 +3e +3e +39 +39 +38 +38 +38 +34 +35 +35 +35 +35 +34 +34 +34 +34 +34 +2e +2d +27 +20 +1b +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1f +27 +2e +37 +43 +48 +50 +56 +56 +56 +54 +53 +50 +48 +45 +3c +3c +35 +34 +34 +34 +34 +32 +2e +2e +2d +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +34 +34 +34 +34 +34 +38 +39 +40 +40 +40 +41 +49 +49 +4b +4b +4b +4b +4c +4c +4e +4e +4e +4e +4e +4e +4e +51 +51 +51 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +59 +59 +58 +59 +59 +59 +58 +59 +59 +59 +59 +59 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +52 +58 +51 +51 +51 +51 +51 +51 +51 +4e +4e +4e +4e +4e +4e +4e +4e +4e +4d +4a +4a +49 +49 +49 +49 +49 +40 +3e +3e +3e +39 +39 +38 +38 +34 +34 +35 +34 +34 +34 +34 +34 +34 +34 +32 +2d +2d +27 +1f +19 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1b +27 +2d +34 +3c +43 +48 +50 +54 +54 +50 +50 +50 +46 +43 +3c +37 +35 +34 +34 +34 +34 +32 +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2d +2e +34 +34 +34 +34 +34 +34 +38 +39 +3c +40 +40 +41 +49 +49 +49 +49 +4b +4b +4b +4c +4e +4e +4e +4e +4e +4e +4e +4e +51 +51 +58 +52 +51 +51 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +52 +51 +51 +51 +51 +4e +51 +51 +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4d +4a +49 +49 +49 +49 +49 +41 +40 +3e +3e +3e +39 +39 +38 +38 +34 +34 +34 +35 +34 +34 +34 +34 +34 +34 +34 +2e +2d +28 +24 +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +1b +24 +28 +2e +34 +3c +45 +48 +50 +50 +50 +50 +46 +45 +3d +3c +37 +35 +34 +34 +34 +34 +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +34 +34 +34 +34 +34 +34 +34 +38 +39 +3c +40 +40 +40 +41 +49 +49 +49 +49 +4b +4b +4c +4e +4c +4e +4e +4e +4e +4e +4e +4e +51 +51 +51 +51 +51 +51 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +51 +51 +51 +51 +51 +51 +51 +51 +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4d +4a +49 +49 +49 +49 +49 +41 +41 +40 +3e +3e +39 +39 +38 +38 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +2d +2a +27 +1f +1b +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +1b +1f +27 +2d +32 +34 +3c +45 +46 +46 +46 +46 +45 +45 +3c +3c +35 +35 +34 +34 +34 +34 +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +33 +34 +34 +34 +34 +34 +38 +38 +39 +39 +3c +40 +40 +41 +49 +49 +49 +49 +4b +4b +4b +4b +4c +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +51 +51 +51 +51 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +51 +51 +51 +51 +51 +51 +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4d +4a +49 +49 +49 +49 +49 +41 +40 +40 +3e +3e +39 +39 +38 +38 +38 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2d +27 +20 +1b +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +1b +1f +27 +2a +2d +34 +35 +3d +45 +45 +45 +45 +45 +3d +3c +37 +35 +34 +34 +34 +34 +32 +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +33 +33 +34 +34 +34 +34 +38 +38 +38 +39 +39 +3c +40 +40 +40 +49 +49 +49 +49 +49 +49 +4b +4b +4c +4c +4c +4e +4e +4e +4e +4e +4e +4e +4e +51 +51 +51 +51 +52 +52 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +51 +51 +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4d +4a +4a +49 +49 +49 +49 +41 +40 +3e +3e +3e +3e +39 +38 +38 +38 +38 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2d +2a +24 +1f +1b +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1f +24 +28 +2d +32 +34 +3c +3c +3d +3d +3d +3d +3c +3c +35 +35 +34 +34 +34 +34 +34 +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +33 +34 +34 +34 +34 +34 +38 +38 +39 +39 +39 +3e +40 +40 +49 +49 +49 +49 +49 +49 +49 +49 +49 +4b +4c +4c +4c +4e +4e +4e +4e +4e +4e +4e +4e +4e +51 +51 +51 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +51 +51 +51 +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4d +4d +4a +4a +49 +49 +49 +49 +40 +40 +3e +3e +3e +3e +39 +39 +38 +38 +38 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +2d +27 +24 +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1f +24 +27 +2d +2d +34 +35 +3c +3c +3c +3c +3c +3c +37 +35 +34 +34 +34 +34 +34 +34 +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +33 +34 +34 +34 +34 +38 +38 +39 +39 +39 +3e +3e +40 +49 +49 +49 +49 +49 +49 +49 +49 +49 +49 +4b +4b +4c +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +51 +51 +51 +51 +51 +52 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +58 +51 +51 +51 +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4d +4d +4d +4a +4a +49 +49 +49 +49 +49 +41 +40 +3e +3e +3e +3e +39 +39 +38 +38 +38 +38 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +2d +2a +27 +20 +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1f +24 +27 +28 +2d +32 +34 +35 +37 +37 +37 +37 +35 +35 +34 +34 +34 +34 +34 +34 +34 +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +2f +34 +34 +34 +34 +38 +38 +38 +39 +39 +3e +3e +40 +41 +41 +49 +49 +49 +49 +49 +49 +49 +49 +49 +4b +4b +4b +4c +4c +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +51 +51 +51 +51 +51 +51 +51 +51 +51 +52 +51 +51 +51 +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4d +4d +4d +4d +4a +4a +4a +4a +49 +49 +49 +49 +41 +3e +3e +3e +3e +39 +39 +39 +38 +38 +38 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2d +28 +24 +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1f +24 +27 +28 +2d +2e +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +2f +34 +34 +34 +38 +38 +38 +39 +39 +3e +3e +3e +40 +40 +40 +41 +41 +41 +49 +49 +49 +49 +49 +49 +4b +49 +4b +4c +4c +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +51 +51 +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4d +4e +4d +4d +4d +4d +4d +4a +4a +4a +4a +49 +49 +49 +49 +41 +41 +3f +3e +3e +3e +39 +39 +38 +38 +38 +38 +38 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2d +27 +1f +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1f +24 +27 +27 +2d +2d +2e +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +34 +34 +34 +38 +38 +38 +39 +39 +39 +3e +3e +3e +3e +40 +40 +40 +41 +49 +49 +49 +49 +49 +49 +49 +49 +49 +4b +4c +4c +4c +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4d +4d +4d +4d +4d +4d +4d +4d +4d +4a +4a +4a +4a +4a +49 +49 +49 +49 +49 +41 +41 +3e +3e +3e +39 +39 +39 +38 +38 +38 +38 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +2d +28 +24 +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1f +24 +27 +27 +28 +2d +2e +2e +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +34 +34 +34 +34 +38 +38 +38 +39 +39 +39 +3e +3e +3e +3e +3e +40 +40 +41 +41 +49 +49 +49 +49 +49 +49 +49 +49 +49 +49 +4a +4c +4c +4c +4c +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4d +4d +4d +4d +4d +4d +4d +4d +4d +4a +4a +4a +4a +4a +4a +49 +49 +49 +49 +41 +41 +3f +3e +39 +39 +39 +39 +39 +38 +38 +38 +38 +38 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2d +2d +27 +1f +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1f +24 +27 +27 +28 +2d +2d +2d +2e +2e +32 +32 +32 +32 +32 +32 +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +2f +33 +34 +34 +34 +38 +38 +38 +39 +39 +39 +39 +3e +3e +3e +3e +3e +3e +40 +40 +41 +41 +49 +49 +49 +49 +49 +49 +49 +49 +4a +4a +4a +4a +4a +4a +4c +4c +4c +4e +4c +4d +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4e +4d +4d +4d +4d +4d +4d +4d +4d +4d +4a +4a +4a +4a +4a +4a +49 +49 +49 +41 +41 +3f +3f +3e +39 +39 +39 +39 +39 +39 +39 +39 +38 +38 +38 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2d +2d +27 +24 +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1f +24 +27 +27 +28 +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +2f +33 +34 +34 +34 +38 +38 +38 +39 +39 +3e +3e +3e +3e +3e +3e +3e +40 +40 +40 +41 +41 +49 +49 +49 +49 +49 +49 +49 +49 +49 +49 +49 +4a +4a +4a +4a +4a +4a +4a +4a +4d +4d +4a +4d +4d +4d +4d +4d +4d +4d +4d +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +49 +49 +49 +41 +41 +3f +3f +3f +3e +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2d +27 +24 +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1f +24 +27 +27 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +33 +30 +34 +38 +38 +38 +38 +39 +39 +39 +3e +3e +3e +3e +3e +3e +3e +3e +3e +41 +41 +41 +41 +49 +49 +49 +49 +49 +49 +49 +49 +49 +49 +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +49 +49 +41 +3f +3f +3f +3f +3f +3e +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +32 +2d +27 +24 +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +20 +24 +27 +27 +27 +28 +2b +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +30 +30 +30 +34 +38 +38 +38 +39 +39 +39 +39 +39 +3e +3e +3e +3e +3e +3e +3e +3f +3f +3f +3f +41 +41 +41 +49 +49 +49 +49 +49 +49 +49 +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +49 +49 +41 +41 +3f +3f +3f +3f +3f +39 +39 +39 +39 +39 +39 +34 +35 +35 +35 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2d +2a +24 +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1f +20 +24 +27 +27 +27 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2c +2d +2d +2c +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +30 +30 +34 +34 +34 +38 +38 +38 +38 +39 +39 +39 +3e +3e +3e +3e +3e +3e +3e +3e +3f +3f +3f +3f +3f +3f +41 +41 +41 +49 +49 +49 +49 +49 +49 +49 +4a +49 +49 +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +4a +49 +49 +41 +41 +3f +3f +3f +3e +3e +39 +39 +39 +39 +39 +39 +35 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2d +27 +1f +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1a +1b +1b +1f +20 +24 +27 +27 +27 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +30 +30 +30 +34 +34 +34 +34 +38 +38 +38 +39 +39 +39 +39 +39 +39 +3e +3e +3e +3e +3e +3e +3f +3f +3f +3f +3f +3f +41 +41 +41 +49 +49 +49 +49 +49 +49 +49 +49 +49 +49 +49 +49 +49 +49 +49 +49 +4a +49 +49 +49 +4a +4a +49 +49 +4a +49 +49 +49 +49 +49 +41 +41 +3f +3f +3f +3f +39 +39 +39 +39 +39 +39 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +34 +35 +34 +34 +34 +34 +34 +2e +2d +27 +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1a +1a +1b +1b +1f +20 +24 +27 +27 +27 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +2f +30 +30 +34 +34 +38 +38 +38 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +3e +3e +3e +3e +3f +3f +3f +3f +3f +3f +41 +41 +41 +41 +49 +49 +49 +41 +49 +41 +41 +41 +41 +41 +41 +49 +49 +41 +49 +49 +49 +49 +49 +49 +49 +49 +49 +49 +49 +41 +41 +41 +3f +3f +3f +3f +3a +39 +39 +39 +39 +39 +35 +35 +35 +35 +35 +35 +37 +37 +37 +37 +37 +35 +35 +35 +35 +34 +34 +34 +34 +32 +2d +2a +24 +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1b +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1b +1b +1f +20 +24 +27 +27 +27 +27 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +30 +30 +30 +30 +30 +30 +34 +34 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +3e +3e +3e +3e +3f +3f +3f +3f +3f +3f +3f +3f +3f +41 +41 +41 +41 +41 +41 +41 +41 +41 +41 +41 +41 +3f +41 +41 +41 +41 +41 +41 +41 +41 +41 +41 +41 +41 +41 +3f +3f +3f +3f +3f +3a +39 +39 +39 +39 +39 +39 +35 +35 +35 +35 +35 +35 +37 +3c +37 +37 +37 +35 +35 +35 +34 +34 +34 +34 +34 +2e +2d +27 +23 +1b +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1b +1b +1f +20 +24 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2d +30 +30 +30 +30 +30 +34 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +3e +3e +3e +3e +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +41 +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +41 +41 +41 +3f +3f +3a +39 +39 +39 +39 +39 +39 +39 +39 +35 +35 +35 +35 +35 +37 +37 +37 +37 +37 +37 +35 +35 +35 +34 +34 +34 +34 +34 +2d +2a +24 +1f +1b +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1b +1f +20 +24 +24 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2d +2d +2d +2e +2e +2d +2d +2d +2d +30 +30 +30 +30 +30 +30 +30 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +3e +3e +3e +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3a +39 +39 +39 +39 +39 +39 +39 +34 +35 +35 +35 +35 +35 +35 +37 +37 +37 +3c +37 +37 +35 +35 +35 +34 +34 +34 +34 +2e +2d +27 +1f +1b +1b +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +18 +1b +1b +1f +20 +24 +24 +27 +27 +27 +27 +28 +28 +28 +28 +28 +2a +2d +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +30 +30 +30 +30 +30 +30 +30 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +3e +3f +3e +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3f +3a +3a +39 +39 +39 +39 +39 +39 +35 +35 +35 +35 +35 +35 +35 +35 +35 +3c +3c +3c +3c +37 +35 +35 +35 +34 +34 +34 +34 +34 +2e +2a +24 +1f +1b +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +1a +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +1a +1b +1f +20 +24 +24 +27 +27 +27 +27 +28 +28 +28 +28 +28 +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +3a +3a +3a +3f +3f +3f +3f +3f +3f +3a +3f +3a +3f +3a +3a +3a +3a +3a +3a +3a +3a +3a +3a +3a +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +35 +35 +35 +35 +35 +35 +35 +35 +37 +3c +3c +3c +3c +37 +37 +35 +35 +34 +34 +34 +34 +32 +2d +27 +1f +1b +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +18 +18 +1b +1b +1f +20 +24 +24 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +37 +37 +3c +3c +37 +37 +35 +35 +35 +34 +34 +34 +34 +2e +2d +27 +1f +1b +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +1b +1f +1f +20 +24 +24 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +2d +2d +2a +2a +2a +2d +2d +2d +2d +2d +2d +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2d +2d +2e +2e +2e +2e +2e +2e +2e +30 +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +37 +3c +37 +37 +37 +37 +35 +35 +35 +34 +34 +34 +34 +34 +2d +2a +24 +1b +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +18 +18 +1b +1f +20 +20 +24 +24 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +2a +28 +28 +2a +2a +2d +2d +2d +2d +2d +2a +2a +2d +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +34 +35 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +35 +39 +37 +35 +35 +35 +35 +35 +35 +35 +35 +3c +3c +3c +39 +35 +35 +37 +35 +34 +34 +34 +34 +34 +34 +2e +2d +28 +20 +1b +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +15 +18 +1b +1b +1f +1f +20 +24 +24 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +28 +28 +28 +2a +2a +2a +2d +2d +2d +2d +2d +2a +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +34 +34 +34 +35 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +3c +35 +3c +3c +3c +37 +37 +3c +3c +3c +3c +3c +3c +39 +35 +35 +34 +34 +34 +34 +34 +34 +32 +2d +2a +27 +1f +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +15 +18 +1b +1b +1f +1f +20 +24 +24 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2d +2d +2d +2d +2d +2a +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +39 +39 +39 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +3c +3c +3c +3c +3c +3c +3c +3c +3c +37 +3c +37 +37 +35 +35 +34 +34 +34 +34 +34 +34 +32 +2d +2d +27 +24 +1b +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +18 +1a +1b +1b +1f +1f +20 +24 +24 +24 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +2d +2d +2d +2d +2d +2d +2d +2a +2a +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +37 +37 +37 +37 +35 +34 +34 +34 +34 +34 +34 +34 +2e +2d +2a +27 +1f +1b +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +18 +1a +1b +1f +1f +1f +20 +24 +24 +24 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +2d +2d +2d +2d +2d +2d +2d +2a +2d +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +37 +35 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +2e +2d +27 +24 +1f +1b +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1a +1a +1b +1f +1f +1f +20 +24 +24 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +37 +35 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +32 +2d +2d +27 +20 +1b +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +15 +15 +15 +15 +1a +1b +1b +1f +1f +1f +1f +24 +24 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +2a +2a +2a +2d +2d +2a +2a +2d +2d +2d +2d +2d +2d +2d +2d +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +37 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2d +2d +2a +27 +1f +1b +1b +19 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +15 +15 +15 +15 +15 +1a +1b +1f +1f +1f +1f +1f +24 +24 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +2a +28 +2a +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +34 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +39 +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3b +3b +3b +36 +36 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2d +2d +28 +27 +1f +1b +19 +19 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1a +1b +1f +1f +1f +20 +24 +24 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +28 +28 +28 +2d +2a +2a +2d +2d +2d +2d +2d +2a +2d +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +39 +35 +39 +39 +39 +39 +39 +39 +39 +39 +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3b +3b +36 +36 +36 +34 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +31 +2d +2d +27 +24 +1f +1b +19 +18 +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +18 +15 +15 +15 +15 +18 +18 +1b +1f +1f +1f +1f +20 +24 +24 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +28 +28 +2a +2a +2a +2a +2d +2d +2d +2a +28 +2d +2d +2d +2d +2d +2d +2a +2d +2d +2d +2d +2d +2d +2d +2e +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +39 +39 +39 +3c +39 +39 +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3b +3b +36 +36 +36 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +2d +2d +2d +27 +24 +1f +1b +19 +19 +18 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +15 +15 +15 +15 +15 +18 +1a +1b +1f +1f +1f +1f +24 +24 +24 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +2a +2a +2a +2a +2a +2d +2d +2a +2d +2a +2a +2d +2d +2d +2d +2d +2d +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +37 +37 +37 +37 +36 +36 +36 +36 +34 +36 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +31 +2d +2d +2a +27 +24 +1f +1b +1b +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +15 +15 +15 +14 +15 +18 +1b +1b +1f +1f +1f +20 +24 +24 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +2a +2a +2a +2a +2a +2d +2d +2d +2a +2a +2a +2a +2d +2a +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +3c +3c +3c +3c +3c +3c +3c +3c +37 +37 +35 +37 +37 +35 +36 +36 +36 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +31 +2d +2d +2d +2a +27 +24 +1f +1b +1b +19 +18 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +19 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +15 +15 +15 +14 +15 +18 +18 +1b +1f +1f +1f +1f +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2d +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +2e +2e +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +37 +37 +35 +35 +35 +34 +36 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +31 +2d +2d +2d +2a +27 +24 +1f +1b +1b +19 +15 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +15 +15 +14 +15 +18 +1b +1b +1f +1f +1f +1f +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +28 +2a +2a +2a +2a +2a +2a +2d +2a +2a +2a +28 +28 +28 +2a +2a +2a +2a +2d +2d +2d +2d +2d +2d +2d +2d +2e +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +35 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2d +2d +2d +2d +2a +27 +20 +1f +1b +1b +19 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +15 +15 +15 +15 +18 +18 +1b +1f +1f +1f +1f +1f +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +28 +2a +2a +2a +2a +2a +2a +2a +2a +2a +2a +28 +28 +28 +2a +2a +2a +2a +2a +2d +2d +2d +2d +2d +2d +2d +2e +2e +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +39 +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +37 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +2d +2d +2d +2d +27 +27 +1f +1f +1b +1b +19 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +15 +15 +15 +14 +18 +18 +1b +1f +1f +1f +1f +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +28 +28 +2a +2a +2a +28 +2a +28 +28 +2a +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +39 +39 +39 +39 +39 +39 +39 +3c +3c +3c +3c +3c +3c +3c +3c +3c +3c +37 +37 +37 +37 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2e +2d +2d +2a +27 +24 +1f +1f +1b +19 +19 +15 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +15 +15 +15 +15 +18 +1a +1f +1f +1f +1f +20 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +2a +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +28 +2a +2a +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +34 +34 +35 +35 +39 +39 +39 +39 +35 +39 +39 +3c +35 +3c +35 +35 +35 +37 +3c +35 +37 +35 +35 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2d +2d +2d +2a +27 +24 +1f +1f +1b +19 +19 +15 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1b +1f +1f +1f +1f +20 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2a +2a +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2e +2d +2d +2d +2a +27 +24 +1f +1f +1b +19 +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1a +1b +1f +1f +1f +1f +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +28 +2a +2a +2a +2d +2d +2d +2d +2d +2d +2d +2e +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +2e +2e +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2e +2d +2d +2d +2d +2a +27 +24 +1f +1f +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1b +1b +1f +1f +1f +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +2a +2a +2d +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +2e +2e +2e +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +35 +34 +34 +34 +35 +35 +35 +35 +34 +35 +35 +35 +35 +35 +35 +35 +35 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +30 +2e +2e +2d +2d +2d +2d +2a +27 +24 +20 +1f +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1a +1b +1f +1f +1f +1f +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +28 +2a +2a +2d +2a +2d +2a +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +34 +34 +34 +35 +34 +34 +35 +34 +34 +34 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +30 +2e +2e +2d +2d +2d +2d +2a +27 +27 +20 +1f +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +1a +1b +1f +1f +1f +1f +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +28 +2a +2d +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +2e +2e +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +35 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +30 +2e +2e +2d +2d +2d +2d +28 +27 +27 +20 +1f +1b +19 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1b +1b +1f +1f +20 +24 +24 +24 +24 +27 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +2a +2a +2a +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +30 +2e +2e +2d +2d +2d +2d +28 +27 +27 +20 +1f +1b +19 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +15 +15 +15 +1a +1b +1f +1f +1f +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +2a +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2e +2e +2e +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +30 +30 +2e +2d +2d +2d +2d +2d +28 +27 +27 +24 +1f +1b +1b +16 +15 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +15 +15 +15 +18 +1a +1b +1f +1f +1f +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +28 +28 +28 +2a +2d +2d +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +32 +34 +30 +2e +2e +2d +2d +2d +2d +2d +28 +27 +27 +20 +1f +1b +1b +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +16 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1b +1f +1f +1f +1f +1f +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +28 +2a +28 +2a +2a +2a +28 +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +34 +32 +2e +2e +2d +2d +2d +2d +2d +2d +28 +27 +27 +24 +1f +1b +19 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +1b +1f +1f +1f +20 +1f +24 +24 +24 +27 +27 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +28 +2a +2a +28 +2a +2d +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2e +2e +2d +2d +2d +2d +2d +2d +28 +27 +27 +24 +1f +1b +19 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +18 +1a +1b +1f +1f +1f +1f +1f +24 +24 +24 +27 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +28 +28 +2a +28 +2a +2d +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +2e +2e +2e +2d +2d +2d +2d +2d +28 +28 +27 +27 +24 +1f +1b +19 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +15 +18 +1a +1b +1f +1f +1f +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +28 +28 +28 +2a +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +2e +2e +2e +2e +2d +2d +2d +2d +28 +28 +27 +27 +24 +1f +1b +19 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +14 +15 +18 +1b +1b +1f +1f +1f +1f +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +30 +30 +30 +30 +30 +30 +30 +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +28 +27 +27 +24 +1f +1b +1b +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +14 +14 +15 +18 +1b +1b +1f +1f +1f +1f +24 +1f +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +30 +2f +2f +2d +33 +2d +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +34 +34 +32 +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +28 +28 +27 +24 +1f +1b +1b +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +14 +15 +15 +18 +1b +1b +1f +1f +1f +1f +24 +20 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +34 +32 +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +28 +28 +27 +24 +1f +1f +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +14 +15 +15 +18 +1a +1b +1b +1f +1f +1f +1f +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +33 +33 +33 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +32 +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +28 +28 +27 +24 +1f +1f +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +14 +14 +15 +18 +1a +1b +1b +1f +1f +1f +1f +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +33 +33 +33 +33 +33 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +34 +34 +32 +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +28 +28 +27 +27 +1f +1f +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +14 +14 +14 +15 +18 +1a +1b +1f +1f +1f +1f +1f +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2b +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +33 +33 +33 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +34 +34 +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +28 +28 +27 +27 +24 +1f +1b +19 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +14 +14 +14 +15 +18 +1b +1b +1f +1f +1f +1f +1f +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +28 +28 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2d +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +33 +33 +33 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +34 +32 +32 +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +28 +28 +27 +27 +24 +1f +1b +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +14 +14 +15 +18 +18 +1b +1b +1f +1f +1f +1f +20 +24 +20 +20 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +28 +28 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +33 +33 +33 +34 +33 +34 +34 +34 +34 +34 +34 +34 +33 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2a +28 +27 +27 +24 +1f +1b +1a +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +14 +14 +15 +18 +1a +1b +1b +1f +1f +1f +1f +20 +24 +20 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2b +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2f +2d +33 +33 +33 +34 +34 +34 +34 +33 +33 +34 +33 +33 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2a +28 +27 +27 +24 +1f +1b +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +14 +14 +15 +18 +1a +1b +1b +1f +1f +1f +1f +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +28 +28 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +31 +33 +33 +34 +33 +33 +33 +33 +33 +33 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +32 +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2a +28 +27 +27 +24 +1f +1b +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +14 +14 +18 +18 +1b +1b +1f +1f +1f +1f +1f +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +24 +24 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2b +2d +2d +2d +2d +2d +28 +2b +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +34 +34 +34 +34 +33 +33 +33 +33 +33 +33 +33 +33 +33 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +32 +32 +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2a +28 +27 +27 +24 +1f +1f +1b +1a +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +14 +14 +15 +18 +1a +1b +1b +1f +1f +1f +1f +1f +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +24 +24 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +32 +34 +34 +33 +33 +33 +34 +33 +33 +33 +33 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +28 +27 +27 +24 +1f +1f +1b +1a +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +15 +14 +14 +14 +15 +18 +1b +1b +1f +1f +1f +1f +1f +1f +20 +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +24 +24 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2b +2d +2d +2d +2d +28 +2d +28 +2d +2d +2d +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2d +2e +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2e +2e +2e +2e +2e +2d +2d +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +28 +27 +27 +24 +1f +1f +1b +1a +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +14 +14 +14 +14 +15 +18 +1b +1b +1f +1f +1f +1f +1f +1f +20 +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +24 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +28 +2d +2b +2d +2d +2d +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2d +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +30 +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +28 +27 +27 +24 +1f +1f +1b +1b +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +15 +14 +13 +14 +14 +18 +1a +1b +1b +1f +1f +1f +1f +1f +1f +20 +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2d +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +30 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +28 +27 +27 +27 +1f +1f +1b +1b +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +14 +0e +14 +14 +15 +18 +1a +1b +1f +1f +1f +1f +1f +1f +1f +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +27 +28 +28 +28 +28 +28 +28 +28 +2d +2d +2b +28 +2d +28 +2b +2d +2d +2d +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2d +2d +2d +2e +2e +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +2e +32 +2e +2d +2d +2d +2d +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +28 +27 +27 +27 +20 +1f +1b +1a +1a +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +14 +12 +0d +13 +14 +15 +18 +1a +1b +1f +1f +1f +1f +1f +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +28 +28 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +28 +2b +28 +28 +2d +28 +2d +2d +2d +2d +2b +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2d +2d +32 +2e +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +27 +27 +27 +24 +1f +1f +1b +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +14 +0d +0d +14 +14 +15 +18 +1b +1b +1f +1f +1f +1f +20 +20 +20 +24 +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +27 +28 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2b +2d +2b +2b +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2e +2e +2e +2e +2e +2e +2d +2d +2e +2d +2d +2d +2d +2e +2d +2d +2d +2e +2e +31 +2e +2e +2e +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +34 +32 +32 +34 +34 +32 +34 +34 +32 +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +27 +27 +27 +24 +1f +1f +1b +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +14 +0d +0d +0d +14 +14 +15 +1a +1b +1b +1f +1f +1f +1f +20 +20 +20 +24 +20 +20 +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +28 +28 +27 +27 +28 +28 +28 +28 +28 +27 +27 +27 +28 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +28 +2d +2d +2b +2b +2d +2b +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2e +2d +2d +2e +2d +2e +2e +2e +2e +31 +2e +30 +30 +30 +34 +32 +32 +32 +34 +34 +34 +34 +32 +32 +32 +32 +32 +32 +32 +34 +34 +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +28 +27 +27 +27 +24 +1f +1f +1b +1a +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +14 +0d +0d +0d +0d +14 +14 +15 +1a +1b +1b +1f +1f +1f +1f +20 +20 +20 +24 +20 +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +28 +27 +27 +27 +27 +27 +28 +27 +27 +27 +27 +27 +28 +28 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +28 +2d +2d +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2e +2d +2d +2d +2d +2d +2d +2d +2d +31 +2d +2e +34 +2e +34 +32 +32 +32 +2e +32 +34 +32 +34 +34 +32 +32 +34 +34 +32 +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +2a +27 +27 +27 +24 +1f +1f +1b +1a +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +14 +0d +0d +0d +0d +0d +12 +14 +18 +1a +1b +1b +1f +1f +1f +1f +20 +20 +20 +24 +20 +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2b +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +31 +31 +2e +32 +32 +32 +34 +32 +32 +32 +32 +32 +32 +32 +32 +34 +32 +32 +32 +32 +32 +32 +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +27 +27 +27 +27 +20 +1f +1b +1b +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +14 +0d +0d +0d +0d +0d +0d +12 +14 +18 +1a +1b +1f +1f +1f +1f +20 +20 +20 +20 +20 +24 +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +34 +2e +2e +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +34 +34 +32 +2e +2e +32 +32 +32 +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +27 +27 +27 +27 +24 +1f +1f +1b +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +0e +0d +0d +0d +0d +0d +0d +12 +14 +18 +1a +1b +1f +1f +1f +20 +20 +20 +20 +20 +20 +24 +24 +24 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2e +2e +2e +2e +2e +2e +2e +34 +2e +2e +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +2e +2e +32 +32 +32 +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +28 +27 +27 +27 +24 +1f +1f +1b +1a +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +12 +0d +0d +0d +0c +0c +0d +0d +14 +14 +18 +1b +1b +1f +1f +1f +20 +20 +20 +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +24 +24 +27 +27 +27 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +27 +28 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +32 +32 +2e +32 +2e +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +2e +32 +32 +32 +32 +32 +32 +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +28 +27 +27 +27 +24 +1f +1f +1b +1a +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +14 +0d +0d +0d +08 +08 +0c +0d +0d +14 +14 +18 +1b +1b +1f +1f +1f +20 +20 +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +24 +24 +24 +24 +27 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +27 +27 +27 +27 +27 +27 +28 +28 +28 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +2e +2e +32 +32 +32 +32 +32 +32 +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +2a +27 +27 +27 +27 +20 +1f +1b +1b +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +14 +0d +0d +0d +06 +08 +08 +0c +0d +0d +14 +15 +18 +1b +1b +1f +1f +1f +20 +20 +20 +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +27 +27 +27 +27 +27 +27 +28 +28 +28 +27 +28 +28 +27 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2e +2e +2e +2e +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +32 +2e +32 +32 +32 +2e +32 +32 +32 +32 +32 +32 +32 +32 +2e +2e +2e +32 +32 +32 +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +27 +27 +27 +27 +20 +1f +1b +1b +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +14 +0d +0d +0d +08 +06 +06 +08 +0d +0d +0d +14 +15 +18 +1b +1b +1f +1f +20 +20 +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +27 +27 +27 +27 +27 +27 +27 +28 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2d +2d +2e +2e +2e +2d +2d +2e +2e +2e +2e +2d +2e +2e +2e +2e +2e +2e +2e +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +2e +32 +32 +32 +32 +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +28 +27 +27 +27 +24 +1f +1f +1b +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +14 +0d +0d +0d +08 +06 +06 +06 +08 +0d +0d +0e +14 +15 +18 +1b +1b +1f +1f +20 +20 +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +27 +27 +28 +28 +28 +28 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +27 +27 +28 +28 +28 +28 +28 +28 +28 +2d +2d +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2d +2e +2e +2e +2d +2d +2e +2e +2e +2e +2d +2e +2e +2e +2e +2e +2e +2e +32 +32 +32 +32 +32 +32 +2e +32 +32 +32 +32 +32 +32 +32 +32 +32 +2e +32 +32 +32 +2e +32 +2e +2e +2e +2e +2e +2d +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +28 +2a +27 +27 +27 +24 +1f +1f +1b +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +0d +0d +0c +06 +06 +06 +06 +06 +0d +0d +12 +14 +15 +1a +1b +1f +1f +1f +20 +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +28 +27 +27 +27 +27 +28 +27 +28 +28 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2b +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2e +2e +2e +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +34 +32 +32 +32 +32 +2e +32 +2e +32 +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +29 +27 +27 +24 +1f +1f +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +0e +0d +0d +06 +06 +06 +06 +06 +08 +0d +0d +12 +14 +18 +1a +1b +1f +1f +1f +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +24 +27 +27 +27 +27 +27 +27 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2b +28 +28 +2d +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +32 +2e +32 +32 +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +28 +29 +27 +27 +24 +20 +1f +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +0d +0d +08 +06 +06 +06 +06 +06 +08 +0d +0d +14 +14 +18 +1a +1b +1f +20 +20 +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +24 +24 +27 +27 +27 +27 +27 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +27 +28 +28 +27 +27 +28 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +32 +32 +32 +32 +2e +32 +32 +32 +32 +32 +2e +2e +32 +32 +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +2a +27 +27 +24 +20 +1f +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +0e +0d +08 +06 +06 +06 +06 +06 +06 +08 +0d +0d +14 +14 +18 +1b +1b +1f +1f +20 +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +24 +27 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +27 +27 +27 +28 +28 +28 +28 +27 +27 +27 +27 +28 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +32 +2e +2e +32 +32 +32 +32 +32 +32 +2e +32 +32 +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +28 +27 +27 +27 +24 +1f +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +0d +0d +08 +06 +06 +06 +06 +06 +06 +08 +0d +0d +14 +15 +18 +1b +1b +1f +1f +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +24 +27 +24 +27 +24 +27 +27 +24 +24 +27 +27 +27 +27 +27 +27 +27 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +32 +2e +2e +2e +2e +32 +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2a +28 +28 +27 +27 +24 +1f +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +12 +0d +08 +06 +06 +06 +06 +06 +06 +06 +0d +0d +0d +14 +15 +18 +1b +1f +1f +20 +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +24 +24 +27 +27 +27 +24 +24 +24 +27 +27 +27 +24 +27 +27 +27 +27 +27 +27 +27 +27 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +32 +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +28 +28 +28 +27 +27 +24 +1f +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +0e +0d +06 +06 +06 +06 +06 +06 +06 +06 +0d +0d +13 +14 +15 +18 +1b +1f +1f +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +27 +27 +27 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +34 +2e +2e +2e +2e +2e +2d +2d +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +28 +28 +28 +27 +27 +24 +1f +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +12 +0d +08 +06 +06 +06 +06 +06 +06 +06 +08 +0d +0d +13 +14 +15 +1a +1b +1f +1f +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +28 +28 +27 +28 +28 +28 +28 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +32 +32 +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +28 +28 +28 +27 +27 +24 +1f +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +14 +0d +09 +06 +06 +06 +06 +06 +06 +06 +06 +08 +0d +0d +14 +14 +15 +1a +1b +1f +1f +20 +20 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +24 +27 +27 +24 +24 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +27 +28 +27 +27 +27 +27 +27 +27 +27 +27 +28 +27 +27 +28 +28 +27 +27 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +28 +2a +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +2e +32 +2e +2e +2e +2e +2e +2e +2e +2e +2d +2d +2e +2e +2d +2d +2d +2e +2e +2e +2e +2e +2e +2e +2d +2d +2d +2d +2d +2d +2d +2d +28 +28 +28 +28 +27 +24 +1f +1b +18 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 +15 diff --git a/examples/nexys_a7/video_sprite/data/palette.mem b/examples/nexys_a7/video_sprite/data/palette.mem new file mode 100644 index 0000000..6188001 --- /dev/null +++ b/examples/nexys_a7/video_sprite/data/palette.mem @@ -0,0 +1,256 @@ +fed +fec +eed +fdd +fdc +edd +edc +edb +ddc +ddb +ecc +ecb +dcc +dcb +dca +ccb +cca +dbb +dba +cbb +cba +cb9 +cb8 +caa +ca9 +ca8 +ba9 +ba8 +c99 +c98 +b99 +b98 +b97 +b88 +b87 +a98 +a97 +a96 +a88 +a87 +a86 +987 +986 +a76 +977 +976 +975 +966 +965 +876 +875 +866 +865 +864 +765 +764 +855 +854 +853 +755 +754 +753 +844 +843 +744 +743 +654 +653 +644 +643 +642 +543 +542 +733 +732 +633 +632 +722 +622 +533 +532 +522 +521 +432 +431 +422 +421 +321 +511 +411 +311 +310 +210 +300 +200 +100 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 \ No newline at end of file diff --git a/examples/nexys_a7/video_sprite/lab-bc.py b/examples/nexys_a7/video_sprite/lab-bc.py new file mode 100644 index 0000000..a5f0cad --- /dev/null +++ b/examples/nexys_a7/video_sprite/lab-bc.py @@ -0,0 +1,241 @@ +import atexit +import getopt +import os +import subprocess +import signal +import sys +import time +import pathlib +import platform + +progname = sys.argv[0] + +diagnostics = False +quiet = False +verbose = False + +port = 80 +machine = "eecs-digital-56.mit.edu" +projectdir = "." +of = "obj" + +p = False + +user = "builder" +outfile = f"{of}/out.bit" +logfile = f"{of}/build.log" + +synthrpt = [ + "report_timing", + "report_timing_summary", + "report_utilization", + ] + +placerpt = synthrpt.copy() +placerpt.extend(['report_clock_utilization']) + +routerpt = [ + 'report_drc', + 'report_power', + 'report_route_status', + 'report_timing', + 'report_timing_summary', + ] + +usagestr = f""" +{progname}: build SystemVerilog code remotely for 2022 6.205 labs +usage: {progname} [-dqv] [-m machine] [-p projectdir] [-o dir] +options: + -d: emit additional diagnostics during synthesis/implementation + -q: quiet: do not generate any vivado logs except for errors. + -v: be verbose (for debugging stuffs / if you see a bug) + -m: override the DNS name queried to perform the build. use with care. + -p: build the project located in projectdir (default is '.') + -o: set the output products directory (default is {of}) +""" + +def debuglog(s): + if verbose: print(s) + +def usage(): + print(usagestr) + sys.exit(1) + +def getargs(): + global diagnostics + global quiet + global machine + global logfile + global outfile + global projectdir + global of + global verbose + + try: + opts, args = getopt.getopt(sys.argv[1:], "dm:o:p:qv") + except getopt.GetoptError as err: + print(err) + usage() + + if args: usage() + for o, v in opts: + if o == '-d': diagnostics = True + elif o == '-q': quiet = True + elif o == '-m': machine = v + elif o == '-p': projectdir = v + elif o == '-o': of = v + elif o == '-v': verbose = True + else: + print(f"unrecognized option {o}") + usage() + + outfile = f"{of}/out.bit" + logfile = f"{of}/build.log" + +def make_posix(path): + return str(pathlib.Path(path).as_posix()) + +def regfiles(): + ftt = {} + debuglog(f"projectdir is {projectdir}") + for dirpath, subdirs, files in os.walk(projectdir): + if 'src' not in dirpath and 'xdc' not in dirpath and 'data' not in dirpath: + continue + if dirpath.startswith("./"): dirpath = dirpath[2:] + for file in files: + fpath = os.path.join(dirpath, file) + debuglog(f"considering {fpath}") + fpath = make_posix(fpath) + + if file.lower().endswith('.v'): ftt[fpath] = 'source' + elif file.lower().endswith('.sv'): ftt[fpath] = 'source' + elif file.lower().endswith('.vh'): ftt[fpath] = 'source' + elif file.lower().endswith('.svh'): ftt[fpath] = 'source' + elif file.lower().endswith('.xdc'): ftt[fpath] = 'xdc' + elif file.lower().endswith('.mem'): ftt[fpath] = 'mem' + + debuglog(f"elaborated file list {ftt}") + return ftt + +# messages are newline delineated per lab-bs.1 +# utilize this to cheat a little bit +def spqsend(p, msg): + debuglog(f"writing {len(msg)} bytes over the wire") + debuglog(f"full message: {msg}") + p.stdin.write(msg + b'\n') + p.stdin.flush() + +def spsend(p, msg): + debuglog(f"running {msg}") + p.stdin.write((msg + '\n').encode()) + p.stdin.flush() + +def sprecv(p): + l = p.stdout.readline().decode() + debuglog(f"got {l}") + return l + +def xsprecv(p): + l = sprecv(p) + if (l.startswith("ERR")): + print("received unexpected server error!") + print(l) + sys.exit(1) + return l + +def spstart(xargv): + debuglog(f"spawning {xargv}") + p = subprocess.PIPE + return subprocess.Popen(xargv, stdin=p, stdout=p, stderr=p) + +def copyfiles(p, ftt): + for f, t in ftt.items(): + fsize = os.path.getsize(f) + with open(f, 'rb') as fd: + spsend(p, f"write {f} {fsize}") + time.sleep(0.1) #? + spqsend(p, fd.read()) + xsprecv(p) + + spsend(p, f"type {f} {t}") + xsprecv(p) + +# size message returns ... %zu bytes +def readfile(p, file, targetfile): + spsend(p, f"size {file}") + size = int(xsprecv(p).split()[-2]) + spsend(p, f"read {file}") + + with open(targetfile, 'wb+') as fd: + fd.write(p.stdout.read(size)) + + xsprecv(p) + +def build(p): + cmd = "build" + if diagnostics: cmd += " -d" + if quiet: cmd += " -q" + cmd += f" obj" + + print(f"Output target will be {outfile}") + + spsend(p, cmd) + print("Building your code ... (this may take a while, be patient)") + result = sprecv(p) + + if result.startswith("ERR"): print("Something went wrong!") + else: + readfile(p, "obj/out.bit", outfile) + print(f"Build succeeded, output at {outfile}") + + readfile(p, "obj/build.log", logfile) + print(f"Log file available at {logfile}") + + if (diagnostics): + for rpt in synthrpt: + readfile(p, f"obj/synthrpt_{rpt}.rpt", f"{of}/synthrpt_{rpt}.rpt") + for rpt in placerpt: + readfile(p, f"obj/placerpt_{rpt}.rpt", f"{of}/placerpt_{rpt}.rpt") + for rpt in routerpt: + readfile(p, f"obj/routerpt_{rpt}.rpt", f"{of}/routerpt_{rpt}.rpt") + print(f"Diagnostics available in {of}") + +def main(): + global p + getargs() + ftt = regfiles() + + if not os.path.isdir(of): + print(f"output path {of} does not exist! create it or use -o?") + usage() + + if platform.system() == 'Darwin' or platform.system() == 'Linux': + xargv = ['ssh', '-p', f"{port}", '-o', "StrictHostKeyChecking=no", '-o', 'UserKnownHostsFile=/dev/null'] + + elif platform.system() == 'Windows': + xargv = ['ssh', '-p', f"{port}", '-o', "StrictHostKeyChecking=no", '-o', 'UserKnownHostsFile=nul'] + + else: + raise RuntimeError('Your OS is not recognized, unsure of how to format SSH command.') + + + xargv.append(f"{user}@{machine}") + p = spstart(xargv) + + spsend(p, "help") + result = xsprecv(p) + debuglog(result) + + copyfiles(p, ftt) + build(p) + spsend(p, "exit") + p.wait() + +if __name__ == "__main__": + try: main() + except (Exception, KeyboardInterrupt) as e: + if p: + debuglog("killing ssh") + os.kill(p.pid, signal.SIGINT) + p.wait() + raise e diff --git a/examples/nexys_a7/video_sprite/sim/alpha_tb.sv b/examples/nexys_a7/video_sprite/sim/alpha_tb.sv new file mode 100644 index 0000000..067f21c --- /dev/null +++ b/examples/nexys_a7/video_sprite/sim/alpha_tb.sv @@ -0,0 +1,51 @@ +`timescale 1ns / 1ps +`default_nettype none + +module alpha_tester(input wire [2:0] alpha_in, + input wire [11:0] a_in, + input wire [11:0] b_in, + output logic [11:0] pixel_out); + + // your (combinational) alpha blending logic goes here! + // replace the code below with your bit math + logic [3:0] r, g, b; + assign r = 0; + assign g = 0; + assign b = 0; + assign pixel_out = {r, g, b}; +endmodule + +module alpha_tb; + logic [2:0] alpha_in; + logic [11:0] a_in; + logic [11:0] b_in; + logic [11:0] pixel_out; + + alpha_tester uut (.alpha_in(alpha_in), + .a_in(a_in), + .b_in(b_in), + .pixel_out(pixel_out)); + + //initial block...this is our test simulation + initial begin + $dumpfile("alpha.vcd"); //file to store value change dump (vcd) + $dumpvars(0,alpha_tb); //store everything at the current level and below + $display("Starting Sim"); //print nice message + a_in = 12'hF00; + b_in = 12'hFFF; + alpha_in = 0; + #10 //wait a little bit of time at beginning + $display("a_in = %12b b_in = %12b",a_in, b_in); + for (integer i = 0; i<5; i= i+1)begin + alpha_in = i; + #10; + $display("alpha_in = %d pixel_out = %03h", alpha_in, pixel_out); + end + #100; + $display("Finishing Sim"); //print nice message + $finish; + + end +endmodule //counter_tb + +`default_nettype wire \ No newline at end of file diff --git a/examples/nexys_a7/video_sprite/sim/image_sprite_tb.sv b/examples/nexys_a7/video_sprite/sim/image_sprite_tb.sv new file mode 100644 index 0000000..a191f2a --- /dev/null +++ b/examples/nexys_a7/video_sprite/sim/image_sprite_tb.sv @@ -0,0 +1,50 @@ +`timescale 1ns / 1ps +`default_nettype none + +module image_sprite_tb; + + //make logics for inputs and outputs! + logic pixel_clk_in; + logic rst_in; + logic [11:0] pixel_out; + logic [10:0] hcount_in; + + image_sprite #(.WIDTH(256), .HEIGHT(256)) + uut + ( .pixel_clk_in(pixel_clk_in), + .rst_in(rst_in), + .x_in(11'd256), + .hcount_in(hcount_in), + .y_in(10'd256), + .vcount_in(10'd380), + .pixel_out(pixel_out) + ); + always begin + #5; //every 5 ns switch...so period of clock is 10 ns...100 MHz clock + pixel_clk_in = !pixel_clk_in; + end + + //initial block...this is our test simulation + initial begin + $dumpfile("image_sprite.vcd"); //file to store value change dump (vcd) + $dumpvars(0,image_sprite_tb); //store everything at the current level and below + $display("Starting Sim"); //print nice message + pixel_clk_in = 0; //initialize clk (super important) + rst_in = 0; //initialize rst (super important) + hcount_in = 0; + #10 //wait a little bit of time at beginning + rst_in = 1; //reset system + #10; //hold high for a few clock cycles + rst_in=0; + #10; + for (hcount_in = 0; hcount_in<1025; hcount_in = hcount_in + 1)begin + #10; + end + #100; + $display("Finishing Sim"); //print nice message + $finish; + + end +endmodule //counter_tb + +`default_nettype wire diff --git a/examples/nexys_a7/video_sprite/src/clk_wiz_lab3.v b/examples/nexys_a7/video_sprite/src/clk_wiz_lab3.v new file mode 100644 index 0000000..ac5a088 --- /dev/null +++ b/examples/nexys_a7/video_sprite/src/clk_wiz_lab3.v @@ -0,0 +1,176 @@ +// file: clk_wiz_lab3.v +// +// (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +//---------------------------------------------------------------------------- +// User entered comments +//---------------------------------------------------------------------------- +// None +// +//---------------------------------------------------------------------------- +// Output Output Phase Duty Cycle Pk-to-Pk Phase +// Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) +//---------------------------------------------------------------------------- +// CLK_OUT1____65.000______0.000______50.0______254.866____297.890 +// +//---------------------------------------------------------------------------- +// Input Clock Freq (MHz) Input Jitter (UI) +//---------------------------------------------------------------------------- +// __primary_________100.000____________0.010 + +`timescale 1ps/1ps + +module clk_wiz_lab3 + (// Clock in ports + input clk_in1, + // Clock out ports + output clk_out1 + ); + + // Input buffering + //------------------------------------ + IBUF clkin1_ibufg + (.O (clk_in1_clk_wiz_0), + .I (clk_in1)); + + + + // Clocking PRIMITIVE + //------------------------------------ + + // Instantiation of the MMCM PRIMITIVE + // * Unused inputs are tied off + // * Unused outputs are labeled unused + wire [15:0] do_unused; + wire drdy_unused; + wire psdone_unused; + wire locked_int; + wire clkfbout_clk_wiz_0; + wire clkfbout_buf_clk_wiz_0; + wire clkfboutb_unused; + wire clkout0b_unused; + wire clkout1_unused; + wire clkout1b_unused; + wire clkout2_unused; + wire clkout2b_unused; + wire clkout3_unused; + wire clkout3b_unused; + wire clkout4_unused; + wire clkout5_unused; + wire clkout6_unused; + wire clkfbstopped_unused; + wire clkinstopped_unused; + + MMCME2_ADV + #(.BANDWIDTH ("OPTIMIZED"), + .CLKOUT4_CASCADE ("FALSE"), + .COMPENSATION ("ZHOLD"), + .STARTUP_WAIT ("FALSE"), + .DIVCLK_DIVIDE (5), + .CLKFBOUT_MULT_F (50.375), + .CLKFBOUT_PHASE (0.000), + .CLKFBOUT_USE_FINE_PS ("FALSE"), + .CLKOUT0_DIVIDE_F (15.500), + .CLKOUT0_PHASE (0.000), + .CLKOUT0_DUTY_CYCLE (0.500), + .CLKOUT0_USE_FINE_PS ("FALSE"), + .CLKIN1_PERIOD (10.0)) + mmcm_adv_inst + // Output clocks + ( + .CLKFBOUT (clkfbout_clk_wiz_0), + .CLKFBOUTB (clkfboutb_unused), + .CLKOUT0 (clk_out1_clk_wiz_0), + .CLKOUT0B (clkout0b_unused), + .CLKOUT1 (clkout1_unused), + .CLKOUT1B (clkout1b_unused), + .CLKOUT2 (clkout2_unused), + .CLKOUT2B (clkout2b_unused), + .CLKOUT3 (clkout3_unused), + .CLKOUT3B (clkout3b_unused), + .CLKOUT4 (clkout4_unused), + .CLKOUT5 (clkout5_unused), + .CLKOUT6 (clkout6_unused), + // Input clock control + .CLKFBIN (clkfbout_buf_clk_wiz_0), + .CLKIN1 (clk_in1_clk_wiz_0), + .CLKIN2 (1'b0), + // Tied to always select the primary input clock + .CLKINSEL (1'b1), + // Ports for dynamic reconfiguration + .DADDR (7'h0), + .DCLK (1'b0), + .DEN (1'b0), + .DI (16'h0), + .DO (do_unused), + .DRDY (drdy_unused), + .DWE (1'b0), + // Ports for dynamic phase shift + .PSCLK (1'b0), + .PSEN (1'b0), + .PSINCDEC (1'b0), + .PSDONE (psdone_unused), + // Other control and status signals + .LOCKED (locked_int), + .CLKINSTOPPED (clkinstopped_unused), + .CLKFBSTOPPED (clkfbstopped_unused), + .PWRDWN (1'b0), + .RST (1'b0)); + + + + // Output buffering + //----------------------------------- + + BUFG clkf_buf + (.O (clkfbout_buf_clk_wiz_0), + .I (clkfbout_clk_wiz_0)); + + BUFG clkout1_buf + (.O (clk_out1), + .I (clk_out1_clk_wiz_0)); +endmodule \ No newline at end of file diff --git a/examples/nexys_a7/video_sprite/src/image_sprite.sv b/examples/nexys_a7/video_sprite/src/image_sprite.sv new file mode 100644 index 0000000..0b2f8fb --- /dev/null +++ b/examples/nexys_a7/video_sprite/src/image_sprite.sv @@ -0,0 +1,64 @@ +`timescale 1ns / 1ps +`default_nettype none + +`include "iverilog_hack.svh" + +module image_sprite #( + parameter WIDTH=256, HEIGHT=256) ( + input wire pixel_clk_in, + input wire rst_in, + input wire [10:0] x_in, hcount_in, + input wire [9:0] y_in, vcount_in, + output logic [11:0] pixel_out); + + // calculate rom address + logic [$clog2(WIDTH*HEIGHT)-1:0] image_addr; + assign image_addr = (hcount_in - x_in) + ((vcount_in - y_in) * WIDTH); + + logic in_sprite; + assign in_sprite = ((hcount_in >= x_in && hcount_in < (x_in + WIDTH)) && + (vcount_in >= y_in && vcount_in < (y_in + HEIGHT))); + + // image BRAM + xilinx_single_port_ram_read_first #( + .RAM_WIDTH(8), + .RAM_DEPTH(WIDTH*HEIGHT), + .RAM_PERFORMANCE("HIGH_PERFORMANCE"), + .INIT_FILE(`FPATH(image.mem)) + ) image_bram ( + .addra(image_addr), + .dina(), + .clka(pixel_clk_in), + .wea(1'b0), + .ena(1'b1), + .rsta(1'b0), + .regcea(1'b1), + .douta(color_lookup) + ); + + // lookup + logic [7:0] color_lookup; + + // pallete BRAM + xilinx_single_port_ram_read_first #( + .RAM_WIDTH(12), + .RAM_DEPTH(256), + .RAM_PERFORMANCE("HIGH_PERFORMANCE"), + .INIT_FILE(`FPATH(pallete.mem)) + ) pallete_bram ( + .addra(color_lookup), + .dina(), + .clka(pixel_clk_in), + .wea(1'b0), + .ena(1'b1), + .rsta(1'b0), + .regcea(1'b1), + .douta(color) + ); + + logic [11:0] color; + + assign pixel_out = in_sprite ? color : 0; +endmodule + +`default_nettype none diff --git a/examples/nexys_a7/video_sprite/src/iverilog_hack.svh b/examples/nexys_a7/video_sprite/src/iverilog_hack.svh new file mode 100644 index 0000000..3eea34c --- /dev/null +++ b/examples/nexys_a7/video_sprite/src/iverilog_hack.svh @@ -0,0 +1,5 @@ +`ifdef SYNTHESIS +`define FPATH(X) `"X`" +`else /* ! SYNTHESIS */ +`define FPATH(X) `"data/X`" +`endif /* ! SYNTHESIS */ diff --git a/examples/nexys_a7/video_sprite/src/top_level.sv b/examples/nexys_a7/video_sprite/src/top_level.sv new file mode 100644 index 0000000..18ad33f --- /dev/null +++ b/examples/nexys_a7/video_sprite/src/top_level.sv @@ -0,0 +1,54 @@ +`timescale 1ns / 1ps +`default_nettype none + +module top_level( + input wire clk_100mhz, + input wire [15:0] sw, + input wire btnc, btnu, btnl, btnr, btnd, + + output logic [15:0] led, + + output logic [3:0] vga_r, vga_g, vga_b, + output logic vga_hs, vga_vs + ); + + /* Video Pipeline */ + logic clk_65mhz; + + clk_wiz_lab3 clk_gen( + .clk_in1(clk_100mhz), + .clk_out1(clk_65mhz)); + + logic [10:0] hcount; // pixel on current line + logic [9:0] vcount; // line number + logic hsync, vsync, blank; //control signals for vga + + vga vga_gen( + .pixel_clk_in(clk_65mhz), + .hcount_out(hcount), + .vcount_out(vcount), + .hsync_out(hsync), + .vsync_out(vsync), + .blank_out(blank)); + + image_sprite img_sprite ( + .pixel_clk_in(clk_65mhz), + .rst_in(btnc), + .x_in(0), + .hcount_in(hcount), + .y_in(0), + .vcount_in(vcount), + .pixel_out(color)); + + logic [11:0] color; + + // the following lines are required for the Nexys4 VGA circuit - do not change + assign vga_r = ~blank ? color[11:8]: 0; + assign vga_g = ~blank ? color[7:4] : 0; + assign vga_b = ~blank ? color[3:0] : 0; + + assign vga_hs = ~hsync; + assign vga_vs = ~vsync; +endmodule + +`default_nettype wire diff --git a/examples/nexys_a7/video_sprite/src/vga.sv b/examples/nexys_a7/video_sprite/src/vga.sv new file mode 100644 index 0000000..3fa787f --- /dev/null +++ b/examples/nexys_a7/video_sprite/src/vga.sv @@ -0,0 +1,68 @@ + +/* vga: Generate VGA display signals (1024 x 768 @ 60Hz) + * + * ---- HORIZONTAL ----- ------VERTICAL ----- + * Active Active + * Freq Video FP Sync BP Video FP Sync BP + * 640x480, 60Hz 25.175 640 16 96 48 480 11 2 31 + * 800x600, 60Hz 40.000 800 40 128 88 600 1 4 23 + * 1024x768, 60Hz 65.000 1024 24 136 160 768 3 6 29 + * 1280x1024, 60Hz 108.00 1280 48 112 248 768 1 3 38 + * 1280x720p 60Hz 75.25 1280 72 80 216 720 3 5 30 + * 1920x1080 60Hz 148.5 1920 88 44 148 1080 4 5 36 + * + * change the clock frequency, front porches, sync's, and back porches to create + * other screen resolutions + */ + +module vga( + input wire pixel_clk_in, + output logic [10:0] hcount_out, // pixel number on current line + output logic [9:0] vcount_out, // line number + output logic vsync_out, hsync_out, + output logic blank_out); + + parameter DISPLAY_WIDTH = 1024; // display width + parameter DISPLAY_HEIGHT = 768; // number of lines + + parameter H_FP = 24; // horizontal front porch + parameter H_SYNC_PULSE = 136; // horizontal sync + parameter H_BP = 160; // horizontal back porch + + parameter V_FP = 3; // vertical front porch + parameter V_SYNC_PULSE = 6; // vertical sync + parameter V_BP = 29; // vertical back porch + + // horizontal: 1344 pixels total + // display 1024 pixels per line + logic hblank,vblank; + logic hsyncon,hsyncoff,hreset,hblankon; + assign hblankon = (hcount_out == (DISPLAY_WIDTH -1)); + assign hsyncon = (hcount_out == (DISPLAY_WIDTH + H_FP - 1)); //1047 + assign hsyncoff = (hcount_out == (DISPLAY_WIDTH + H_FP + H_SYNC_PULSE - 1)); // 1183 + assign hreset = (hcount_out == (DISPLAY_WIDTH + H_FP + H_SYNC_PULSE + H_BP - 1)); //1343 + + // vertical: 806 lines total + // display 768 lines + logic vsyncon,vsyncoff,vreset,vblankon; + assign vblankon = hreset & (vcount_out == (DISPLAY_HEIGHT - 1)); // 767 + assign vsyncon = hreset & (vcount_out == (DISPLAY_HEIGHT + V_FP - 1)); // 771 + assign vsyncoff = hreset & (vcount_out == (DISPLAY_HEIGHT + V_FP + V_SYNC_PULSE - 1)); // 777 + assign vreset = hreset & (vcount_out == (DISPLAY_HEIGHT + V_FP + V_SYNC_PULSE + V_BP - 1)); // 805 + + // sync and blanking + logic next_hblank,next_vblank; + assign next_hblank = hreset ? 0 : hblankon ? 1 : hblank; + assign next_vblank = vreset ? 0 : vblankon ? 1 : vblank; + always_ff @(posedge pixel_clk_in) begin + hcount_out <= hreset ? 0 : hcount_out + 1; + hblank <= next_hblank; + hsync_out <= hsyncon ? 0 : hsyncoff ? 1 : hsync_out; // active low + + vcount_out <= hreset ? (vreset ? 0 : vcount_out + 1) : vcount_out; + vblank <= next_vblank; + vsync_out <= vsyncon ? 0 : vsyncoff ? 1 : vsync_out; // active low + + blank_out <= next_vblank | (next_hblank & ~hreset); + end +endmodule \ No newline at end of file diff --git a/examples/nexys_a7/video_sprite/src/xilinx_single_port_ram_read_first.v b/examples/nexys_a7/video_sprite/src/xilinx_single_port_ram_read_first.v new file mode 100644 index 0000000..f1ac8b2 --- /dev/null +++ b/examples/nexys_a7/video_sprite/src/xilinx_single_port_ram_read_first.v @@ -0,0 +1,102 @@ + +// Xilinx Single Port Read First RAM +// This code implements a parameterizable single-port read-first memory where when data +// is written to the memory, the output reflects the prior contents of the memory location. +// If the output data is not needed during writes or the last read value is desired to be +// retained, it is suggested to set WRITE_MODE to NO_CHANGE as it is more power efficient. +// If a reset or enable is not necessary, it may be tied off or removed from the code. +// Modify the parameters for the desired RAM characteristics. + +module xilinx_single_port_ram_read_first #( + parameter RAM_WIDTH = 18, // Specify RAM data width + parameter RAM_DEPTH = 1024, // Specify RAM depth (number of entries) + parameter RAM_PERFORMANCE = "HIGH_PERFORMANCE", // Select "HIGH_PERFORMANCE" or "LOW_LATENCY" + parameter INIT_FILE = "" // Specify name/location of RAM initialization file if using one (leave blank if not) +) ( + input [clogb2(RAM_DEPTH-1)-1:0] addra, // Address bus, width determined from RAM_DEPTH + input [RAM_WIDTH-1:0] dina, // RAM input data + input clka, // Clock + input wea, // Write enable + input ena, // RAM Enable, for additional power savings, disable port when not in use + input rsta, // Output reset (does not affect memory contents) + input regcea, // Output register enable + output [RAM_WIDTH-1:0] douta // RAM output data +); + + reg [RAM_WIDTH-1:0] BRAM [RAM_DEPTH-1:0]; + reg [RAM_WIDTH-1:0] ram_data = {RAM_WIDTH{1'b0}}; + + // The following code either initializes the memory values to a specified file or to all zeros to match hardware + generate + if (INIT_FILE != "") begin: use_init_file + initial + $readmemh(INIT_FILE, BRAM, 0, RAM_DEPTH-1); + end else begin: init_bram_to_zero + integer ram_index; + initial + for (ram_index = 0; ram_index < RAM_DEPTH; ram_index = ram_index + 1) + BRAM[ram_index] = {RAM_WIDTH{1'b0}}; + end + endgenerate + + always @(posedge clka) + if (ena) begin + if (wea) + BRAM[addra] <= dina; + ram_data <= BRAM[addra]; + end + + // The following code generates HIGH_PERFORMANCE (use output register) or LOW_LATENCY (no output register) + generate + if (RAM_PERFORMANCE == "LOW_LATENCY") begin: no_output_register + + // The following is a 1 clock cycle read latency at the cost of a longer clock-to-out timing + assign douta = ram_data; + + end else begin: output_register + + // The following is a 2 clock cycle read latency with improve clock-to-out timing + + reg [RAM_WIDTH-1:0] douta_reg = {RAM_WIDTH{1'b0}}; + + always @(posedge clka) + if (rsta) + douta_reg <= {RAM_WIDTH{1'b0}}; + else if (regcea) + douta_reg <= ram_data; + + assign douta = douta_reg; + + end + endgenerate + + // The following function calculates the address width based on specified RAM depth + function integer clogb2; + input integer depth; + for (clogb2=0; depth>0; clogb2=clogb2+1) + depth = depth >> 1; + endfunction + +endmodule + +// The following is an instantiation template for xilinx_single_port_ram_read_first +/* + // Xilinx Single Port Read First RAM + xilinx_single_port_ram_read_first #( + .RAM_WIDTH(18), // Specify RAM data width + .RAM_DEPTH(1024), // Specify RAM depth (number of entries) + .RAM_PERFORMANCE("HIGH_PERFORMANCE"), // Select "HIGH_PERFORMANCE" or "LOW_LATENCY" + .INIT_FILE(`FPATH(data.mem)) // Specify name/location of RAM initialization file if using one (leave blank if not) + ) your_instance_name ( + .addra(addra), // Address bus, width determined from RAM_DEPTH + .dina(dina), // RAM input data, width determined from RAM_WIDTH + .clka(clka), // Clock + .wea(wea), // Write enable + .ena(ena), // RAM Enable, for additional power savings, disable port when not in use + .rsta(rsta), // Output reset (does not affect memory contents) + .regcea(regcea), // Output register enable + .douta(douta) // RAM output data, width determined from RAM_WIDTH + ); +*/ + + diff --git a/examples/nexys_a7/video_sprite/util/buff_doge.png b/examples/nexys_a7/video_sprite/util/buff_doge.png new file mode 100644 index 0000000..d504d02 Binary files /dev/null and b/examples/nexys_a7/video_sprite/util/buff_doge.png differ diff --git a/examples/nexys_a7/video_sprite/util/death_star.png b/examples/nexys_a7/video_sprite/util/death_star.png new file mode 100644 index 0000000..c16cdd6 Binary files /dev/null and b/examples/nexys_a7/video_sprite/util/death_star.png differ diff --git a/examples/nexys_a7/video_sprite/util/img_to_mem.py b/examples/nexys_a7/video_sprite/util/img_to_mem.py new file mode 100644 index 0000000..a5edb3e --- /dev/null +++ b/examples/nexys_a7/video_sprite/util/img_to_mem.py @@ -0,0 +1,48 @@ +import sys +from PIL import Image, ImageOps + +if __name__ == "__main__": + if len(sys.argv) < 2: + print("Usage: {0} ".format(sys.argv[0])) + + else: + input_fname = sys.argv[1] + image_in = Image.open(input_fname) + image_in = image_in.convert('RGB') + + num_colors_out = 256 + w, h = image_in.size + print(f'Reducing {input_fname} of size {w}x{h} to {num_colors_out} unique colors.') + + # Take input image and divide each color channel's value by 16 + preview = image_in.copy() + image_out = image_in.copy() + + for y in range(h): + for x in range(w): + r, g, b = image_in.getpixel((x, y)) + image_out.putpixel((x,y), (r//16, g//16, b//16)) + preview.putpixel((x,y), ((r//16)*16, (g//16)*16, (b//16)*16) ) + + # Save the image preview + preview.save('preview.png') + print('Output image preview saved at preview.png') + + # Palettize the image + image_out = image_out.convert(mode='P', palette=1, colors=num_colors_out) + palette = image_out.getpalette() + rgb_tuples = [tuple(palette[i:i+3]) for i in range(0, 3*num_colors_out, 3)] + + # Save pallete + with open(f'palette.mem', 'w') as f: + f.write( '\n'.join( [f'{r:01x}{g:01x}{b:01x}' for r, g, b in rgb_tuples] ) ) + + print('Output image pallete saved at palette.mem') + + # Save the image itself + with open(f'image.mem', 'w') as f: + for y in range(h): + for x in range(w): + f.write(f'{image_out.getpixel((x,y)):02x}\n') + + print('Output image saved at image.mem') \ No newline at end of file diff --git a/examples/nexys_a7/video_sprite/util/no_testbenches.png b/examples/nexys_a7/video_sprite/util/no_testbenches.png new file mode 100644 index 0000000..52ce7a5 Binary files /dev/null and b/examples/nexys_a7/video_sprite/util/no_testbenches.png differ diff --git a/examples/nexys_a7/video_sprite/util/pop_cat.png b/examples/nexys_a7/video_sprite/util/pop_cat.png new file mode 100644 index 0000000..629e6f9 Binary files /dev/null and b/examples/nexys_a7/video_sprite/util/pop_cat.png differ diff --git a/examples/nexys_a7/video_sprite/xdc/top_level.xdc b/examples/nexys_a7/video_sprite/xdc/top_level.xdc new file mode 100644 index 0000000..a6c3e9e --- /dev/null +++ b/examples/nexys_a7/video_sprite/xdc/top_level.xdc @@ -0,0 +1,259 @@ +## R1.0 2019-08-27 +## Updated by jodalyst in 2020-2022 +## all inputs/outputs changed to lowercase; arrays start with zero. +## system clock renamed to clk_100mhz +## ja, jb, jc, jd renamed to 0-7 +## xa port renamed 0-3 +## seven segments renamed to a,b,c,d,e,f,dp + +## This file is a general .xdc for the Nexys4 DDR Rev. C +## To use it in a project: +## - uncomment the lines corresponding to used pins +## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project + +## Clock signal +set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { clk_100mhz }]; #IO_L12P_T1_MRCC_35 Sch=clk_100mhz +create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports {clk_100mhz}]; + + +##Switches + +set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { sw[0] }]; #IO_L24N_T3_RS0_15 Sch=sw[0] +set_property -dict { PACKAGE_PIN L16 IOSTANDARD LVCMOS33 } [get_ports { sw[1] }]; #IO_L3N_T0_DQS_EMCCLK_14 Sch=sw[1] +set_property -dict { PACKAGE_PIN M13 IOSTANDARD LVCMOS33 } [get_ports { sw[2] }]; #IO_L6N_T0_D08_VREF_14 Sch=sw[2] +set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33 } [get_ports { sw[3] }]; #IO_L13N_T2_MRCC_14 Sch=sw[3] +set_property -dict { PACKAGE_PIN R17 IOSTANDARD LVCMOS33 } [get_ports { sw[4] }]; #IO_L12N_T1_MRCC_14 Sch=sw[4] +set_property -dict { PACKAGE_PIN T18 IOSTANDARD LVCMOS33 } [get_ports { sw[5] }]; #IO_L7N_T1_D10_14 Sch=sw[5] +set_property -dict { PACKAGE_PIN U18 IOSTANDARD LVCMOS33 } [get_ports { sw[6] }]; #IO_L17N_T2_A13_D29_14 Sch=sw[6] +set_property -dict { PACKAGE_PIN R13 IOSTANDARD LVCMOS33 } [get_ports { sw[7] }]; #IO_L5N_T0_D07_14 Sch=sw[7] +set_property -dict { PACKAGE_PIN T8 IOSTANDARD LVCMOS18 } [get_ports { sw[8] }]; #IO_L24N_T3_34 Sch=sw[8] +set_property -dict { PACKAGE_PIN U8 IOSTANDARD LVCMOS18 } [get_ports { sw[9] }]; #IO_25_34 Sch=sw[9] +set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33 } [get_ports { sw[10] }]; #IO_L15P_T2_DQS_RDWR_B_14 Sch=sw[10] +set_property -dict { PACKAGE_PIN T13 IOSTANDARD LVCMOS33 } [get_ports { sw[11] }]; #IO_L23P_T3_A03_D19_14 Sch=sw[11] +set_property -dict { PACKAGE_PIN H6 IOSTANDARD LVCMOS33 } [get_ports { sw[12] }]; #IO_L24P_T3_35 Sch=sw[12] +set_property -dict { PACKAGE_PIN U12 IOSTANDARD LVCMOS33 } [get_ports { sw[13] }]; #IO_L20P_T3_A08_D24_14 Sch=sw[13] +set_property -dict { PACKAGE_PIN U11 IOSTANDARD LVCMOS33 } [get_ports { sw[14] }]; #IO_L19N_T3_A09_D25_VREF_14 Sch=sw[14] +set_property -dict { PACKAGE_PIN V10 IOSTANDARD LVCMOS33 } [get_ports { sw[15] }]; #IO_L21P_T3_DQS_14 Sch=sw[15] + + +## LEDs + +set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33 } [get_ports { led[0] }]; #IO_L18P_T2_A24_15 Sch=led[0] +set_property -dict { PACKAGE_PIN K15 IOSTANDARD LVCMOS33 } [get_ports { led[1] }]; #IO_L24P_T3_RS1_15 Sch=led[1] +set_property -dict { PACKAGE_PIN J13 IOSTANDARD LVCMOS33 } [get_ports { led[2] }]; #IO_L17N_T2_A25_15 Sch=led[2] +set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33 } [get_ports { led[3] }]; #IO_L8P_T1_D11_14 Sch=led[3] +set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { led[4] }]; #IO_L7P_T1_D09_14 Sch=led[4] +set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports { led[5] }]; #IO_L18N_T2_A11_D27_14 Sch=led[5] +set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { led[6] }]; #IO_L17P_T2_A14_D30_14 Sch=led[6] +set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports { led[7] }]; #IO_L18P_T2_A12_D28_14 Sch=led[7] +set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports { led[8] }]; #IO_L16N_T2_A15_D31_14 Sch=led[8] +set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33 } [get_ports { led[9] }]; #IO_L14N_T2_SRCC_14 Sch=led[9] +set_property -dict { PACKAGE_PIN U14 IOSTANDARD LVCMOS33 } [get_ports { led[10] }]; #IO_L22P_T3_A05_D21_14 Sch=led[10] +set_property -dict { PACKAGE_PIN T16 IOSTANDARD LVCMOS33 } [get_ports { led[11] }]; #IO_L15N_T2_DQS_DOUT_CSO_B_14 Sch=led[11] +set_property -dict { PACKAGE_PIN V15 IOSTANDARD LVCMOS33 } [get_ports { led[12] }]; #IO_L16P_T2_CSI_B_14 Sch=led[12] +set_property -dict { PACKAGE_PIN V14 IOSTANDARD LVCMOS33 } [get_ports { led[13] }]; #IO_L22N_T3_A04_D20_14 Sch=led[13] +set_property -dict { PACKAGE_PIN V12 IOSTANDARD LVCMOS33 } [get_ports { led[14] }]; #IO_L20N_T3_A07_D23_14 Sch=led[14] +set_property -dict { PACKAGE_PIN V11 IOSTANDARD LVCMOS33 } [get_ports { led[15] }]; #IO_L21N_T3_DQS_A06_D22_14 Sch=led[15] + +#set_property -dict { PACKAGE_PIN R12 IOSTANDARD LVCMOS33 } [get_ports { led16_b }]; #IO_L5P_T0_D06_14 Sch=led16_b +#set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33 } [get_ports { led16_g }]; #IO_L10P_T1_D14_14 Sch=led16_g +#set_property -dict { PACKAGE_PIN N15 IOSTANDARD LVCMOS33 } [get_ports { led16_r }]; #IO_L11P_T1_SRCC_14 Sch=led16_r +#set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports { led17_b }]; #IO_L15N_T2_DQS_ADV_B_15 Sch=led17_b +#set_property -dict { PACKAGE_PIN R11 IOSTANDARD LVCMOS33 } [get_ports { led17_g }]; #IO_0_14 Sch=led17_g +#set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33 } [get_ports { led17_r }]; #IO_L11N_T1_SRCC_14 Sch=led17_r + + +##7 segment display + +# set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { ca }]; #IO_L24N_T3_A00_D16_14 Sch=ca +# set_property -dict { PACKAGE_PIN R10 IOSTANDARD LVCMOS33 } [get_ports { cb }]; #IO_25_14 Sch=cb +# set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports { cc }]; #IO_25_15 Sch=cc +# set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33 } [get_ports { cd }]; #IO_L17P_T2_A26_15 Sch=cd +# set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { ce }]; #IO_L13P_T2_MRCC_14 Sch=ce +# set_property -dict { PACKAGE_PIN T11 IOSTANDARD LVCMOS33 } [get_ports { cf }]; #IO_L19P_T3_A10_D26_14 Sch=cf +# set_property -dict { PACKAGE_PIN L18 IOSTANDARD LVCMOS33 } [get_ports { cg }]; #IO_L4P_T0_D04_14 Sch=cg + +#set_property -dict { PACKAGE_PIN H15 IOSTANDARD LVCMOS33 } [get_ports { dp }]; #IO_L19N_T3_A21_VREF_15 Sch=dp + +# set_property -dict { PACKAGE_PIN J17 IOSTANDARD LVCMOS33 } [get_ports { an[0] }]; #IO_L23P_T3_FOE_B_15 Sch=an[0] +# set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports { an[1] }]; #IO_L23N_T3_FWE_B_15 Sch=an[1] +# set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { an[2] }]; #IO_L24P_T3_A01_D17_14 Sch=an[2] +# set_property -dict { PACKAGE_PIN J14 IOSTANDARD LVCMOS33 } [get_ports { an[3] }]; #IO_L19P_T3_A22_15 Sch=an[3] +# set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33 } [get_ports { an[4] }]; #IO_L8N_T1_D12_14 Sch=an[4] +# set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33 } [get_ports { an[5] }]; #IO_L14P_T2_SRCC_14 Sch=an[5] +# set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { an[6] }]; #IO_L23P_T3_35 Sch=an[6] +# set_property -dict { PACKAGE_PIN U13 IOSTANDARD LVCMOS33 } [get_ports { an[7] }]; #IO_L23N_T3_A02_D18_14 Sch=an[7] + + +##Buttons + +#set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports { cpu_resetn }]; #IO_L3P_T0_DQS_AD1P_15 Sch=cpu_resetn + +set_property -dict { PACKAGE_PIN N17 IOSTANDARD LVCMOS33 } [get_ports { btnc }]; #IO_L9P_T1_DQS_14 Sch=btnc +set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports { btnu }]; #IO_L4N_T0_D05_14 Sch=btnu +#set_property -dict { PACKAGE_PIN P17 IOSTANDARD LVCMOS33 } [get_ports { btnl }]; #IO_L12P_T1_MRCC_14 Sch=btnl +#set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33 } [get_ports { btnr }]; #IO_L10N_T1_D15_14 Sch=btnr +set_property -dict { PACKAGE_PIN P18 IOSTANDARD LVCMOS33 } [get_ports { btnd }]; #IO_L9N_T1_DQS_D13_14 Sch=btnd + + +##Pmod Headers + + +##Pmod Header JA + +#set_property -dict { PACKAGE_PIN C17 IOSTANDARD LVCMOS33 } [get_ports { ja[0] }]; #IO_L20N_T3_A19_15 Sch=ja[1] +#set_property -dict { PACKAGE_PIN D18 IOSTANDARD LVCMOS33 } [get_ports { ja[1] }]; #IO_L21N_T3_DQS_A18_15 Sch=ja[2] +#set_property -dict { PACKAGE_PIN E18 IOSTANDARD LVCMOS33 } [get_ports { ja[2] }]; #IO_L21P_T3_DQS_15 Sch=ja[3] +#set_property -dict { PACKAGE_PIN G17 IOSTANDARD LVCMOS33 } [get_ports { ja[3] }]; #IO_L18N_T2_A23_15 Sch=ja[4] +#set_property -dict { PACKAGE_PIN D17 IOSTANDARD LVCMOS33 } [get_ports { ja[4] }]; #IO_L16N_T2_A27_15 Sch=ja[7] +#set_property -dict { PACKAGE_PIN E17 IOSTANDARD LVCMOS33 } [get_ports { ja[5] }]; #IO_L16P_T2_A28_15 Sch=ja[8] +#set_property -dict { PACKAGE_PIN F18 IOSTANDARD LVCMOS33 } [get_ports { ja[6] }]; #IO_L22N_T3_A16_15 Sch=ja[9] +#set_property -dict { PACKAGE_PIN G18 IOSTANDARD LVCMOS33 } [get_ports { ja[7] }]; #IO_L22P_T3_A17_15 Sch=ja[10] + + +##Pmod Header JB + +#set_property -dict { PACKAGE_PIN D14 IOSTANDARD LVCMOS33 } [get_ports { jb[0] }]; #IO_L1P_T0_AD0P_15 Sch=jb[1] +#set_property -dict { PACKAGE_PIN F16 IOSTANDARD LVCMOS33 } [get_ports { jb[1] }]; #IO_L14N_T2_SRCC_15 Sch=jb[2] +#set_property -dict { PACKAGE_PIN G16 IOSTANDARD LVCMOS33 } [get_ports { jb[2] }]; #IO_L13N_T2_MRCC_15 Sch=jb[3] +#set_property -dict { PACKAGE_PIN H14 IOSTANDARD LVCMOS33 } [get_ports { jb[3] }]; #IO_L15P_T2_DQS_15 Sch=jb[4] +#set_property -dict { PACKAGE_PIN E16 IOSTANDARD LVCMOS33 } [get_ports { jb[4] }]; #IO_L11N_T1_SRCC_15 Sch=jb[7] +#set_property -dict { PACKAGE_PIN F13 IOSTANDARD LVCMOS33 } [get_ports { jb[5] }]; #IO_L5P_T0_AD9P_15 Sch=jb[8] +#set_property -dict { PACKAGE_PIN G13 IOSTANDARD LVCMOS33 } [get_ports { jb[6] }]; #IO_0_15 Sch=jb[9] +#set_property -dict { PACKAGE_PIN H16 IOSTANDARD LVCMOS33 } [get_ports { jb[7] }]; #IO_L13P_T2_MRCC_15 Sch=jb[10] + + +##Pmod Header JC + +#set_property -dict { PACKAGE_PIN K1 IOSTANDARD LVCMOS33 } [get_ports { jc[0] }]; #IO_L23N_T3_35 Sch=jc[1] +#set_property -dict { PACKAGE_PIN F6 IOSTANDARD LVCMOS33 } [get_ports { jc[1] }]; #IO_L19N_T3_VREF_35 Sch=jc[2] +#set_property -dict { PACKAGE_PIN J2 IOSTANDARD LVCMOS33 } [get_ports { jc[2] }]; #IO_L22N_T3_35 Sch=jc[3] +#set_property -dict { PACKAGE_PIN G6 IOSTANDARD LVCMOS33 } [get_ports { jc[3] }]; #IO_L19P_T3_35 Sch=jc[4] +#set_property -dict { PACKAGE_PIN E7 IOSTANDARD LVCMOS33 } [get_ports { jc[4] }]; #IO_L6P_T0_35 Sch=jc[7] +#set_property -dict { PACKAGE_PIN J3 IOSTANDARD LVCMOS33 } [get_ports { jc[5] }]; #IO_L22P_T3_35 Sch=jc[8] +#set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports { jc[6] }]; #IO_L21P_T3_DQS_35 Sch=jc[9] +#set_property -dict { PACKAGE_PIN E6 IOSTANDARD LVCMOS33 } [get_ports { jc[7] }]; #IO_L5P_T0_AD13P_35 Sch=jc[10] + + +##Pmod Header JD + +#set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports { jd[0] }]; #IO_L21N_T3_DQS_35 Sch=jd[1] +#set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports { jd[1] }]; #IO_L17P_T2_35 Sch=jd[2] +#set_property -dict { PACKAGE_PIN G1 IOSTANDARD LVCMOS33 } [get_ports { jd[2] }]; #IO_L17N_T2_35 Sch=jd[3] +#set_property -dict { PACKAGE_PIN G3 IOSTANDARD LVCMOS33 } [get_ports { jd[3] }]; #IO_L20N_T3_35 Sch=jd[4] +#set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports { jd[4] }]; #IO_L15P_T2_DQS_35 Sch=jd[7] +#set_property -dict { PACKAGE_PIN G4 IOSTANDARD LVCMOS33 } [get_ports { jd[5] }]; #IO_L20P_T3_35 Sch=jd[8] +#set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports { jd[6] }]; #IO_L15N_T2_DQS_35 Sch=jd[9] +#set_property -dict { PACKAGE_PIN F3 IOSTANDARD LVCMOS33 } [get_ports { jd[7] }]; #IO_L13N_T2_MRCC_35 Sch=jd[10] + + +##Pmod Header JXADC + +#set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVDS } [get_ports { xa_n[0] }]; #IO_L9N_T1_DQS_AD3N_15 Sch=xa_n[1] +#set_property -dict { PACKAGE_PIN A13 IOSTANDARD LVDS } [get_ports { xa_p[0] }]; #IO_L9P_T1_DQS_AD3P_15 Sch=xa_p[1] +#set_property -dict { PACKAGE_PIN A16 IOSTANDARD LVDS } [get_ports { xa_n[1] }]; #IO_L8N_T1_AD10N_15 Sch=xa_n[2] +#set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVDS } [get_ports { xa_p[1] }]; #IO_L8P_T1_AD10P_15 Sch=xa_p[2] +#set_property -dict { PACKAGE_PIN B17 IOSTANDARD LVDS } [get_ports { xa_n[2] }]; #IO_L7N_T1_AD2N_15 Sch=xa_n[3] +#set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVDS } [get_ports { xa_p[2] }]; #IO_L7P_T1_AD2P_15 Sch=xa_p[3] +#set_property -dict { PACKAGE_PIN A18 IOSTANDARD LVDS } [get_ports { xa_n[3] }]; #IO_L10N_T1_AD11N_15 Sch=xa_n[4] +#set_property -dict { PACKAGE_PIN B18 IOSTANDARD LVDS } [get_ports { xa_p[3] }]; #IO_L10P_T1_AD11P_15 Sch=xa_p[4] + + +##VGA Connector + +set_property -dict { PACKAGE_PIN A3 IOSTANDARD LVCMOS33 } [get_ports { vga_r[0] }]; #IO_L8N_T1_AD14N_35 Sch=vga_r[0] +set_property -dict { PACKAGE_PIN B4 IOSTANDARD LVCMOS33 } [get_ports { vga_r[1] }]; #IO_L7N_T1_AD6N_35 Sch=vga_r[1] +set_property -dict { PACKAGE_PIN C5 IOSTANDARD LVCMOS33 } [get_ports { vga_r[2] }]; #IO_L1N_T0_AD4N_35 Sch=vga_r[2] +set_property -dict { PACKAGE_PIN A4 IOSTANDARD LVCMOS33 } [get_ports { vga_r[3] }]; #IO_L8P_T1_AD14P_35 Sch=vga_r[3] + +set_property -dict { PACKAGE_PIN C6 IOSTANDARD LVCMOS33 } [get_ports { vga_g[0] }]; #IO_L1P_T0_AD4P_35 Sch=vga_g[0] +set_property -dict { PACKAGE_PIN A5 IOSTANDARD LVCMOS33 } [get_ports { vga_g[1] }]; #IO_L3N_T0_DQS_AD5N_35 Sch=vga_g[1] +set_property -dict { PACKAGE_PIN B6 IOSTANDARD LVCMOS33 } [get_ports { vga_g[2] }]; #IO_L2N_T0_AD12N_35 Sch=vga_g[2] +set_property -dict { PACKAGE_PIN A6 IOSTANDARD LVCMOS33 } [get_ports { vga_g[3] }]; #IO_L3P_T0_DQS_AD5P_35 Sch=vga_g[3] + +set_property -dict { PACKAGE_PIN B7 IOSTANDARD LVCMOS33 } [get_ports { vga_b[0] }]; #IO_L2P_T0_AD12P_35 Sch=vga_b[0] +set_property -dict { PACKAGE_PIN C7 IOSTANDARD LVCMOS33 } [get_ports { vga_b[1] }]; #IO_L4N_T0_35 Sch=vga_b[1] +set_property -dict { PACKAGE_PIN D7 IOSTANDARD LVCMOS33 } [get_ports { vga_b[2] }]; #IO_L6N_T0_VREF_35 Sch=vga_b[2] +set_property -dict { PACKAGE_PIN D8 IOSTANDARD LVCMOS33 } [get_ports { vga_b[3] }]; #IO_L4P_T0_35 Sch=vga_b[3] + +set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports { vga_hs }]; #IO_L4P_T0_15 Sch=vga_hs +set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports { vga_vs }]; #IO_L3N_T0_DQS_AD1N_15 Sch=vga_vs + +##Micro SD Connector + +#set_property -dict { PACKAGE_PIN E2 IOSTANDARD LVCMOS33 } [get_ports { sd_reset }]; #IO_L14P_T2_SRCC_35 Sch=sd_reset +#set_property -dict { PACKAGE_PIN A1 IOSTANDARD LVCMOS33 } [get_ports { sd_cd }]; #IO_L9N_T1_DQS_AD7N_35 Sch=sd_cd +#set_property -dict { PACKAGE_PIN B1 IOSTANDARD LVCMOS33 } [get_ports { sd_sck }]; #IO_L9P_T1_DQS_AD7P_35 Sch=sd_sck +#set_property -dict { PACKAGE_PIN C1 IOSTANDARD LVCMOS33 } [get_ports { sd_cmd }]; #IO_L16N_T2_35 Sch=sd_cmd +#set_property -dict { PACKAGE_PIN C2 IOSTANDARD LVCMOS33 } [get_ports { sd_dat[0] }]; #IO_L16P_T2_35 Sch=sd_dat[0] +#set_property -dict { PACKAGE_PIN E1 IOSTANDARD LVCMOS33 } [get_ports { sd_dat[1] }]; #IO_L18N_T2_35 Sch=sd_dat[1] +#set_property -dict { PACKAGE_PIN F1 IOSTANDARD LVCMOS33 } [get_ports { sd_dat[2] }]; #IO_L18P_T2_35 Sch=sd_dat[2] +#set_property -dict { PACKAGE_PIN D2 IOSTANDARD LVCMOS33 } [get_ports { sd_dat[3] }]; #IO_L14N_T2_SRCC_35 Sch=sd_dat[3] + + +##Accelerometer + +#set_property -dict { PACKAGE_PIN E15 IOSTANDARD LVCMOS33 } [get_ports { acl_miso }]; #IO_L11P_T1_SRCC_15 Sch=acl_miso +#set_property -dict { PACKAGE_PIN F14 IOSTANDARD LVCMOS33 } [get_ports { acl_mosi }]; #IO_L5N_T0_AD9N_15 Sch=acl_mosi +#set_property -dict { PACKAGE_PIN F15 IOSTANDARD LVCMOS33 } [get_ports { acl_sclk }]; #IO_L14P_T2_SRCC_15 Sch=acl_sclk +#set_property -dict { PACKAGE_PIN D15 IOSTANDARD LVCMOS33 } [get_ports { acl_csn }]; #IO_L12P_T1_MRCC_15 Sch=acl_csn +#set_property -dict { PACKAGE_PIN B13 IOSTANDARD LVCMOS33 } [get_ports { acl_int[1] }]; #IO_L2P_T0_AD8P_15 Sch=acl_int[1] +#set_property -dict { PACKAGE_PIN C16 IOSTANDARD LVCMOS33 } [get_ports { acl_int[2] }]; #IO_L20P_T3_A20_15 Sch=acl_int[2] + + +##Temperature Sensor + +#set_property -dict { PACKAGE_PIN C14 IOSTANDARD LVCMOS33 } [get_ports { tmp_scl }]; #IO_L1N_T0_AD0N_15 Sch=tmp_scl +#set_property -dict { PACKAGE_PIN C15 IOSTANDARD LVCMOS33 } [get_ports { tmp_sda }]; #IO_L12N_T1_MRCC_15 Sch=tmp_sda +#set_property -dict { PACKAGE_PIN D13 IOSTANDARD LVCMOS33 } [get_ports { tmp_int }]; #IO_L6N_T0_VREF_15 Sch=tmp_int +#set_property -dict { PACKAGE_PIN B14 IOSTANDARD LVCMOS33 } [get_ports { tmp_ct }]; #IO_L2N_T0_AD8N_15 Sch=tmp_ct + +##Omnidirectional Microphone + +#set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { m_clk }]; #IO_25_35 Sch=m_clk +#set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports { m_data }]; #IO_L24N_T3_35 Sch=m_data +#set_property -dict { PACKAGE_PIN F5 IOSTANDARD LVCMOS33 } [get_ports { m_lrsel }]; #IO_0_35 Sch=m_lrsel + + +##PWM Audio Amplifier + +#set_property -dict { PACKAGE_PIN A11 IOSTANDARD LVCMOS33 } [get_ports { aud_pwm }]; #IO_L4N_T0_15 Sch=aud_pwm +#set_property -dict { PACKAGE_PIN D12 IOSTANDARD LVCMOS33 } [get_ports { aud_sd }]; #IO_L6P_T0_15 Sch=aud_sd + + +##USB-RS232 Interface + +#set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports { uart_txd_in }]; #IO_L7P_T1_AD6P_35 Sch=uart_txd_in +#set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports { uart_rxd_out }]; #IO_L11N_T1_SRCC_35 Sch=uart_rxd_out +#set_property -dict { PACKAGE_PIN D3 IOSTANDARD LVCMOS33 } [get_ports { uart_cts }]; #IO_L12N_T1_MRCC_35 Sch=uart_cts +#set_property -dict { PACKAGE_PIN E5 IOSTANDARD LVCMOS33 } [get_ports { uart_rts }]; #IO_L5N_T0_AD13N_35 Sch=uart_rts + +##USB HID (PS/2) + +#set_property -dict { PACKAGE_PIN F4 IOSTANDARD LVCMOS33 } [get_ports { ps2_clk }]; #IO_L13P_T2_MRCC_35 Sch=ps2_clk +#set_property -dict { PACKAGE_PIN B2 IOSTANDARD LVCMOS33 } [get_ports { ps2_data }]; #IO_L10N_T1_AD15N_35 Sch=ps2_data + + +##SMSC Ethernet PHY + +#set_property -dict { PACKAGE_PIN C9 IOSTANDARD LVCMOS33 } [get_ports { eth_mdc }]; #IO_L11P_T1_SRCC_16 Sch=eth_mdc +#set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports { eth_mdio }]; #IO_L14N_T2_SRCC_16 Sch=eth_mdio +#set_property -dict { PACKAGE_PIN B3 IOSTANDARD LVCMOS33 } [get_ports { eth_rstn }]; #IO_L10P_T1_AD15P_35 Sch=eth_rstn +#set_property -dict { PACKAGE_PIN D9 IOSTANDARD LVCMOS33 } [get_ports { eth_crsdv }]; #IO_L6N_T0_VREF_16 Sch=eth_crsdv +#set_property -dict { PACKAGE_PIN C10 IOSTANDARD LVCMOS33 } [get_ports { eth_rxerr }]; #IO_L13N_T2_MRCC_16 Sch=eth_rxerr +#set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports { eth_rxd[0] }]; #IO_L13P_T2_MRCC_16 Sch=eth_rxd[0] +#set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports { eth_rxd[1] }]; #IO_L19N_T3_VREF_16 Sch=eth_rxd[1] +#set_property -dict { PACKAGE_PIN B9 IOSTANDARD LVCMOS33 } [get_ports { eth_txen }]; #IO_L11N_T1_SRCC_16 Sch=eth_txen +#set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports { eth_txd[0] }]; #IO_L14P_T2_SRCC_16 Sch=eth_txd[0] +#set_property -dict { PACKAGE_PIN A8 IOSTANDARD LVCMOS33 } [get_ports { eth_txd[1] }]; #IO_L12N_T1_MRCC_16 Sch=eth_txd[1] +#set_property -dict { PACKAGE_PIN D5 IOSTANDARD LVCMOS33 } [get_ports { eth_refclk }]; #IO_L11P_T1_SRCC_35 Sch=eth_refclk +#set_property -dict { PACKAGE_PIN B8 IOSTANDARD LVCMOS33 } [get_ports { eth_intn }]; #IO_L12P_T1_MRCC_16 Sch=eth_intn + + +##Quad SPI Flash + +#set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports { qspi_dq[0] }]; #IO_L1P_T0_D00_MOSI_14 Sch=qspi_dq[0] +#set_property -dict { PACKAGE_PIN K18 IOSTANDARD LVCMOS33 } [get_ports { qspi_dq[1] }]; #IO_L1N_T0_D01_DIN_14 Sch=qspi_dq[1] +#set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports { qspi_dq[2] }]; #IO_L2P_T0_D02_14 Sch=qspi_dq[2] +#set_property -dict { PACKAGE_PIN M14 IOSTANDARD LVCMOS33 } [get_ports { qspi_dq[3] }]; #IO_L2N_T0_D03_14 Sch=qspi_dq[3] +#set_property -dict { PACKAGE_PIN L13 IOSTANDARD LVCMOS33 } [get_ports { qspi_csn }]; #IO_L6P_T0_FCS_B_14 Sch=qspi_csn \ No newline at end of file diff --git a/src/manta/block_memory_tmpl.v b/src/manta/block_memory_tmpl.v index 7f4cd0f..31ae165 100644 --- a/src/manta/block_memory_tmpl.v +++ b/src/manta/block_memory_tmpl.v @@ -30,6 +30,7 @@ module /* NAME */ ( parameter BRAM_DEPTH = 0; localparam ADDR_WIDTH = $clog2(BRAM_DEPTH); + // ugly typecasting, but just computes ceil(BRAM_WIDTH / 16) localparam N_BRAMS = int'($ceil(real'(BRAM_WIDTH) / 16.0)); localparam MAX_ADDR = BASE_ADDR + (BRAM_DEPTH * N_BRAMS);