From 153ae7e3dfed574a8574bacea2d43faf252aad0b Mon Sep 17 00:00:00 2001 From: Fischer Moseley <42497969+fischermoseley@users.noreply.github.com> Date: Thu, 13 Apr 2023 17:02:55 -0400 Subject: [PATCH] video sprite example working! kinda frankensteined tho --- .../video_sprite/{util => }/buff_doge.png | Bin examples/nexys_a7/video_sprite/data/image.mem | 65536 ---------------- .../nexys_a7/video_sprite/data/palette.mem | 256 - examples/nexys_a7/video_sprite/manta.yaml | 9 +- .../{util => }/no_testbenches.png | Bin .../video_sprite/{util => }/pop_cat.png | Bin examples/nexys_a7/video_sprite/send_image.py | 37 + .../nexys_a7/video_sprite/sim/alpha_tb.sv | 51 - .../video_sprite/sim/image_sprite_tb.sv | 50 - .../video_sprite/src/dual_port_bram.v | 60 + .../video_sprite/src/iverilog_hack.svh | 5 - examples/nexys_a7/video_sprite/src/ssd.v | 85 + .../nexys_a7/video_sprite/src/top_level.sv | 146 +- .../nexys_a7/video_sprite/util/death_star.png | Bin 33885 -> 0 bytes .../nexys_a7/video_sprite/util/img_to_mem.py | 48 - .../nexys_a7/video_sprite/xdc/top_level.xdc | 76 +- src/manta/__init__.py | 44 +- src/manta/block_memory_inst_tmpl.v | 23 + src/manta/block_memory_tmpl.v | 34 +- .../block_memory_tb/block_memory.v | 5 +- .../block_memory_tb/block_memory_tb.sv | 2 +- 21 files changed, 359 insertions(+), 66108 deletions(-) rename examples/nexys_a7/video_sprite/{util => }/buff_doge.png (100%) delete mode 100644 examples/nexys_a7/video_sprite/data/image.mem delete mode 100644 examples/nexys_a7/video_sprite/data/palette.mem rename examples/nexys_a7/video_sprite/{util => }/no_testbenches.png (100%) rename examples/nexys_a7/video_sprite/{util => }/pop_cat.png (100%) create mode 100644 examples/nexys_a7/video_sprite/send_image.py delete mode 100644 examples/nexys_a7/video_sprite/sim/alpha_tb.sv delete mode 100644 examples/nexys_a7/video_sprite/sim/image_sprite_tb.sv create mode 100644 examples/nexys_a7/video_sprite/src/dual_port_bram.v delete mode 100644 examples/nexys_a7/video_sprite/src/iverilog_hack.svh create mode 100644 examples/nexys_a7/video_sprite/src/ssd.v delete mode 100644 examples/nexys_a7/video_sprite/util/death_star.png delete mode 100644 examples/nexys_a7/video_sprite/util/img_to_mem.py create mode 100644 src/manta/block_memory_inst_tmpl.v diff --git a/examples/nexys_a7/video_sprite/util/buff_doge.png b/examples/nexys_a7/video_sprite/buff_doge.png similarity index 100% rename from examples/nexys_a7/video_sprite/util/buff_doge.png rename to examples/nexys_a7/video_sprite/buff_doge.png diff --git a/examples/nexys_a7/video_sprite/data/image.mem b/examples/nexys_a7/video_sprite/data/image.mem deleted file mode 100644 index 2442390..0000000 --- a/examples/nexys_a7/video_sprite/data/image.mem +++ /dev/null @@ -1,65536 +0,0 @@ -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -25 -25 -25 -24 -24 -24 -24 -25 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -25 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -20 -1f -1b -1b -1f -24 -24 -25 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -24 -24 -24 -1f -1f -1f -1f -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1a -1a -1a -1a -1b -24 -24 -24 -24 -24 -24 -24 -25 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -24 -24 -24 -1b -1b -1a -1a -1a -1a -1a -1a -18 -18 -18 -18 -18 -18 -15 -15 -15 -14 -14 -18 -1a -1b -1f -24 -24 -24 -24 -24 -24 -24 -24 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -24 -24 -24 -1b -1a -18 -18 -18 -18 -15 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -18 -18 -1a -1a -1b -1b -1f -20 -20 -24 -24 -24 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -24 -24 -1b -1a -18 -18 -1a -1a -18 -18 -17 -17 -17 -14 -14 -14 -14 -14 -14 -14 -14 -14 -17 -18 -18 -1a -1a -1a -1b -1b -1b -1b -1b -1f -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -24 -24 -24 -1b -1a -18 -18 -1a -1a -1a -1a -1a -18 -17 -17 -17 -14 -14 -14 -14 -17 -14 -14 -17 -18 -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1b -1f -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -24 -24 -20 -1b -1a -18 -18 -1a -1a -1a -1a -1a -1a -18 -17 -17 -14 -14 -14 -17 -17 -14 -17 -17 -17 -18 -1a -1a -1a -1a -1a -1a -1a -1a -1a -18 -1a -1b -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -20 -20 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -20 -1b -1a -18 -18 -1a -1a -1a -1a -1e -1a -1a -18 -17 -17 -17 -17 -17 -14 -17 -14 -17 -17 -17 -18 -18 -1a -1a -1a -1a -1a -1a -1a -1a -18 -18 -1b -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -20 -1f -1f -1f -1f -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -24 -24 -20 -20 -24 -20 -20 -24 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -20 -1f -1b -1a -18 -1a -1a -1a -1a -1a -1a -1e -1a -18 -18 -18 -17 -17 -17 -17 -17 -17 -17 -17 -17 -18 -18 -1a -1a -1a -1a -1a -1a -1a -1a -1a -18 -18 -1a -1f -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -1f -1f -1f -1f -1f -1f -27 -27 -27 -28 -2a -2a -2a -2a -2a -2a -2a -27 -27 -24 -24 -24 -24 -20 -20 -20 -20 -1f -1f -1f -1f -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -1f -1f -1f -1b -1a -1a -1a -1a -1a -1a -1f -1f -1f -1e -1a -18 -18 -18 -17 -17 -17 -17 -17 -17 -17 -17 -17 -18 -18 -1a -1e -1e -1e -1e -1e -1e -1a -1a -18 -18 -1a -1b -1f -1f -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1b -1b -1f -24 -27 -27 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -28 -27 -27 -27 -27 -24 -24 -24 -20 -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1b -1a -1a -1a -1a -1e -1f -1f -1f -1f -1f -1e -1a -18 -18 -18 -17 -17 -17 -17 -17 -17 -17 -17 -18 -18 -18 -1e -1e -1e -1e -1e -1e -1e -1e -1a -18 -18 -18 -1b -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -27 -27 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -2a -2a -28 -27 -27 -27 -24 -1f -1f -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1a -18 -18 -1a -1a -23 -23 -23 -23 -23 -1f -1f -1f -1a -18 -18 -18 -17 -17 -17 -17 -17 -17 -17 -17 -18 -18 -18 -1e -1e -1e -1e -1e -1e -1e -1e -1a -1a -18 -18 -1a -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1a -1b -1f -24 -27 -2a -2d -2d -2d -2d -2d -2d -31 -31 -31 -31 -31 -31 -2d -2d -2d -2d -2d -2d -2d -2a -2a -27 -27 -24 -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1a -1a -18 -18 -15 -1a -1a -23 -23 -27 -27 -23 -23 -23 -1f -1f -1e -1a -18 -18 -17 -17 -17 -17 -17 -17 -17 -18 -18 -18 -18 -1a -1a -1e -1e -1e -1e -1e -1e -1a -1a -18 -15 -18 -1a -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1a -1b -1f -27 -29 -2d -2d -2d -2d -2d -2d -31 -34 -34 -32 -32 -32 -34 -32 -31 -31 -31 -2d -2d -2d -2d -2d -2d -2a -28 -27 -23 -1f -1b -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -15 -18 -1a -23 -23 -27 -27 -27 -27 -23 -23 -1f -1f -1e -18 -18 -18 -17 -17 -17 -17 -17 -17 -18 -18 -18 -18 -18 -1a -1a -1a -1a -1e -1e -1e -1e -1e -1a -18 -15 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1b -23 -27 -2d -2d -2d -2d -2d -2d -2d -32 -34 -34 -32 -32 -32 -34 -34 -34 -31 -31 -2e -2e -2d -2d -2d -2d -2d -2d -2a -27 -24 -1f -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -15 -14 -15 -1a -1e -23 -27 -27 -27 -27 -27 -23 -23 -1f -1f -1e -18 -18 -18 -17 -17 -17 -17 -17 -17 -18 -18 -18 -18 -1a -1a -1a -1a -1a -1e -1e -1e -1e -1e -1a -1a -14 -15 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -1a -24 -2a -2d -2d -2d -2d -31 -32 -32 -31 -34 -34 -32 -32 -32 -34 -34 -34 -31 -31 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2a -24 -1f -18 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -1a -1e -23 -27 -27 -28 -27 -27 -27 -23 -23 -1f -1e -1a -18 -18 -18 -17 -17 -17 -17 -17 -18 -18 -18 -18 -18 -18 -1a -1a -1a -1a -1e -1e -1e -1e -1e -1a -1a -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -1a -24 -2a -2d -34 -34 -34 -33 -33 -33 -31 -31 -31 -31 -31 -31 -2d -2d -31 -31 -31 -2e -2e -2e -2e -2e -2e -2e -31 -31 -31 -2d -2a -24 -1b -18 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -1a -1a -23 -27 -27 -2a -2a -2a -27 -27 -24 -1f -1f -1e -1a -18 -18 -18 -17 -17 -17 -17 -18 -18 -18 -18 -18 -18 -18 -18 -1a -1e -1e -1e -1e -1e -1e -1e -1a -1a -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -18 -24 -2d -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -33 -31 -31 -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -32 -32 -32 -34 -34 -32 -2e -2d -27 -1b -18 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -1a -1a -23 -27 -27 -2a -2a -2a -2a -27 -27 -24 -1f -1f -1e -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1a -1e -1e -1e -1e -1e -1e -1e -1e -1e -1a -1a -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -18 -24 -2d -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -33 -33 -33 -2d -31 -31 -2d -2d -2e -2e -2e -2e -2e -32 -32 -34 -34 -34 -34 -32 -2d -27 -1b -18 -14 -14 -14 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -14 -14 -14 -15 -1a -1e -23 -27 -27 -2a -2d -2d -2a -2a -27 -27 -24 -1f -1f -1f -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1a -1e -1e -1e -1e -1e -1e -1e -1e -1e -1e -1a -1a -14 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2d -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -33 -33 -33 -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -34 -34 -34 -34 -34 -34 -2d -27 -23 -1b -1a -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -14 -18 -1a -1f -23 -27 -27 -2a -2d -2d -2d -2a -2a -28 -27 -24 -1f -1f -1e -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1a -1e -1e -1f -1f -1f -1e -1e -1e -1e -1e -1e -1a -15 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2d -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -33 -33 -31 -31 -31 -2e -2e -2d -2e -2e -2e -2e -34 -34 -34 -34 -34 -34 -34 -32 -2d -29 -24 -1f -18 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -14 -14 -1a -1a -1f -23 -27 -28 -2a -2d -2d -2d -2d -2d -2a -27 -27 -24 -1f -1f -1e -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1e -1e -1f -1f -1f -1f -1f -1f -1e -1e -1e -1e -1a -18 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2d -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -33 -2d -31 -2d -2e -2d -2e -2e -2e -2e -2e -2e -34 -34 -34 -34 -34 -34 -34 -34 -32 -2d -29 -1f -1a -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -18 -1a -1f -23 -27 -27 -2a -2d -2d -2d -2d -2d -2d -2d -28 -28 -27 -24 -1f -1f -1e -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1a -1e -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1e -1e -1a -18 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2d -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -33 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2a -23 -1a -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -14 -14 -18 -1a -1f -23 -27 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -28 -27 -24 -1f -1f -1f -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1e -1a -18 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2e -34 -34 -34 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -33 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -30 -34 -34 -34 -34 -34 -34 -34 -2e -2a -24 -1b -18 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -14 -14 -14 -18 -1a -1a -23 -27 -2a -2d -2d -2d -2e -2e -2e -2d -2d -2d -2d -2a -28 -27 -24 -1f -1f -1f -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1c -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1e -1a -18 -15 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2e -34 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -31 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -34 -34 -34 -34 -34 -34 -34 -2e -2d -27 -1f -1a -15 -14 -14 -14 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -12 -12 -12 -12 -12 -0d -0d -0d -0d -0d -0d -0d -0c -08 -08 -06 -06 -06 -08 -08 -08 -0d -0d -0d -14 -14 -14 -1a -1a -1f -27 -2a -2d -2e -2e -2e -2e -2e -2d -2d -2d -2d -2a -28 -27 -24 -1f -1f -1f -18 -18 -18 -18 -18 -18 -18 -1a -1a -1a -1e -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1e -1a -1a -18 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2e -34 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -34 -34 -34 -34 -34 -34 -32 -2d -2a -24 -1f -1b -18 -18 -15 -14 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -0d -0d -0d -0d -0d -0d -0d -0d -0d -08 -06 -06 -06 -06 -06 -05 -05 -05 -05 -06 -06 -08 -08 -0d -0d -0d -14 -14 -14 -18 -1a -23 -27 -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -28 -27 -24 -1f -1f -1f -1c -18 -18 -18 -18 -18 -18 -18 -1a -1e -1e -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1e -1a -18 -14 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2e -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -34 -34 -34 -34 -34 -34 -34 -2e -2d -2a -27 -24 -1f -1b -18 -15 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -18 -1a -1a -1a -1a -1a -1a -1a -18 -18 -14 -12 -0d -08 -08 -06 -06 -06 -06 -06 -06 -06 -05 -05 -05 -05 -02 -02 -02 -02 -02 -05 -06 -06 -06 -08 -0c -0d -0d -0d -0d -12 -14 -1a -1f -27 -27 -29 -2a -2a -28 -28 -28 -27 -27 -27 -24 -1f -1f -1f -1d -18 -18 -18 -18 -18 -18 -1a -1e -1e -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1e -1a -18 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2e -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -31 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -34 -34 -34 -34 -34 -34 -34 -2e -2d -2a -27 -24 -1f -18 -18 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -18 -1a -1b -23 -23 -23 -23 -23 -23 -1a -1a -1a -14 -13 -0d -08 -06 -06 -06 -06 -06 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -05 -06 -06 -06 -06 -06 -06 -08 -0d -0d -0d -14 -18 -1a -1f -1f -24 -24 -24 -27 -27 -27 -24 -20 -1f -1f -1f -1f -18 -18 -18 -18 -1a -1e -1e -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1e -1a -18 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2e -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -33 -34 -2f -2f -2d -2d -2f -2d -2d -2d -2d -2d -28 -28 -28 -28 -2b -2d -2d -2d -2d -2d -2d -2e -2e -34 -34 -34 -34 -34 -34 -34 -2e -2d -28 -24 -1f -1a -18 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -18 -18 -1b -23 -23 -23 -23 -23 -23 -23 -23 -1f -1a -1a -14 -0d -0d -06 -06 -06 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -05 -06 -06 -06 -06 -06 -08 -08 -0d -0d -14 -14 -18 -1a -1a -1b -1f -1f -1f -1f -1f -1f -1f -1f -1b -1b -1a -18 -1e -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1e -1a -18 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -14 -18 -24 -2e -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -2f -2f -2d -2f -30 -2d -2d -2d -2d -2d -2b -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2e -2e -30 -34 -35 -35 -35 -35 -34 -2e -2d -28 -24 -1f -1a -18 -14 -14 -14 -14 -12 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -12 -14 -14 -14 -14 -14 -14 -14 -15 -18 -1a -1f -1f -23 -23 -23 -23 -23 -23 -23 -23 -23 -1a -1a -18 -14 -0d -08 -06 -06 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -05 -06 -06 -06 -06 -06 -06 -0d -0d -0d -12 -14 -14 -15 -15 -18 -18 -18 -18 -18 -1b -1b -19 -1f -1c -1e -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1e -1a -18 -14 -14 -14 -14 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -13 -14 -18 -24 -2e -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -2f -2f -2f -30 -2e -2d -2d -2d -2d -2d -2d -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2e -2e -2e -30 -34 -35 -35 -35 -35 -35 -2e -2e -2a -27 -24 -1f -1a -18 -14 -14 -14 -14 -14 -13 -13 -13 -13 -11 -11 -11 -11 -11 -11 -11 -11 -11 -13 -13 -13 -14 -14 -14 -14 -14 -14 -14 -18 -1a -1f -23 -24 -27 -27 -27 -27 -27 -26 -23 -23 -23 -23 -1a -1a -14 -14 -0d -08 -06 -06 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -05 -05 -06 -06 -06 -06 -06 -06 -0d -0d -0d -0d -12 -12 -12 -14 -14 -18 -18 -18 -18 -18 -1b -1c -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -18 -18 -14 -14 -14 -13 -13 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -14 -1a -24 -2e -34 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -2f -2f -2f -30 -30 -2e -2d -2d -2d -2d -2d -2d -28 -28 -28 -28 -28 -28 -28 -2a -2d -2d -2d -2e -2e -30 -34 -35 -35 -35 -35 -35 -34 -32 -2e -2d -28 -27 -24 -1f -1a -18 -15 -14 -14 -14 -14 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -14 -14 -14 -14 -15 -18 -1a -1b -1f -23 -27 -27 -27 -29 -2a -29 -29 -29 -27 -26 -23 -23 -1a -1a -14 -14 -0d -0d -08 -06 -06 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -06 -06 -06 -06 -06 -06 -0d -0d -0d -0d -0d -0d -12 -12 -14 -14 -18 -18 -18 -18 -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -18 -18 -18 -14 -14 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -13 -14 -1a -24 -32 -34 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -33 -2f -33 -33 -33 -2f -2f -30 -30 -30 -2d -2d -2d -2d -2d -2d -2d -2d -28 -28 -28 -28 -28 -2a -2d -2d -2d -2d -2e -2e -30 -35 -35 -35 -35 -35 -35 -35 -34 -32 -2e -2d -2a -27 -27 -23 -1f -1b -1a -15 -14 -14 -14 -14 -13 -13 -13 -13 -13 -13 -14 -14 -14 -14 -14 -1a -1b -1f -24 -27 -27 -27 -2a -2d -2d -2d -2d -2d -29 -29 -27 -26 -23 -1a -1a -14 -14 -0d -0d -08 -06 -06 -06 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -05 -06 -06 -06 -06 -0b -0d -0d -0d -0d -0d -0d -12 -12 -14 -17 -18 -18 -19 -1f -1f -1f -1f -1f -1f -1f -1f -21 -21 -1f -1f -21 -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1d -18 -18 -18 -14 -14 -13 -13 -13 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -1a -24 -2d -34 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -33 -33 -2f -33 -2f -33 -2f -33 -30 -34 -30 -2f -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -2a -2d -2d -2d -2e -2e -30 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -32 -2e -2e -2d -2a -27 -27 -23 -1f -1a -1a -18 -14 -14 -14 -14 -14 -14 -14 -14 -14 -1a -1a -1f -24 -27 -2a -2a -2d -2d -2d -2d -2d -2d -2d -29 -29 -29 -27 -23 -1a -1a -14 -0f -0d -08 -06 -06 -06 -05 -05 -05 -06 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -06 -06 -06 -06 -06 -0b -0d -0d -0d -0d -0d -0e -12 -14 -14 -18 -18 -1f -1f -1f -1f -1f -21 -21 -21 -21 -21 -21 -21 -21 -21 -21 -1f -1f -1f -1f -1f -1f -1f -1f -1f -1d -18 -18 -15 -14 -14 -13 -13 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -1a -24 -2d -34 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -33 -33 -2f -33 -33 -33 -33 -34 -34 -34 -30 -30 -30 -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -2d -2d -2d -2d -2e -2e -30 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -32 -2e -2d -2d -2a -27 -27 -23 -23 -23 -1f -1f -1f -1f -1b -1b -1f -1f -24 -27 -2a -2d -2e -2e -2e -2d -2d -2d -2d -2a -2a -27 -27 -23 -23 -1f -1a -14 -13 -0d -08 -06 -06 -06 -06 -06 -05 -05 -05 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -06 -06 -06 -06 -06 -0d -0d -0d -0d -0d -12 -14 -18 -1a -1b -1f -1f -1f -20 -24 -22 -27 -27 -27 -27 -22 -22 -22 -22 -22 -22 -21 -21 -21 -1f -1f -1f -1f -1f -1f -1f -18 -18 -18 -14 -14 -14 -13 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -1a -24 -2d -34 -34 -34 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -34 -33 -34 -34 -34 -34 -34 -30 -30 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2a -2a -2d -2d -2d -2d -2e -2e -30 -34 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -35 -35 -35 -34 -34 -32 -2e -2e -2e -2d -2d -2d -2a -2a -2a -28 -28 -2a -2a -2d -2d -32 -34 -34 -32 -2e -2e -2d -2d -2a -2a -27 -27 -23 -1f -1a -1a -17 -14 -0d -0d -06 -06 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -02 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -06 -06 -06 -06 -06 -06 -0d -0d -0d -0e -14 -1b -23 -27 -27 -29 -2d -2d -2d -2d -2d -2d -2d -2d -28 -27 -27 -27 -27 -27 -22 -22 -22 -22 -21 -1f -1f -1f -1f -1f -1f -18 -18 -18 -15 -14 -14 -13 -13 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -1a -24 -2d -34 -34 -34 -34 -35 -34 -34 -34 -34 -34 -34 -34 -33 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -30 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -30 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -3c -3c -35 -35 -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -34 -34 -34 -32 -2e -2d -2d -2a -27 -27 -24 -1f -1a -1a -14 -14 -0d -0d -0d -06 -06 -06 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -06 -06 -06 -06 -06 -06 -0b -0d -0d -14 -1f -27 -2d -34 -35 -37 -3c -3c -3c -3c -3c -3c -38 -34 -30 -2d -2b -27 -27 -27 -27 -22 -22 -22 -21 -1f -1f -1f -1f -1f -1d -18 -18 -18 -18 -14 -14 -14 -13 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -1a -24 -2d -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -30 -30 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -3d -3d -3d -3c -3c -3c -39 -39 -39 -3c -39 -3c -3c -35 -35 -35 -35 -35 -35 -35 -35 -34 -34 -2e -2e -2d -2a -28 -27 -27 -1f -1b -18 -14 -14 -0d -0d -0d -06 -06 -06 -06 -06 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -06 -06 -06 -06 -06 -06 -0d -12 -1a -27 -34 -3c -43 -45 -45 -45 -45 -45 -45 -45 -45 -45 -40 -3c -34 -30 -2d -2b -27 -27 -22 -22 -22 -21 -1f -1f -1f -1f -1f -1d -18 -18 -18 -18 -14 -14 -14 -14 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -1a -23 -2d -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -30 -30 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -35 -35 -35 -39 -39 -39 -39 -39 -3a -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3c -3c -3c -35 -35 -34 -34 -2e -2e -2d -2a -27 -27 -24 -1f -1a -15 -14 -0d -0d -0b -06 -06 -06 -06 -06 -06 -05 -05 -05 -05 -05 -05 -06 -06 -06 -06 -06 -06 -06 -05 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -00 -00 -02 -05 -06 -06 -06 -06 -06 -0b -12 -1f -2d -35 -3c -3c -3c -3c -45 -45 -45 -45 -50 -50 -50 -4b -45 -40 -3c -34 -2d -28 -27 -27 -22 -22 -22 -21 -1f -1f -1f -1f -1f -18 -18 -18 -18 -15 -14 -14 -14 -14 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -15 -1f -2a -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -30 -30 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -30 -35 -35 -35 -35 -39 -39 -39 -39 -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3c -3c -39 -35 -35 -34 -32 -2e -2e -2d -28 -27 -24 -1b -18 -14 -12 -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -05 -05 -05 -05 -06 -06 -06 -06 -06 -06 -06 -06 -06 -05 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -00 -02 -02 -02 -06 -06 -06 -06 -06 -06 -0d -18 -24 -2d -32 -34 -34 -35 -3c -3c -40 -45 -4b -50 -50 -4f -4f -45 -45 -3c -34 -2d -27 -27 -27 -22 -22 -22 -1f -1f -1f -1f -1f -18 -18 -18 -18 -18 -15 -14 -14 -14 -14 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -14 -1f -2a -32 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -34 -34 -34 -35 -34 -34 -34 -34 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2e -2e -2e -30 -30 -35 -35 -39 -39 -39 -39 -39 -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3c -3c -3c -39 -35 -35 -34 -34 -2e -2e -2a -28 -27 -1f -1b -18 -14 -0e -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -05 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -04 -06 -06 -06 -06 -06 -0d -12 -18 -1f -27 -27 -2d -2d -34 -34 -3c -3c -45 -4f -50 -4f -4f -4b -45 -40 -34 -2d -2d -27 -27 -27 -27 -22 -1f -1f -1f -1f -1f -18 -18 -18 -18 -18 -18 -14 -15 -15 -14 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -14 -1f -2a -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -35 -39 -39 -39 -39 -3a -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3c -3c -39 -39 -35 -35 -35 -35 -34 -32 -2e -2d -28 -24 -1f -1b -18 -14 -0e -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -05 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -00 -00 -05 -06 -06 -06 -06 -06 -06 -0d -12 -14 -18 -1a -1f -26 -29 -2d -34 -3c -45 -45 -4f -4f -4f -4f -45 -45 -3c -34 -2d -2d -27 -27 -27 -27 -22 -21 -1f -1f -1f -18 -18 -18 -18 -18 -15 -18 -18 -1a -14 -13 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -13 -14 -1f -27 -2d -32 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -30 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -35 -39 -39 -39 -3a -3d -3d -3d -3d -3d -3d -3d -3d -3d -3c -3c -39 -39 -35 -35 -35 -35 -35 -34 -34 -32 -2e -2d -28 -27 -1f -1b -18 -14 -0e -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -08 -08 -08 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -05 -05 -05 -05 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -03 -05 -06 -06 -06 -06 -06 -06 -06 -06 -0d -0d -0d -12 -14 -1a -1f -27 -2d -3b -3c -44 -45 -45 -4f -45 -45 -45 -3c -34 -2e -2b -27 -27 -27 -27 -22 -1f -1f -1f -18 -18 -18 -18 -15 -15 -15 -15 -1a -18 -13 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -13 -13 -17 -1f -27 -2d -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -35 -39 -39 -39 -3a -3d -3d -3d -3d -3d -3c -39 -39 -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -32 -2e -2e -2d -2a -27 -24 -1f -18 -14 -12 -0d -0d -0d -06 -06 -06 -06 -06 -08 -08 -0c -0c -0c -0d -0d -0d -0d -0d -0d -0c -0c -0c -08 -08 -08 -06 -06 -06 -06 -06 -05 -05 -05 -05 -05 -05 -05 -05 -02 -02 -02 -02 -02 -02 -02 -05 -05 -05 -05 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0b -0d -0d -12 -18 -1e -26 -27 -2d -36 -3c -44 -44 -44 -44 -3c -3b -34 -2d -28 -28 -27 -27 -22 -1f -1f -1f -18 -18 -18 -18 -18 -14 -15 -14 -1a -18 -13 -13 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -13 -14 -1f -27 -2d -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -30 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -30 -35 -35 -39 -39 -3a -3d -3d -3a -39 -39 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -2e -32 -2e -2e -2e -2d -2a -28 -24 -1f -1b -18 -14 -0e -0d -0d -0d -0d -0d -0d -0d -0d -13 -14 -14 -14 -14 -14 -14 -14 -13 -0f -0d -0d -0d -0d -0c -0c -08 -08 -06 -06 -06 -06 -06 -05 -05 -05 -05 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -08 -08 -0c -0c -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0b -0b -0b -0d -0d -12 -14 -18 -1f -29 -2d -33 -34 -34 -34 -33 -2d -2d -28 -28 -27 -27 -27 -1f -1f -1f -18 -18 -18 -17 -17 -14 -15 -14 -18 -17 -13 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -13 -14 -1a -27 -2d -2e -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -30 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2e -30 -30 -35 -39 -39 -39 -3d -3c -39 -39 -35 -35 -35 -35 -34 -34 -34 -30 -2e -2e -2e -2e -2e -2e -2d -2d -28 -27 -27 -1f -1b -18 -14 -12 -0d -0d -0d -0d -0d -0d -14 -14 -1a -1a -23 -23 -23 -23 -23 -23 -1a -1a -1a -14 -14 -0d -0d -0d -0c -08 -06 -06 -06 -06 -06 -05 -05 -05 -05 -06 -06 -06 -06 -06 -06 -08 -0c -0c -0c -0d -0d -0d -13 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -17 -14 -14 -17 -17 -17 -17 -17 -14 -14 -0e -0d -0b -06 -06 -06 -06 -06 -0d -14 -15 -1a -1a -1e -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -18 -18 -18 -18 -17 -14 -15 -14 -14 -14 -13 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -13 -14 -1a -24 -2a -2d -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2e -30 -30 -35 -39 -39 -39 -39 -39 -39 -35 -35 -35 -34 -34 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2d -28 -27 -27 -1f -1f -1a -17 -14 -0e -0d -0d -0d -14 -14 -1a -1a -23 -29 -2c -31 -33 -33 -36 -34 -33 -2d -29 -23 -1a -17 -14 -0d -0c -06 -06 -06 -06 -05 -05 -05 -02 -02 -02 -05 -05 -06 -06 -06 -08 -0c -0d -0d -0d -0f -14 -14 -17 -1a -1a -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -1f -1f -1f -1e -18 -14 -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0b -0d -0d -0d -0d -0e -12 -14 -18 -18 -1f -1f -1c -18 -18 -17 -17 -14 -14 -14 -14 -14 -13 -13 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -13 -14 -1a -1f -27 -2d -2e -30 -30 -34 -34 -34 -34 -34 -34 -35 -35 -39 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -30 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2e -30 -35 -39 -39 -39 -39 -39 -35 -35 -35 -34 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -27 -27 -23 -1f -1a -18 -14 -14 -14 -14 -14 -14 -1a -1a -27 -2d -33 -34 -36 -3b -3c -42 -42 -42 -42 -3b -33 -2c -27 -1a -14 -0d -08 -06 -05 -05 -02 -02 -02 -02 -02 -02 -02 -05 -05 -06 -06 -06 -08 -0c -0d -0d -14 -14 -1a -1a -23 -23 -27 -29 -2c -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2c -29 -27 -1f -1a -14 -0d -06 -06 -06 -04 -00 -00 -00 -00 -00 -00 -00 -00 -04 -04 -06 -06 -06 -06 -0b -0d -14 -18 -18 -18 -18 -17 -17 -14 -14 -14 -14 -14 -13 -13 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -13 -14 -1a -27 -2a -2d -2e -30 -30 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2e -30 -30 -35 -39 -39 -39 -35 -35 -35 -34 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -28 -27 -23 -1f -1f -1a -18 -17 -14 -14 -17 -1a -1e -23 -2c -34 -3b -3c -3c -3c -3c -42 -42 -44 -45 -44 -42 -3b -33 -2c -23 -14 -0d -06 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -06 -06 -06 -08 -0d -0d -14 -14 -1a -1a -23 -23 -27 -29 -2d -31 -31 -31 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2d -2d -27 -23 -1a -14 -0d -06 -06 -01 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -06 -06 -06 -0d -14 -18 -18 -17 -17 -17 -14 -14 -14 -14 -14 -13 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -13 -14 -18 -23 -27 -2d -2d -2e -30 -30 -34 -34 -34 -34 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -30 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2e -30 -30 -35 -39 -39 -35 -35 -35 -34 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -27 -27 -23 -1f -1f -1e -1a -1a -1a -1a -23 -27 -2c -34 -3b -42 -42 -3c -3b -3b -3c -42 -44 -45 -45 -45 -44 -3c -34 -31 -23 -14 -0d -06 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -06 -06 -06 -0c -0d -0d -14 -14 -1a -1a -23 -23 -27 -29 -29 -2d -2d -31 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2d -27 -23 -18 -12 -0d -06 -06 -01 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -04 -06 -06 -0d -12 -14 -17 -17 -17 -17 -17 -14 -14 -14 -13 -13 -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -14 -1b -27 -2d -2d -2d -2e -2e -30 -34 -34 -34 -35 -35 -35 -39 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -39 -39 -35 -35 -35 -35 -35 -35 -35 -35 -30 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2e -30 -30 -35 -35 -35 -35 -35 -35 -30 -30 -2e -2e -2e -2e -2e -30 -30 -30 -30 -2e -2d -2d -2d -27 -27 -26 -1f -1f -1f -1f -23 -23 -27 -2d -34 -3c -42 -44 -42 -3c -3c -3b -3b -42 -44 -45 -47 -47 -44 -42 -36 -31 -26 -1a -0d -06 -05 -02 -02 -00 -00 -02 -02 -02 -02 -02 -02 -05 -05 -06 -06 -08 -0d -0d -0d -13 -14 -14 -1a -1a -23 -23 -23 -27 -29 -2c -2d -2d -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -38 -38 -38 -38 -34 -33 -2d -27 -1f -18 -0e -0b -06 -06 -06 -04 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -01 -06 -06 -06 -0d -14 -17 -17 -17 -17 -18 -14 -14 -14 -10 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -14 -1a -24 -2a -2d -2d -2d -2e -30 -30 -34 -34 -34 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -35 -35 -30 -30 -30 -2e -2e -2d -2d -2d -2d -2d -2e -2e -30 -30 -35 -35 -35 -35 -35 -30 -30 -2e -2e -2e -2e -2e -2e -2e -30 -30 -2e -2d -2d -2d -2d -27 -27 -27 -26 -23 -26 -29 -29 -31 -36 -3c -44 -47 -47 -45 -44 -3c -3c -3c -3c -42 -47 -4f -4f -45 -42 -33 -29 -1a -14 -0d -06 -06 -05 -02 -00 -00 -00 -02 -02 -02 -02 -02 -02 -02 -05 -06 -06 -0c -0d -0d -0d -13 -14 -14 -1a -1a -1a -1f -23 -23 -27 -29 -2c -2d -34 -34 -34 -34 -34 -34 -38 -38 -38 -38 -38 -38 -38 -38 -38 -38 -38 -34 -34 -2d -27 -1f -17 -0d -0d -06 -06 -06 -06 -06 -06 -06 -04 -04 -04 -04 -04 -04 -04 -04 -00 -00 -00 -04 -06 -06 -0d -14 -14 -17 -18 -18 -15 -14 -14 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -10 -14 -18 -1f -27 -2d -2d -2d -2d -2e -30 -34 -34 -34 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -30 -30 -30 -2e -2e -2e -2d -2d -2d -2d -2e -2e -30 -30 -30 -30 -34 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -28 -27 -27 -27 -27 -27 -29 -2d -34 -42 -45 -4f -50 -50 -50 -4f -45 -44 -44 -44 -45 -45 -47 -47 -44 -36 -29 -1a -14 -0d -08 -06 -06 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -06 -06 -06 -08 -0d -0d -0d -13 -14 -14 -14 -1a -1a -23 -23 -23 -23 -27 -29 -2d -2d -34 -34 -34 -34 -38 -38 -38 -38 -38 -38 -38 -38 -3c -3c -3c -3c -3c -38 -34 -2f -2d -27 -1a -14 -0e -0d -0b -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -04 -04 -04 -04 -00 -06 -06 -0d -0d -14 -17 -1a -18 -18 -14 -14 -14 -14 -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -1b -27 -28 -28 -2d -2d -2d -2e -30 -34 -34 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -34 -30 -30 -30 -30 -2e -2e -2e -2d -2d -2d -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -30 -2e -2e -2e -2d -2d -2d -28 -27 -27 -27 -27 -29 -2d -34 -44 -4f -50 -54 -53 -50 -50 -50 -50 -4f -47 -45 -45 -44 -42 -36 -29 -23 -14 -0d -08 -06 -06 -06 -06 -05 -05 -05 -02 -02 -02 -02 -02 -02 -05 -05 -06 -06 -06 -0c -0d -0d -13 -14 -14 -17 -1a -1f -23 -26 -27 -29 -29 -29 -2c -2d -2d -2f -34 -34 -38 -38 -38 -38 -38 -38 -3b -3c -3c -3c -3c -3c -3c -3c -3c -3c -38 -34 -2f -2d -26 -1a -14 -12 -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -04 -04 -06 -06 -06 -0d -0e -14 -1a -1a -18 -15 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -1a -24 -28 -28 -28 -2d -2d -2d -2e -30 -34 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -34 -30 -30 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -2e -2d -2d -2d -28 -28 -27 -27 -27 -2d -34 -42 -4f -53 -54 -54 -53 -50 -50 -50 -50 -4f -47 -44 -3b -31 -29 -23 -14 -0d -0d -06 -06 -06 -06 -06 -06 -06 -05 -05 -05 -05 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -14 -14 -14 -1a -1a -1f -23 -27 -29 -2c -2d -2d -2d -2d -2d -2d -2f -34 -34 -34 -38 -38 -38 -38 -39 -39 -3c -3c -39 -39 -3c -3c -3c -3c -3c -3c -39 -38 -34 -2f -2d -26 -1f -18 -14 -12 -0d -0d -0b -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0d -0d -14 -1a -1a -18 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1a -23 -27 -27 -27 -28 -2d -2d -2d -2e -34 -34 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -30 -30 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -2e -2d -2d -2d -28 -28 -28 -27 -2d -34 -3c -47 -50 -54 -53 -50 -50 -50 -50 -4f -47 -42 -3b -2d -23 -1a -14 -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -08 -08 -0d -0d -0d -14 -14 -18 -1a -1f -23 -27 -29 -2c -2d -2d -2d -2d -2d -2d -2d -2f -33 -34 -34 -34 -38 -38 -38 -39 -39 -39 -3c -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -39 -38 -34 -2f -2d -27 -1f -1a -18 -14 -12 -0d -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0d -14 -14 -1a -1a -18 -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1b -23 -27 -27 -27 -27 -28 -2b -2d -2e -30 -34 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -30 -30 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -2e -2d -2d -2d -28 -28 -28 -2d -2d -3b -45 -50 -50 -50 -50 -50 -4f -45 -44 -3c -34 -2c -23 -1a -14 -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0d -0d -0d -0d -13 -14 -14 -14 -1a -1e -23 -26 -27 -29 -2d -2d -2d -2d -2d -2f -33 -33 -33 -34 -34 -34 -34 -34 -38 -38 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -38 -34 -34 -2d -2c -27 -1f -1b -18 -14 -12 -0d -0d -0d -07 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0b -0d -14 -18 -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -24 -27 -27 -27 -27 -27 -27 -2b -2d -2e -30 -34 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -30 -30 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -2e -2d -2d -2d -2d -2b -2d -2d -33 -3c -45 -4f -4f -47 -45 -44 -3c -34 -2d -26 -1a -14 -12 -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -0d -14 -14 -14 -1a -1a -1a -1f -23 -27 -29 -2c -2d -2d -2d -2d -2d -31 -33 -34 -34 -34 -34 -34 -34 -38 -38 -3c -3c -3c -3c -3c -3c -3c -3c -40 -3c -40 -40 -40 -40 -40 -40 -40 -40 -40 -40 -40 -3c -3c -3c -38 -34 -34 -2d -2d -27 -23 -1b -1a -15 -14 -0d -0d -0d -0b -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0d -0d -14 -1a -1a -1b -1f -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -34 -31 -2d -27 -24 -24 -24 -27 -27 -28 -28 -2d -2e -30 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -30 -30 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -2e -2d -2d -2d -2d -2d -2d -2d -2f -34 -3c -3c -3c -36 -34 -31 -29 -1f -18 -14 -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -14 -14 -18 -1a -1a -23 -23 -27 -27 -29 -2c -2d -2d -2d -2d -2d -33 -33 -34 -34 -38 -3c -3c -3c -3c -3c -40 -40 -45 -45 -45 -45 -45 -45 -45 -45 -45 -4b -4b -4b -4b -45 -4b -4b -4b -45 -45 -45 -45 -45 -41 -40 -3c -3c -3c -38 -34 -32 -2d -27 -24 -1f -1a -14 -14 -12 -0d -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0b -0d -14 -1a -1a -1f -24 -29 -2d -31 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -42 -36 -29 -24 -1f -1f -20 -27 -27 -28 -2d -2e -2e -34 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -30 -30 -30 -30 -30 -30 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -2e -2d -2d -2d -2d -28 -28 -2d -2d -2d -2d -2d -29 -27 -1f -1a -17 -12 -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -14 -14 -1a -1e -23 -23 -27 -29 -2c -2d -2d -2d -2d -33 -33 -34 -34 -34 -34 -3c -3c -45 -45 -45 -4b -4b -50 -50 -50 -50 -51 -51 -51 -51 -51 -56 -56 -56 -56 -56 -56 -56 -56 -56 -56 -56 -56 -55 -51 -51 -50 -50 -4f -45 -45 -45 -44 -3c -3c -34 -34 -2d -29 -23 -1f -1a -1a -14 -14 -0d -0d -0d -0b -06 -06 -06 -06 -06 -06 -0b -0b -0d -12 -18 -1a -1f -24 -29 -31 -37 -42 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -47 -42 -2d -24 -1f -1f -1f -20 -27 -27 -28 -2d -2e -30 -34 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -2e -2e -2e -2e -2d -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -34 -34 -34 -34 -30 -30 -30 -2e -2d -2d -28 -28 -27 -27 -27 -23 -1f -1e -1a -18 -14 -12 -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -14 -14 -1a -23 -23 -27 -29 -2d -2d -2d -31 -33 -33 -34 -34 -34 -34 -3b -3c -40 -45 -4f -50 -51 -55 -56 -56 -59 -59 -59 -5a -5a -5a -5a -5a -5b -5b -5b -5d -5e -5e -5e -5e -5e -5e -5e -5b -5b -5a -5a -5a -5a -59 -56 -55 -50 -50 -47 -45 -45 -42 -3c -36 -31 -2d -29 -27 -23 -1f -1a -17 -14 -14 -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -12 -14 -1a -1a -1f -27 -2a -34 -42 -47 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -47 -42 -31 -27 -1f -1b -1f -1f -20 -27 -27 -28 -2d -2e -30 -34 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -30 -30 -30 -30 -30 -34 -34 -30 -30 -30 -30 -2e -2e -2d -2d -2d -2e -2e -2e -2e -2e -30 -30 -34 -34 -34 -34 -34 -30 -30 -30 -2d -2d -28 -27 -27 -24 -1f -1b -18 -14 -12 -0d -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -13 -14 -1a -1a -23 -27 -29 -2c -2d -31 -33 -34 -34 -34 -34 -36 -3b -3c -3c -45 -45 -4f -50 -56 -59 -5a -5a -5a -5b -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5a -57 -56 -53 -50 -4f -47 -45 -42 -3c -34 -33 -2d -2c -27 -23 -1f -1a -14 -14 -12 -0d -0d -0d -0d -0d -0d -0d -0d -12 -14 -18 -1a -1f -23 -27 -2a -32 -42 -45 -47 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -37 -36 -2d -27 -1f -1b -1b -1f -1f -20 -24 -27 -28 -2d -2e -30 -30 -34 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -39 -35 -35 -35 -35 -35 -39 -39 -39 -35 -35 -35 -30 -30 -2e -2e -2d -2d -2e -2e -2e -2e -30 -30 -30 -34 -34 -34 -34 -34 -34 -30 -2e -2d -28 -27 -22 -1f -1b -18 -14 -0d -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -0d -13 -14 -17 -1a -1f -23 -27 -29 -2d -2d -34 -34 -34 -3b -3c -3c -42 -44 -45 -45 -4f -50 -55 -56 -59 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5e -5e -5c -5b -57 -56 -53 -50 -50 -45 -45 -3c -3c -34 -34 -2d -2c -27 -23 -1a -1a -17 -14 -14 -12 -0d -0d -0d -0d -0d -12 -14 -18 -1a -1f -1f -23 -24 -27 -2d -34 -37 -37 -3c -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -29 -24 -1f -1b -1b -1f -1f -1f -20 -20 -27 -28 -2e -30 -30 -30 -34 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -34 -30 -30 -2e -2e -2e -2e -2e -2e -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -30 -2e -2d -27 -1f -1f -1a -15 -14 -0d -0b -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -0d -14 -14 -17 -1a -1f -23 -26 -29 -2c -2d -33 -34 -34 -3c -3c -44 -45 -45 -4f -50 -55 -56 -56 -56 -5a -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5b -5a -56 -55 -50 -4f -45 -45 -3c -3c -34 -34 -2d -29 -26 -1f -1e -18 -17 -14 -14 -12 -0e -0d -0e -12 -14 -17 -1a -1e -1f -23 -23 -1f -24 -27 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -23 -1f -1b -1b -1b -1f -1f -1f -1f -24 -28 -2d -2e -30 -30 -30 -30 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -30 -30 -2e -2e -2e -2e -2e -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2d -27 -24 -1f -18 -18 -14 -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0d -0d -0d -13 -14 -17 -1a -1a -1f -26 -27 -2c -2d -31 -34 -34 -3b -3c -40 -45 -45 -50 -50 -56 -56 -5a -5a -5a -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5a -5a -56 -55 -50 -4f -45 -44 -3c -3b -34 -2d -2c -27 -23 -1f -1e -18 -17 -14 -14 -14 -14 -14 -14 -18 -1a -1e -23 -23 -23 -1f -1f -1f -23 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1b -1b -1b -1b -1f -1f -1f -1f -20 -27 -28 -2d -2e -2e -2e -30 -30 -30 -30 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -30 -30 -2e -2e -2e -2e -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -30 -2e -2d -27 -1f -1b -18 -14 -12 -0d -0b -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -0f -14 -17 -18 -1a -1e -23 -27 -29 -2d -2d -34 -34 -3c -3c -44 -45 -47 -50 -50 -56 -56 -5a -5b -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5b -5a -56 -55 -50 -4f -45 -3c -3c -34 -33 -2d -2c -27 -23 -1f -1a -18 -14 -14 -14 -14 -17 -18 -1a -1e -1f -23 -23 -23 -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -1f -1f -1f -20 -27 -28 -28 -2d -2d -2e -2e -30 -30 -30 -30 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -30 -30 -2e -2e -2e -30 -30 -34 -34 -34 -34 -35 -35 -34 -34 -34 -34 -30 -2e -2b -27 -1f -1a -18 -14 -0e -0d -0b -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0c -0d -0d -13 -14 -17 -18 -1e -1f -23 -27 -29 -2d -2d -34 -3b -3c -44 -45 -50 -50 -55 -56 -56 -5a -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5b -57 -56 -50 -4f -45 -40 -3c -34 -34 -2d -2d -27 -27 -23 -1f -1a -18 -18 -18 -18 -18 -1a -1f -23 -23 -23 -23 -24 -1f -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -1f -1f -1f -1f -20 -27 -27 -28 -28 -2b -2d -2d -2e -30 -30 -30 -30 -30 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -30 -30 -2e -2e -2e -30 -34 -34 -34 -35 -35 -35 -35 -35 -35 -34 -34 -34 -2d -28 -24 -1f -18 -15 -14 -0d -0d -0b -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0d -0d -0d -0d -14 -14 -18 -1a -1f -1f -23 -27 -29 -2d -33 -34 -3b -3c -45 -4f -50 -55 -56 -57 -57 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -56 -50 -4f -45 -44 -3c -34 -34 -33 -2d -2d -27 -27 -23 -1f -1e -1a -1a -1e -1e -1f -23 -23 -26 -27 -24 -24 -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1a -1a -1b -1b -1f -1f -1f -1f -1f -1f -1f -20 -20 -27 -27 -27 -28 -2b -2d -2e -30 -30 -30 -30 -30 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -34 -30 -30 -30 -2e -30 -30 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -34 -34 -2d -28 -24 -1b -18 -15 -14 -0d -0d -0b -06 -06 -06 -06 -06 -06 -06 -06 -06 -0a -0c -0d -0d -0d -0d -0d -0d -0d -14 -14 -18 -1e -1f -23 -27 -27 -29 -2d -33 -34 -3b -3c -45 -4f -50 -56 -57 -57 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5f -5f -5f -5e -5e -5e -5e -5e -5a -56 -50 -4f -45 -40 -3c -38 -34 -34 -2d -2d -29 -27 -26 -23 -1f -1f -1f -1f -1f -23 -23 -26 -27 -27 -24 -1b -1a -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1b -1b -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -22 -27 -27 -28 -2b -2d -2e -30 -30 -30 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -30 -30 -30 -30 -2e -30 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -30 -2d -28 -24 -1b -18 -15 -14 -0d -0d -0d -0b -06 -06 -08 -0d -08 -0d -0d -0d -0d -0d -0d -0d -0d -0d -14 -14 -14 -14 -18 -1e -1f -27 -27 -29 -2d -2d -34 -36 -3c -42 -45 -4f -50 -56 -57 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5a -56 -50 -4f -45 -3c -3c -38 -34 -34 -33 -2d -2d -29 -27 -26 -23 -1f -1f -1f -23 -23 -27 -27 -27 -24 -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -18 -1a -1b -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -22 -27 -27 -2b -2d -30 -30 -30 -30 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -35 -30 -30 -30 -30 -30 -30 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -30 -2d -27 -20 -1b -18 -15 -14 -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -14 -14 -14 -14 -14 -17 -1a -1a -23 -27 -29 -2d -2d -33 -34 -3b -3c -45 -45 -4f -50 -55 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5a -56 -55 -50 -45 -40 -3c -38 -34 -34 -34 -2d -2d -29 -27 -27 -23 -23 -23 -23 -27 -27 -27 -27 -24 -1f -18 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1b -1f -1f -1f -1f -1f -1f -1f -1d -1c -18 -1c -1d -1f -1f -1f -22 -27 -2b -2e -30 -30 -30 -30 -35 -35 -39 -39 -39 -39 -39 -39 -35 -35 -30 -30 -30 -30 -30 -30 -30 -34 -34 -35 -35 -35 -35 -35 -35 -3c -3c -3c -37 -34 -2e -2d -27 -1f -1b -18 -14 -14 -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -13 -14 -14 -14 -14 -1a -1a -1a -1a -1f -23 -27 -29 -2d -2d -33 -34 -3c -44 -45 -4f -50 -51 -56 -59 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5a -56 -50 -4f -45 -40 -3c -3c -38 -34 -34 -2d -2d -2c -29 -27 -27 -27 -27 -27 -27 -27 -27 -24 -1f -1a -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1b -1f -1f -1f -1f -1f -1f -1f -1d -18 -18 -18 -18 -1c -1d -1f -1f -22 -27 -2d -30 -30 -30 -30 -30 -30 -34 -35 -39 -39 -39 -35 -30 -30 -30 -30 -30 -30 -2e -30 -34 -34 -35 -35 -35 -37 -35 -37 -3c -3c -43 -3d -3c -35 -2e -2d -27 -1f -1b -18 -15 -14 -0e -0d -0d -0d -0d -0d -0d -0d -0d -13 -14 -14 -14 -14 -14 -17 -1a -1a -1a -1e -23 -23 -27 -29 -2d -2d -34 -34 -3b -42 -45 -50 -50 -56 -56 -5a -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5d -5a -56 -50 -4f -45 -40 -3c -3c -3b -38 -34 -33 -2d -2d -29 -27 -27 -27 -27 -27 -27 -27 -27 -24 -1b -18 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -18 -1b -1b -1f -1f -1f -1f -1f -1f -1d -1c -18 -18 -18 -18 -18 -1d -1f -22 -27 -2d -2e -30 -30 -30 -30 -30 -34 -30 -34 -30 -30 -30 -30 -30 -30 -30 -30 -30 -2e -30 -34 -34 -35 -37 -37 -37 -3c -3c -3c -43 -45 -45 -3c -35 -32 -2a -27 -1f -1b -18 -15 -14 -12 -12 -0d -0d -0d -0d -13 -14 -14 -14 -14 -14 -14 -14 -1a -1a -1a -1f -1f -23 -27 -27 -29 -2d -33 -34 -34 -3b -3c -45 -50 -53 -56 -56 -5a -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5b -5a -56 -50 -4f -45 -40 -3c -3c -3c -38 -34 -34 -2d -2d -2d -29 -27 -27 -27 -27 -27 -27 -24 -1f -18 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -1b -1b -1f -1f -1f -1f -1f -1f -1f -18 -18 -18 -18 -18 -18 -18 -1f -1f -27 -2b -2d -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -2e -30 -30 -30 -30 -34 -34 -35 -37 -3c -3c -3c -3d -45 -46 -46 -45 -3d -37 -34 -2d -27 -1f -1b -18 -15 -14 -14 -12 -12 -12 -14 -14 -14 -14 -14 -14 -14 -17 -1a -1a -1a -1a -1f -23 -23 -27 -29 -2c -2d -2d -34 -34 -3b -3c -45 -50 -56 -56 -5a -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5b -5a -56 -50 -4f -45 -44 -3c -3c -3c -3b -34 -34 -2d -2d -2d -29 -27 -27 -27 -27 -27 -27 -23 -1b -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -18 -1b -1f -1f -1f -1f -1f -1f -1f -18 -18 -18 -18 -18 -18 -18 -1d -1f -22 -28 -2d -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -2e -30 -30 -30 -30 -34 -35 -35 -3c -3c -3c -3d -43 -45 -48 -46 -45 -3d -3c -34 -2d -27 -1f -1b -18 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -17 -1a -1a -1a -1a -1a -1a -23 -23 -27 -27 -29 -2d -2d -31 -34 -34 -3b -3c -45 -50 -55 -56 -5a -5b -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5b -5a -56 -50 -4b -45 -45 -40 -3c -3c -3b -34 -34 -2d -2d -2d -29 -29 -27 -27 -27 -27 -24 -1b -18 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -18 -1b -1f -1f -1f -1f -1f -1f -1f -1c -18 -18 -18 -18 -18 -18 -1c -1f -22 -27 -2d -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -2e -30 -30 -30 -34 -34 -35 -39 -3c -3c -3c -3d -45 -46 -48 -46 -45 -3d -37 -34 -2d -27 -1f -1b -1a -18 -15 -15 -14 -14 -14 -14 -17 -18 -1a -1a -1a -1a -1a -1a -1f -1f -23 -27 -27 -29 -2d -2d -33 -34 -34 -3c -42 -45 -50 -56 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5b -5a -59 -56 -50 -4f -45 -45 -44 -3c -3c -3b -34 -34 -2d -2d -2d -2c -29 -29 -27 -27 -24 -1f -1a -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -1b -1b -1f -1f -1f -1f -1f -1f -1d -18 -18 -18 -18 -18 -18 -18 -1f -22 -27 -2d -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -2e -2e -30 -30 -34 -35 -35 -3c -3c -3c -3d -45 -46 -48 -48 -46 -45 -3d -37 -34 -2d -27 -1f -1b -1a -18 -18 -15 -15 -18 -18 -18 -1a -1a -1a -1a -1a -1e -1f -1f -23 -23 -27 -27 -29 -2d -2d -31 -34 -34 -3c -44 -4f -50 -56 -5a -5a -5e -5e -5e -5e -5e -5e -5e -5f -5e -5e -5e -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5b -5a -59 -56 -50 -4f -45 -45 -44 -3c -3c -38 -34 -34 -2d -2d -2d -2d -2d -29 -27 -27 -24 -1f -18 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1f -1f -1f -1f -1f -1f -1f -18 -18 -18 -18 -18 -18 -18 -1f -21 -27 -2d -2d -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -2e -30 -30 -34 -34 -35 -35 -3c -3c -3d -45 -45 -48 -50 -48 -45 -45 -3c -37 -34 -2d -27 -1f -1b -1a -18 -18 -18 -18 -18 -1a -1a -1a -1a -1f -1f -1f -23 -23 -23 -26 -27 -27 -29 -2d -2d -2d -34 -34 -3c -44 -4f -50 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5f -5e -5e -5e -5e -5f -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5b -5a -59 -56 -50 -50 -45 -45 -40 -3c -3c -35 -34 -34 -2e -2d -2d -2d -2a -27 -27 -27 -24 -1f -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1b -1f -1f -1f -1f -1f -1f -1c -18 -18 -18 -18 -18 -18 -1f -1f -27 -2b -2d -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -2e -30 -30 -34 -34 -35 -39 -3c -3d -45 -45 -48 -50 -50 -46 -45 -43 -3c -35 -32 -2a -27 -1f -1b -1b -1a -1a -1a -1a -1b -1b -1e -1f -1f -1f -1f -23 -23 -26 -27 -27 -27 -29 -2c -2d -2d -33 -34 -3b -44 -4f -55 -59 -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -59 -55 -50 -4f -45 -45 -3c -3c -3c -35 -34 -34 -32 -2d -2d -2d -2a -29 -27 -27 -24 -1b -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1b -1f -1f -1f -1f -1f -1c -18 -18 -18 -18 -18 -18 -1f -1f -27 -28 -2d -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -35 -35 -39 -3c -43 -45 -46 -50 -50 -48 -46 -45 -3d -3c -35 -2e -28 -24 -1f -1f -1b -1b -1b -1b -1b -1f -1f -1f -1f -1f -23 -23 -23 -27 -27 -27 -27 -29 -29 -2d -2d -33 -34 -36 -42 -47 -53 -56 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -56 -55 -50 -4b -45 -45 -3c -3c -3c -35 -34 -34 -34 -34 -2d -2d -2a -2a -27 -24 -1f -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1b -1f -1f -1f -1f -1f -1f -18 -18 -18 -18 -18 -1c -1f -1f -27 -27 -2d -2d -30 -30 -30 -30 -30 -34 -34 -34 -30 -30 -30 -30 -30 -30 -34 -34 -35 -35 -3c -3d -45 -46 -48 -50 -50 -48 -46 -45 -3d -37 -34 -2e -28 -27 -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -23 -23 -23 -26 -27 -27 -27 -27 -2a -2a -2d -2d -2d -34 -34 -3c -45 -53 -57 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5e -5a -5a -56 -50 -50 -45 -45 -44 -3c -3c -37 -35 -34 -34 -34 -2e -2d -2d -2a -2a -27 -24 -1b -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1b -1f -1f -1f -1f -1f -1c -1c -1c -1c -18 -1d -1f -1f -22 -27 -2b -2d -2e -2e -30 -30 -30 -34 -34 -34 -30 -30 -30 -30 -30 -30 -34 -34 -35 -39 -3c -3d -45 -48 -50 -50 -50 -46 -45 -45 -3d -37 -34 -2d -28 -24 -1f -1f -1f -1f -1f -1f -1f -1f -23 -23 -23 -26 -27 -27 -27 -27 -27 -2a -2a -2d -2d -2d -34 -34 -3c -45 -50 -57 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -59 -56 -50 -4f -45 -45 -3c -3c -3c -37 -35 -34 -34 -34 -2e -2d -2a -2a -27 -24 -1b -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -22 -27 -27 -2d -2d -2d -30 -30 -30 -30 -34 -34 -34 -34 -30 -30 -34 -34 -34 -35 -35 -3c -3d -43 -46 -48 -50 -50 -50 -46 -45 -43 -3c -35 -32 -2d -27 -24 -1f -1f -1f -1f -1f -1f -1f -23 -24 -26 -27 -27 -27 -27 -27 -29 -2a -2a -2d -2d -2d -31 -34 -3b -42 -50 -56 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -56 -51 -50 -45 -45 -43 -3c -3c -3c -35 -35 -34 -34 -2e -2d -2d -2a -2a -27 -1f -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -1b -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -21 -27 -27 -27 -28 -2d -2d -2d -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -3c -3d -45 -48 -50 -50 -50 -48 -46 -45 -43 -3c -35 -2e -2d -27 -24 -1f -1f -1f -1f -1f -23 -24 -24 -27 -27 -27 -27 -27 -27 -29 -2d -2d -2d -2d -2d -31 -34 -34 -3c -46 -54 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5e -5e -5e -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5e -5e -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5a -59 -56 -50 -4f -45 -45 -3d -3c -3c -3c -35 -34 -34 -32 -2d -2d -2d -2a -28 -24 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1b -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -27 -27 -27 -27 -28 -2b -2d -2d -2d -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -3c -3c -45 -46 -50 -50 -50 -50 -48 -46 -45 -3d -3c -34 -2e -2d -27 -24 -1f -1f -1f -20 -24 -24 -27 -27 -27 -27 -27 -27 -27 -2a -2d -2d -2d -2d -2d -2d -34 -34 -3c -43 -50 -56 -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5d -5d -5d -5d -5d -5e -5e -5e -5e -5e -5d -5d -5d -5d -5e -5d -5d -5e -5d -5e -5e -5e -5e -5e -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -56 -51 -50 -45 -45 -43 -3c -3c -3c -37 -35 -34 -34 -2e -2d -2d -2d -2a -27 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1f -1f -1f -21 -27 -27 -27 -27 -27 -27 -28 -2b -2d -2d -2d -2d -2d -2d -2d -30 -30 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -39 -3c -3d -45 -46 -50 -50 -50 -48 -46 -45 -43 -3c -35 -34 -2d -28 -27 -24 -1f -20 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -2a -2d -2d -2d -2d -2d -2d -2d -34 -34 -3c -45 -54 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5d -5d -5d -5d -5d -5d -5d -5b -5d -5d -5d -5d -5d -5d -5d -5d -5d -5b -5b -5d -5d -5d -5d -5d -5d -5d -5d -5d -5d -5d -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -59 -55 -50 -4f -45 -45 -3d -3c -3c -3c -37 -34 -34 -32 -2d -2d -2d -2a -27 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1b -1f -1f -27 -27 -28 -2d -2d -2d -2d -2e -2e -2e -2e -2e -2d -2d -2e -2e -30 -30 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -3c -3d -43 -46 -50 -50 -50 -50 -48 -46 -45 -3d -3c -35 -32 -2d -28 -27 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -2a -2d -2d -2d -2d -2d -2d -2d -31 -34 -3b -40 -4f -56 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5d -5d -5d -5d -5d -5d -5b -5b -5d -5d -5d -5d -5b -5b -5b -5b -5d -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5d -5d -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5a -5a -56 -50 -50 -45 -45 -3d -3d -3c -3c -37 -35 -34 -34 -2d -2d -2d -2d -2a -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -1b -1f -20 -27 -28 -2d -2d -2e -34 -34 -34 -34 -34 -34 -34 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -35 -35 -35 -39 -39 -3c -3c -3d -45 -46 -50 -50 -50 -50 -48 -46 -45 -3c -37 -34 -2e -2d -28 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -2a -2a -2d -2d -2d -2d -2d -2d -2d -34 -34 -3c -45 -50 -59 -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5d -5d -5d -5b -5d -5d -5b -5b -5b -5b -5b -5d -5b -5b -5b -5b -5b -5d -5b -5b -5b -5a -5a -5b -5b -5a -5a -5b -5a -5a -5a -5b -5b -5b -5d -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -56 -55 -50 -46 -45 -43 -3d -3c -3c -3c -35 -34 -34 -32 -2d -2d -2d -2a -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1f -1f -27 -28 -2d -34 -34 -39 -3c -3c -3c -3c -39 -35 -34 -34 -34 -30 -34 -34 -34 -34 -34 -34 -35 -39 -3c -3c -3c -3c -43 -45 -46 -50 -50 -50 -50 -48 -46 -45 -43 -3c -35 -34 -2e -2a -28 -27 -24 -24 -24 -27 -27 -27 -27 -27 -27 -28 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2e -34 -38 -40 -4f -56 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5d -5d -5b -5b -5b -5b -5b -5b -5b -5b -5b -5a -5b -5b -5b -5b -5b -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5d -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -59 -56 -50 -50 -46 -45 -3d -3c -3c -3c -37 -34 -34 -34 -2d -2d -2d -2a -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1b -1f -27 -27 -2d -34 -3c -3d -43 -45 -45 -3d -3c -3c -35 -35 -35 -34 -34 -34 -34 -34 -35 -35 -39 -3c -3c -3c -3d -43 -45 -46 -48 -50 -50 -50 -50 -48 -46 -45 -3d -3c -35 -32 -2e -2a -28 -24 -24 -27 -27 -27 -27 -27 -27 -27 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -34 -34 -3c -45 -50 -59 -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5a -5b -5d -5d -5b -5d -5d -5e -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5a -5a -56 -50 -50 -46 -45 -43 -3d -3c -3c -37 -35 -34 -34 -2e -2d -2d -2a -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1b -1f -24 -27 -2d -34 -3c -45 -45 -45 -45 -45 -45 -3d -3c -3c -39 -35 -35 -35 -35 -35 -39 -3c -3c -3c -3d -3d -43 -45 -46 -48 -50 -50 -50 -50 -50 -48 -46 -45 -3d -3c -35 -32 -2d -28 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -34 -3c -45 -50 -56 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5b -5b -5a -5a -5a -5a -5b -5d -5b -5b -5b -5a -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5a -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5a -5b -5d -5b -5b -5b -5d -5d -5b -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5a -5a -56 -51 -50 -48 -46 -45 -43 -3d -3c -3c -35 -34 -34 -2e -2d -2d -2d -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -15 -1b -1f -24 -27 -2d -34 -3d -46 -46 -50 -46 -46 -45 -45 -3d -3d -3c -3c -3c -3c -3c -3c -3c -3c -3c -3d -45 -45 -45 -46 -48 -50 -50 -50 -50 -50 -50 -48 -46 -45 -3d -37 -34 -2e -2d -28 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -34 -38 -40 -50 -56 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5e -5d -5b -5a -5a -5a -5a -5a -5a -5d -5b -5b -5b -5b -5b -5b -5a -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5a -5b -5b -5b -5d -5b -5b -5b -5b -5d -5b -5e -5d -5e -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5a -5a -56 -55 -50 -50 -46 -45 -45 -3d -3c -3c -37 -34 -34 -2e -2d -2d -2d -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1f -1f -27 -2d -34 -3d -46 -50 -50 -50 -46 -45 -45 -43 -3d -3d -3d -3c -3c -3c -3c -3c -3d -43 -45 -45 -45 -46 -48 -50 -50 -50 -50 -50 -50 -50 -48 -45 -43 -3c -35 -34 -2e -2d -28 -27 -27 -27 -27 -27 -27 -27 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -34 -34 -40 -4b -55 -59 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5b -5b -5b -5d -5a -5b -5a -5a -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5a -5a -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5a -5a -5b -5b -5b -5b -5d -5d -5d -5d -5d -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5a -5a -59 -55 -50 -50 -46 -45 -45 -3d -3c -3c -37 -34 -34 -32 -2d -2d -2d -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1b -1f -27 -2d -34 -3c -46 -50 -50 -50 -46 -46 -45 -45 -45 -43 -3d -3d -3d -3d -3d -3d -45 -45 -45 -46 -46 -48 -50 -50 -50 -50 -50 -50 -50 -50 -46 -45 -3d -3c -35 -32 -2e -2a -28 -27 -27 -27 -27 -27 -27 -28 -28 -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -33 -34 -3c -45 -51 -59 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5d -5b -5b -5b -5b -5a -5b -5a -5a -5a -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5a -5a -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5a -5b -5d -5d -5b -5b -5b -5b -5d -5b -5d -5d -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5a -5a -59 -56 -50 -50 -48 -46 -45 -3d -3c -3c -37 -35 -34 -34 -2d -2d -2d -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -1b -1f -24 -28 -34 -3c -46 -48 -50 -50 -48 -46 -46 -45 -45 -45 -45 -45 -45 -45 -45 -45 -45 -45 -46 -46 -50 -50 -50 -50 -53 -54 -54 -50 -50 -48 -46 -45 -3d -37 -34 -2e -2d -2a -28 -27 -27 -27 -27 -27 -28 -28 -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -34 -34 -3c -45 -50 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5e -5d -5d -5d -5d -5d -5d -5b -5b -5b -5a -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5a -5a -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5b -5d -5b -5b -5b -5b -5d -5b -5a -5b -5d -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -59 -56 -51 -50 -48 -46 -45 -3d -3d -3c -37 -35 -34 -34 -2e -2d -2d -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -1b -1f -24 -27 -2d -3c -45 -46 -48 -50 -48 -48 -46 -46 -46 -45 -45 -45 -45 -45 -45 -45 -46 -46 -48 -50 -50 -50 -50 -53 -54 -54 -54 -53 -50 -48 -45 -43 -3c -35 -34 -2e -2d -2a -28 -28 -27 -27 -27 -28 -28 -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -33 -34 -3c -40 -4b -51 -59 -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5e -5e -5d -5d -5d -5b -5b -5a -5b -5a -5a -5a -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5a -5b -5b -5b -5b -5b -5d -5d -5b -5b -5b -5b -5a -5b -5b -5d -5d -5e -5e -5e -5e -5e -5e -5e -5a -5a -59 -56 -55 -50 -48 -46 -45 -43 -3d -3c -3c -35 -34 -34 -2e -2d -2d -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1f -24 -27 -2d -35 -43 -45 -46 -48 -48 -48 -46 -46 -46 -46 -46 -46 -46 -46 -46 -46 -46 -48 -50 -50 -50 -50 -53 -56 -56 -56 -56 -50 -50 -46 -45 -3d -3c -35 -34 -2e -2d -2a -28 -28 -27 -27 -28 -28 -28 -2d -2d -2d -2d -2d -2e -2d -30 -30 -33 -34 -3c -45 -4b -51 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5d -5d -5d -5d -5b -5a -5b -5a -5a -5a -5a -5b -5b -5b -5a -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5b -5b -5d -5d -5d -5d -5b -5b -5a -5a -5a -5b -5b -5d -5d -5e -5e -5e -5e -5d -5a -5a -59 -56 -55 -50 -50 -46 -45 -43 -3d -3c -3c -35 -34 -34 -32 -2d -2d -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -24 -27 -2d -35 -3d -45 -46 -46 -48 -48 -48 -46 -46 -46 -46 -48 -48 -48 -48 -48 -50 -50 -50 -50 -50 -53 -56 -56 -56 -56 -56 -50 -50 -46 -45 -3d -3c -35 -34 -2e -2d -2d -28 -28 -27 -28 -28 -28 -2d -2d -2d -2d -2d -2e -2e -30 -30 -30 -34 -38 -40 -4b -51 -56 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5e -5e -5e -5e -5e -5d -5d -5d -5b -5a -5b -5b -5b -5a -5a -5a -5a -5a -5a -5b -5a -5a -5a -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5a -5b -5b -5b -5b -5b -5b -5b -5a -5a -5b -5b -5b -5b -5b -5d -5d -5d -5e -5e -5d -5b -5a -59 -56 -56 -50 -50 -46 -45 -43 -3d -3c -3c -37 -34 -34 -34 -2d -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -24 -27 -2d -34 -3c -43 -45 -46 -48 -48 -48 -48 -48 -48 -50 -50 -50 -50 -50 -50 -50 -50 -50 -53 -53 -56 -56 -56 -56 -56 -56 -50 -48 -45 -43 -3c -37 -35 -32 -2e -2d -2d -28 -28 -27 -28 -28 -2d -2d -2d -2d -2d -2e -30 -30 -2f -34 -34 -34 -3c -45 -50 -56 -59 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5e -5d -5e -5d -5d -5d -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5b -5b -5b -5b -5b -5a -5b -5b -5b -5a -5b -5b -5b -5b -5d -5d -5d -5d -5d -5b -5a -5a -56 -56 -50 -50 -48 -45 -45 -3d -3c -3c -37 -35 -34 -34 -2e -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1f -27 -2d -34 -3c -3d -45 -45 -46 -48 -50 -50 -50 -50 -50 -50 -50 -50 -50 -50 -50 -50 -53 -54 -56 -56 -56 -56 -56 -56 -56 -50 -46 -45 -3d -3c -35 -34 -32 -2e -2d -2d -2a -28 -28 -28 -2d -2d -2d -2d -2d -2d -30 -30 -30 -33 -34 -34 -38 -40 -4b -51 -56 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5e -5e -5d -5e -5d -5d -5d -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5d -5b -5a -5a -5a -56 -56 -50 -50 -48 -45 -45 -3d -3c -3c -3c -35 -34 -34 -2e -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2a -32 -35 -3d -43 -45 -46 -50 -50 -50 -50 -50 -50 -50 -50 -50 -50 -50 -50 -53 -53 -56 -56 -56 -56 -56 -56 -56 -54 -50 -45 -43 -3c -3c -35 -34 -32 -2e -2d -2d -2a -28 -28 -2d -2d -2d -2d -2d -2d -2f -30 -30 -34 -34 -34 -34 -3c -45 -50 -56 -59 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5e -5d -5d -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5a -5b -5a -5a -56 -56 -50 -50 -48 -46 -45 -3d -3c -3c -3c -35 -34 -34 -2e -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1f -27 -2e -34 -3c -3d -45 -46 -50 -50 -50 -50 -50 -50 -50 -50 -50 -50 -50 -53 -53 -56 -56 -56 -56 -56 -57 -57 -56 -54 -50 -45 -3d -3c -37 -35 -34 -32 -2e -2d -2d -2a -28 -28 -2d -2d -2d -2d -2d -2d -2f -30 -34 -34 -34 -34 -38 -3c -45 -51 -56 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5b -5d -5b -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5b -5b -5b -5b -5a -5a -56 -56 -50 -50 -48 -46 -45 -3d -3d -3c -3c -35 -34 -34 -32 -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2d -34 -37 -3d -45 -46 -50 -50 -50 -50 -50 -53 -53 -54 -53 -50 -53 -54 -56 -56 -56 -56 -56 -57 -57 -57 -56 -54 -48 -45 -3d -3c -37 -35 -34 -34 -2e -2d -2d -2d -28 -2d -2d -2d -2d -2d -2e -30 -30 -34 -34 -34 -34 -34 -3c -40 -4b -55 -59 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -56 -56 -51 -50 -50 -46 -45 -3d -3d -3c -3c -35 -34 -34 -32 -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1a -1f -2a -2e -35 -3c -43 -46 -50 -50 -50 -54 -54 -54 -54 -54 -54 -54 -54 -56 -56 -56 -56 -57 -57 -57 -57 -57 -56 -54 -48 -45 -3d -3c -37 -35 -34 -32 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -30 -34 -34 -34 -34 -34 -38 -3c -45 -50 -56 -5a -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -56 -56 -53 -50 -50 -46 -45 -43 -3d -3c -3c -35 -34 -34 -34 -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1f -27 -2d -34 -37 -3d -45 -48 -50 -50 -54 -54 -54 -54 -54 -54 -56 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -56 -50 -46 -43 -3c -3c -35 -34 -34 -32 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -34 -34 -34 -34 -34 -38 -40 -45 -51 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -56 -56 -53 -50 -50 -46 -45 -43 -3d -3c -3c -35 -34 -34 -32 -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -27 -2d -32 -35 -3d -45 -48 -50 -50 -54 -54 -54 -56 -56 -56 -56 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -56 -50 -46 -43 -3c -3c -35 -34 -34 -2e -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -34 -34 -34 -34 -34 -3c -40 -4b -51 -59 -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -5a -5a -59 -5a -5a -5a -59 -59 -56 -56 -56 -50 -50 -46 -45 -43 -3d -3c -37 -35 -34 -34 -32 -2e -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2d -2e -35 -3c -45 -48 -50 -53 -54 -56 -56 -56 -56 -56 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -56 -50 -45 -3d -3c -37 -35 -34 -34 -2e -2d -2d -2d -2d -2d -2d -2d -2d -2e -30 -30 -30 -34 -34 -34 -34 -38 -3c -45 -50 -55 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -50 -50 -48 -45 -43 -3d -3c -3c -35 -34 -34 -32 -2e -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2d -2e -34 -3c -43 -48 -50 -53 -54 -56 -56 -56 -56 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -54 -48 -45 -3d -3c -35 -35 -34 -34 -2e -2d -2d -2d -2d -2d -2d -2d -2d -2e -30 -30 -30 -34 -34 -34 -38 -38 -3c -45 -50 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -50 -50 -48 -45 -43 -3d -3c -3c -35 -34 -34 -32 -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2a -2e -34 -37 -43 -46 -50 -53 -54 -56 -56 -56 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -50 -46 -3d -3c -3c -35 -34 -34 -34 -2e -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -34 -34 -34 -34 -38 -3c -3c -45 -50 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -50 -50 -48 -45 -43 -3d -3c -3c -35 -35 -34 -32 -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1a -1f -28 -2e -32 -35 -3d -46 -50 -53 -54 -56 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -50 -45 -3d -3c -37 -35 -34 -34 -32 -2e -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -34 -34 -34 -34 -38 -3c -40 -45 -50 -56 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -56 -53 -50 -48 -45 -43 -3d -3c -3c -35 -35 -34 -34 -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2a -32 -35 -3d -46 -50 -53 -54 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -50 -46 -43 -3c -37 -35 -35 -34 -34 -32 -2e -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -34 -34 -34 -34 -38 -3c -40 -45 -50 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -56 -54 -50 -50 -48 -45 -43 -3d -3c -3c -35 -35 -34 -32 -2e -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -19 -1f -2a -2e -35 -3c -45 -50 -50 -54 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -50 -45 -3d -3c -37 -35 -35 -34 -34 -32 -2e -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -34 -34 -34 -34 -38 -3c -40 -45 -50 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -56 -56 -50 -50 -48 -45 -43 -3d -3c -3c -35 -35 -34 -32 -2e -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2d -34 -3c -45 -50 -50 -54 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -54 -50 -45 -3d -3c -37 -35 -35 -34 -34 -32 -2e -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -30 -34 -34 -34 -34 -38 -3c -40 -4b -50 -56 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -56 -56 -54 -50 -50 -48 -45 -43 -3d -3c -3c -35 -35 -34 -32 -2e -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -19 -1f -27 -32 -37 -43 -48 -50 -54 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -54 -50 -45 -3d -3c -37 -35 -35 -35 -34 -34 -2e -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -30 -34 -34 -34 -38 -3b -3c -40 -45 -50 -56 -5a -5b -5d -5e -5e -5e -5e -5e -5d -5d -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -59 -59 -56 -56 -56 -56 -56 -54 -50 -50 -46 -45 -43 -3d -3c -37 -35 -34 -34 -32 -2e -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2d -35 -3d -46 -50 -54 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -54 -50 -45 -3d -3c -3c -37 -35 -35 -34 -34 -2e -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -30 -34 -34 -34 -38 -3b -3c -40 -45 -50 -56 -59 -5a -5d -5e -5e -5e -5e -5e -5d -5d -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -56 -56 -56 -54 -50 -50 -46 -45 -43 -3d -3c -37 -35 -34 -34 -32 -2e -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -18 -1f -28 -34 -3d -45 -50 -50 -54 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -54 -50 -45 -43 -3c -3c -37 -35 -35 -34 -34 -2e -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -30 -34 -34 -34 -38 -38 -3c -40 -45 -50 -55 -59 -5a -5b -5d -5d -5d -5d -5d -5d -5d -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -56 -56 -56 -50 -50 -48 -46 -45 -3d -3c -3c -37 -35 -34 -34 -2e -2e -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1b -24 -32 -3c -45 -46 -50 -54 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -56 -50 -46 -45 -3d -3c -3c -37 -37 -34 -34 -2e -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -30 -30 -34 -34 -34 -38 -3c -40 -45 -50 -51 -59 -5a -5b -5b -5d -5b -5d -5b -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -56 -56 -56 -50 -50 -48 -45 -45 -3d -3c -3c -37 -35 -34 -32 -2e -2e -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -18 -1b -24 -2d -35 -3d -46 -50 -54 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -56 -50 -48 -45 -43 -3d -3c -3c -37 -35 -34 -32 -2e -2d -2d -2d -2d -2e -2e -2e -30 -30 -30 -34 -34 -34 -34 -38 -3b -3c -45 -4b -51 -56 -5a -5a -5a -5b -5b -5b -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -54 -50 -50 -46 -45 -45 -3d -3c -3c -35 -35 -34 -32 -2e -2e -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1f -2a -34 -3c -45 -48 -50 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -56 -50 -50 -46 -45 -3d -3c -3c -3c -35 -34 -2e -2d -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -30 -34 -34 -34 -34 -38 -3c -45 -4b -50 -56 -59 -5a -5a -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -51 -50 -50 -48 -46 -45 -43 -3d -3c -3c -37 -35 -34 -32 -2e -2e -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1f -27 -32 -3c -43 -46 -50 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -50 -50 -46 -45 -43 -3d -3c -3c -37 -34 -32 -2e -2d -2d -2d -2e -2e -2e -2e -2e -30 -30 -30 -33 -34 -34 -34 -34 -3c -40 -4b -50 -56 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5a -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -59 -58 -58 -59 -56 -56 -52 -50 -50 -48 -46 -45 -45 -3d -3d -3c -37 -35 -35 -34 -32 -2e -2e -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -24 -2e -35 -3d -45 -50 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -50 -50 -46 -45 -45 -43 -3d -3c -37 -34 -34 -2e -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -2f -2f -34 -34 -34 -34 -38 -3c -45 -50 -51 -56 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5b -5b -5a -5a -5a -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -52 -52 -51 -50 -50 -46 -45 -45 -3d -3d -3c -3c -37 -35 -35 -34 -32 -2e -2e -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -24 -2d -35 -3d -45 -50 -54 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -53 -50 -48 -45 -45 -43 -3d -3c -37 -34 -34 -2e -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -2f -2f -2f -34 -34 -34 -34 -3c -40 -4b -50 -56 -56 -59 -59 -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -52 -52 -51 -50 -46 -45 -45 -3d -3d -3c -3c -37 -35 -35 -34 -34 -32 -2e -2e -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -24 -2d -34 -3c -45 -48 -50 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -54 -50 -48 -46 -45 -45 -3d -3c -3c -34 -34 -2e -2d -2d -2d -2e -2e -2e -2e -2e -30 -30 -2f -2f -2f -2f -33 -34 -34 -38 -3c -45 -50 -51 -56 -56 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -59 -59 -59 -5a -5a -5a -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -52 -51 -50 -4b -45 -45 -3d -3d -3c -3c -3c -37 -35 -35 -34 -34 -32 -2e -2e -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -20 -2a -32 -37 -43 -46 -50 -54 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -54 -50 -50 -46 -45 -45 -3d -3c -37 -34 -34 -2e -2d -2d -2d -2d -2d -2e -2e -2e -30 -2f -2f -2f -2f -2f -2f -2f -34 -34 -3c -40 -4b -50 -55 -56 -56 -56 -56 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -4c -45 -45 -3d -3d -3d -3c -3c -39 -35 -35 -35 -34 -34 -32 -2e -2e -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -28 -32 -35 -3d -45 -50 -54 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -54 -50 -48 -45 -45 -3d -3c -37 -34 -34 -2e -2d -2d -2d -2d -2d -2e -2e -2e -30 -2f -2f -2f -2f -2d -2d -2f -33 -34 -38 -3c -45 -50 -51 -55 -56 -56 -56 -56 -56 -56 -56 -59 -56 -56 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -4c -4c -45 -45 -3d -3d -3d -3c -3c -35 -35 -35 -35 -34 -34 -32 -2e -2e -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -24 -2d -34 -3c -45 -48 -50 -56 -57 -57 -57 -57 -5a -5a -5a -5a -57 -57 -57 -56 -54 -50 -50 -46 -45 -3d -3c -37 -34 -34 -2e -2d -2d -2d -2d -2e -2e -2e -30 -30 -2f -2d -2f -2f -2f -2d -2f -2f -34 -34 -3c -40 -4b -50 -51 -56 -56 -56 -56 -56 -56 -56 -56 -56 -56 -56 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -52 -51 -4e -4c -4b -45 -3d -3d -3d -3c -3c -35 -35 -35 -35 -35 -34 -34 -32 -2e -2e -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -24 -2a -32 -35 -3d -46 -50 -54 -56 -57 -57 -57 -5a -5b -5b -5a -57 -57 -57 -57 -56 -54 -50 -46 -45 -3d -3c -37 -34 -34 -2e -2e -2e -2d -2d -2e -2e -30 -30 -30 -30 -30 -30 -30 -2f -2d -2f -2f -33 -34 -38 -3c -45 -50 -50 -55 -56 -56 -56 -56 -56 -56 -56 -56 -56 -56 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -4e -4e -4c -4b -45 -3d -3d -3c -3c -39 -35 -35 -35 -34 -34 -34 -34 -32 -2e -2e -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -1f -28 -2e -34 -3c -45 -50 -50 -56 -57 -57 -57 -5a -5b -5b -5b -5a -57 -57 -57 -56 -54 -50 -46 -45 -3d -3c -35 -34 -34 -2e -2e -2e -2d -2d -2e -30 -30 -30 -30 -30 -30 -30 -2e -2d -2d -2d -2f -2f -34 -34 -3c -40 -45 -50 -51 -56 -56 -56 -56 -56 -55 -56 -56 -56 -56 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -4e -4e -4c -4c -49 -41 -3d -3d -3c -39 -35 -35 -35 -35 -34 -34 -34 -34 -32 -2e -2d -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1b -24 -2a -32 -35 -3d -46 -50 -56 -57 -57 -57 -5a -5b -5b -5b -5a -5a -57 -57 -56 -56 -50 -46 -45 -3d -3c -35 -34 -32 -2e -2e -2e -2d -2e -2e -2e -30 -30 -30 -30 -2e -2e -2e -2d -2d -2d -2f -2f -34 -34 -38 -3c -45 -4b -50 -51 -51 -51 -51 -55 -51 -51 -51 -52 -52 -58 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -4e -4e -4c -4b -41 -41 -3d -3c -39 -39 -35 -35 -35 -35 -34 -34 -34 -34 -32 -2e -2d -19 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1b -27 -2d -34 -3c -45 -50 -54 -56 -57 -57 -5a -5a -5b -5b -5a -5a -57 -57 -56 -54 -50 -46 -45 -3d -3c -35 -34 -32 -2e -2e -2e -2d -2e -2e -2e -2e -2e -30 -2e -2e -2d -2d -2d -2d -2d -2d -2f -2f -34 -34 -3c -40 -45 -4b -50 -50 -50 -50 -51 -51 -51 -51 -51 -52 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -4e -4e -4c -4b -49 -41 -41 -3a -3c -39 -35 -35 -35 -35 -35 -34 -34 -34 -34 -32 -2e -2d -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1a -1f -27 -2d -35 -3d -46 -53 -56 -57 -57 -57 -57 -5a -5a -5a -5a -57 -57 -56 -54 -50 -46 -43 -3c -37 -35 -34 -32 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -34 -34 -3c -40 -45 -4b -4b -50 -50 -50 -50 -50 -51 -51 -51 -51 -52 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -59 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -58 -58 -58 -58 -58 -58 -51 -51 -4e -4e -4c -4a -49 -49 -41 -3f -39 -3c -39 -35 -35 -35 -35 -35 -34 -34 -34 -34 -2e -2e -2a -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1f -2a -32 -37 -45 -50 -56 -57 -57 -57 -57 -57 -57 -5a -57 -57 -57 -56 -50 -50 -45 -3d -3c -35 -34 -34 -34 -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -2f -34 -34 -34 -3c -40 -45 -45 -4b -4b -4b -4c -4c -4c -51 -51 -51 -51 -52 -51 -51 -52 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -59 -5a -59 -5a -5a -59 -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -52 -51 -51 -58 -58 -58 -58 -51 -51 -4e -4e -4c -49 -49 -49 -49 -3f -3f -39 -39 -39 -35 -35 -35 -35 -34 -34 -34 -34 -34 -2e -2d -28 -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2d -35 -3d -50 -54 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -56 -50 -48 -45 -3d -37 -35 -34 -34 -32 -2e -2e -2e -2d -2d -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -2f -33 -34 -34 -34 -3c -3c -45 -4b -4b -4c -4c -4c -4c -4c -51 -51 -51 -51 -51 -51 -51 -51 -52 -51 -51 -51 -52 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -51 -51 -51 -51 -51 -51 -51 -4e -4e -4e -4c -49 -49 -49 -49 -41 -3f -39 -39 -39 -39 -35 -35 -35 -35 -34 -34 -34 -34 -32 -2e -2d -27 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -27 -32 -3c -45 -50 -56 -57 -57 -57 -57 -57 -57 -57 -57 -56 -54 -50 -46 -43 -3c -35 -35 -34 -34 -32 -2e -2e -2e -2d -2d -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -2f -2f -34 -34 -38 -3c -40 -45 -45 -4b -4b -4b -4b -4c -50 -51 -51 -51 -51 -51 -51 -51 -51 -51 -51 -51 -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -51 -51 -4e -4e -4e -51 -4e -4e -4e -4e -4a -49 -49 -49 -49 -41 -3f -3e -39 -39 -39 -39 -35 -35 -35 -35 -34 -34 -34 -34 -32 -2e -28 -24 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -24 -2d -34 -43 -50 -56 -56 -57 -57 -57 -57 -57 -57 -56 -56 -53 -50 -45 -3c -37 -35 -35 -34 -34 -32 -2e -2d -2d -2d -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -30 -2f -2f -34 -34 -38 -3c -40 -45 -45 -4b -4b -4b -4b -4c -4e -4c -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -49 -49 -49 -49 -41 -3f -3e -3e -39 -39 -39 -35 -35 -35 -35 -35 -34 -34 -34 -34 -2e -2d -28 -20 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -27 -32 -3c -46 -50 -56 -56 -57 -57 -57 -57 -56 -56 -56 -50 -46 -45 -3c -37 -35 -34 -34 -34 -32 -2e -2e -2d -2d -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2f -2f -34 -34 -38 -3c -40 -41 -4b -4b -4b -4b -4b -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -49 -49 -49 -49 -41 -40 -3e -3e -39 -39 -39 -39 -35 -35 -35 -35 -35 -34 -34 -34 -34 -2e -2d -28 -20 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -19 -20 -2a -34 -3d -48 -50 -56 -56 -56 -56 -56 -56 -56 -54 -50 -46 -43 -3c -35 -35 -34 -34 -34 -2e -2e -2e -2e -2d -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2f -2d -2f -34 -34 -38 -3c -40 -40 -41 -4b -4b -4b -4b -4c -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -51 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -49 -49 -49 -49 -41 -40 -3e -3e -39 -39 -38 -38 -35 -35 -35 -35 -35 -34 -34 -34 -34 -32 -2e -2d -27 -1f -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -27 -2e -3c -45 -50 -54 -56 -56 -56 -56 -56 -56 -50 -50 -45 -3d -3c -35 -35 -34 -34 -34 -32 -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -34 -34 -38 -3c -40 -40 -41 -41 -4b -4b -4b -4b -4b -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -52 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -52 -51 -51 -51 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4a -49 -49 -49 -49 -41 -40 -3e -3e -39 -39 -39 -38 -38 -35 -35 -35 -35 -35 -34 -34 -34 -34 -32 -2d -2a -27 -1f -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2d -34 -3d -46 -50 -56 -56 -56 -56 -56 -54 -50 -50 -45 -3d -3c -35 -35 -34 -34 -34 -32 -2e -2e -2d -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -34 -34 -34 -34 -38 -39 -40 -40 -40 -41 -49 -4b -4b -4b -4b -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -51 -51 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4d -4a -49 -49 -49 -49 -41 -40 -3e -3e -39 -39 -38 -38 -38 -34 -35 -35 -35 -35 -34 -34 -34 -34 -34 -2e -2d -27 -20 -1b -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1f -27 -2e -37 -43 -48 -50 -56 -56 -56 -54 -53 -50 -48 -45 -3c -3c -35 -34 -34 -34 -34 -32 -2e -2e -2d -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -34 -34 -34 -34 -34 -38 -39 -40 -40 -40 -41 -49 -49 -4b -4b -4b -4b -4c -4c -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -58 -59 -59 -59 -58 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -52 -58 -51 -51 -51 -51 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4a -4a -49 -49 -49 -49 -49 -40 -3e -3e -3e -39 -39 -38 -38 -34 -34 -35 -34 -34 -34 -34 -34 -34 -34 -32 -2d -2d -27 -1f -19 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -27 -2d -34 -3c -43 -48 -50 -54 -54 -50 -50 -50 -46 -43 -3c -37 -35 -34 -34 -34 -34 -32 -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2e -34 -34 -34 -34 -34 -34 -38 -39 -3c -40 -40 -41 -49 -49 -49 -49 -4b -4b -4b -4c -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -58 -52 -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -52 -51 -51 -51 -51 -4e -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4a -49 -49 -49 -49 -49 -41 -40 -3e -3e -3e -39 -39 -38 -38 -34 -34 -34 -35 -34 -34 -34 -34 -34 -34 -34 -2e -2d -28 -24 -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1b -24 -28 -2e -34 -3c -45 -48 -50 -50 -50 -50 -46 -45 -3d -3c -37 -35 -34 -34 -34 -34 -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -34 -34 -34 -34 -34 -34 -34 -38 -39 -3c -40 -40 -40 -41 -49 -49 -49 -49 -4b -4b -4c -4e -4c -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -51 -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -51 -51 -51 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4a -49 -49 -49 -49 -49 -41 -41 -40 -3e -3e -39 -39 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2d -2a -27 -1f -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -1f -27 -2d -32 -34 -3c -45 -46 -46 -46 -46 -45 -45 -3c -3c -35 -35 -34 -34 -34 -34 -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -34 -34 -34 -34 -34 -38 -38 -39 -39 -3c -40 -40 -41 -49 -49 -49 -49 -4b -4b -4b -4b -4c -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -51 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4a -49 -49 -49 -49 -49 -41 -40 -40 -3e -3e -39 -39 -38 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2d -27 -20 -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -1f -27 -2a -2d -34 -35 -3d -45 -45 -45 -45 -45 -3d -3c -37 -35 -34 -34 -34 -34 -32 -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -33 -33 -34 -34 -34 -34 -38 -38 -38 -39 -39 -3c -40 -40 -40 -49 -49 -49 -49 -49 -49 -4b -4b -4c -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -51 -52 -52 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4a -4a -49 -49 -49 -49 -41 -40 -3e -3e -3e -3e -39 -38 -38 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2d -2a -24 -1f -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -28 -2d -32 -34 -3c -3c -3d -3d -3d -3d -3c -3c -35 -35 -34 -34 -34 -34 -34 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -34 -34 -34 -34 -34 -38 -38 -39 -39 -39 -3e -40 -40 -49 -49 -49 -49 -49 -49 -49 -49 -49 -4b -4c -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4d -4a -4a -49 -49 -49 -49 -40 -40 -3e -3e -3e -3e -39 -39 -38 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2d -27 -24 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -27 -2d -2d -34 -35 -3c -3c -3c -3c -3c -3c -37 -35 -34 -34 -34 -34 -34 -34 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -34 -34 -34 -34 -38 -38 -39 -39 -39 -3e -3e -40 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -4b -4b -4c -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -51 -51 -52 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4d -4d -4a -4a -49 -49 -49 -49 -49 -41 -40 -3e -3e -3e -3e -39 -39 -38 -38 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2d -2a -27 -20 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -27 -28 -2d -32 -34 -35 -37 -37 -37 -37 -35 -35 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -2f -34 -34 -34 -34 -38 -38 -38 -39 -39 -3e -3e -40 -41 -41 -49 -49 -49 -49 -49 -49 -49 -49 -49 -4b -4b -4b -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -51 -51 -51 -51 -51 -51 -52 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4d -4d -4d -4a -4a -4a -4a -49 -49 -49 -49 -41 -3e -3e -3e -3e -39 -39 -39 -38 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2d -28 -24 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -27 -28 -2d -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -2f -34 -34 -34 -38 -38 -38 -39 -39 -3e -3e -3e -40 -40 -40 -41 -41 -41 -49 -49 -49 -49 -49 -49 -4b -49 -4b -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4e -4d -4d -4d -4d -4d -4a -4a -4a -4a -49 -49 -49 -49 -41 -41 -3f -3e -3e -3e -39 -39 -38 -38 -38 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2d -27 -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -27 -27 -2d -2d -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -34 -34 -34 -38 -38 -38 -39 -39 -39 -3e -3e -3e -3e -40 -40 -40 -41 -49 -49 -49 -49 -49 -49 -49 -49 -49 -4b -4c -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4d -4d -4d -4d -4d -4d -4d -4d -4a -4a -4a -4a -4a -49 -49 -49 -49 -49 -41 -41 -3e -3e -3e -39 -39 -39 -38 -38 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2d -28 -24 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -27 -27 -28 -2d -2e -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -34 -34 -34 -34 -38 -38 -38 -39 -39 -39 -3e -3e -3e -3e -3e -40 -40 -41 -41 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -4a -4c -4c -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4d -4d -4d -4d -4d -4d -4d -4d -4a -4a -4a -4a -4a -4a -49 -49 -49 -49 -41 -41 -3f -3e -39 -39 -39 -39 -39 -38 -38 -38 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2d -2d -27 -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -27 -27 -28 -2d -2d -2d -2e -2e -32 -32 -32 -32 -32 -32 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -2f -33 -34 -34 -34 -38 -38 -38 -39 -39 -39 -39 -3e -3e -3e -3e -3e -3e -40 -40 -41 -41 -49 -49 -49 -49 -49 -49 -49 -49 -4a -4a -4a -4a -4a -4a -4c -4c -4c -4e -4c -4d -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4d -4d -4d -4d -4d -4d -4d -4d -4a -4a -4a -4a -4a -4a -49 -49 -49 -41 -41 -3f -3f -3e -39 -39 -39 -39 -39 -39 -39 -39 -38 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2d -2d -27 -24 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -27 -27 -28 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -2f -33 -34 -34 -34 -38 -38 -38 -39 -39 -3e -3e -3e -3e -3e -3e -3e -40 -40 -40 -41 -41 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -4a -4a -4a -4a -4a -4a -4a -4a -4d -4d -4a -4d -4d -4d -4d -4d -4d -4d -4d -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -49 -49 -49 -41 -41 -3f -3f -3f -3e -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2d -27 -24 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -27 -27 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -30 -34 -38 -38 -38 -38 -39 -39 -39 -3e -3e -3e -3e -3e -3e -3e -3e -3e -41 -41 -41 -41 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -49 -49 -41 -3f -3f -3f -3f -3f -3e -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2d -27 -24 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -20 -24 -27 -27 -27 -28 -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -30 -30 -30 -34 -38 -38 -38 -39 -39 -39 -39 -39 -3e -3e -3e -3e -3e -3e -3e -3f -3f -3f -3f -41 -41 -41 -49 -49 -49 -49 -49 -49 -49 -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -49 -49 -41 -41 -3f -3f -3f -3f -3f -39 -39 -39 -39 -39 -39 -34 -35 -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2d -2a -24 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -20 -24 -27 -27 -27 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2c -2d -2d -2c -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -34 -34 -34 -38 -38 -38 -38 -39 -39 -39 -3e -3e -3e -3e -3e -3e -3e -3e -3f -3f -3f -3f -3f -3f -41 -41 -41 -49 -49 -49 -49 -49 -49 -49 -4a -49 -49 -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -49 -49 -41 -41 -3f -3f -3f -3e -3e -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2d -27 -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1a -1b -1b -1f -20 -24 -27 -27 -27 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -30 -30 -30 -34 -34 -34 -34 -38 -38 -38 -39 -39 -39 -39 -39 -39 -3e -3e -3e -3e -3e -3e -3f -3f -3f -3f -3f -3f -41 -41 -41 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -4a -49 -49 -49 -4a -4a -49 -49 -4a -49 -49 -49 -49 -49 -41 -41 -3f -3f -3f -3f -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -35 -34 -34 -34 -34 -34 -2e -2d -27 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1a -1a -1b -1b -1f -20 -24 -27 -27 -27 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -2f -30 -30 -34 -34 -38 -38 -38 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3e -3e -3e -3e -3f -3f -3f -3f -3f -3f -41 -41 -41 -41 -49 -49 -49 -41 -49 -41 -41 -41 -41 -41 -41 -49 -49 -41 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -41 -41 -41 -3f -3f -3f -3f -3a -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -37 -37 -37 -37 -37 -35 -35 -35 -35 -34 -34 -34 -34 -32 -2d -2a -24 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1b -1b -1f -20 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -30 -30 -30 -30 -30 -30 -34 -34 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3e -3e -3e -3e -3f -3f -3f -3f -3f -3f -3f -3f -3f -41 -41 -41 -41 -41 -41 -41 -41 -41 -41 -41 -41 -3f -41 -41 -41 -41 -41 -41 -41 -41 -41 -41 -41 -41 -41 -3f -3f -3f -3f -3f -3a -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -37 -3c -37 -37 -37 -35 -35 -35 -34 -34 -34 -34 -34 -2e -2d -27 -23 -1b -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1b -1b -1f -20 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -30 -30 -30 -30 -30 -34 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3e -3e -3e -3e -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -41 -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -41 -41 -41 -3f -3f -3a -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -37 -37 -37 -37 -37 -37 -35 -35 -35 -34 -34 -34 -34 -34 -2d -2a -24 -1f -1b -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1b -1f -20 -24 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2d -2d -2d -2e -2e -2d -2d -2d -2d -30 -30 -30 -30 -30 -30 -30 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3e -3e -3e -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3a -39 -39 -39 -39 -39 -39 -39 -34 -35 -35 -35 -35 -35 -35 -37 -37 -37 -3c -37 -37 -35 -35 -35 -34 -34 -34 -34 -2e -2d -27 -1f -1b -1b -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -18 -1b -1b -1f -20 -24 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -2a -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -30 -30 -30 -30 -30 -30 -30 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3e -3f -3e -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3a -3a -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -35 -35 -35 -3c -3c -3c -3c -37 -35 -35 -35 -34 -34 -34 -34 -34 -2e -2a -24 -1f -1b -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1a -1b -1f -20 -24 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3a -3a -3a -3f -3f -3f -3f -3f -3f -3a -3f -3a -3f -3a -3a -3a -3a -3a -3a -3a -3a -3a -3a -3a -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -35 -35 -35 -37 -3c -3c -3c -3c -37 -37 -35 -35 -34 -34 -34 -34 -32 -2d -27 -1f -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -18 -18 -1b -1b -1f -20 -24 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -37 -37 -3c -3c -37 -37 -35 -35 -35 -34 -34 -34 -34 -2e -2d -27 -1f -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -1f -1f -20 -24 -24 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2d -2d -2a -2a -2a -2d -2d -2d -2d -2d -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2d -2d -2e -2e -2e -2e -2e -2e -2e -30 -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -37 -3c -37 -37 -37 -37 -35 -35 -35 -34 -34 -34 -34 -34 -2d -2a -24 -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -18 -18 -1b -1f -20 -20 -24 -24 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -28 -28 -2a -2a -2d -2d -2d -2d -2d -2a -2a -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -39 -37 -35 -35 -35 -35 -35 -35 -35 -35 -3c -3c -3c -39 -35 -35 -37 -35 -34 -34 -34 -34 -34 -34 -2e -2d -28 -20 -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -15 -18 -1b -1b -1f -1f -20 -24 -24 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -28 -28 -2a -2a -2a -2d -2d -2d -2d -2d -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3c -35 -3c -3c -3c -37 -37 -3c -3c -3c -3c -3c -3c -39 -35 -35 -34 -34 -34 -34 -34 -34 -32 -2d -2a -27 -1f -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -15 -18 -1b -1b -1f -1f -20 -24 -24 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2d -2d -2d -2d -2d -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -37 -3c -37 -37 -35 -35 -34 -34 -34 -34 -34 -34 -32 -2d -2d -27 -24 -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -18 -1a -1b -1b -1f -1f -20 -24 -24 -24 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2d -2d -2d -2d -2d -2d -2d -2a -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -37 -37 -37 -37 -35 -34 -34 -34 -34 -34 -34 -34 -2e -2d -2a -27 -1f -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -18 -1a -1b -1f -1f -1f -20 -24 -24 -24 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2d -2d -2d -2d -2d -2d -2d -2a -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -37 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -2e -2d -27 -24 -1f -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1a -1a -1b -1f -1f -1f -20 -24 -24 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -37 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -32 -2d -2d -27 -20 -1b -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -15 -1a -1b -1b -1f -1f -1f -1f -24 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -2a -2a -2d -2d -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -37 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2d -2d -2a -27 -1f -1b -1b -19 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -15 -15 -1a -1b -1f -1f -1f -1f -1f -24 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -28 -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -34 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3b -3b -3b -36 -36 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2d -2d -28 -27 -1f -1b -19 -19 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1a -1b -1f -1f -1f -20 -24 -24 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -28 -28 -2d -2a -2a -2d -2d -2d -2d -2d -2a -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -35 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3b -3b -36 -36 -36 -34 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -31 -2d -2d -27 -24 -1f -1b -19 -18 -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -18 -15 -15 -15 -15 -18 -18 -1b -1f -1f -1f -1f -20 -24 -24 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -28 -2a -2a -2a -2a -2d -2d -2d -2a -28 -2d -2d -2d -2d -2d -2d -2a -2d -2d -2d -2d -2d -2d -2d -2e -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -3c -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3b -3b -36 -36 -36 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2d -2d -2d -27 -24 -1f -1b -19 -19 -18 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -15 -15 -15 -15 -15 -18 -1a -1b -1f -1f -1f -1f -24 -24 -24 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -2a -2a -2a -2a -2d -2d -2a -2d -2a -2a -2d -2d -2d -2d -2d -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -37 -37 -37 -37 -36 -36 -36 -36 -34 -36 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -31 -2d -2d -2a -27 -24 -1f -1b -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -15 -15 -15 -14 -15 -18 -1b -1b -1f -1f -1f -20 -24 -24 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -2a -2a -2a -2a -2d -2d -2d -2a -2a -2a -2a -2d -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -37 -37 -35 -37 -37 -35 -36 -36 -36 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -31 -2d -2d -2d -2a -27 -24 -1f -1b -1b -19 -18 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -14 -15 -18 -18 -1b -1f -1f -1f -1f -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2d -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -2e -2e -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -37 -37 -35 -35 -35 -34 -36 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -31 -2d -2d -2d -2a -27 -24 -1f -1b -1b -19 -15 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -14 -15 -18 -1b -1b -1f -1f -1f -1f -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -2a -2a -2a -2a -2a -2a -2d -2a -2a -2a -28 -28 -28 -2a -2a -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2d -2d -2d -2d -2a -27 -20 -1f -1b -1b -19 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -15 -18 -18 -1b -1f -1f -1f -1f -1f -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -28 -28 -28 -2a -2a -2a -2a -2a -2d -2d -2d -2d -2d -2d -2d -2e -2e -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -37 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2d -2d -2d -2d -27 -27 -1f -1f -1b -1b -19 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -14 -18 -18 -1b -1f -1f -1f -1f -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -28 -2a -2a -2a -28 -2a -28 -28 -2a -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -37 -37 -37 -37 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2d -2d -2a -27 -24 -1f -1f -1b -19 -19 -15 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -15 -18 -1a -1f -1f -1f -1f -20 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -2a -2a -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -34 -34 -35 -35 -39 -39 -39 -39 -35 -39 -39 -3c -35 -3c -35 -35 -35 -37 -3c -35 -37 -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2d -2d -2d -2a -27 -24 -1f -1f -1b -19 -19 -15 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1f -1f -1f -1f -20 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2a -2a -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2d -2d -2d -2a -27 -24 -1f -1f -1b -19 -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1a -1b -1f -1f -1f -1f -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -2a -2a -2a -2d -2d -2d -2d -2d -2d -2d -2e -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -2e -2e -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2d -2d -2d -2d -2a -27 -24 -1f -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1b -1f -1f -1f -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -2a -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -2e -2e -2e -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -34 -34 -34 -35 -35 -35 -35 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -30 -2e -2e -2d -2d -2d -2d -2a -27 -24 -20 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1a -1b -1f -1f -1f -1f -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -2a -2a -2d -2a -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -34 -34 -34 -35 -34 -34 -35 -34 -34 -34 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -30 -2e -2e -2d -2d -2d -2d -2a -27 -27 -20 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1a -1b -1f -1f -1f -1f -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -2a -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -2e -2e -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -30 -2e -2e -2d -2d -2d -2d -28 -27 -27 -20 -1f -1b -19 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1b -1f -1f -20 -24 -24 -24 -24 -27 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -2a -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -30 -2e -2e -2d -2d -2d -2d -28 -27 -27 -20 -1f -1b -19 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -1a -1b -1f -1f -1f -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2e -2e -2e -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -30 -30 -2e -2d -2d -2d -2d -2d -28 -27 -27 -24 -1f -1b -1b -16 -15 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -18 -1a -1b -1f -1f -1f -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -28 -28 -2a -2d -2d -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -32 -34 -30 -2e -2e -2d -2d -2d -2d -2d -28 -27 -27 -20 -1f -1b -1b -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1f -1f -1f -1f -1f -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -2a -28 -2a -2a -2a -28 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -34 -32 -2e -2e -2d -2d -2d -2d -2d -2d -28 -27 -27 -24 -1f -1b -19 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1f -1f -1f -20 -1f -24 -24 -24 -27 -27 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -2a -2a -28 -2a -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2d -2d -2d -2d -2d -2d -28 -27 -27 -24 -1f -1b -19 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -18 -1a -1b -1f -1f -1f -1f -1f -24 -24 -24 -27 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -28 -2a -28 -2a -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2e -2e -2e -2d -2d -2d -2d -2d -28 -28 -27 -27 -24 -1f -1b -19 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -15 -18 -1a -1b -1f -1f -1f -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -28 -28 -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2e -2e -2e -2e -2d -2d -2d -2d -28 -28 -27 -27 -24 -1f -1b -19 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -15 -18 -1b -1b -1f -1f -1f -1f -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -28 -27 -27 -24 -1f -1b -1b -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -15 -18 -1b -1b -1f -1f -1f -1f -24 -1f -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -30 -2f -2f -2d -33 -2d -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -34 -34 -32 -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -28 -28 -27 -24 -1f -1b -1b -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -15 -15 -18 -1b -1b -1f -1f -1f -1f -24 -20 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -34 -32 -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -28 -28 -27 -24 -1f -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -15 -15 -18 -1a -1b -1b -1f -1f -1f -1f -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -33 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -32 -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -28 -28 -27 -24 -1f -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -15 -18 -1a -1b -1b -1f -1f -1f -1f -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -33 -33 -33 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -34 -34 -32 -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -28 -28 -27 -27 -1f -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -14 -15 -18 -1a -1b -1f -1f -1f -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -33 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -34 -34 -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -28 -28 -27 -27 -24 -1f -1b -19 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -14 -15 -18 -1b -1b -1f -1f -1f -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -28 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -33 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -34 -32 -32 -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -28 -28 -27 -27 -24 -1f -1b -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -15 -18 -18 -1b -1b -1f -1f -1f -1f -20 -24 -20 -20 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -28 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -33 -33 -33 -34 -33 -34 -34 -34 -34 -34 -34 -34 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2a -28 -27 -27 -24 -1f -1b -1a -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -15 -18 -1a -1b -1b -1f -1f -1f -1f -20 -24 -20 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -2d -33 -33 -33 -34 -34 -34 -34 -33 -33 -34 -33 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2a -28 -27 -27 -24 -1f -1b -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -15 -18 -1a -1b -1b -1f -1f -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -28 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -31 -33 -33 -34 -33 -33 -33 -33 -33 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -32 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2a -28 -27 -27 -24 -1f -1b -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -18 -18 -1b -1b -1f -1f -1f -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -24 -24 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2b -2d -2d -2d -2d -2d -28 -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -34 -34 -34 -34 -33 -33 -33 -33 -33 -33 -33 -33 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -32 -32 -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2a -28 -27 -27 -24 -1f -1f -1b -1a -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -15 -18 -1a -1b -1b -1f -1f -1f -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -24 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -32 -34 -34 -33 -33 -33 -34 -33 -33 -33 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -27 -27 -24 -1f -1f -1b -1a -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -15 -14 -14 -14 -15 -18 -1b -1b -1f -1f -1f -1f -1f -1f -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -24 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2b -2d -2d -2d -2d -28 -2d -28 -2d -2d -2d -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2e -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2e -2e -2e -2d -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -27 -27 -24 -1f -1f -1b -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -14 -14 -14 -15 -18 -1b -1b -1f -1f -1f -1f -1f -1f -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -24 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -28 -2d -2b -2d -2d -2d -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2d -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -27 -27 -24 -1f -1f -1b -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -15 -14 -13 -14 -14 -18 -1a -1b -1b -1f -1f -1f -1f -1f -1f -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2d -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -27 -27 -27 -1f -1f -1b -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0e -14 -14 -15 -18 -1a -1b -1f -1f -1f -1f -1f -1f -1f -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -27 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2b -28 -2d -28 -2b -2d -2d -2d -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2d -2d -2e -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2e -32 -2e -2d -2d -2d -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -28 -27 -27 -27 -20 -1f -1b -1a -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -14 -12 -0d -13 -14 -15 -18 -1a -1b -1f -1f -1f -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -28 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -2b -28 -28 -2d -28 -2d -2d -2d -2d -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2d -32 -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -27 -27 -27 -24 -1f -1f -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0d -0d -14 -14 -15 -18 -1b -1b -1f -1f -1f -1f -20 -20 -20 -24 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -27 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2b -2d -2b -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2e -2e -2e -2e -2e -2e -2d -2d -2e -2d -2d -2d -2d -2e -2d -2d -2d -2e -2e -31 -2e -2e -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -32 -34 -34 -32 -34 -34 -32 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -27 -27 -27 -24 -1f -1f -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0d -0d -0d -14 -14 -15 -1a -1b -1b -1f -1f -1f -1f -20 -20 -20 -24 -20 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -28 -28 -27 -27 -28 -28 -28 -28 -28 -27 -27 -27 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -2d -2d -2b -2b -2d -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2e -2d -2d -2e -2d -2e -2e -2e -2e -31 -2e -30 -30 -30 -34 -32 -32 -32 -34 -34 -34 -34 -32 -32 -32 -32 -32 -32 -32 -34 -34 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -27 -27 -27 -24 -1f -1f -1b -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0d -0d -0d -0d -14 -14 -15 -1a -1b -1b -1f -1f -1f -1f -20 -20 -20 -24 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -28 -27 -27 -27 -27 -27 -28 -27 -27 -27 -27 -27 -28 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -28 -2d -2d -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -31 -2d -2e -34 -2e -34 -32 -32 -32 -2e -32 -34 -32 -34 -34 -32 -32 -34 -34 -32 -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -2a -27 -27 -27 -24 -1f -1f -1b -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0d -0d -0d -0d -0d -12 -14 -18 -1a -1b -1b -1f -1f -1f -1f -20 -20 -20 -24 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -31 -31 -2e -32 -32 -32 -34 -32 -32 -32 -32 -32 -32 -32 -32 -34 -32 -32 -32 -32 -32 -32 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -27 -27 -27 -27 -20 -1f -1b -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0d -0d -0d -0d -0d -0d -12 -14 -18 -1a -1b -1f -1f -1f -1f -20 -20 -20 -20 -20 -24 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -34 -2e -2e -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -34 -34 -32 -2e -2e -32 -32 -32 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -27 -27 -27 -27 -24 -1f -1f -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -0e -0d -0d -0d -0d -0d -0d -12 -14 -18 -1a -1b -1f -1f -1f -20 -20 -20 -20 -20 -20 -24 -24 -24 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2e -2e -2e -2e -2e -2e -2e -34 -2e -2e -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -2e -2e -32 -32 -32 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -28 -27 -27 -27 -24 -1f -1f -1b -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -12 -0d -0d -0d -0c -0c -0d -0d -14 -14 -18 -1b -1b -1f -1f -1f -20 -20 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -24 -24 -27 -27 -27 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -27 -28 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -32 -2e -32 -2e -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -2e -32 -32 -32 -32 -32 -32 -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -28 -27 -27 -27 -24 -1f -1f -1b -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0d -0d -0d -08 -08 -0c -0d -0d -14 -14 -18 -1b -1b -1f -1f -1f -20 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -24 -24 -24 -24 -27 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -27 -27 -27 -27 -27 -27 -28 -28 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -2e -2e -32 -32 -32 -32 -32 -32 -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -2a -27 -27 -27 -27 -20 -1f -1b -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0d -0d -0d -06 -08 -08 -0c -0d -0d -14 -15 -18 -1b -1b -1f -1f -1f -20 -20 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -27 -27 -27 -27 -27 -27 -28 -28 -28 -27 -28 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2e -2e -2e -2e -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -2e -32 -32 -32 -2e -32 -32 -32 -32 -32 -32 -32 -32 -2e -2e -2e -32 -32 -32 -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -27 -27 -27 -27 -20 -1f -1b -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0d -0d -0d -08 -06 -06 -08 -0d -0d -0d -14 -15 -18 -1b -1b -1f -1f -20 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -27 -27 -27 -27 -27 -27 -27 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2d -2d -2e -2e -2e -2d -2d -2e -2e -2e -2e -2d -2e -2e -2e -2e -2e -2e -2e -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -2e -32 -32 -32 -32 -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -28 -27 -27 -27 -24 -1f -1f -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0d -0d -0d -08 -06 -06 -06 -08 -0d -0d -0e -14 -15 -18 -1b -1b -1f -1f -20 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -27 -27 -28 -28 -28 -28 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -27 -27 -28 -28 -28 -28 -28 -28 -28 -2d -2d -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2d -2e -2e -2e -2d -2d -2e -2e -2e -2e -2d -2e -2e -2e -2e -2e -2e -2e -32 -32 -32 -32 -32 -32 -2e -32 -32 -32 -32 -32 -32 -32 -32 -32 -2e -32 -32 -32 -2e -32 -2e -2e -2e -2e -2e -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -2a -27 -27 -27 -24 -1f -1f -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -0d -0d -0c -06 -06 -06 -06 -06 -0d -0d -12 -14 -15 -1a -1b -1f -1f -1f -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -28 -27 -27 -27 -27 -28 -27 -28 -28 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2b -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2e -2e -2e -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -34 -32 -32 -32 -32 -2e -32 -2e -32 -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -29 -27 -27 -24 -1f -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -0e -0d -0d -06 -06 -06 -06 -06 -08 -0d -0d -12 -14 -18 -1a -1b -1f -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -24 -27 -27 -27 -27 -27 -27 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2b -28 -28 -2d -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -2e -32 -32 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -29 -27 -27 -24 -20 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -0d -0d -08 -06 -06 -06 -06 -06 -08 -0d -0d -14 -14 -18 -1a -1b -1f -20 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -24 -24 -27 -27 -27 -27 -27 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -27 -28 -28 -27 -27 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -32 -32 -32 -2e -32 -32 -32 -32 -32 -2e -2e -32 -32 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -2a -27 -27 -24 -20 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -0e -0d -08 -06 -06 -06 -06 -06 -06 -08 -0d -0d -14 -14 -18 -1b -1b -1f -1f -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -24 -27 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -27 -27 -27 -28 -28 -28 -28 -27 -27 -27 -27 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -2e -2e -32 -32 -32 -32 -32 -32 -2e -32 -32 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -28 -27 -27 -27 -24 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -0d -0d -08 -06 -06 -06 -06 -06 -06 -08 -0d -0d -14 -15 -18 -1b -1b -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -24 -27 -24 -27 -24 -27 -27 -24 -24 -27 -27 -27 -27 -27 -27 -27 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -2e -2e -2e -2e -32 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -28 -28 -27 -27 -24 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -12 -0d -08 -06 -06 -06 -06 -06 -06 -06 -0d -0d -0d -14 -15 -18 -1b -1f -1f -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -24 -24 -27 -27 -27 -24 -24 -24 -27 -27 -27 -24 -27 -27 -27 -27 -27 -27 -27 -27 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -28 -28 -27 -27 -24 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -0e -0d -06 -06 -06 -06 -06 -06 -06 -06 -0d -0d -13 -14 -15 -18 -1b -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -34 -2e -2e -2e -2e -2e -2d -2d -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -28 -28 -27 -27 -24 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -12 -0d -08 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -13 -14 -15 -1a -1b -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -27 -28 -28 -28 -28 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -32 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -28 -28 -27 -27 -24 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -0d -09 -06 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -14 -14 -15 -1a -1b -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -27 -27 -27 -27 -27 -27 -27 -27 -28 -27 -27 -28 -28 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2e -2e -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -28 -28 -28 -28 -27 -24 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 diff --git a/examples/nexys_a7/video_sprite/data/palette.mem b/examples/nexys_a7/video_sprite/data/palette.mem deleted file mode 100644 index 6188001..0000000 --- a/examples/nexys_a7/video_sprite/data/palette.mem +++ /dev/null @@ -1,256 +0,0 @@ -fed -fec -eed -fdd -fdc -edd -edc -edb -ddc -ddb -ecc -ecb -dcc -dcb -dca -ccb -cca -dbb -dba -cbb -cba -cb9 -cb8 -caa -ca9 -ca8 -ba9 -ba8 -c99 -c98 -b99 -b98 -b97 -b88 -b87 -a98 -a97 -a96 -a88 -a87 -a86 -987 -986 -a76 -977 -976 -975 -966 -965 -876 -875 -866 -865 -864 -765 -764 -855 -854 -853 -755 -754 -753 -844 -843 -744 -743 -654 -653 -644 -643 -642 -543 -542 -733 -732 -633 -632 -722 -622 -533 -532 -522 -521 -432 -431 -422 -421 -321 -511 -411 -311 -310 -210 -300 -200 -100 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 \ No newline at end of file diff --git a/examples/nexys_a7/video_sprite/manta.yaml b/examples/nexys_a7/video_sprite/manta.yaml index e66d25b..a1d285d 100644 --- a/examples/nexys_a7/video_sprite/manta.yaml +++ b/examples/nexys_a7/video_sprite/manta.yaml @@ -2,13 +2,8 @@ cores: image_mem: type: block_memory - width: 8 - depth: 65536 - - pallete_mem: - type: block_memory - width: 10 - depth: 256 + width: 12 + depth: 16384 uart: port: "auto" diff --git a/examples/nexys_a7/video_sprite/util/no_testbenches.png b/examples/nexys_a7/video_sprite/no_testbenches.png similarity index 100% rename from examples/nexys_a7/video_sprite/util/no_testbenches.png rename to examples/nexys_a7/video_sprite/no_testbenches.png diff --git a/examples/nexys_a7/video_sprite/util/pop_cat.png b/examples/nexys_a7/video_sprite/pop_cat.png similarity index 100% rename from examples/nexys_a7/video_sprite/util/pop_cat.png rename to examples/nexys_a7/video_sprite/pop_cat.png diff --git a/examples/nexys_a7/video_sprite/send_image.py b/examples/nexys_a7/video_sprite/send_image.py new file mode 100644 index 0000000..f0b8c42 --- /dev/null +++ b/examples/nexys_a7/video_sprite/send_image.py @@ -0,0 +1,37 @@ +import sys +from PIL import Image, ImageOps + +if __name__ == "__main__": + if len(sys.argv) < 2: + print("Usage: {0} ".format(sys.argv[0])) + + else: + input_fname = sys.argv[1] + image_in = Image.open(input_fname) + image_in = image_in.convert('RGB') + + # Resize the image + image_in = image_in.resize((128, 128)) + image_out = image_in.copy() + w, h = image_in.size + + # Take input image and divide each color channel's value by 16 + for y in range(h): + for x in range(w): + r, g, b = image_in.getpixel((x, y)) + image_out.putpixel((x,y), (r//16, g//16, b//16)) + + + # Save the image itself + pixels = [] + for y in range(h): + for x in range(w): + (r, g, b) = image_out.getpixel((x,y)) + color = (r*16*16) + (g*16) + (b) + pixels.append(color) + + from manta import Manta + m = Manta('manta.yaml') + + for addr, pixel in enumerate(pixels): + m.image_mem.write(addr, pixel) \ No newline at end of file diff --git a/examples/nexys_a7/video_sprite/sim/alpha_tb.sv b/examples/nexys_a7/video_sprite/sim/alpha_tb.sv deleted file mode 100644 index 067f21c..0000000 --- a/examples/nexys_a7/video_sprite/sim/alpha_tb.sv +++ /dev/null @@ -1,51 +0,0 @@ -`timescale 1ns / 1ps -`default_nettype none - -module alpha_tester(input wire [2:0] alpha_in, - input wire [11:0] a_in, - input wire [11:0] b_in, - output logic [11:0] pixel_out); - - // your (combinational) alpha blending logic goes here! - // replace the code below with your bit math - logic [3:0] r, g, b; - assign r = 0; - assign g = 0; - assign b = 0; - assign pixel_out = {r, g, b}; -endmodule - -module alpha_tb; - logic [2:0] alpha_in; - logic [11:0] a_in; - logic [11:0] b_in; - logic [11:0] pixel_out; - - alpha_tester uut (.alpha_in(alpha_in), - .a_in(a_in), - .b_in(b_in), - .pixel_out(pixel_out)); - - //initial block...this is our test simulation - initial begin - $dumpfile("alpha.vcd"); //file to store value change dump (vcd) - $dumpvars(0,alpha_tb); //store everything at the current level and below - $display("Starting Sim"); //print nice message - a_in = 12'hF00; - b_in = 12'hFFF; - alpha_in = 0; - #10 //wait a little bit of time at beginning - $display("a_in = %12b b_in = %12b",a_in, b_in); - for (integer i = 0; i<5; i= i+1)begin - alpha_in = i; - #10; - $display("alpha_in = %d pixel_out = %03h", alpha_in, pixel_out); - end - #100; - $display("Finishing Sim"); //print nice message - $finish; - - end -endmodule //counter_tb - -`default_nettype wire \ No newline at end of file diff --git a/examples/nexys_a7/video_sprite/sim/image_sprite_tb.sv b/examples/nexys_a7/video_sprite/sim/image_sprite_tb.sv deleted file mode 100644 index a191f2a..0000000 --- a/examples/nexys_a7/video_sprite/sim/image_sprite_tb.sv +++ /dev/null @@ -1,50 +0,0 @@ -`timescale 1ns / 1ps -`default_nettype none - -module image_sprite_tb; - - //make logics for inputs and outputs! - logic pixel_clk_in; - logic rst_in; - logic [11:0] pixel_out; - logic [10:0] hcount_in; - - image_sprite #(.WIDTH(256), .HEIGHT(256)) - uut - ( .pixel_clk_in(pixel_clk_in), - .rst_in(rst_in), - .x_in(11'd256), - .hcount_in(hcount_in), - .y_in(10'd256), - .vcount_in(10'd380), - .pixel_out(pixel_out) - ); - always begin - #5; //every 5 ns switch...so period of clock is 10 ns...100 MHz clock - pixel_clk_in = !pixel_clk_in; - end - - //initial block...this is our test simulation - initial begin - $dumpfile("image_sprite.vcd"); //file to store value change dump (vcd) - $dumpvars(0,image_sprite_tb); //store everything at the current level and below - $display("Starting Sim"); //print nice message - pixel_clk_in = 0; //initialize clk (super important) - rst_in = 0; //initialize rst (super important) - hcount_in = 0; - #10 //wait a little bit of time at beginning - rst_in = 1; //reset system - #10; //hold high for a few clock cycles - rst_in=0; - #10; - for (hcount_in = 0; hcount_in<1025; hcount_in = hcount_in + 1)begin - #10; - end - #100; - $display("Finishing Sim"); //print nice message - $finish; - - end -endmodule //counter_tb - -`default_nettype wire diff --git a/examples/nexys_a7/video_sprite/src/dual_port_bram.v b/examples/nexys_a7/video_sprite/src/dual_port_bram.v new file mode 100644 index 0000000..cc8d6a3 --- /dev/null +++ b/examples/nexys_a7/video_sprite/src/dual_port_bram.v @@ -0,0 +1,60 @@ + +// Xilinx True Dual Port RAM, Read First, Dual Clock +// This code implements a parameterizable true dual port memory (both ports can read and write). +// The behavior of this RAM is when data is written, the prior memory contents at the write +// address are presented on the output port. If the output data is +// not needed during writes or the last read value is desired to be retained, +// it is suggested to use a no change RAM as it is more power efficient. +// If a reset or enable is not necessary, it may be tied off or removed from the code. + +// Modified from the xilinx_true_dual_port_read_first_2_clock_ram verilog language template. + +module dual_port_bram #( + parameter RAM_WIDTH = 0, + parameter RAM_DEPTH = 0 + ) ( + input wire [$clog2(RAM_DEPTH-1)-1:0] addra, + input wire [$clog2(RAM_DEPTH-1)-1:0] addrb, + input wire [RAM_WIDTH-1:0] dina, + input wire [RAM_WIDTH-1:0] dinb, + input wire clka, + input wire clkb, + input wire wea, + input wire web, + output wire [RAM_WIDTH-1:0] douta, + output wire [RAM_WIDTH-1:0] doutb + ); + + // The following code either initializes the memory values to a specified file or to all zeros to match hardware + generate + integer i; + initial begin + for (i = 0; i < RAM_DEPTH; i = i + 1) + BRAM[i] = {RAM_WIDTH{1'b0}}; + end + endgenerate + + reg [RAM_WIDTH-1:0] BRAM [RAM_DEPTH-1:0]; + reg [RAM_WIDTH-1:0] ram_data_a = {RAM_WIDTH{1'b0}}; + reg [RAM_WIDTH-1:0] ram_data_b = {RAM_WIDTH{1'b0}}; + + always @(posedge clka) begin + if (wea) BRAM[addra] <= dina; + ram_data_a <= BRAM[addra]; + end + + always @(posedge clkb) begin + if (web) BRAM[addrb] <= dinb; + ram_data_b <= BRAM[addrb]; + end + + // Add a 2 clock cycle read latency to improve clock-to-out timing + reg [RAM_WIDTH-1:0] douta_reg = {RAM_WIDTH{1'b0}}; + reg [RAM_WIDTH-1:0] doutb_reg = {RAM_WIDTH{1'b0}}; + + always @(posedge clka) douta_reg <= ram_data_a; + always @(posedge clkb) doutb_reg <= ram_data_b; + + assign douta = douta_reg; + assign doutb = doutb_reg; +endmodule diff --git a/examples/nexys_a7/video_sprite/src/iverilog_hack.svh b/examples/nexys_a7/video_sprite/src/iverilog_hack.svh deleted file mode 100644 index 3eea34c..0000000 --- a/examples/nexys_a7/video_sprite/src/iverilog_hack.svh +++ /dev/null @@ -1,5 +0,0 @@ -`ifdef SYNTHESIS -`define FPATH(X) `"X`" -`else /* ! SYNTHESIS */ -`define FPATH(X) `"data/X`" -`endif /* ! SYNTHESIS */ diff --git a/examples/nexys_a7/video_sprite/src/ssd.v b/examples/nexys_a7/video_sprite/src/ssd.v new file mode 100644 index 0000000..dc27ee4 --- /dev/null +++ b/examples/nexys_a7/video_sprite/src/ssd.v @@ -0,0 +1,85 @@ +module ssd( + input wire clk_in, + input wire rst_in, + input wire [31:0] val_in, + output reg[6:0] cat_out, + output reg[7:0] an_out); + + parameter COUNT_TO = 100000; + logic[7:0] segment_state; + logic[31:0] segment_counter; + logic [3:0] routed_vals; + logic [6:0] led_out; + + bto7s mbto7s (.x_in(routed_vals), .s_out(led_out)); + + assign cat_out = ~led_out; + assign an_out = ~segment_state; + + always @(*) begin + case(segment_state) + 8'b0000_0001: routed_vals = val_in[3:0]; + 8'b0000_0010: routed_vals = val_in[7:4]; + 8'b0000_0100: routed_vals = val_in[11:8]; + 8'b0000_1000: routed_vals = val_in[15:12]; + 8'b0001_0000: routed_vals = val_in[19:16]; + 8'b0010_0000: routed_vals = val_in[23:20]; + 8'b0100_0000: routed_vals = val_in[27:24]; + 8'b1000_0000: routed_vals = val_in[31:28]; + default: routed_vals = val_in[3:0]; + endcase + end + + always @(posedge clk_in) begin + if (rst_in) begin + segment_state <= 8'b0000_0001; + segment_counter <= 32'b0; + end + + else begin + if (segment_counter == COUNT_TO) begin + segment_counter <= 32'd0; + segment_state <= {segment_state[6:0],segment_state[7]}; + end else begin + segment_counter <= segment_counter +1; + end + end + end +endmodule + + +module bto7s( + input wire [3:0] x_in, + output reg [6:0] s_out); + + reg sa, sb, sc, sd, se, sf, sg; + assign s_out = {sg, sf, se, sd, sc, sb, sa}; + + // array of bits that are "one hot" with numbers 0 through 15 + reg [15:0] num; + + assign num[0] = ~x_in[3] && ~x_in[2] && ~x_in[1] && ~x_in[0]; + assign num[1] = ~x_in[3] && ~x_in[2] && ~x_in[1] && x_in[0]; + assign num[2] = x_in == 4'd2; + assign num[3] = x_in == 4'd3; + assign num[4] = x_in == 4'd4; + assign num[5] = x_in == 4'd5; + assign num[6] = x_in == 4'd6; + assign num[7] = x_in == 4'd7; + assign num[8] = x_in == 4'd8; + assign num[9] = x_in == 4'd9; + assign num[10] = x_in == 4'd10; + assign num[11] = x_in == 4'd11; + assign num[12] = x_in == 4'd12; + assign num[13] = x_in == 4'd13; + assign num[14] = x_in == 4'd14; + assign num[15] = x_in == 4'd15; + + assign sa = num[0] || num[2] || num[3] || num[5] || num[6] || num[7] || num[8] || num[9] || num[10] || num[12] ||num[14] ||num[15]; + assign sb = num[0] || num[1] || num[2] || num[3] || num[4] || num[7] || num[8] || num[9] || num[10] || num[13]; + assign sc = num[0] || num[1] || num[3] || num[4] || num[5] || num[6] || num[7] || num[8] || num[9] || num[10] || num[11] || num[13]; + assign sd = num[0] || num[2] || num[3] || num[5] || num[6] || num[8] || num[9] || num[11] || num[12] || num[13] || num[14]; + assign se = num[0] || num[2] || num[6] || num[8] || num[10] || num[11] || num[12] || num[13] || num[14] || num[15]; + assign sf = num[0] || num[4] || num[5] || num[6] || num[8] || num[9] || num[10] || num[11] || num[12] || num[14] || num[15]; + assign sg = num[2] || num[3] || num[4] || num[5] || num[6] || num[8] || num[9] || num[10] || num[11] || num[13] || num[14] ||num[15]; +endmodule diff --git a/examples/nexys_a7/video_sprite/src/top_level.sv b/examples/nexys_a7/video_sprite/src/top_level.sv index 8f74683..eb14822 100644 --- a/examples/nexys_a7/video_sprite/src/top_level.sv +++ b/examples/nexys_a7/video_sprite/src/top_level.sv @@ -1,91 +1,89 @@ -`timescale 1ns / 1ps -`default_nettype none + `timescale 1ns / 1ps + `default_nettype none -module top_level( - input wire clk_100mhz, - input wire [15:0] sw, - input wire btnc, btnu, btnl, btnr, btnd, + module top_level( + input wire clk_100mhz, - output logic [15:0] led, + output logic [3:0] vga_r, vga_g, vga_b, + output logic vga_hs, vga_vs, - output logic [3:0] vga_r, vga_g, vga_b, - output logic vga_hs, vga_vs - ); + input wire btnc, + output logic [15:0] led, + output logic ca, cb, cc, cd, ce, cf, cg, + output logic [7:0] an, - logic clk_65mhz; + input wire uart_txd_in, + output logic uart_rxd_out); - clk_wiz_lab3 clk_gen( - .clk_in1(clk_100mhz), - .clk_out1(clk_65mhz)); + logic clk_65mhz; - // VGA signals - logic [10:0] hcount; - logic [9:0] vcount; - logic hsync, vsync, blank; + clk_wiz_lab3 clk_gen( + .clk_in1(clk_100mhz), + .clk_out1(clk_65mhz)); - vga vga_gen( - .pixel_clk_in(clk_65mhz), - .hcount_out(hcount), - .vcount_out(vcount), - .hsync_out(hsync), - .vsync_out(vsync), - .blank_out(blank)); + // VGA signals + logic [10:0] hcount; + logic [9:0] vcount; + logic hsync, vsync, blank; - localparam WIDTH = 256; - localparam HEIGHT = 256; + vga vga_gen( + .pixel_clk_in(clk_65mhz), + .hcount_out(hcount), + .vcount_out(vcount), + .hsync_out(hsync), + .vsync_out(vsync), + .blank_out(blank)); - // calculate rom address - logic [$clog2(WIDTH*HEIGHT)-1:0] image_addr; - assign image_addr = (hcount_in - x_in) + ((vcount_in - y_in) * WIDTH); + localparam WIDTH = 128; + localparam HEIGHT = 128; - logic in_sprite; - assign in_sprite = ((hcount_in >= x_in && hcount_in < (x_in + WIDTH)) && - (vcount_in >= y_in && vcount_in < (y_in + HEIGHT))); + localparam X = 0; + localparam Y = 0; - // image BRAM - xilinx_single_port_ram_read_first #( - .RAM_WIDTH(8), - .RAM_DEPTH(WIDTH*HEIGHT), - .RAM_PERFORMANCE("HIGH_PERFORMANCE"), - .INIT_FILE(`FPATH(image.mem)) - ) image_bram ( - .addra(image_addr), - .dina(), - .clka(clk_65mhz), - .wea(1'b0), - .ena(1'b1), - .rsta(1'b0), - .regcea(1'b1), - .douta(color_lookup)); + // calculate rom address + logic [$clog2(WIDTH*HEIGHT)-1:0] image_addr; + assign image_addr = (hcount - X) + ((vcount - Y) * WIDTH); - // lookup - logic [7:0] color_lookup; + logic in_sprite; + assign in_sprite = ((hcount >= X && hcount < (X + WIDTH)) && + (vcount >= Y && vcount < (Y + HEIGHT))); - // pallete BRAM - xilinx_single_port_ram_read_first #( - .RAM_WIDTH(12), - .RAM_DEPTH(256), - .RAM_PERFORMANCE("HIGH_PERFORMANCE"), - .INIT_FILE(`FPATH(palette.mem)) - ) pallete_bram ( - .addra(color_lookup), - .dina(), - .clka(clk_65mhz), - .wea(1'b0), - .ena(1'b1), - .rsta(1'b0), - .regcea(1'b1), - .douta(color)); + manta manta_inst ( + .clk(clk_65mhz), - logic [11:0] color; + .rx(uart_txd_in), + .tx(uart_rxd_out), - // the following lines are required for the Nexys4 VGA circuit - do not change - assign vga_r = ~blank ? color[11:8]: 0; - assign vga_g = ~blank ? color[7:4] : 0; - assign vga_b = ~blank ? color[3:0] : 0; + .image_mem_clk(clk_65mhz), + .image_mem_addr(image_addr), + .image_mem_din(), + .image_mem_dout(sprite_color), + .image_mem_we(1'b0)); - assign vga_hs = ~hsync; - assign vga_vs = ~vsync; -endmodule + logic [11:0] sprite_color; + logic [11:0] color; + assign color = in_sprite ? sprite_color : 12'h0; -`default_nettype wire + // the following lines are required for the Nexys4 VGA circuit - do not change + assign vga_r = ~blank ? color[11:8]: 0; + assign vga_g = ~blank ? color[7:4] : 0; + assign vga_b = ~blank ? color[3:0] : 0; + + assign vga_hs = ~hsync; + assign vga_vs = ~vsync; + + + // debug + assign led = manta_inst.brx_image_mem_addr; + + logic [6:0] cat; + assign {cg,cf,ce,cd,cc,cb,ca} = cat; + ssd ssd ( + .clk_in(clk_65mhz), + .rst_in(btnc), + .val_in( {manta_inst.image_mem_btx_rdata, manta_inst.brx_image_mem_wdata} ), + .cat_out(cat), + .an_out(an)); + endmodule + + `default_nettype wire diff --git a/examples/nexys_a7/video_sprite/util/death_star.png b/examples/nexys_a7/video_sprite/util/death_star.png deleted file mode 100644 index c16cdd6fa299e67fc7f2d60d2fde07938737a273..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 33885 zcmV)1K+V62P)EX>4Tx04R~2kUdJoP!xr~jN%_Eh%$vJq_7>ZaVncpAqWaFj$pLPBpDn`Vn{L! z?!cYsVx|xTm*4^vtUWJUSeV8Km-BJC_nh}0wCp5I%h_E>v#M~so`30I+4FBK(q@fS zwu7+Dd#-yzNabnrF#r3#_HkBkTJ32b6hYmZQMHCc zcz=WyAJ(5?>AE3n;rH7bX1evvKi~KT;O*;s)5EzB?=nSEvM!WtK(=gv4>AZ?h5`FQ2K;0{`OW{ufMLKdhHUD=mPJt{WKyK5 z8DdDzy?eT+ySlnAc{?W}_TFpxA>y3Op|c9elPAuJ*q3$r*0kz|0stO|QOqL>5aED^rv@klWnLLa(0WmL3-waR0*FiJB^WwS zF7C|t@IMZJ_;CR^k6aG3UbgdRemM@~S(eWXm@fke&i7rm8)VF~0S1@f^Xp}GgMq`< z0d)JW8Vl&vVMm{%{;)P|V9!2p&?&kHe6o`F~%a(n;V zY0QsfAi%>F98UQqzcYyN{=RX$FzB?=4&hM~UK`gs-XFC>o zCJ}bnKf-6l#-g>edT9Uz!vR6C9XHegpktZU6ErU}>kM#50GF!)0IO7{6{XvcDyRw* zk(}KF#}Xl6#9_j~?W=hn5FB)K z(R#a;FwO$J4EP|dcg^NuDUQkv*wMoL75%u? zUGjEze`NsYHBi9*9+LReyRqIs`lw&qapY~O}HBQl7{b^F%& z0~tz)fFNbIOUrKXAlu};4a>Xa{INwQz*%>&*l#YDp1tuwoV&07Exc!+q_@PniHf)L@WXryj4hggap`_;qy1x>v~xBmN=O4 z`O?wN%*(l~CvP9TJo_+;`Aa{$JER26n`Z43AdE;IeX^Vq8LlE0PL-gFPL{3JQ+a;s ztxa~GYo%RH>+97GyGL){lQud`>cQudi{SHU4-TRXK)7uGaWL~oiJ-*c2A!^kgYt9s zFgV)4Kqe{?TAEH^+xMCh=Pq!3h7g=QILtpEgJB$P&QbOy~`4ZAW@ z3w1T>P0?6)NTI2AIRC=KO}Xy!#KR^X9Kuo10}RHp00*bR!~c1_!4M5!uJpPZmrYx~ zy{HQg?)HEKk9d-IR{@I$SVUtngT6q?$~ zw9~9@Se>$7v^83e`>jbm`NA7AT(~sRpw7PKIIG18@CXBz?O3#NvEd|R`7RhluX!J` z=mUsZeS!p9L_{pWnnx&jnBXkna?tx0AwdS|VTJ&Dx~U*1HP9fW&Q?a}ub5!ACO|l; zvz-AMNCwGjtE{T)yn?n*rMuyS?Zhv=z4D6=niLLr1eY%n9A!&1}JDp z4exA$j(0Ke0Zsm_r;FpuMavGz@%RRV3djPaT$9}Ti?m>;gLOVwnuBjbRXSKjJ=2RO zcM9FKLteu-ZOgJx*VF1VuU!7&UtA;PFh&Mvix<2*;v;_f8S`+Q+`&dd`w`?Vyk8=V zqh8Cw>K%MVkawNoaTaro(W#~+5vC%dBxN>)AO%Vh0SO9bce1z)k_aWBB3c28(wz|$ z(QqKI)?K&Rw0<=`_x`J2?1qcfW^I=^7_fu&;~{`M+CLslS=_;C^D^|>kC3>7CU6@7 zET&{Ov2z4+_{?G02m8O^1Smmqhd~mG=n{GX!Vp3P^9m3U0pzedptuVqoS7;rm8L2x z4oZq)M{5BOnu~hMI=O|5iI7y8eKMfps^L?p&Nn2*1+7t9glJ+Rr^HlS zXVid`Vt~V3wI+Zns^P9_eRk5>_Uq?2&vheaN8>zeryLC7Jl3{A0v-(2@*@W$(CcbC zxVIyIxwBkcOzUmrcR+ea2_YS#5~?CeEipue6p~S?u>fM#fS4lY&{6^o=`b=OM6y)3 zX|<|h8gPJg@svWFywrV=y}R2K&r;?b&XK#hh3JsBSeQrR#2kV%Bq&$F#aptaVI` z>y5=li>pt{i;{d>^!|TffBu}>j*wu+!-oj%$OOz%5I9Qq0(8+Y3-Gg^9*fQ|0kGg! zE*1+oTNx2*>dXM62nb<#P!D`i5YQYcS)qi?L#tGJ1Uv$fplXI>8EZB{WUFAUEw9Q% zOJmD56;B~5(qrFUtvV}R=hLves6tu&<}ZKngDSYiShqCLBGJoc9s_pv_o=3{gP{5dwrO9HdG#k*Y#5RBsX?WD`?> z9YWb#j7|udrq(K?j={+hnn3NMbg3niJy*G$^ixjPk^4H`+>=(%9>?_Xv|gt=b#Tw= z(@%b@|C4>EO4KDfz%8cd96C~#;Kd_CZdq=uv>y>iF6E#ha+h5_&;#@8&XVN{*B)v{ zGN_~wHe)9NK-JJhk06}XpY&{){G_~i@3(&C&pr%wjiZaY<%nzDmqYW!RvJiPuz`o%-YJ@u8Y0iDnn_itKH@D>&VDAN}fYy!(1gyse?Hw$|!kh3IT+H#nk#i*gapqniOR&QTagjhV355$AoN$$x z>B1_63e+bH<3tHh5(H`ycb8BNcZi}!vgX>l)wJt3O>9?k2Wr8JRipbbBE2>I^>3@Dq176X5Ix;tt_82RR-wOY;&J%m$^! z!c4O!N#c|chKm9kbEGGb+53`Ys&!twnhe^tNlbl~Hl-UwPK&N(WY@e^K=d5iP4o1Vlt(#H0o{Ayl2s3DqLn zv>BnO(6fDRQy5W#5F><5!$}q5dZYVl`9j$7vfe9Px6|Fd)64wny*1av>Bs0VFCUox zgs=7MAEtls>K{Mr*y0$O9t}X;3E=11ACg@}H&bFq`@Y1y2e)zH2#?-$cDT`Hox?o@ z6d`ma9Oe;$fB^82qJT>ZOAHezMXTkaDv3HqKrWS9hy+m7NHA&XM6rI*s@;DIYHAMy zmTtVm+I8<|SEDq3=Ecn*>sXy$y!rgiVV!*cqj$gljc z*z0Pz&Hl~g*TD=NKe}+eT#y05E(rx9jDWx?Zfpnd6Qn4R)FrE!)(R3D5*dwvG~`Js znNw=;K@+^|#H>cRhcaBTEt7XOI;*ycu$0hGSy|)Z{`uA~U+peW_b14&#Q1m^K6s;l zxcNli`TDhG*>Z`Nev*eT4A@f)m||s<}oGHe6veT z>tWE%&Gm5dblm9G`n9Kz$JL-0t?b^!>wnzI8-MRFzb|#B#116*Vi9gLpa)W)Wc0ck z8Fxs)L&$(*I_PLi7yyMyF*800n!&?Cud2qj+|@uaD@~XvXq~WmGbO5;BZo9}BF)WE zB7#&@0Yh1Z0(Ev>KgB9vDKzD-ZG^dX>|Q*uj8E2nIiB}Fvbgx*)3;9Ewugfa`m#Q{ zclPna?ft*~dml>NCJk>>o<~o}#hHP#5gda;57Rnl0eEozcPWB{Xjo!WS4gMrz!Z2h zNM`d8b*DI_ML4L%X~k8VI)#eH)I@@fEy8sTHXxBkolPpCDU`kUTH|EANfMjn!-JMW zyMYrolAc?)ss$Al;Sp!|IJGTs{}t}LRCc}6*pJt`U)MC$dorzGZpO{j-+%8h z#_u(r<3){!^?_cW)OWx6yB9Vc$qgRK@;J~0aj=CXI_<|f?LY)7ZawzkEIqV@%hpho64==H_JobKm^^ z4_yus%#84O%Ms4DES7Xqud8v+rYPG1=Nu@7Ln?S#l#rwZG(uwra>+#ZUw-@l+zI230OJtI@~_YW(dlZO zlm5|Up=e`{1rEh=TrvQm>l8NEH<{2wQjDT17BsC+3PY=CtClE?v8RkM7!@EzvPOhC zLpU&+L+41nsf@HbfeOJS5ANOg$<|x=u4dh}r%$f?*B?JRKREw1zJSNlGOpYAi@7%c7JvR zw{HW0u9Qh20->RT%4X7n5@Hd^aBm?gx;_!=A?6~&P$|M{wk%U7P~1SKUMB&Gq(fzA zTt)LRsb#?Q^7-g>s`B#L)9sgj<>%jcc-G7Im7$d3QOM?Le)IWDocj8eb$lvc&M)_0 z{Wvv!^OMi1xyNt(BnO0Vf(kf_1y*AEI?>UYTn|z84`5b z?T=3JmiL>>gl>8r34Cx*<$jKuw8&GKGhxu6n3=nkY@FkTO*WwOcn~ zwl=k49QI?lyH{lhlV7(GtKtpQy_1pTcsYFYAOAvku^;MiJqlWxCSptvcSD}8YCI_W zb@}AY?Qr&R{G`48vSQl4`svxHSLb&5+AsXu4=vqRMI3;hQ0@r8!U+nGWmQK)6-So| zAYeIE8nDz&IV5uoh9=e;LKn%cAv#lE^;OEKN$8Q*Nm&9qXkr(RiDA;bxv@k*5Ve5t zQk_XtOe6}*KE*ihKK&c z=YvUJx%Rxh{M_oFb?64(sp{at9WL00#GDwBV>#ebS#UQ3nAdJAZURV%&M;Y}GB+w4 z%#u;+I%|~48*~atO7uacOsrZP)Ffgp6q-jepppu;C?=6pQL-hI~3w-U5asEw{a3GjeDmbH4?g$M!onF2 z3U68s>)m5QzS(c?L3y2D@A9Wvp1=CNZ-2v|zx`6XsHVGc&w_ZtfOi6?b~8E|Qvus|YhHrxHoMwWgE==o(~bMkAro zIswR&jqY1i1BH_sG{n5K3RKy7y?pDxe)rk_&p-URciNOGKDxB1(ZBGFQb8{;l!2#X ze}3}NuReV9Y^&Y-^_`zSHssY+dHu_O@ChM)AUxxiBR)iW;YStVkrh5LLdP^apOmI9)arYa>=_nr}K^ri_DsOD5k4G{_@ zFl8;R0|)tUKKJb6U;E!Uci*Y0(A`n6*|*hrJycgjz{+*{=)B+l@GWjP&(7@LdA!|y z^5&Bt*(Sz!AN^k?`<%2pB#GiS$^(HoxIy9&KOdZh+_H(QjviD^uI36s5m7g^K9y>= zWR5g|Vu_T7h@Rq_yoE^{+!(AKn{}Q%cM%lO+SCP55J?(B;Yr8ZgkdVU_{Kke{_?%6 zf8Qc8OvehiNAvAXy}YV`H0!P-DErY~dHKCZZ{552^Zay^_T}f^^LR17kt)CW(I2eC zg5rzOyR8K|ln(duS8DC!kX(y{^@OefwalnVBEf4_(SRUI1Y?3~vIKXSP}n9!u?DI} zw}KkFRbq_V22Rz4wTxqlocATJE2)WMCTP0x3dwbh?DabTb+1o;@OLC~n2ny$oI%aF zxqR+GxS%C%ja5Cj&G(CTr#BCN^z6Y0AAkPiS6?5WcH>R`&mUhnbS}(@xXp7Ov!8%& z#v>PYhe;G33uX00!44x7D)4}ZWK<>^T7V4KN*NnS74aG=#Hp>Sq!>ucLsOp!*yQF= z6>GNbda3<=J=t3aYbA!Q-jpnKadGUw@t<5>{EIKX-ULBZ(5KL{F4}%NkWLXamrg6l zI+WsH*wkJ6>dXGEdhxl@UO7qi#_ZMI?`}$&iw|yzL649FLAut}a2o;N)>s~!MOti= z6D|ZYYZzN-5`TWnMYx2j3Y|2oMU%sGgeEjCrG!W*VI3u?hKRDekv6mlcSv$y zp;pqNA===-{gog8oBW%>lxpEl=dy;WK7D^OpHsms9YZHd+o@hYe6`!X2K(;ja@@Z9 z^!_vKHmhCt>)-lzxXBXGFKr^XegW)e#5aq_tuu_Q@5}H<7B9*ks38iTlQ`dDS-CAPnU;Ks3 zCm;MRG0CB(*$LG;lz4v4rAvxVy1SQ(dd>a!F1t7FI~)Huvbn%+fA-U|N_ph}_yt$@ zSpnisi^ZHNjhG83?(||DGl&a|(yi3PCBdognpzVRL{qv`HFcd*9EoFzN^Z!HNnjf1!h&z?7 zbCJgZDIeOP81BAkL2KSyKxkAW%`!ttMXBLp(wKDGH`8gPP+Tm~C>{>bOtLn*YtW-G zV{Bs!30*fzCjn}0UaSJ%rHE;Wv4*v&NWJ&-<@u*yYqL<&i&u?8k!vV??qM3C(W+2# zIEDcq?7F~3`GQ{8C+n--+i>mt#Q(`}BMAX_2P3>SS5>suf`m8i$77cnj*UaJ=Y&Mx zi$o-C5pE{ol@Upbab%J}IL$1rJ$VI1qn5Km%5^x}`q?n`) zYiNvBvmxLfq9#zwNyKti>5{2rT23C;8$=?+#KB02MsX*AaLVW<)OW3EW{9cqi<@@w z<<@i5)-ameMdB1#IY`kut|Mg?N z$Zd-BPS?+|@#t7_d0P$c8w)^EOeUHY5>!=*VqKeBC&g7!C^5h@Jt&cYRG7xva(KXt z3L+XJL`!&eNW~3R%$u+Tn@~B`_1J9BAZo&t+Gjc5ygsD+FLb@r7grB$pQY{iG7!QD zvZ;hd z#=$<9+t0-w(XW!YQyE$2de#Qe9HMT$Aa`mapkgtd>Ruw$oj{Cqlp)~47R?>nA|#r& zaM9#*!Ym|7(y}U~Oi~MFa`yl5!3W>_dP;rRYpHxX=u{|G7)5=0fllq6hCM)GE@ z;|J%D|M>A!4AU5Sb6&R(ayO2H@$=9BbzbW<%luprbz4-rUK)wx)-*1eQYBKSpsHeu zRw1M}h@l}Rry(NhI8LREyWKGDqqcG9!{oKK)@pS@GEoy-O5|0Iq{Ev5L^Y|bsWbRA zG|4M52DLY_=+F0`w5^0oM*DbkQcZ(7ega*pyq#>jxs$f;!uni*{fGRIU-|ND@2u)c z{Wf0Rr96~4yPDd6_w(c8-QzITLtg>Hj^OZ4Gvp#G>rNv`jWEC@V&;R;LJ(u6uoMyT zaG(7@NvP08LR_QOsB}-07$ii*nomxk?X{M5{~5q72L zf$WvkCy^#ys$X>qft&6<32B8IKX~)k*Q*>~n8c@PTV?ua{f6Fa_58p8r@M5w#o^9t zYCkMh=64IBXK=JhoEw3q)1)2}!B%T^36|2#qJ=Sof+W4Wh{7e{EJ23AC#txWupIC( zx*1IAXk-xy$TSAoOf%G*=D2CpG`{wChd<3h0OIAwkJqsBc zZWum!{K{YaOVP5rchc*Mom`)cp^UG7>)Uf%`EAAPQcHy1$WR=5v8i*BL<68}B4Vxp zCj_->^tG|g&(mz!xj80DP8ccyI%Q4-b1bx=Dzi2pfVm5)!9&}gNocugvs~e>(P*`k zLPv@cCb=pF001BWNkl-zNcvmQ!^%i-?96Ez1zwoMftI z5uF(TsCIeU=bUOwVl+<@DZek9TrRx+~ zOr-0Q(OV-CZW~7UR4Stl&8a~QyYS*-k}RUSQff!@#5BdP|RBOR+?ypyZh8o+BB3} zkrVgDa;He!ldVjH2Xa?SYm{N2x9WsIgiWjv(^F>wwK%|LL<+ZxRyEaz z;)M2E#~%AgL9Z?WcxsUxLv~M{YluMohU90(Y5310zT4+wDN!28YBV2NX6;2nf{9fM;a%d(wvj3j7pN=Pk+>r$!CxNQa7*ZrP?`WtDHJEZ@CoQr?G|vX0 z3*<~{a#8q7n`h0!WR|+XY2KfPrmc@y+7T*Io>?%s^`!D z&c{rX#2rsh64A@j4a3=wFE~NbtxSxBNuau+Q5mjMtAoA+BDk_vWwlaRR<_PuT&U)- zX$UP>!_Cb&6)CmhG}V16a2P&pf7D%jBlkN@sI_#(qS~2B**+AANtUJHA&xx_NZ}vTL99wmOL-{rK76#!8NP zj^pttbK4!_P8M)61D!Y|ShF-vlB!D!tIiBY@=#DDBIT^C6=m$bwPr0s^Du^jGVZ*I zq5+2eMX+P4Id05-Y}cC9YSbyUPxjGIBqFt(szYQ1+B13hVXK|bLvjguwoN|PRYLeE zk*Y@Xkz^vA;b8(-)O6N9zIS!=$s@_v_b|pKYQNW&;Lh2$K8ea`KGvx^_S57w=iJoP1lYHUP!zY6tlzE$yI~Al zCG}DUBFK(40!f@LWV=pj6KT`4jy3i!mTdU3oU?SI0@17<6zNhFB$}W&J;q@_ikTr| z_JV<0MtO=yufP6gZ0~R5Wu)sZyN|EiD%$YQf4cO#5$+8LdTVpP!_TzRE!NT03{gGj ztjJlco5_S}s_wOUOvBYp2wbtNreyO{Fn6U>)XO;aC+jYWg|=~ZzV3N_dF4aRmQS*^ zh@dh8qir|o9;{Aj-Cn{TWLFgapq}+NRs%GxB2^V&D;68-0Q;h`LYON>FT@r z{zpH$xzVqF?zDi`oxV2Rl%|OP?Cs)rxuAveIuwH+bApIqASepd*|TrOEW{%GnQ9ubzcrno?A4;}jkJWzjs1@`tm#%2w}GW>BmnlE?x{{>RoHvz%@MBWfWn|9jqP^E+Q;X{@^N}w9S`x z-~HiLkq7JA&TqsSn7;8J`kkimQaZMT@umOs6lPI?J~Vl zs`V_6sX|kAMBR<9*-XX(SsEprb$5vbTI!muY2ErHNgyIDw~Nc0;YGgO#Z@hn;pNV1 zWIp}V2iy0WFkrTR_3{1J9=&}f_rpDkH%cICWm@|70uhd&7glN4<-^x5UX!Q(ljri{ zBmKiCpF7XmYQKY$N~W8M5GyV=!*Awb zFB}_cOpXvDYnUkEj+WFLCFyGIn&EnS?_SD%ZiYHljc`=!L$W3-1E-orp{o)!dVHrl z|Blz@(fV+S@u#g!pOsx)-!%6YfK$AAI7CD!0G;q@+C9;)+LMxfi z?zJI2oJyjxD?WpZJWZPRHOz21+E{jbm4+0)N0P;_nB#s`U~rS z@wHVDjl0v6jcC8Wc#ukB+UnuyvwLShe#G5d`%E#m zlYjNYkb0*)U~ZmX4~IDTwkKFu^a&==CN`fqGI(0m)+i!5 zX97%sP$eZryKm8%u%%U~tui}_f{{LwEbFs8VE`2ug;@2{S|@gpY? z65^oTNoV?@ls|0une%t9-+S=-g+1<{eBOWjIgOif#j9TB@&Ep5@?%rfjQ)G^=5q^8 zs0t!LZCj%o=kA{hVMSy1a&xsG+4q*9Y7#f~@#Op!oM07SF=;4go1{1)~YFuquQ{?YLKvOXJrzBq}7CefUP zHxCA!kfa(7HR=AMbPpb$X?eNnp4@veespvC-mUEY#V5@fZ;8#EWlV4iHq;BQ1#P}2W%5x6S$obHh(v~)E z4_QLnfcSR!YhTF^wrTsw`|DG__ECEpxmQ4zIDF0@F|Ot8Y!uaEWR$CkDcO9`XX_JfJmC z-*{&$=WlKvzV+JUhi5qNu7}6r_r@+a`OH82sT@k6mNO=n0*Sk4yF_eMLOZJ|izHJ* zLqvj6+a#@p<+d+GnOxa!+(^MNiB-Uql3H+Vj6SJ^jhFihPt+W3-Np^VQk_e3+L@23 z)F|nUPO|PDHLD7D(&5DyzIgc;-$d2Q>i+)etE=66U+kY>=D>{K+*#lVi*YEwF94aH0Q!)CbKHzXnBSBbBi8+Z0C?P?zqU3Ry z8k3jNY}ywE%tF(C?ADa76j6lY;T&St*T1<9Dz!)r%EZB8Y z1oO#+l6#0mtJ#LDU%UU^hg+?}Xz!gqYA5ffe(Lv^LWB`2PKF~|YwiG96OhWor(ffr zefnn@E~@WVP7@`lDN)$}&l8VFi3o4I#%|BRV&J4tpt3rht>w^%`y1uhm`(c0k;V6< zBP+__DQQt@S&)XYk4CWwHG`#Xx#;&HnpWmh+*Ay{ZIe%q@FWyQdRWvd4ZC4I!(SYlr|G+^NB(&C@oUeWuRR@vE`d<~KHU9~XWh#ywk}|X2zl_&KfKcr8@K%eylp9E+IDn_MnObvr|wsmnViJdH%x=) zMAEw2_KmW+H>i#Dk_3ugqd~O6Lekznpl)JBYoQZ!C#5FsIc|kgy|7Joy02GYr(Ud< zCb46ute&&Shi}wBZC@Vj>V3Ysdn7;BRcUSg;NxlTa$hXbYy`vMVl_Q{{tG8Rez#ne z96PZuZuH_k*`1C}qY~)8|NFNmX^=s5!lfH_p-cl%RAsA@z^U1dkNZ_5ODkNelx~$7 z+(;8DHMOzL$HwlVoNh!VN&^MD^{7`hfZF$UaLuL=wpN33|J6rrK=EpbJ#;JdaQA&| z_AjwDr6hv#z{q_mCx^9*?#QjR|QjSe|Z%_2)$BOsDcQ0vT4F;E>9kA z_V2dI{WGic|NF)?sEAYF=K}K*nLuBcbQ)$v=!aD@Lxd}zY*kovwvFpQf zP`s6tXP4w|=#+-b^G% z*QF^9b@CMzQ(cp-d-<6!z5Kn;?cYJE_n(#6Txb>esy(L!orFkKcLE}GQQN)VeEsm1 zcl5oR;n~UQryrfasu!znRfa;-27URz_!`Tph#nD0>a=c>=UDaLHO{&?HNoufVQx*(QFk~Bs2l&lz{)_zP z7Xts3>%0rohL|e0Y zW<;YraNl*yGAkp@?Oqo2{nUuHQ;wKoy}weld7A7z>4G_VLL{35a=7*q4k9VjBHHXg zOC_2v`+hOFIi`(~6ZGf*^Vxsc{~;Z?QKeKCYBk97d+#!Z9m54m;o%+*3VJYH|LE85 z1KvFe?yi8lg@E%yAq)^n;~3V6sdUS2C`q83 z3+1qeCCfC~#73MDUS{qv?oOpzdRXoDN7wKO1?s$6Jht+_g>Qm{+3?lOvE%uE9J zG841SvnbVlN)d?s$?69Wesg)hQ5eMbBy5xK?KExti2tJq2ZAD4_3-frhyS2#@4dL> zDK%f?gPu;y^l&qkKIcp|^?&#~eYCfj)Z3My5Z`6!T=Oc(DOx@*tx^;yixabiYHc}( zxh0+JEDN<3iM$0n>Ffi!8);CpWs@`$%E@AG-LiNIB7;cJ&Af^HC|6+V@4tP@m!iIhnb;bh#%q=0M8Hqv)yq7~y3%UVK+*9kC zhdcVw%}TGS#d5vj&e%c%)Jktvlv_|nr6dm92c^T_0>B)dSWpw9fV(5+%`8-{U7Xyw zDd$}Kv2;wns1z26kp17`f1~{4H^1WM#y(g_#YoK`rkiaeK|q*V03mJquzvnHjf;Ha z`0Cl|)7Kw-FYTkJm%lW`P39gzgC2rAVtY;@E@||~YU_&n5Ni>EN!>rdFCb=7Q$k~j= z+BGpP9ETYxLKWFOqiId(=AZoN7vy(W7h$Ef)pe#Ljhq(Cpt%Kc5Gev(vgt=Qe7Jfe z@10(pyz<3^=Z}(hAK>NV$n?{8abPw&`JbMM(Klp){_YL9<)tm_GQ%O&Nl+!ZBH4j7 zIE=OPqDi7vz%lE>rdEYVqA{;P+%MScki1CHX|_VfF~*0}Uej`}h@l58LXnzNq(tCF za}zZh0ymb`B6*~QDHh^Y=QK!bU;n~~_kOF~lPH7D;!Z(mR_fw(StO3&MNMMk*}vuc zWh~w)<=xXy4;S!kU(Dav*Rr)f{JgT5=YRRTtLa<7u}=CKam4Kgk(88ECS_v_I3ZCV zQ%X@;bL-Oqk||DOGHS$bEG0?Fb$7WoD^BwsIlN{leA+qs>1MD%S4Sx9O~WkQF0VjH56LC{sh1B{-1nO`+;^MwN!}DPS)zhHW*2{ zIkoX$`x~#*&O^ru7pL2o@{9jKKiWQgM;2f83tgOes)=f9|KslVGa!I(U~0D~qs^sL zH&Fp|P3o-G#9}dEq``uiBxoWSksu{umuPt%M#ROXKO4QDTO|%V%_n=YT4g*;h2~Oi zweYDmD%PZ@@Cb7nDjA%X6qDDyD9x2qgk<)Xl|o!sY4h2G?xV+l@Ztd%L?Lkx0|H}O zZe7(!=4KE6=Iq_3tjve{?qd7=)q|2QH~SAL&A!_5I-w&|PJj2+H}u`V@CFblnEH;x zm29rU9NrT$1GRWd>wQwUA%fgoggj{}=)F)_|YxTNh6ILPk|-7orh8f_+A2ki|Hn^Wb7c%O*qAs!m?IHO;AUQZA|PTMcD! zn$Wf+9ra{h#l#|;du~a)KEX%U-NBI1C2EP3iZsOmYOUFF{NwJOd$IiUO*4szFmGXA z$BS%=8{5D8OD`WTRj#*1@1^Ve7q32}*WbB34Ay8^aU5&mrjqnL%u`<61i{K76N6LMxO3nLT!V;DP&tZF8#=wpeW`?{J z5i~HfJ)UsJ5odj7J8+l?aig5Ik41+*4W5kGC-~@s)slvgD=Vxc)Dv^(RG$C5Jd*F` zdi8WS&SEBWqdDRFdvtBv>BE2L&DEKo=mFo;@4jC6`@1ji4-a0w=okC<;(2+z-K-La zP=x&1|M50DeEb-ka0kyLL^m*#um?-gMWCbcK)pgx!)FqZIIvi1XNgviCvgUfi zl@>#1#;LUhhvwwMMk(WD;6{VhstlHB5fmzEF~BL=a-EQ}yY@lMtaq_X|dUy$Yw!COe{q*9&_07rO{Aj+hcaToHocR~0x_)OAd2s(t%#W_` zFAuNJSBsU5HB-1=|GoMRTM>gS=C@p65amwLh&ZAngQyrSdlJ(nRn;UZ5oOY4O^}2e zn-1c}HHEPasU8fSjltxJZfvXro$u#;zTDLwI9c9!ogx@5`c^WPsanKM8DBJo&t*S7 z-!-@hC@&gM>THKrT)Gl9Lgv?hGWHj3=+Ddji<{H;tXT7x+waFm-`imQPO5`EIKR3{ z55N9*d%qY?clOcQoApDwSq}G450zBNIqKir^6eEsKzAFIyu&1~vUDe%wY1`y$&EOp zuSBU%&CF9Wu-oC;VTV@h)~lytUDvIhcp6vv5EB}ptTsihOYBNGsbyQwwHa_e$cks~ zYMp1Y0tK;+x(G}J4|va#xP$;g!a{qBYLViQG~@M?7tdxT;BfD7Bl&qNesc25>-ove z`PI!1_v=1>^XW~#!HK=t$0Bu`m&5wJeSO+D%F9j=l=$zy;I{-`>hvx%a+?rjj**ct zvvcfaW&81-cyBX3-l5cKAhM{&a2AVTp@{JP8(HPU5{6^ycnYC^}x3G zd67B#E2?i6^JY<7&v90HHdW>0flXM5F%Wd6mqAiw-jULwNk;&trI-Rg-@G<#-k}OjAB=cT}cc^2^ofv*Ej+>AU5t_u`M~S9eQcV?TT6kH5iX z1vKJqQ8)lND(B=u2pit$Dl0E?rWWJi8-xecXT^k5fMBSlaG(kvdbU{oQ8xi-C-9FM0_ z$MeJPX3=!mu59T17wZErXXAcCSLspE*FL`d*bX#YJ6zoLJ7-^hJl=GGSW!I0x3x`* zw`P(+G+~72D$CJGgd5Xt=l#$iWz|9ox%y5Y?OzE8h+@`703?BUGBKP@ArAs>G#K^I)|_4%YqU%YypLtlRQ%Zz-uzIV^}iKmW&a32(LOIVEc>Gzv-0tcw@SVc~xA_+k+dmRmaqTo{#PW(pRi8;jJD%vY=2m(X4_ zrR}uf8T&u^d=vWYBvnhL^q=i+Oq-4EHP37L?WdIAdoJl|mp|Vq_lgVHH^@XB3n+II zIR>#1i;slx7&%#3@JK#c3BNoIvRXPX6a>x6er(4{Qt(-}Z7{46IWdJtqb`C}h0Gvb$A|CVpFM5y;H=TJ7j@BFy9u29MN`mmUvyjta8l*ppXmRwS_zJpdJ%E>yFV3#N|tY0Gy z61{X0?M?D7Z(aJOI7e{epustH0vEt_Ac1qDOk&6ZTl5D}mP^>@ zvNO@s{9PNm&`Xla_bvxK>Q5d%JjsV&dEh#s4EJ#O>fvc6m$lfptSfZ>);CDu%S#bw zNsg{HCQwKd5Sa!9GD@#Ixt*U&S&z53jzds8uEC39xAd~ggdnGYIEAmzzXXDB&)|%F zNLvQO+3A=Ch2{f=c9Y#=I*r7f7{MHNZ}FMt94aJGvV3~IBpO&u`b2WZlP$s(UD94t zBu;DU2g;N^b;OeTy1$*&E4T;f`ptNJ+8jeI0I}bJ3LJb)Erp1{?>IlgOjM6$<@);d z1UfS8k`6OC;mo2rJ8_#O!?V@BGW z5&{*T$iczKIars`&3*?9)42N>pdfgrBMp$GDw9RyN(>+h5-_uO#07*naRLIU!0csJ!>dqXEc^oP;=FYj12`FlGO~}JwDOFH3iV!JUCAwz8a2GOl z2RCG?$9KlqRtG|J5}A-FB+z=3%BdnU%-~$nXowcj8^}Xwxy!o#$|A+VgpsUuY|LX6@$A8p#|DXQN|IU8yRAaUO z%YSzBUH;_s^{@Wt|Giva$xN%mUwQTE4>r&HH~;>B^xsTR6UxH-5C7nU;nU&zzk2U~ zd-+Julm3JFJ5@Mn9=^)^OuX< z$#An=_~-wN)uGv2mVmx>y9R&;dXcf8o^=23li04Vho5X-UR=%mqI>uUhtJ;ss`&Ql z?)u|rfB&f4$LPjo*CoXV2OP`Oo!y_vEXYUSRprr@!&_o5TB;|4*(r z&);1A=>{LZ|3~%3^TV%go`KrnuMYJGr@#C2&tG4>`_mvQ@@)U$-p~5|?gvk%kOh|q z?+f1h;p*za{Tn$w`QZ=irFN=m6-||do5KF-KiM}jA;eMVN*C8}xy$g&Q*uh-YOT|W zd}zVaKxta-pkxH^8ir|H1i~4iD0Ft+`XUSphlFDtH^@$8!7$`-KkdJizHvW=M`tYI z?ToLhBDt2-1XOzuzI=V?6)ck)SI!o(7@qsGp{K^4O5!5xv~8=%!cAfw5&iOp2I^{u zK^M%Yv#u8&r_doKoMMp;Nr~TD&uZOW|LvbGcqd0;@#%3Tc>4(O^NU0g%3v}^KwP+F zOAhB$*^^1>ESfOEG|0#ZL8*ZtVX>G^q;iO{G&Tu_A$j3sMDEN0*RGOOHW#+8ft)}b zO@SuRMq=XL6%Z6r`UW*9*HDIoV<8}9MkXkfX>ifRF?h#833*5&l9hTL?8JwjRagkO z3TI47uK(&Mz3k%Xtf!e6>|y@SMxv% z!sKi%JUXJ{&c>}d)ymx1E7-`qs)yBp2ux>d78FjwN3XAo2MoGr1=kkYLK@f#QOrT) zB**-2vxe$5VvZ>0GW*_$iBmNvVlbJdCQ>;GfAjZ4DYgb3-(C`Y3kNno>X6NE3;&5Y zLX9i}G=LON0E8kqOQKuJ3=zVe2@X3h;YT79 zoB(%@Kp6VYodpi6!WIq&d z1x|<%<|7>Pt;aTCA!P2y0l`~`Bhdjq-yWbF!@!Pk=pr50mwLo?9rp%sp-sQcf#!Er zU5WraG@QN>fgg2*#2|jlExgn9rg4-w!d%4eI9TqD;&!o&L0XN7O6JW}&4uA!ubs?^ zM5{?8Ci#o@L{MNd*jqyz@wfdUFlPd@e@nRzgh%M@hR6(ke%r1I1AnWAbIY%lFp{^l zpKtu(ay)R3JC)JtaQrZ03i=CvM*!qCcXvhE&sG2Nl7?3 zoIySllYc7$cKdopyVcp>>U6)Q5`qVTM1bILDaImDb)f-}pgYM30))xkjvE?t`<9=L z030@Petgc-vD*s@zqp9Enl}Qqnd$al@b=BSt93gkYuvpJut#{%<@(Nbhv4bB>+UTi ztGOgpBgwwQipjbTA>f{?-9ezDQL*d zhB$5wz-j<O&99K`Neb}Vio4k?1*Mtr*uBamAtfn>@NOy;RL zaleHf0e2yRb$=5kLaifJ?+gh|+!Ke+RY-DCq2yF7S*^(-ocEakVgtK_nOuQs_l-a& zLhlyA$LrK9Vow4TxQKIQ3X;|>b~nAiP|W18Z_B#!LIJc%ghbN|e%l*~q zxaB0rCtFZm-Lqst`@xzm-g%Ny5A8XU~d>sQ$R$V zOl{W(>0w&e9r1lTdEWJ1ergXteCd%n$<(#O)9&LGXCf&CwVEx0U#^x1&F0wEw@
mr1<{x#S=P>xs&w8@;))$Uh5d2ye228^sLMFPuA+2E19Y?+D_kwiDu zGw&95SlG7FMY3Jh^Ea=?g1jrI_c(;MmExIsA za@K3_=BHD(`PCzS`1H*$d{rzyJ;T^`o4=mhrjxVX8~VJAi_N?H^htjAkMOrnGI7=h zY~IRO5fry0vD;A%gDo{Drw}q>h^-GPBD0M-L1Ikj!_#TH5XnGQFDwBlkHyLv1v;AT z>;Nxy>{OvD+*HF)`kjH?88cBjI4c5`2%yO$fm75!R+-~uZ^ppQzKfdIF|{Cd?VFPe zZVs#K85dh`T|TXIUAJ?l?IF!i_3Xi$&ldW#Q2)ABT19jhN=>)!?K)W3WEnPyzSc>dwTv-vRkyp;P3 zKkTvxUf}H{3v?Vdyyb^b6zbeFS-%KnCT<5Ow`xI3vRGssMrqsHVlj9!R3|5Ri|XCU zSyqJGjuf00sa7JHt)o`jZa&XoamRRemceL&tLtm8wOPNUpu)?p%7Ug!S(&PG5sP7Y zvQ)DA=GYSMS6b$I(dTH>tHZEv+uaSKoaaaH`jZ{aF`~Yfs`uxAw7*yMm5(3lZ|?`b z-n{?87heQ-X9*e4aa%YHM8s`l zjvP#SRLbfYXCdcS)_JehDwM%1Mw!DxTd}Ix^{C(w&bifA1VC6jbOOe@pZeI+oIGeB z-M&d#)Bmr-LF^Fh3kW)8P8g52vXWi4X zXlA8f)tdbxDAsW_4c=bju+rC&zu`Bn6X?&6~RQ+oX1Q`Vb!xA8WRU~3ud z@RXvF;;?8PHPwY}r4dE6#yQ!u;nb!sDMiRUb-d4e7Ef^lB~3=cikb*>G?7(w(d1^Y zCoKdadB@|ht6gn{JET6A)b{f%wa<1KlKEts_n)0y=sw+$cvHeGU<5S)EwY@5uF7_} zT)p<)l+$*xe*W?lJpYX24%+2q!1BrOn>DX;xDOqS|qgE8z$esTEyVpQ)v~CoEK&H9~KB{c5!_W}(&U zrRT$Nu|KSa@+LSoi%Kmp?=faE()wl^I7N_f$EDku(CB@XXR$c=q-z+^QXsh7ygD5$ zy0lq$Q&hVle!qlVd}W&Y-8A+(FkN_>riZJ4*8i}Xc9-8jJv^cGv(@7CvTiGj>d&{| zQqBxE+D`}6hFPh4J`g|yex$B)kFdKxD?ef@bFXl}Is>h-Lz-}HTY`LwqE^WQ)D_~o^4 z2ZrO5QQq#)Zl%obcHFWC*qsetA*l(N z>G~>V=2$U&j0ic26_v?wIN07g%rqOfF7r~`G)tBDrops|- zKP$3_ufM2Yer224OJ6?0k)TdMz)b1ed66ox;|3k>PF|%(KUUg8$q_`H!Lp!lKCuW$nac;t-$tGkXh@u|R z8b6ro))BQ%zU-vVv$val<$0%zvP=Z(ChM%4Qnvk@eU;QLmxwhDD8eAUtovz3Ue8~w z@>I<&$j#^Np{S-o!kABS!~AMoqtfW4+QSHFuI@E%o^RIL)8=X%{M9@xcirQa|M1~c z9TtnPYmU-xZ1HEq{q3-hH_xV@&L5Stck+B9sVAh@pTqBLbA)eP-A5RQph=enjZ&96 z+(UX*HUYR8K(&?U(CkdP1F453Sfn;HHR8G+K(QPsb!DQI-7P|yqS)q&YZ;A%T3aqv znTN&wI;Umwu@VApo(>dQZ7OAJji#4w?eNZr6t3&a;-Iz%+0@cFMdx3P+|`+B*^hOR zyRUCZyI4-=2x)aF*EzOj+FTz_yFPEOf4uzH&oMt+F#YM%xBAIj11o}skJB%5nRk7xYvVO2VUl-wOGd}pG(#p+d$T7n!3 z4-WU}(Q4G|kvxdg;H7NUx8VLHw~nw(1#?HOhSjz+0ORb9{Uro{wMq=x(26+Y0 zdY%!jF6~9%n}d;sMCt^vnrqAqv*J{D+j_O9aGTf(gs~e~7VGogyvg8aXO4bZUeNMV z>u`EOWU;8#T@6#bsA?<8We62*0g4@!STCIff{BY1Ioh}}mZ_;~w2Gh-F5F9Gjufq5mxCu}4=3_2c%dp_5AVyBC0!+2O(=3E`*U2M zoE47uULTepu#G2=rqj7cn`HCiqZ%LGTkE~?^vQzn>~m>c_CVSlE#zM))>$ zn_z)%brV50qj#fB0tyMc57*oIlcW0&VtN)At* zZzKFLr%t`OF6Izuy0_g!*jIB9F%k8}L;7&%v^5%}cyK<5rOOgQ0p=1H@X)?G03{CN3g?9O3BFMhc3dH7x_*N;}|N>0X8QRvNS#!tQil7BPsB{hQtj>jZG z7bRjW9!9K(eBfJ_-v&w?~h|Q+4zJm(xV6Ua<)qi{N7gG z<86Z+$C=~uF5a%Kr{=pIyIg0nRzw{gk#7r_JuRtu$}{(!D^HymBEou$ zLlu*JnAx3QbmzJ9#^IB3dwl=&=|*|;aHQ_)Y#AuOgKmzu z@o3QhPqU60ZsCOxL`f?%hJ$qi%oDML*g)D5tL5b~6;Z1o6EYZ38fxhrR%RoPsAo%g z%q>ePB-EzVm%aM7`a~UgoVM-eFuwvHrdou7@x>sm5n^tO1%*=>J#A^ge7r@yU-;sL zVy5@)^~j4DmuOG-{YmPQ<$FxDSR|j@dh_NU@8;qCbcKZO{;-t%AS_C@SN{@8=xspg zh|+q?*aQGUb>?WbxqG!TM_P9&4NTe`5hPtqXh~$T6lv!t4P@5#M6-~zJ-i_ac_cBb zV$a=_#(jw#x{^|(m|-I3MAbMWoiOv%FsMa6;oYHlutu~7E2dWkK`}=Jg1p`EkSOJs zFJmsNGvVuJwtwSc`_*`zO5yf^0^{^``oX=(_$v?d{kZ>lKHvVs{aLww(Hg&afjRNF zl=7sv*(`~>%y1<(qMBs{i;aD}vcwV5@eFBQU#$xWwQ|TyY^y{9s3SMZ(X=16QjJjV zdupMVSDQB!p556;T~rdugu-HINV2t2yg!R`yg5xpuXwfPNIvyGb+I4rzgX-zqGNEd zcbO@b%JgE2uKC7rZ|?j3w10nZ%ZFck?qWeLr}NYC=9fMjPyR(s`Slk!C#yGyJ~OW) z>*udAlii^d3BcQd$emYIq9s($Aot|r4PKRJ@Qo)>l*OU$w2Ns4<`4mKjx(BPUHzZGG%%0*DxVgIp(L8D8xu(KF)Tjw{t8uR4 z99$8RJ~+Hu8FvLR6>RNt&zn5E}IEmFQwTMEyGO9MjlP8_G)-u{MnRwyvalT{qr8|zyFPQ?Do)B zzl9k-ZV9a#391@XbrEAoRWRQ&*xcE9@{U!)DLh==6lLxPv!>lHSwz)HzDcOduZgy$ z>q6XHrnD7K*+-|8WWbecLPXgvMj5iVvK=@~ntA6_bmDDllC={FiU1BxUfx&o8&`_v z*Xy}8x_o&C?6(OET>oOY(!u+))4e`8tKZXUIlSn9`R8wbZ463De)e16LaQH_e#|Vl ziOoCN=2*cJ5d;QDBjGq$K)5z=?up>Vl1tYyXNuzrmXpUcCH9ztDfbbL+@AGMYO9ChvqiCKU&4IyAfmG994shachq<+Rc;w;WhRz=W+Y#rk2g#yQz-0`gT z_70#B7{LUQD#1y$h&SgssYWAqjq2$HO>zX0c+JsUBdXjNB6njVP#cF8`D7Z_j0oz+ zj4+_^A(3|bl2Sr=b)l37F-}H-FmWUb0~@!S1tBcth-;^1$T+iE1f!5G4z%3r{YQ)I zel^W8miJ=PD9bc$cUO`le*15~N!9iB`0Ij;(}eg9d@B@4cUav!$>v)LPh_eHQ|I8Y z7VXy4G~7xkB`|x>E$v=nS?ocq^A{6O%A#i(uo_u?tei+2bp)?=Fd7+fZ zg&9Xnn$34O+K@BFSR^2rucuaBMRHj5IeeN^7?h&z4?r_BV)yQHSS)h4UI@%ihM^E$9$0fpB(o*{4Jdf^e;qH2tm%+FWoH?6QNVlzIjS+Vg~lf2NKs>RGk6sVIkZ+ zG50dg8RqB)JCu$ol%-L?^V~EH1`4Lx;~2lC+}MH{ES(~5={6o=&LWUzOELadz)6~E zapIs7YNwJkMY{p{o6|Sv=da&OY&!2R?Pm3G%a8k$i?h@7kMpXQD@^L|{Pv%m?%u{B zD2_7#{l+YEd@8~MyK`l$t|ezSChia>nu*9o!iD#9rmE^rD&npG|H^ukUR#zdP3T*V znY-`3&pEe!O}vI^vZ+E8V#a_0BYqkagcy_r5+z`gLRC-*kw^@nRH&3iib}GitQ46f zl^K~4@iJb-Yi@J8z1`i+)><`i_r1@Jx}&>~PUB{7wwiCP^?g!V8UkP~Jk*qwo6ix^ zIGmJo5kM^fGfmzMWKaXKBT_UoCZcSd8U-YKl`7h(v2w_ovfBt!IA*#T66Nt_3ru{K zfAaq4KkmrwTm50_;a@(N7cb*SdflT-U;GkR|Ci=>H#a}H?*7MblWmgnOLdSgCt#VQ z3?Sf~ny`RHecVwyroNE^>?VqR5Rn+MI>=|h1c~RBM0yq34pNb=S!C5;4KdX-JkTe*Yi7dlnp@fAZ1HcYXKMpP~A~n;Ya<=Z16@U);L)7FY0?yQ)z* z8Ea(Joq4UUF*WLAB1Y!{Tij?l2Qxe&LJd9 zN`lmgRG6S-yRgQ6a$(inLb=VI`hH$Z_gCRU;2_`ezKbxe0CA@dl6$ zymxqzB0jJiNYN% zlw*Vz?#*Ft%_yi9%$DjbbW)-SJ5FsZwbWA-I`;YdX@{;G8*aMD>9rm6-1qlC{_S!# z{j{g_)pw^?r(eDJM*ri}o4wv`swI# z)TFznukKFom5>WAZAzTwCD^ba2g2ONMbKHmYrbnO&gRYuprf$COs z^T$ltjfHv2t>iExav`5QdkJkkDRu1D3>6gpv7pK9zJNygg)Igx{-MruqQ;W{R9-3bMPm^!(YXDRb_Yvs|W_PRcvW{onu zw^{fh%e5ZvQNErM9=^N&xPE)Oe*4L*pA&{34E-PcVOP&tz!OM7`a+ww*11pyho_E` zq(~7Qm|6%s_bDq4111-U5z>BW!!-4t#u%JV1s16q3JG#FZE(UXUjP6g07*naROGMv zwA0;y7r0WcO;!4eLm!fJpZDU_aZTb%x$AT8dQX)y&8;7ja~jM$%OOPY(=>{Dd;a2J za(hasH}nl3)3op9aXh{_ef`D!$?k`zzZeg9HP#M=B+o2$q;ja!2#>CelCetdom<8uz{2-at8n(NBP&AH$bFtFB5FZGc~sSXD?=^pwZu4% z4NBUIQn*{x>EuD6XmiBr?s3|2(mfMKlR9!h9*?D(iCRm$k4cAP>-n+sL_pWM!`urI zMO8$2X+n&vmzcgO!DLZd+$hF;2)OOW=jr7a{`Tkfv-Zu~>#G-kRlG@i^zjALjt}#H z^Q}*gfH2D%nCZgp&PT{(m>M0x;Yroh%xg-enpR6?)y3&vkgXL-sA43zLU;u z7uvam87eohxis1_51F%f%#WigqOnkOPdgE<4o2y;-X9C(nv#sAZ^!#NF`{0btS>v~ zrnII4lwPn<$7y~z9g<8trBO~g+RMP(W}YX!{Qmg&<{Hzd2a9iparo`&?u(CqmA?EX zJ?sAPe`oV;M05%GmSxf+u&{E9p6T_2hs9QGu7=x|4D;|&|JUQE&$@4Rhp%40ISiH_KfkH{ z5C6J+lAiz9f2=F+xwJ)`rR94W<`wKat1m6lLew+_xp!$IRH3op=w#w2BhDHmA)?$o zL97-jbMakQHTFJ>OXA#Aop`<{v%I%jl;`bU+x4V|A{NnvE%imjFqpO&POaCm z6WTf4g7~IJOmR&8Y4Mfc6EfZ(3O`v!aT_TUms zExn5_b*G15V9wJ1LM?W})(`mdz1UkU=T*#ZJdUuYv!yC`iflkcaLQlJAK)vjyEfqNTimWk_gl3NFoRK6kGkP~bWtSTl z_AJL96-k1~`&7Wh$cKrHc8`5TO8Jx7LiR6Wq<4Q_ro~uW<5?XKjNFiyYJEB_^P`< zyuSM3=Z7Y@fBNl<{MFx=QsN?|$D5uGftEmsf|#~6X$gfGTyHCX!%$!pQWyaJF z`$wlh*HYz*-TugaN{PVUstaN&i6Ft_f#%~>X3r94@S1Wo_Cxm4&_#knhurV8`vb}Q zn#`+dxWM>oM3`_v%@q6)GMmnlI69sW^UK$Fjcm6+)Kl8^@9I3XcPZ?3w|n;Nvll=9 z=yiInxcmMu`>Rin|Hc0o&g%*n;oU`F0HEmfGVb*R7FbC|?3nh&J6|N&Qua(>U_`ZC z0c)8^82;V3H>yhWzVW^?O7o`LW_C|G;G_|ruBSGTGlFTXqB6I>KM}X)LzX6CM1<_& zoYc^!xpNiJX^$X}M<~xB(m#&g>7%_bFX;8R*Hq%&yU%KVf#ZDIe+oH7i_`ROEd77- z?!@x_=coVWpKFn=6owD*fDIChv%J7c>9K%@=xVE7rQUo_N{!pRS2ya|eP4yc5>aK0 zu}AHXCBe{*k(pRb0WcdG(VP#D#nlS2S|V!!N>U1r(~EMfKq;A+(wD!!$0TSXQZ%qf zIE*Se5R)XMEN=Jp`6E$0Ua8@`cl{38c=ts|-1X1Qar-Q!S{oCx8%; zeu6Lh0=7z!+oC;5K=>>vdksor%u|;Q+z`=d9IKHW83q?(70sGdD0N+ClAJX&>|>aB zM%IQQ7FPQX9&KtjRyoWWRwEOrLb<&)P0x!_h>L2BM;4XPp4_3so>;P`e&CcT2}@!n z@7mod9^TM#KTm(d#=U%g^SGb8E)Dkwz5Voy_y74<Mvw!JZe!#y%c%AQSiONzEd;F)3N~ zx7r1wAg;%}Lqa1V>dVa9 z_^N;O1O`ZD;+&d|*kwYwOQM7jw;&=VK_m4_VHplli zD#F@#GLk;Dy;UYoM%kknchXMUX37zxu&3;$iJ<|J)oN6xh=j_C9)r~5aUgQ@B7B;| z+it)+;v$`t{(W>^ZIaw?_HUdp`*ZeGUhCJwR<_*>9hNvTz$p-%a^bI^?&aP z(T!WeyRCkmj1MARWQt-T`if;7WNDNDgn)Fq%1nZ&Y|w<$ z4V*Mf%1%;~84(Mla(5p?SeT4S;qcK&Yhx}S#a+fcXzPP4x_pa6DHhs^dwr0d)l7kt zWtArBJ7Mf&I_`T+A=4Bg7|oB@)xCT6a6tF`jz6Bp{FXj?@aOMdrMutz8O3;5^S0& zVs{0r`;(_my*3sT^`7knbyB7nE6CHn5qkreIF8SB7?X)xCyO?lWZHSvWL=xOn_3TD znL0?R*yVI;&WCplE&0Vaj@^%T^y=;O$;Z`R&vf-i|5MiaqAs{af{V8&T3BW0HTJu- z39K^200)KeF(p`p3RIy^lsvj{SAer36%r+KRwo~;$6RN3EL=>@r@1fpFSLedCr^8a z$c{O3Eg*`G|h}=&L!ud-Xea`LP^->-c-$_hAbNc)|Tvak=Fs4~xD4ZJcHop>ZZa;tg@hq7YH>aMm!jaGwF`1KyS)?cYD|Z_>@rZ};5w zdllv{>Q{0<|0Ml`f2qtK=NOPyQ1nUm)9ED}UV$PQAfSbLi>Sieku?A^LX!iL!$`XV zv54NWgA*UZDF98m*1<=TzLeg{h11ws-=+cK)jSye=4#f9$Lt( z*>nPlewu&QzrOj$ z|BPypx~^>1THhd%SOwPBE3mmq+Q!N^$Or+XsSXq(T**AfstM>wcvaUGrqG+34V;p4 z1ZseJ(%i#tizl_ie1b(ArJJi3F;zo!gN{5@z@14ou~P~zmM6~Ah8l~}IGDD3r#ynF zm$G~GESQ|#4|YGP^morN?s~i)$?SN`wS4*V>uVma|LlLL?s$Us(`8=pyuNOe*x1-F z)}5l|(@MRnbJs_Uj!V)?m2!;jl$v!KEMS^z+>LKJN5Nz{PI8mEP27TtAec*|gdWyu zFq0i*=0?Ue5jvd`5QQv=ILyeq-2u_OrRfmOibbNR6qI%cpQaFLbm}m`cXF&qf}8m? z=V^T3)rbML|DB&l-GA=??XR!qv%n^8{U7JGFDGHu7$MLlQ;M@9;Sw!KS7gq~sVRE| zYc%O9xf#K{VLh@Qr#_<$I(EA@N(jqsX?d@Yw_kYYZ671$?#-upnmwIf=AT_%|M+)* z|9_oP8$isoB?2C?&_U7`3R5q`90c-3fSqy431|xtm+x-Wu>)u@M(f~_5(al`q0tO6 zyQJ_IMh~y%Iix2`@LlfN8ugM`U5D0?Z>qc~o+=tsk@=Ak9FO5+busVu&On)>1sGil z+NB$2i+!5SwfZ>Qc!J|E~bvaeLI&x}vy|ue%X1Ghj zxweXze0(I!Db?9DyG2QyA}xfB#1`2x7P920S0aKXF~2*@x4plI&Q3|nYkdDC7x%dZ5rs>FyyNjV|Jg1B=7 zT{V^pD107hHfFmT+?@hIyYG62E8IkgA4t(iF*Tu>jHJtMOAYP3Tf4zj$Vd&`{GKN%z=$!aSM*tG}%u{U>0GHiZ^WgP@qnBa91lPI%Gi@r=TP(1J zi3m`mtmNJ|r_N_EIjlx-CJ1MVB#CQs>a3(G21?QTS_k0pfa~O5`(bV(DxOGzd|fpr zvl>z1$Rgx}1W|Ajgd~@~hDLKyYJ-J=bI%Y-A;VRRG~dzDbspngeO{!u=IPJ>#JsNE z|JxkE7U`~|0n8gBhFX$13-V(wKx8G_O zF9kzFKu<2oP1qY0jezE`+NYC6D=4VNJR*3=DJ6h=&axvK^vWy`hG+p9aD<0Tgw7LD zaOHu9VjzvMtZp&4Zpc4}K-X0?=d}@W& zO0d(&d|R)&2I7b5Dq7oFvaEmkC#4G~!V?f+n}@nkhCP{^>Qz$}T%h2kgw9y0x3>-z z1^@$Ca5AR65J;uUjkvirQ4UCxbTo6-2uHOL7zhnGUEh~LhLlPgphPEC0a29zrH0xx zTH;nc*er583bCGoJJXiYx{eK2_G%A;NHmA;a!bFu(nI2UiV*se=KBBsh0AFrsarYp z!?19NUBK@(@LhsDIZRF)tke|IrunbNH<9%3N#Bek7#2da=3XLjfET@?#)=}ah#+ey6Mq^y@jB5#SxB> znfg?!G+^)4&FL5aN>^x$FKPXj0MKvtK@bI~m&3F+iN(2_pIcBuv>+p8 zVq#JcqR?bam^0N=^gB0rgh^0{^B^)#k8Aj2%^JG>*d8KRVFDW2=*c~-nrGe zf`m;vn&#da#?=_Eu32kL>=D+pN!Pho>O(#~)tC5-zlgrB3%HcJ;~WXBsSa&Q;~-Ek zhuP09!sp;;^$8!GfMqX<6h0N{2}-rID#XE|Bn4^#X0wQ}UZ}vrG0%#Ds4-ie4Pi{? zEJsO*Xw~f$&6NU}PnKwkT#Yoq5smnsbf<2_U<)t8?!hV(IPXljE7Vc#=F^B5_~XAU zovi{gOy@(t8uO=!$JP-`gii8lYl=9xP!pfG48hE6c!=e{AP_%jClqGE1hL4hl7ff> z9F;mpX7S*OjGGiZR?CXTxMUUA=sM`lFu@HJU~6V(8CcH?P zQOm0C%obWWLA(YPVg`o`)tP&4Ox2j3cI?%|vo})KIJt^iD2EY`Zqy$Vmp!L^)j9w5 zpS?L)EIh(Xn7hu!T+~C(i0{HAwXir}vcWAlIFD9d;;79k2A#8N^^T4+CxBT6l!COS z1dqZ|ymK&!7`eI)-our`#ThPAz!YHhL?|PtfV*gdGtHj7qHNlxI9q>jvP%3OtjsW^@>Eqa&6YTLQA4> z8My`pyK@^wB;3KoDFZ=WA!?o!?#&VGA#UNUqnZXXac$umttS`cFc2YONTqZg5jhd< zy8*1mQk!O~f>5KV@DMcfB$e2J-M}Q2#I>{2U;RzfvgqW{`QWZtP^@aIXKafXF7e$+8GBtDAu}B{KjGZT9CPg3%`Pe= z5mRJ}j;Ze)2If+bjLA!L=ZIN_8cQ?~s1|1yqd^KMX6YouDU>4Ho1gs}LQevDxSWw~kAVkEuE^r2g>C$0EXI5^Ib4;8T_UI+}G$(#s7(>1*{ZsW1gZ}UiN1uN`yjxgmH|1Bz^|>(8*?bIJvCO9Ck7~41>fj7+}(s$Ht-|xZ~ddKmx#_x z@a(q_*Vma4ji3?dpYn~=-OsaX5gV8L`VBkh>mzTcQwmpy&A`nizjee6? zp?3f7-jiRlyXE=f=|`OJ!6n8$&xPPjqH-Q1T&8M2w1^imuJgVuy~ZF4H`x1f)g^|r zT@Dc~D?GPe`UR3c2VE{~O^AcoDJUw~065nt`1LAhw@f+2vY{RwSHZoZ{PFH;=fGn=`Tzx(t!0jA@maLix z!3YZ?Uv#M`+(H&Gml`9;nHIf+MV$@~r+~wW9f?l!=`J{U`y1zz`;;SOeF5i9q9?5Y zn=^ZUcWxlUHdY_!oxG5cuU$iNeyQtzY)QmTFOr(M0|l0#E`V^BFc)e7$PE^p?f&fsV`C!*-X$8+np_gGcU>4Gwz56%;#x()GQAvs^HMt}$$+h8*+ zA{tS+xm(2X`$xX?wVm4_p5QLRwGDT`^edFf+)5&qKm=bGK4s9MVzb5F*M?-c3qz> zh#6oaexlW~TE$pcyL>Yhv>1#aM6fL*4GfPUhf&aSJz&O&C`%)6eXO{!!QwXy|9Fc1 zY{Uqgn$iXXT$&|xA&yvlW1I)bX`8N<%RC)qixNKcH3)!af{Txj`ymU$1Bj*q3XyQI zFLs54T%2J@Z)<^vi09{DqG_WI!4dEOqF z=rTMUfS!NTW*=9(eIBLU_AhW5I@}IfJe|9y044Y>cD-cAiJ1hbLD7O+B9kDt;%UW| zS{GOpJ_W6@dUFd876RNVrJxEdroqhvqm4B&qb-lTIkfnVIe?8Xar02LT89gP($g$K zFIIUAawtBG1<%%d^>0t2!8PPP?@xF@a5|+Sry%l)g2W?2y4Hf5X^@h)U<$WHVNL;a zHlkXD1BfuD8l*(-F5D_*X#ul(3KxmG#kUtis1L>fF6Mg+1fTBL6YOs}@46lo*#>6f zoJYi&SO<`mcVm_8Ry_d8Nd!8@y6BV7OK3EU` z{M$$1%*nW2xd=iyQ0po2pddlGsnjsf(5MCt1JwX5q7yfea}W`8BO)y7f3-w$h%>|J z^4{05ifC1{TLb&^D%KIcja zjm7n@&R=2)etCJx>p}=vVzHnt>^ooU^>>k(;z{~*N!*^~jB#!qizhg1(1$3}dE4WB z>tgxS3_oE6?}SAJ(f|;<1zTluaG*9Lj^(Ym1;Sdb8*XuSbx+Lm6Rh_#|MdY{wTUHu zX!{B0i@L2$>*cavm?qDzezhjpk~+lG2;oCY5;}v;mwx0R3K&@6V}L1lsN94IMoPZL z5`kzz<_K$!a3cfD6D7kZPZV2Db9v?s2a6{K;PrOU_B6CT*^}Kspy^9p>)~W;bhut; z$Chx|;w3NMVv7zpw`1qo`)vcx?dSl(AY38h2C*2z0qUF?N`h+1+}2v8i3s65;=ca$ zmf+gCLbb(u7e9>ipMAQJvjKRL-fuZMBnWo%ZvgO+g^c0gh9Lj|002ovPDHLkV1neX B%FzG- diff --git a/examples/nexys_a7/video_sprite/util/img_to_mem.py b/examples/nexys_a7/video_sprite/util/img_to_mem.py deleted file mode 100644 index a5edb3e..0000000 --- a/examples/nexys_a7/video_sprite/util/img_to_mem.py +++ /dev/null @@ -1,48 +0,0 @@ -import sys -from PIL import Image, ImageOps - -if __name__ == "__main__": - if len(sys.argv) < 2: - print("Usage: {0} ".format(sys.argv[0])) - - else: - input_fname = sys.argv[1] - image_in = Image.open(input_fname) - image_in = image_in.convert('RGB') - - num_colors_out = 256 - w, h = image_in.size - print(f'Reducing {input_fname} of size {w}x{h} to {num_colors_out} unique colors.') - - # Take input image and divide each color channel's value by 16 - preview = image_in.copy() - image_out = image_in.copy() - - for y in range(h): - for x in range(w): - r, g, b = image_in.getpixel((x, y)) - image_out.putpixel((x,y), (r//16, g//16, b//16)) - preview.putpixel((x,y), ((r//16)*16, (g//16)*16, (b//16)*16) ) - - # Save the image preview - preview.save('preview.png') - print('Output image preview saved at preview.png') - - # Palettize the image - image_out = image_out.convert(mode='P', palette=1, colors=num_colors_out) - palette = image_out.getpalette() - rgb_tuples = [tuple(palette[i:i+3]) for i in range(0, 3*num_colors_out, 3)] - - # Save pallete - with open(f'palette.mem', 'w') as f: - f.write( '\n'.join( [f'{r:01x}{g:01x}{b:01x}' for r, g, b in rgb_tuples] ) ) - - print('Output image pallete saved at palette.mem') - - # Save the image itself - with open(f'image.mem', 'w') as f: - for y in range(h): - for x in range(w): - f.write(f'{image_out.getpixel((x,y)):02x}\n') - - print('Output image saved at image.mem') \ No newline at end of file diff --git a/examples/nexys_a7/video_sprite/xdc/top_level.xdc b/examples/nexys_a7/video_sprite/xdc/top_level.xdc index a6c3e9e..9ca45f2 100644 --- a/examples/nexys_a7/video_sprite/xdc/top_level.xdc +++ b/examples/nexys_a7/video_sprite/xdc/top_level.xdc @@ -18,22 +18,22 @@ create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports {cl ##Switches -set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { sw[0] }]; #IO_L24N_T3_RS0_15 Sch=sw[0] -set_property -dict { PACKAGE_PIN L16 IOSTANDARD LVCMOS33 } [get_ports { sw[1] }]; #IO_L3N_T0_DQS_EMCCLK_14 Sch=sw[1] -set_property -dict { PACKAGE_PIN M13 IOSTANDARD LVCMOS33 } [get_ports { sw[2] }]; #IO_L6N_T0_D08_VREF_14 Sch=sw[2] -set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33 } [get_ports { sw[3] }]; #IO_L13N_T2_MRCC_14 Sch=sw[3] -set_property -dict { PACKAGE_PIN R17 IOSTANDARD LVCMOS33 } [get_ports { sw[4] }]; #IO_L12N_T1_MRCC_14 Sch=sw[4] -set_property -dict { PACKAGE_PIN T18 IOSTANDARD LVCMOS33 } [get_ports { sw[5] }]; #IO_L7N_T1_D10_14 Sch=sw[5] -set_property -dict { PACKAGE_PIN U18 IOSTANDARD LVCMOS33 } [get_ports { sw[6] }]; #IO_L17N_T2_A13_D29_14 Sch=sw[6] -set_property -dict { PACKAGE_PIN R13 IOSTANDARD LVCMOS33 } [get_ports { sw[7] }]; #IO_L5N_T0_D07_14 Sch=sw[7] -set_property -dict { PACKAGE_PIN T8 IOSTANDARD LVCMOS18 } [get_ports { sw[8] }]; #IO_L24N_T3_34 Sch=sw[8] -set_property -dict { PACKAGE_PIN U8 IOSTANDARD LVCMOS18 } [get_ports { sw[9] }]; #IO_25_34 Sch=sw[9] -set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33 } [get_ports { sw[10] }]; #IO_L15P_T2_DQS_RDWR_B_14 Sch=sw[10] -set_property -dict { PACKAGE_PIN T13 IOSTANDARD LVCMOS33 } [get_ports { sw[11] }]; #IO_L23P_T3_A03_D19_14 Sch=sw[11] -set_property -dict { PACKAGE_PIN H6 IOSTANDARD LVCMOS33 } [get_ports { sw[12] }]; #IO_L24P_T3_35 Sch=sw[12] -set_property -dict { PACKAGE_PIN U12 IOSTANDARD LVCMOS33 } [get_ports { sw[13] }]; #IO_L20P_T3_A08_D24_14 Sch=sw[13] -set_property -dict { PACKAGE_PIN U11 IOSTANDARD LVCMOS33 } [get_ports { sw[14] }]; #IO_L19N_T3_A09_D25_VREF_14 Sch=sw[14] -set_property -dict { PACKAGE_PIN V10 IOSTANDARD LVCMOS33 } [get_ports { sw[15] }]; #IO_L21P_T3_DQS_14 Sch=sw[15] +# set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { sw[0] }]; #IO_L24N_T3_RS0_15 Sch=sw[0] +# set_property -dict { PACKAGE_PIN L16 IOSTANDARD LVCMOS33 } [get_ports { sw[1] }]; #IO_L3N_T0_DQS_EMCCLK_14 Sch=sw[1] +# set_property -dict { PACKAGE_PIN M13 IOSTANDARD LVCMOS33 } [get_ports { sw[2] }]; #IO_L6N_T0_D08_VREF_14 Sch=sw[2] +# set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33 } [get_ports { sw[3] }]; #IO_L13N_T2_MRCC_14 Sch=sw[3] +# set_property -dict { PACKAGE_PIN R17 IOSTANDARD LVCMOS33 } [get_ports { sw[4] }]; #IO_L12N_T1_MRCC_14 Sch=sw[4] +# set_property -dict { PACKAGE_PIN T18 IOSTANDARD LVCMOS33 } [get_ports { sw[5] }]; #IO_L7N_T1_D10_14 Sch=sw[5] +# set_property -dict { PACKAGE_PIN U18 IOSTANDARD LVCMOS33 } [get_ports { sw[6] }]; #IO_L17N_T2_A13_D29_14 Sch=sw[6] +# set_property -dict { PACKAGE_PIN R13 IOSTANDARD LVCMOS33 } [get_ports { sw[7] }]; #IO_L5N_T0_D07_14 Sch=sw[7] +# set_property -dict { PACKAGE_PIN T8 IOSTANDARD LVCMOS18 } [get_ports { sw[8] }]; #IO_L24N_T3_34 Sch=sw[8] +# set_property -dict { PACKAGE_PIN U8 IOSTANDARD LVCMOS18 } [get_ports { sw[9] }]; #IO_25_34 Sch=sw[9] +# set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33 } [get_ports { sw[10] }]; #IO_L15P_T2_DQS_RDWR_B_14 Sch=sw[10] +# set_property -dict { PACKAGE_PIN T13 IOSTANDARD LVCMOS33 } [get_ports { sw[11] }]; #IO_L23P_T3_A03_D19_14 Sch=sw[11] +# set_property -dict { PACKAGE_PIN H6 IOSTANDARD LVCMOS33 } [get_ports { sw[12] }]; #IO_L24P_T3_35 Sch=sw[12] +# set_property -dict { PACKAGE_PIN U12 IOSTANDARD LVCMOS33 } [get_ports { sw[13] }]; #IO_L20P_T3_A08_D24_14 Sch=sw[13] +# set_property -dict { PACKAGE_PIN U11 IOSTANDARD LVCMOS33 } [get_ports { sw[14] }]; #IO_L19N_T3_A09_D25_VREF_14 Sch=sw[14] +# set_property -dict { PACKAGE_PIN V10 IOSTANDARD LVCMOS33 } [get_ports { sw[15] }]; #IO_L21P_T3_DQS_14 Sch=sw[15] ## LEDs @@ -65,35 +65,35 @@ set_property -dict { PACKAGE_PIN V11 IOSTANDARD LVCMOS33 } [get_ports { led[15 ##7 segment display -# set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { ca }]; #IO_L24N_T3_A00_D16_14 Sch=ca -# set_property -dict { PACKAGE_PIN R10 IOSTANDARD LVCMOS33 } [get_ports { cb }]; #IO_25_14 Sch=cb -# set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports { cc }]; #IO_25_15 Sch=cc -# set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33 } [get_ports { cd }]; #IO_L17P_T2_A26_15 Sch=cd -# set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { ce }]; #IO_L13P_T2_MRCC_14 Sch=ce -# set_property -dict { PACKAGE_PIN T11 IOSTANDARD LVCMOS33 } [get_ports { cf }]; #IO_L19P_T3_A10_D26_14 Sch=cf -# set_property -dict { PACKAGE_PIN L18 IOSTANDARD LVCMOS33 } [get_ports { cg }]; #IO_L4P_T0_D04_14 Sch=cg +set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { ca }]; #IO_L24N_T3_A00_D16_14 Sch=ca +set_property -dict { PACKAGE_PIN R10 IOSTANDARD LVCMOS33 } [get_ports { cb }]; #IO_25_14 Sch=cb +set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports { cc }]; #IO_25_15 Sch=cc +set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33 } [get_ports { cd }]; #IO_L17P_T2_A26_15 Sch=cd +set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { ce }]; #IO_L13P_T2_MRCC_14 Sch=ce +set_property -dict { PACKAGE_PIN T11 IOSTANDARD LVCMOS33 } [get_ports { cf }]; #IO_L19P_T3_A10_D26_14 Sch=cf +set_property -dict { PACKAGE_PIN L18 IOSTANDARD LVCMOS33 } [get_ports { cg }]; #IO_L4P_T0_D04_14 Sch=cg #set_property -dict { PACKAGE_PIN H15 IOSTANDARD LVCMOS33 } [get_ports { dp }]; #IO_L19N_T3_A21_VREF_15 Sch=dp -# set_property -dict { PACKAGE_PIN J17 IOSTANDARD LVCMOS33 } [get_ports { an[0] }]; #IO_L23P_T3_FOE_B_15 Sch=an[0] -# set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports { an[1] }]; #IO_L23N_T3_FWE_B_15 Sch=an[1] -# set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { an[2] }]; #IO_L24P_T3_A01_D17_14 Sch=an[2] -# set_property -dict { PACKAGE_PIN J14 IOSTANDARD LVCMOS33 } [get_ports { an[3] }]; #IO_L19P_T3_A22_15 Sch=an[3] -# set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33 } [get_ports { an[4] }]; #IO_L8N_T1_D12_14 Sch=an[4] -# set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33 } [get_ports { an[5] }]; #IO_L14P_T2_SRCC_14 Sch=an[5] -# set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { an[6] }]; #IO_L23P_T3_35 Sch=an[6] -# set_property -dict { PACKAGE_PIN U13 IOSTANDARD LVCMOS33 } [get_ports { an[7] }]; #IO_L23N_T3_A02_D18_14 Sch=an[7] +set_property -dict { PACKAGE_PIN J17 IOSTANDARD LVCMOS33 } [get_ports { an[0] }]; #IO_L23P_T3_FOE_B_15 Sch=an[0] +set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports { an[1] }]; #IO_L23N_T3_FWE_B_15 Sch=an[1] +set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { an[2] }]; #IO_L24P_T3_A01_D17_14 Sch=an[2] +set_property -dict { PACKAGE_PIN J14 IOSTANDARD LVCMOS33 } [get_ports { an[3] }]; #IO_L19P_T3_A22_15 Sch=an[3] +set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33 } [get_ports { an[4] }]; #IO_L8N_T1_D12_14 Sch=an[4] +set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33 } [get_ports { an[5] }]; #IO_L14P_T2_SRCC_14 Sch=an[5] +set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { an[6] }]; #IO_L23P_T3_35 Sch=an[6] +set_property -dict { PACKAGE_PIN U13 IOSTANDARD LVCMOS33 } [get_ports { an[7] }]; #IO_L23N_T3_A02_D18_14 Sch=an[7] ##Buttons -#set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports { cpu_resetn }]; #IO_L3P_T0_DQS_AD1P_15 Sch=cpu_resetn +# set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports { cpu_resetn }]; #IO_L3P_T0_DQS_AD1P_15 Sch=cpu_resetn set_property -dict { PACKAGE_PIN N17 IOSTANDARD LVCMOS33 } [get_ports { btnc }]; #IO_L9P_T1_DQS_14 Sch=btnc -set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports { btnu }]; #IO_L4N_T0_D05_14 Sch=btnu -#set_property -dict { PACKAGE_PIN P17 IOSTANDARD LVCMOS33 } [get_ports { btnl }]; #IO_L12P_T1_MRCC_14 Sch=btnl -#set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33 } [get_ports { btnr }]; #IO_L10N_T1_D15_14 Sch=btnr -set_property -dict { PACKAGE_PIN P18 IOSTANDARD LVCMOS33 } [get_ports { btnd }]; #IO_L9N_T1_DQS_D13_14 Sch=btnd +# set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports { btnu }]; #IO_L4N_T0_D05_14 Sch=btnu +# set_property -dict { PACKAGE_PIN P17 IOSTANDARD LVCMOS33 } [get_ports { btnl }]; #IO_L12P_T1_MRCC_14 Sch=btnl +# set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33 } [get_ports { btnr }]; #IO_L10N_T1_D15_14 Sch=btnr +# set_property -dict { PACKAGE_PIN P18 IOSTANDARD LVCMOS33 } [get_ports { btnd }]; #IO_L9N_T1_DQS_D13_14 Sch=btnd ##Pmod Headers @@ -223,8 +223,8 @@ set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports { vga_vs ##USB-RS232 Interface -#set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports { uart_txd_in }]; #IO_L7P_T1_AD6P_35 Sch=uart_txd_in -#set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports { uart_rxd_out }]; #IO_L11N_T1_SRCC_35 Sch=uart_rxd_out +set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports { uart_txd_in }]; #IO_L7P_T1_AD6P_35 Sch=uart_txd_in +set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports { uart_rxd_out }]; #IO_L11N_T1_SRCC_35 Sch=uart_rxd_out #set_property -dict { PACKAGE_PIN D3 IOSTANDARD LVCMOS33 } [get_ports { uart_cts }]; #IO_L12N_T1_MRCC_35 Sch=uart_cts #set_property -dict { PACKAGE_PIN E5 IOSTANDARD LVCMOS33 } [get_ports { uart_rts }]; #IO_L5N_T0_AD13N_35 Sch=uart_rts diff --git a/src/manta/__init__.py b/src/manta/__init__.py index 284c7e9..0000754 100644 --- a/src/manta/__init__.py +++ b/src/manta/__init__.py @@ -677,15 +677,13 @@ class BlockMemoryCore: def hdl_inst(self): inst = VerilogManipulator("block_memory_inst_tmpl.v") - # inst.sub(self.name, "/* INST_NAME */") - # inst.sub(self.depth, "/* DEPTH */") - # inst.sub(self.width, "/* WIDTH */") + inst.sub(self.name, "/* INST_NAME */") + inst.sub(self.depth, "/* DEPTH */") + inst.sub(self.width, "/* WIDTH */") return inst.get_hdl() def hdl_def(self): - bram_core = VerilogManipulator("block_memory_tmpl.v") - bram_core.sub(self.name, "/* NAME */") - return bram_core.get_hdl() + return VerilogManipulator("block_memory_tmpl.v").get_hdl() def hdl_top_level_ports(self): @@ -693,11 +691,11 @@ class BlockMemoryCore: return "" tlp = [] - tlp.append(f"input wire {self.name}_clk,") + tlp.append(f"input wire {self.name}_clk") tlp.append(f"input wire [{self.addr_width-1}:0] {self.name}_addr") - tlp.append(f"input wire [{self.addr_width-1}:0] {self.name}_din") - tlp.append(f"input wire [{self.addr_width-1}:0] {self.name}_dout") - tlp.append(f"input wire [{self.addr_width-1}:0] {self.name}_we") + tlp.append(f"input wire [{self.width-1}:0] {self.name}_din") + tlp.append(f"output reg [{self.width-1}:0] {self.name}_dout") + tlp.append(f"input wire {self.name}_we") return tlp def read(self, addr): @@ -786,11 +784,11 @@ class Manta: src = core_pair[0].name dst = core_pair[1].name - hdl = f"\treg [15:0] {src}_{dst}_addr;\n" - hdl += f"\treg [15:0] {src}_{dst}_wdata;\n" - hdl += f"\treg [15:0] {src}_{dst}_rdata;\n" - hdl += f"\treg {src}_{dst}_rw;\n" - hdl += f"\treg {src}_{dst}_valid;\n" + hdl = f"reg [15:0] {src}_{dst}_addr;\n" + hdl += f"reg [15:0] {src}_{dst}_wdata;\n" + hdl += f"reg [15:0] {src}_{dst}_rdata;\n" + hdl += f"reg {src}_{dst}_rw;\n" + hdl += f"reg {src}_{dst}_valid;\n" conns.append(hdl) return conns @@ -821,7 +819,7 @@ class Manta: # connect output if (i < len(self.cores)-1): - dst_name = self.cores[i+1] + dst_name = self.cores[i+1].name hdl = hdl.replace(".addr_o()", f".addr_o({core.name}_{dst_name}_addr)") hdl = hdl.replace(".wdata_o()", f".wdata_o({core.name}_{dst_name}_wdata)") @@ -920,10 +918,10 @@ class Manta: # connect interface_rx to core_chain interface_rx_conn= f""" - reg [15:0] brx_{self.cores[0].name}_addr; - reg [15:0] brx_{self.cores[0].name}_wdata; - reg brx_{self.cores[0].name}_rw; - reg brx_{self.cores[0].name}_valid;\n""" +reg [15:0] brx_{self.cores[0].name}_addr; +reg [15:0] brx_{self.cores[0].name}_wdata; +reg brx_{self.cores[0].name}_rw; +reg brx_{self.cores[0].name}_valid;\n""" return interface_rx_inst + interface_rx_conn @@ -931,9 +929,9 @@ class Manta: # connect core_chain to interface_tx interface_tx_conn = f""" - reg [15:0] {self.cores[-1].name}_btx_rdata; - reg {self.cores[-1].name}_btx_rw; - reg {self.cores[-1].name}_btx_valid;\n""" +reg [15:0] {self.cores[-1].name}_btx_rdata; +reg {self.cores[-1].name}_btx_rw; +reg {self.cores[-1].name}_btx_valid;\n""" # instantiate interface_tx, substitute in register names interface_tx_inst = self.interface.tx_hdl_inst() diff --git a/src/manta/block_memory_inst_tmpl.v b/src/manta/block_memory_inst_tmpl.v new file mode 100644 index 0000000..b64baae --- /dev/null +++ b/src/manta/block_memory_inst_tmpl.v @@ -0,0 +1,23 @@ +block_memory #( + .WIDTH(/* WIDTH */), + .DEPTH(/* DEPTH */) +) /* INST_NAME */ ( + .clk(clk), + + .addr_i(), + .wdata_i(), + .rdata_i(), + .rw_i(), + .valid_i(), + + .user_clk(/* INST_NAME */_clk), + .user_addr(/* INST_NAME */_addr), + .user_din(/* INST_NAME */_din), + .user_dout(/* INST_NAME */_dout), + .user_we(/* INST_NAME */_we), + + .addr_o(), + .wdata_o(), + .rdata_o(), + .rw_o(), + .valid_o()); \ No newline at end of file diff --git a/src/manta/block_memory_tmpl.v b/src/manta/block_memory_tmpl.v index 31ae165..e0d5814 100644 --- a/src/manta/block_memory_tmpl.v +++ b/src/manta/block_memory_tmpl.v @@ -1,7 +1,7 @@ `default_nettype none `timescale 1ns/1ps -module /* NAME */ ( +module block_memory ( input wire clk, // input port @@ -21,18 +21,18 @@ module /* NAME */ ( // BRAM itself input wire user_clk, input wire [ADDR_WIDTH-1:0] user_addr, - input wire [BRAM_WIDTH-1:0] user_din, - output reg [BRAM_WIDTH-1:0] user_dout, + input wire [WIDTH-1:0] user_din, + output reg [WIDTH-1:0] user_dout, input wire user_we); parameter BASE_ADDR = 0; - parameter BRAM_WIDTH = 0; - parameter BRAM_DEPTH = 0; - localparam ADDR_WIDTH = $clog2(BRAM_DEPTH); + parameter WIDTH = 0; + parameter DEPTH = 0; + localparam ADDR_WIDTH = $clog2(DEPTH); - // ugly typecasting, but just computes ceil(BRAM_WIDTH / 16) - localparam N_BRAMS = int'($ceil(real'(BRAM_WIDTH) / 16.0)); - localparam MAX_ADDR = BASE_ADDR + (BRAM_DEPTH * N_BRAMS); + // ugly typecasting, but just computes ceil(WIDTH / 16) + localparam N_BRAMS = int'($ceil(real'(WIDTH) / 16.0)); + localparam MAX_ADDR = BASE_ADDR + (DEPTH * N_BRAMS); // Port A of BRAMs reg [N_BRAMS-1:0][ADDR_WIDTH-1:0] addra = 0; @@ -48,14 +48,14 @@ module /* NAME */ ( // kind of a hack to part select from a 2d array that's been flattened to 1d reg [(N_BRAMS*16)-1:0] doutb_flattened; assign doutb_flattened = doutb; - assign user_dout = doutb_flattened[BRAM_WIDTH-1:0]; + assign user_dout = doutb_flattened[WIDTH-1:0]; // Pipelining - reg [3:0][15:0] addr_pipe = 0; - reg [3:0][15:0] wdata_pipe = 0; - reg [3:0][15:0] rdata_pipe = 0; - reg [3:0] valid_pipe = 0; - reg [3:0] rw_pipe = 0; + reg [2:0][15:0] addr_pipe = 0; + reg [2:0][15:0] wdata_pipe = 0; + reg [2:0][15:0] rdata_pipe = 0; + reg [2:0] valid_pipe = 0; + reg [2:0] rw_pipe = 0; always @(posedge clk) begin addr_pipe[0] <= addr_i; @@ -70,7 +70,7 @@ module /* NAME */ ( valid_o <= valid_pipe[2]; rw_o <= rw_pipe[2]; - for(int i=1; i<4; i=i+1) begin + for(int i=1; i<3; i=i+1) begin addr_pipe[i] <= addr_pipe[i-1]; wdata_pipe[i] <= wdata_pipe[i-1]; rdata_pipe[i] <= rdata_pipe[i-1]; @@ -98,7 +98,7 @@ module /* NAME */ ( for(i=0; i