diff --git a/examples/nexys_a7/video_sprite/util/buff_doge.png b/examples/nexys_a7/video_sprite/buff_doge.png similarity index 100% rename from examples/nexys_a7/video_sprite/util/buff_doge.png rename to examples/nexys_a7/video_sprite/buff_doge.png diff --git a/examples/nexys_a7/video_sprite/data/image.mem b/examples/nexys_a7/video_sprite/data/image.mem deleted file mode 100644 index 2442390..0000000 --- a/examples/nexys_a7/video_sprite/data/image.mem +++ /dev/null @@ -1,65536 +0,0 @@ -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -37 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -31 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -25 -25 -25 -24 -24 -24 -24 -25 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -25 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -20 -1f -1b -1b -1f -24 -24 -25 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -24 -24 -24 -1f -1f -1f -1f -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1a -1a -1a -1a -1b -24 -24 -24 -24 -24 -24 -24 -25 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -24 -24 -24 -1b -1b -1a -1a -1a -1a -1a -1a -18 -18 -18 -18 -18 -18 -15 -15 -15 -14 -14 -18 -1a -1b -1f -24 -24 -24 -24 -24 -24 -24 -24 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -24 -24 -24 -1b -1a -18 -18 -18 -18 -15 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -18 -18 -1a -1a -1b -1b -1f -20 -20 -24 -24 -24 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -24 -24 -1b -1a -18 -18 -1a -1a -18 -18 -17 -17 -17 -14 -14 -14 -14 -14 -14 -14 -14 -14 -17 -18 -18 -1a -1a -1a -1b -1b -1b -1b -1b -1f -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -24 -24 -24 -1b -1a -18 -18 -1a -1a -1a -1a -1a -18 -17 -17 -17 -14 -14 -14 -14 -17 -14 -14 -17 -18 -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1b -1f -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -24 -24 -20 -1b -1a -18 -18 -1a -1a -1a -1a -1a -1a -18 -17 -17 -14 -14 -14 -17 -17 -14 -17 -17 -17 -18 -1a -1a -1a -1a -1a -1a -1a -1a -1a -18 -1a -1b -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -20 -20 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -20 -1b -1a -18 -18 -1a -1a -1a -1a -1e -1a -1a -18 -17 -17 -17 -17 -17 -14 -17 -14 -17 -17 -17 -18 -18 -1a -1a -1a -1a -1a -1a -1a -1a -18 -18 -1b -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -20 -1f -1f -1f -1f -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -24 -24 -20 -20 -24 -20 -20 -24 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -20 -1f -1b -1a -18 -1a -1a -1a -1a -1a -1a -1e -1a -18 -18 -18 -17 -17 -17 -17 -17 -17 -17 -17 -17 -18 -18 -1a -1a -1a -1a -1a -1a -1a -1a -1a -18 -18 -1a -1f -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -1f -1f -1f -1f -1f -1f -27 -27 -27 -28 -2a -2a -2a -2a -2a -2a -2a -27 -27 -24 -24 -24 -24 -20 -20 -20 -20 -1f -1f -1f -1f -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -1f -1f -1f -1b -1a -1a -1a -1a -1a -1a -1f -1f -1f -1e -1a -18 -18 -18 -17 -17 -17 -17 -17 -17 -17 -17 -17 -18 -18 -1a -1e -1e -1e -1e -1e -1e -1a -1a -18 -18 -1a -1b -1f -1f -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1b -1b -1f -24 -27 -27 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -28 -27 -27 -27 -27 -24 -24 -24 -20 -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1b -1a -1a -1a -1a -1e -1f -1f -1f -1f -1f -1e -1a -18 -18 -18 -17 -17 -17 -17 -17 -17 -17 -17 -18 -18 -18 -1e -1e -1e -1e -1e -1e -1e -1e -1a -18 -18 -18 -1b -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -27 -27 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -2a -2a -28 -27 -27 -27 -24 -1f -1f -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1a -18 -18 -1a -1a -23 -23 -23 -23 -23 -1f -1f -1f -1a -18 -18 -18 -17 -17 -17 -17 -17 -17 -17 -17 -18 -18 -18 -1e -1e -1e -1e -1e -1e -1e -1e -1a -1a -18 -18 -1a -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1a -1b -1f -24 -27 -2a -2d -2d -2d -2d -2d -2d -31 -31 -31 -31 -31 -31 -2d -2d -2d -2d -2d -2d -2d -2a -2a -27 -27 -24 -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1a -1a -18 -18 -15 -1a -1a -23 -23 -27 -27 -23 -23 -23 -1f -1f -1e -1a -18 -18 -17 -17 -17 -17 -17 -17 -17 -18 -18 -18 -18 -1a -1a -1e -1e -1e -1e -1e -1e -1a -1a -18 -15 -18 -1a -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1a -1b -1f -27 -29 -2d -2d -2d -2d -2d -2d -31 -34 -34 -32 -32 -32 -34 -32 -31 -31 -31 -2d -2d -2d -2d -2d -2d -2a -28 -27 -23 -1f -1b -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -15 -18 -1a -23 -23 -27 -27 -27 -27 -23 -23 -1f -1f -1e -18 -18 -18 -17 -17 -17 -17 -17 -17 -18 -18 -18 -18 -18 -1a -1a -1a -1a -1e -1e -1e -1e -1e -1a -18 -15 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1b -23 -27 -2d -2d -2d -2d -2d -2d -2d -32 -34 -34 -32 -32 -32 -34 -34 -34 -31 -31 -2e -2e -2d -2d -2d -2d -2d -2d -2a -27 -24 -1f -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -15 -14 -15 -1a -1e -23 -27 -27 -27 -27 -27 -23 -23 -1f -1f -1e -18 -18 -18 -17 -17 -17 -17 -17 -17 -18 -18 -18 -18 -1a -1a -1a -1a -1a -1e -1e -1e -1e -1e -1a -1a -14 -15 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -1a -24 -2a -2d -2d -2d -2d -31 -32 -32 -31 -34 -34 -32 -32 -32 -34 -34 -34 -31 -31 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2a -24 -1f -18 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -1a -1e -23 -27 -27 -28 -27 -27 -27 -23 -23 -1f -1e -1a -18 -18 -18 -17 -17 -17 -17 -17 -18 -18 -18 -18 -18 -18 -1a -1a -1a -1a -1e -1e -1e -1e -1e -1a -1a -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -1a -24 -2a -2d -34 -34 -34 -33 -33 -33 -31 -31 -31 -31 -31 -31 -2d -2d -31 -31 -31 -2e -2e -2e -2e -2e -2e -2e -31 -31 -31 -2d -2a -24 -1b -18 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -1a -1a -23 -27 -27 -2a -2a -2a -27 -27 -24 -1f -1f -1e -1a -18 -18 -18 -17 -17 -17 -17 -18 -18 -18 -18 -18 -18 -18 -18 -1a -1e -1e -1e -1e -1e -1e -1e -1a -1a -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -18 -24 -2d -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -33 -31 -31 -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -32 -32 -32 -34 -34 -32 -2e -2d -27 -1b -18 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -1a -1a -23 -27 -27 -2a -2a -2a -2a -27 -27 -24 -1f -1f -1e -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1a -1e -1e -1e -1e -1e -1e -1e -1e -1e -1a -1a -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -18 -24 -2d -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -33 -33 -33 -2d -31 -31 -2d -2d -2e -2e -2e -2e -2e -32 -32 -34 -34 -34 -34 -32 -2d -27 -1b -18 -14 -14 -14 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -14 -14 -14 -15 -1a -1e -23 -27 -27 -2a -2d -2d -2a -2a -27 -27 -24 -1f -1f -1f -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1a -1e -1e -1e -1e -1e -1e -1e -1e -1e -1e -1a -1a -14 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2d -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -33 -33 -33 -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -34 -34 -34 -34 -34 -34 -2d -27 -23 -1b -1a -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -14 -18 -1a -1f -23 -27 -27 -2a -2d -2d -2d -2a -2a -28 -27 -24 -1f -1f -1e -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1a -1e -1e -1f -1f -1f -1e -1e -1e -1e -1e -1e -1a -15 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2d -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -33 -33 -31 -31 -31 -2e -2e -2d -2e -2e -2e -2e -34 -34 -34 -34 -34 -34 -34 -32 -2d -29 -24 -1f -18 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -14 -14 -1a -1a -1f -23 -27 -28 -2a -2d -2d -2d -2d -2d -2a -27 -27 -24 -1f -1f -1e -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1e -1e -1f -1f -1f -1f -1f -1f -1e -1e -1e -1e -1a -18 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2d -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -33 -2d -31 -2d -2e -2d -2e -2e -2e -2e -2e -2e -34 -34 -34 -34 -34 -34 -34 -34 -32 -2d -29 -1f -1a -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -18 -1a -1f -23 -27 -27 -2a -2d -2d -2d -2d -2d -2d -2d -28 -28 -27 -24 -1f -1f -1e -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1a -1e -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1e -1e -1a -18 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2d -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -33 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2a -23 -1a -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -14 -14 -18 -1a -1f -23 -27 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -28 -27 -24 -1f -1f -1f -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1e -1a -18 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2e -34 -34 -34 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -33 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -30 -34 -34 -34 -34 -34 -34 -34 -2e -2a -24 -1b -18 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -14 -14 -14 -18 -1a -1a -23 -27 -2a -2d -2d -2d -2e -2e -2e -2d -2d -2d -2d -2a -28 -27 -24 -1f -1f -1f -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1c -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1e -1a -18 -15 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2e -34 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -31 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -34 -34 -34 -34 -34 -34 -34 -2e -2d -27 -1f -1a -15 -14 -14 -14 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -12 -12 -12 -12 -12 -0d -0d -0d -0d -0d -0d -0d -0c -08 -08 -06 -06 -06 -08 -08 -08 -0d -0d -0d -14 -14 -14 -1a -1a -1f -27 -2a -2d -2e -2e -2e -2e -2e -2d -2d -2d -2d -2a -28 -27 -24 -1f -1f -1f -18 -18 -18 -18 -18 -18 -18 -1a -1a -1a -1e -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1e -1a -1a -18 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2e -34 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -34 -34 -34 -34 -34 -34 -32 -2d -2a -24 -1f -1b -18 -18 -15 -14 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -0d -0d -0d -0d -0d -0d -0d -0d -0d -08 -06 -06 -06 -06 -06 -05 -05 -05 -05 -06 -06 -08 -08 -0d -0d -0d -14 -14 -14 -18 -1a -23 -27 -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -28 -27 -24 -1f -1f -1f -1c -18 -18 -18 -18 -18 -18 -18 -1a -1e -1e -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1e -1a -18 -14 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2e -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -34 -34 -34 -34 -34 -34 -34 -2e -2d -2a -27 -24 -1f -1b -18 -15 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -18 -1a -1a -1a -1a -1a -1a -1a -18 -18 -14 -12 -0d -08 -08 -06 -06 -06 -06 -06 -06 -06 -05 -05 -05 -05 -02 -02 -02 -02 -02 -05 -06 -06 -06 -08 -0c -0d -0d -0d -0d -12 -14 -1a -1f -27 -27 -29 -2a -2a -28 -28 -28 -27 -27 -27 -24 -1f -1f -1f -1d -18 -18 -18 -18 -18 -18 -1a -1e -1e -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1e -1a -18 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2e -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -31 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -34 -34 -34 -34 -34 -34 -34 -2e -2d -2a -27 -24 -1f -18 -18 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -18 -1a -1b -23 -23 -23 -23 -23 -23 -1a -1a -1a -14 -13 -0d -08 -06 -06 -06 -06 -06 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -05 -06 -06 -06 -06 -06 -06 -08 -0d -0d -0d -14 -18 -1a -1f -1f -24 -24 -24 -27 -27 -27 -24 -20 -1f -1f -1f -1f -18 -18 -18 -18 -1a -1e -1e -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1e -1a -18 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -18 -24 -2e -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -33 -34 -2f -2f -2d -2d -2f -2d -2d -2d -2d -2d -28 -28 -28 -28 -2b -2d -2d -2d -2d -2d -2d -2e -2e -34 -34 -34 -34 -34 -34 -34 -2e -2d -28 -24 -1f -1a -18 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -18 -18 -1b -23 -23 -23 -23 -23 -23 -23 -23 -1f -1a -1a -14 -0d -0d -06 -06 -06 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -05 -06 -06 -06 -06 -06 -08 -08 -0d -0d -14 -14 -18 -1a -1a -1b -1f -1f -1f -1f -1f -1f -1f -1f -1b -1b -1a -18 -1e -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1e -1a -18 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -14 -18 -24 -2e -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -2f -2f -2d -2f -30 -2d -2d -2d -2d -2d -2b -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2e -2e -30 -34 -35 -35 -35 -35 -34 -2e -2d -28 -24 -1f -1a -18 -14 -14 -14 -14 -12 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -12 -14 -14 -14 -14 -14 -14 -14 -15 -18 -1a -1f -1f -23 -23 -23 -23 -23 -23 -23 -23 -23 -1a -1a -18 -14 -0d -08 -06 -06 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -05 -06 -06 -06 -06 -06 -06 -0d -0d -0d -12 -14 -14 -15 -15 -18 -18 -18 -18 -18 -1b -1b -19 -1f -1c -1e -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1e -1a -18 -14 -14 -14 -14 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -13 -14 -18 -24 -2e -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -2f -2f -2f -30 -2e -2d -2d -2d -2d -2d -2d -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2e -2e -2e -30 -34 -35 -35 -35 -35 -35 -2e -2e -2a -27 -24 -1f -1a -18 -14 -14 -14 -14 -14 -13 -13 -13 -13 -11 -11 -11 -11 -11 -11 -11 -11 -11 -13 -13 -13 -14 -14 -14 -14 -14 -14 -14 -18 -1a -1f -23 -24 -27 -27 -27 -27 -27 -26 -23 -23 -23 -23 -1a -1a -14 -14 -0d -08 -06 -06 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -05 -05 -06 -06 -06 -06 -06 -06 -0d -0d -0d -0d -12 -12 -12 -14 -14 -18 -18 -18 -18 -18 -1b -1c -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -18 -18 -14 -14 -14 -13 -13 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -14 -1a -24 -2e -34 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -2f -2f -2f -30 -30 -2e -2d -2d -2d -2d -2d -2d -28 -28 -28 -28 -28 -28 -28 -2a -2d -2d -2d -2e -2e -30 -34 -35 -35 -35 -35 -35 -34 -32 -2e -2d -28 -27 -24 -1f -1a -18 -15 -14 -14 -14 -14 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -14 -14 -14 -14 -15 -18 -1a -1b -1f -23 -27 -27 -27 -29 -2a -29 -29 -29 -27 -26 -23 -23 -1a -1a -14 -14 -0d -0d -08 -06 -06 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -06 -06 -06 -06 -06 -06 -0d -0d -0d -0d -0d -0d -12 -12 -14 -14 -18 -18 -18 -18 -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -18 -18 -18 -14 -14 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -13 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -13 -14 -1a -24 -32 -34 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -33 -2f -33 -33 -33 -2f -2f -30 -30 -30 -2d -2d -2d -2d -2d -2d -2d -2d -28 -28 -28 -28 -28 -2a -2d -2d -2d -2d -2e -2e -30 -35 -35 -35 -35 -35 -35 -35 -34 -32 -2e -2d -2a -27 -27 -23 -1f -1b -1a -15 -14 -14 -14 -14 -13 -13 -13 -13 -13 -13 -14 -14 -14 -14 -14 -1a -1b -1f -24 -27 -27 -27 -2a -2d -2d -2d -2d -2d -29 -29 -27 -26 -23 -1a -1a -14 -14 -0d -0d -08 -06 -06 -06 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -05 -06 -06 -06 -06 -0b -0d -0d -0d -0d -0d -0d -12 -12 -14 -17 -18 -18 -19 -1f -1f -1f -1f -1f -1f -1f -1f -21 -21 -1f -1f -21 -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1d -18 -18 -18 -14 -14 -13 -13 -13 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -1a -24 -2d -34 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -33 -33 -2f -33 -2f -33 -2f -33 -30 -34 -30 -2f -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -2a -2d -2d -2d -2e -2e -30 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -32 -2e -2e -2d -2a -27 -27 -23 -1f -1a -1a -18 -14 -14 -14 -14 -14 -14 -14 -14 -14 -1a -1a -1f -24 -27 -2a -2a -2d -2d -2d -2d -2d -2d -2d -29 -29 -29 -27 -23 -1a -1a -14 -0f -0d -08 -06 -06 -06 -05 -05 -05 -06 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -06 -06 -06 -06 -06 -0b -0d -0d -0d -0d -0d -0e -12 -14 -14 -18 -18 -1f -1f -1f -1f -1f -21 -21 -21 -21 -21 -21 -21 -21 -21 -21 -1f -1f -1f -1f -1f -1f -1f -1f -1f -1d -18 -18 -15 -14 -14 -13 -13 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -1a -24 -2d -34 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -33 -33 -2f -33 -33 -33 -33 -34 -34 -34 -30 -30 -30 -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -2d -2d -2d -2d -2e -2e -30 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -32 -2e -2d -2d -2a -27 -27 -23 -23 -23 -1f -1f -1f -1f -1b -1b -1f -1f -24 -27 -2a -2d -2e -2e -2e -2d -2d -2d -2d -2a -2a -27 -27 -23 -23 -1f -1a -14 -13 -0d -08 -06 -06 -06 -06 -06 -05 -05 -05 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -06 -06 -06 -06 -06 -0d -0d -0d -0d -0d -12 -14 -18 -1a -1b -1f -1f -1f -20 -24 -22 -27 -27 -27 -27 -22 -22 -22 -22 -22 -22 -21 -21 -21 -1f -1f -1f -1f -1f -1f -1f -18 -18 -18 -14 -14 -14 -13 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -1a -24 -2d -34 -34 -34 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -33 -33 -34 -33 -34 -34 -34 -34 -34 -30 -30 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2a -2a -2d -2d -2d -2d -2e -2e -30 -34 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -35 -35 -35 -34 -34 -32 -2e -2e -2e -2d -2d -2d -2a -2a -2a -28 -28 -2a -2a -2d -2d -32 -34 -34 -32 -2e -2e -2d -2d -2a -2a -27 -27 -23 -1f -1a -1a -17 -14 -0d -0d -06 -06 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -02 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -06 -06 -06 -06 -06 -06 -0d -0d -0d -0e -14 -1b -23 -27 -27 -29 -2d -2d -2d -2d -2d -2d -2d -2d -28 -27 -27 -27 -27 -27 -22 -22 -22 -22 -21 -1f -1f -1f -1f -1f -1f -18 -18 -18 -15 -14 -14 -13 -13 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -1a -24 -2d -34 -34 -34 -34 -35 -34 -34 -34 -34 -34 -34 -34 -33 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -30 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -30 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -3c -3c -35 -35 -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -34 -34 -34 -32 -2e -2d -2d -2a -27 -27 -24 -1f -1a -1a -14 -14 -0d -0d -0d -06 -06 -06 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -06 -06 -06 -06 -06 -06 -0b -0d -0d -14 -1f -27 -2d -34 -35 -37 -3c -3c -3c -3c -3c -3c -38 -34 -30 -2d -2b -27 -27 -27 -27 -22 -22 -22 -21 -1f -1f -1f -1f -1f -1d -18 -18 -18 -18 -14 -14 -14 -13 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -1a -24 -2d -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -30 -30 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -3d -3d -3d -3c -3c -3c -39 -39 -39 -3c -39 -3c -3c -35 -35 -35 -35 -35 -35 -35 -35 -34 -34 -2e -2e -2d -2a -28 -27 -27 -1f -1b -18 -14 -14 -0d -0d -0d -06 -06 -06 -06 -06 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -06 -06 -06 -06 -06 -06 -0d -12 -1a -27 -34 -3c -43 -45 -45 -45 -45 -45 -45 -45 -45 -45 -40 -3c -34 -30 -2d -2b -27 -27 -22 -22 -22 -21 -1f -1f -1f -1f -1f -1d -18 -18 -18 -18 -14 -14 -14 -14 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -1a -23 -2d -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -30 -30 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -35 -35 -35 -39 -39 -39 -39 -39 -3a -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3c -3c -3c -35 -35 -34 -34 -2e -2e -2d -2a -27 -27 -24 -1f -1a -15 -14 -0d -0d -0b -06 -06 -06 -06 -06 -06 -05 -05 -05 -05 -05 -05 -06 -06 -06 -06 -06 -06 -06 -05 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -00 -00 -02 -05 -06 -06 -06 -06 -06 -0b -12 -1f -2d -35 -3c -3c -3c -3c -45 -45 -45 -45 -50 -50 -50 -4b -45 -40 -3c -34 -2d -28 -27 -27 -22 -22 -22 -21 -1f -1f -1f -1f -1f -18 -18 -18 -18 -15 -14 -14 -14 -14 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -15 -1f -2a -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -30 -30 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -30 -35 -35 -35 -35 -39 -39 -39 -39 -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3c -3c -39 -35 -35 -34 -32 -2e -2e -2d -28 -27 -24 -1b -18 -14 -12 -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -05 -05 -05 -05 -06 -06 -06 -06 -06 -06 -06 -06 -06 -05 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -00 -02 -02 -02 -06 -06 -06 -06 -06 -06 -0d -18 -24 -2d -32 -34 -34 -35 -3c -3c -40 -45 -4b -50 -50 -4f -4f -45 -45 -3c -34 -2d -27 -27 -27 -22 -22 -22 -1f -1f -1f -1f -1f -18 -18 -18 -18 -18 -15 -14 -14 -14 -14 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -14 -1f -2a -32 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -34 -34 -34 -35 -34 -34 -34 -34 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2e -2e -2e -30 -30 -35 -35 -39 -39 -39 -39 -39 -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3c -3c -3c -39 -35 -35 -34 -34 -2e -2e -2a -28 -27 -1f -1b -18 -14 -0e -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -05 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -04 -06 -06 -06 -06 -06 -0d -12 -18 -1f -27 -27 -2d -2d -34 -34 -3c -3c -45 -4f -50 -4f -4f -4b -45 -40 -34 -2d -2d -27 -27 -27 -27 -22 -1f -1f -1f -1f -1f -18 -18 -18 -18 -18 -18 -14 -15 -15 -14 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -14 -1f -2a -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -35 -39 -39 -39 -39 -3a -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3d -3c -3c -39 -39 -35 -35 -35 -35 -34 -32 -2e -2d -28 -24 -1f -1b -18 -14 -0e -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -05 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -00 -00 -05 -06 -06 -06 -06 -06 -06 -0d -12 -14 -18 -1a -1f -26 -29 -2d -34 -3c -45 -45 -4f -4f -4f -4f -45 -45 -3c -34 -2d -2d -27 -27 -27 -27 -22 -21 -1f -1f -1f -18 -18 -18 -18 -18 -15 -18 -18 -1a -14 -13 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -13 -14 -1f -27 -2d -32 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -30 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -35 -39 -39 -39 -3a -3d -3d -3d -3d -3d -3d -3d -3d -3d -3c -3c -39 -39 -35 -35 -35 -35 -35 -34 -34 -32 -2e -2d -28 -27 -1f -1b -18 -14 -0e -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -08 -08 -08 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -05 -05 -05 -05 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -03 -05 -06 -06 -06 -06 -06 -06 -06 -06 -0d -0d -0d -12 -14 -1a -1f -27 -2d -3b -3c -44 -45 -45 -4f -45 -45 -45 -3c -34 -2e -2b -27 -27 -27 -27 -22 -1f -1f -1f -18 -18 -18 -18 -15 -15 -15 -15 -1a -18 -13 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -13 -13 -17 -1f -27 -2d -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -35 -39 -39 -39 -3a -3d -3d -3d -3d -3d -3c -39 -39 -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -32 -2e -2e -2d -2a -27 -24 -1f -18 -14 -12 -0d -0d -0d -06 -06 -06 -06 -06 -08 -08 -0c -0c -0c -0d -0d -0d -0d -0d -0d -0c -0c -0c -08 -08 -08 -06 -06 -06 -06 -06 -05 -05 -05 -05 -05 -05 -05 -05 -02 -02 -02 -02 -02 -02 -02 -05 -05 -05 -05 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0b -0d -0d -12 -18 -1e -26 -27 -2d -36 -3c -44 -44 -44 -44 -3c -3b -34 -2d -28 -28 -27 -27 -22 -1f -1f -1f -18 -18 -18 -18 -18 -14 -15 -14 -1a -18 -13 -13 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -13 -14 -1f -27 -2d -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -30 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -30 -35 -35 -39 -39 -3a -3d -3d -3a -39 -39 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -2e -32 -2e -2e -2e -2d -2a -28 -24 -1f -1b -18 -14 -0e -0d -0d -0d -0d -0d -0d -0d -0d -13 -14 -14 -14 -14 -14 -14 -14 -13 -0f -0d -0d -0d -0d -0c -0c -08 -08 -06 -06 -06 -06 -06 -05 -05 -05 -05 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -08 -08 -0c -0c -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0b -0b -0b -0d -0d -12 -14 -18 -1f -29 -2d -33 -34 -34 -34 -33 -2d -2d -28 -28 -27 -27 -27 -1f -1f -1f -18 -18 -18 -17 -17 -14 -15 -14 -18 -17 -13 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -13 -14 -1a -27 -2d -2e -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -30 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2e -30 -30 -35 -39 -39 -39 -3d -3c -39 -39 -35 -35 -35 -35 -34 -34 -34 -30 -2e -2e -2e -2e -2e -2e -2d -2d -28 -27 -27 -1f -1b -18 -14 -12 -0d -0d -0d -0d -0d -0d -14 -14 -1a -1a -23 -23 -23 -23 -23 -23 -1a -1a -1a -14 -14 -0d -0d -0d -0c -08 -06 -06 -06 -06 -06 -05 -05 -05 -05 -06 -06 -06 -06 -06 -06 -08 -0c -0c -0c -0d -0d -0d -13 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -17 -14 -14 -17 -17 -17 -17 -17 -14 -14 -0e -0d -0b -06 -06 -06 -06 -06 -0d -14 -15 -1a -1a -1e -1e -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -18 -18 -18 -18 -17 -14 -15 -14 -14 -14 -13 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -13 -14 -1a -24 -2a -2d -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2e -30 -30 -35 -39 -39 -39 -39 -39 -39 -35 -35 -35 -34 -34 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2d -28 -27 -27 -1f -1f -1a -17 -14 -0e -0d -0d -0d -14 -14 -1a -1a -23 -29 -2c -31 -33 -33 -36 -34 -33 -2d -29 -23 -1a -17 -14 -0d -0c -06 -06 -06 -06 -05 -05 -05 -02 -02 -02 -05 -05 -06 -06 -06 -08 -0c -0d -0d -0d -0f -14 -14 -17 -1a -1a -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -1f -1f -1f -1e -18 -14 -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0b -0d -0d -0d -0d -0e -12 -14 -18 -18 -1f -1f -1c -18 -18 -17 -17 -14 -14 -14 -14 -14 -13 -13 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -13 -14 -1a -1f -27 -2d -2e -30 -30 -34 -34 -34 -34 -34 -34 -35 -35 -39 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -30 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2e -30 -35 -39 -39 -39 -39 -39 -35 -35 -35 -34 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -27 -27 -23 -1f -1a -18 -14 -14 -14 -14 -14 -14 -1a -1a -27 -2d -33 -34 -36 -3b -3c -42 -42 -42 -42 -3b -33 -2c -27 -1a -14 -0d -08 -06 -05 -05 -02 -02 -02 -02 -02 -02 -02 -05 -05 -06 -06 -06 -08 -0c -0d -0d -14 -14 -1a -1a -23 -23 -27 -29 -2c -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2c -29 -27 -1f -1a -14 -0d -06 -06 -06 -04 -00 -00 -00 -00 -00 -00 -00 -00 -04 -04 -06 -06 -06 -06 -0b -0d -14 -18 -18 -18 -18 -17 -17 -14 -14 -14 -14 -14 -13 -13 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -13 -14 -1a -27 -2a -2d -2e -30 -30 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2e -30 -30 -35 -39 -39 -39 -35 -35 -35 -34 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -28 -27 -23 -1f -1f -1a -18 -17 -14 -14 -17 -1a -1e -23 -2c -34 -3b -3c -3c -3c -3c -42 -42 -44 -45 -44 -42 -3b -33 -2c -23 -14 -0d -06 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -06 -06 -06 -08 -0d -0d -14 -14 -1a -1a -23 -23 -27 -29 -2d -31 -31 -31 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2d -2d -27 -23 -1a -14 -0d -06 -06 -01 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -06 -06 -06 -0d -14 -18 -18 -17 -17 -17 -14 -14 -14 -14 -14 -13 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -11 -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -13 -14 -18 -23 -27 -2d -2d -2e -30 -30 -34 -34 -34 -34 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -30 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2e -30 -30 -35 -39 -39 -35 -35 -35 -34 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -27 -27 -23 -1f -1f -1e -1a -1a -1a -1a -23 -27 -2c -34 -3b -42 -42 -3c -3b -3b -3c -42 -44 -45 -45 -45 -44 -3c -34 -31 -23 -14 -0d -06 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -06 -06 -06 -0c -0d -0d -14 -14 -1a -1a -23 -23 -27 -29 -29 -2d -2d -31 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2d -27 -23 -18 -12 -0d -06 -06 -01 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -04 -06 -06 -0d -12 -14 -17 -17 -17 -17 -17 -14 -14 -14 -13 -13 -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -14 -14 -1b -27 -2d -2d -2d -2e -2e -30 -34 -34 -34 -35 -35 -35 -39 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -39 -39 -35 -35 -35 -35 -35 -35 -35 -35 -30 -30 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2e -30 -30 -35 -35 -35 -35 -35 -35 -30 -30 -2e -2e -2e -2e -2e -30 -30 -30 -30 -2e -2d -2d -2d -27 -27 -26 -1f -1f -1f -1f -23 -23 -27 -2d -34 -3c -42 -44 -42 -3c -3c -3b -3b -42 -44 -45 -47 -47 -44 -42 -36 -31 -26 -1a -0d -06 -05 -02 -02 -00 -00 -02 -02 -02 -02 -02 -02 -05 -05 -06 -06 -08 -0d -0d -0d -13 -14 -14 -1a -1a -23 -23 -23 -27 -29 -2c -2d -2d -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -38 -38 -38 -38 -34 -33 -2d -27 -1f -18 -0e -0b -06 -06 -06 -04 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -01 -06 -06 -06 -0d -14 -17 -17 -17 -17 -18 -14 -14 -14 -10 -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -0f -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -14 -1a -24 -2a -2d -2d -2d -2e -30 -30 -34 -34 -34 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -35 -35 -30 -30 -30 -2e -2e -2d -2d -2d -2d -2d -2e -2e -30 -30 -35 -35 -35 -35 -35 -30 -30 -2e -2e -2e -2e -2e -2e -2e -30 -30 -2e -2d -2d -2d -2d -27 -27 -27 -26 -23 -26 -29 -29 -31 -36 -3c -44 -47 -47 -45 -44 -3c -3c -3c -3c -42 -47 -4f -4f -45 -42 -33 -29 -1a -14 -0d -06 -06 -05 -02 -00 -00 -00 -02 -02 -02 -02 -02 -02 -02 -05 -06 -06 -0c -0d -0d -0d -13 -14 -14 -1a -1a -1a -1f -23 -23 -27 -29 -2c -2d -34 -34 -34 -34 -34 -34 -38 -38 -38 -38 -38 -38 -38 -38 -38 -38 -38 -34 -34 -2d -27 -1f -17 -0d -0d -06 -06 -06 -06 -06 -06 -06 -04 -04 -04 -04 -04 -04 -04 -04 -00 -00 -00 -04 -06 -06 -0d -14 -14 -17 -18 -18 -15 -14 -14 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -10 -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -10 -14 -18 -1f -27 -2d -2d -2d -2d -2e -30 -34 -34 -34 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -30 -30 -30 -2e -2e -2e -2d -2d -2d -2d -2e -2e -30 -30 -30 -30 -34 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -28 -27 -27 -27 -27 -27 -29 -2d -34 -42 -45 -4f -50 -50 -50 -4f -45 -44 -44 -44 -45 -45 -47 -47 -44 -36 -29 -1a -14 -0d -08 -06 -06 -05 -05 -02 -02 -02 -02 -02 -02 -02 -02 -02 -05 -06 -06 -06 -08 -0d -0d -0d -13 -14 -14 -14 -1a -1a -23 -23 -23 -23 -27 -29 -2d -2d -34 -34 -34 -34 -38 -38 -38 -38 -38 -38 -38 -38 -3c -3c -3c -3c -3c -38 -34 -2f -2d -27 -1a -14 -0e -0d -0b -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -04 -04 -04 -04 -00 -06 -06 -0d -0d -14 -17 -1a -18 -18 -14 -14 -14 -14 -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -1b -27 -28 -28 -2d -2d -2d -2e -30 -34 -34 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -34 -30 -30 -30 -30 -2e -2e -2e -2d -2d -2d -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -30 -2e -2e -2e -2d -2d -2d -28 -27 -27 -27 -27 -29 -2d -34 -44 -4f -50 -54 -53 -50 -50 -50 -50 -4f -47 -45 -45 -44 -42 -36 -29 -23 -14 -0d -08 -06 -06 -06 -06 -05 -05 -05 -02 -02 -02 -02 -02 -02 -05 -05 -06 -06 -06 -0c -0d -0d -13 -14 -14 -17 -1a -1f -23 -26 -27 -29 -29 -29 -2c -2d -2d -2f -34 -34 -38 -38 -38 -38 -38 -38 -3b -3c -3c -3c -3c -3c -3c -3c -3c -3c -38 -34 -2f -2d -26 -1a -14 -12 -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -04 -04 -06 -06 -06 -0d -0e -14 -1a -1a -18 -15 -14 -14 -14 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -12 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -1a -24 -28 -28 -28 -2d -2d -2d -2e -30 -34 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -34 -30 -30 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -2e -2d -2d -2d -28 -28 -27 -27 -27 -2d -34 -42 -4f -53 -54 -54 -53 -50 -50 -50 -50 -4f -47 -44 -3b -31 -29 -23 -14 -0d -0d -06 -06 -06 -06 -06 -06 -06 -05 -05 -05 -05 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -14 -14 -14 -1a -1a -1f -23 -27 -29 -2c -2d -2d -2d -2d -2d -2d -2f -34 -34 -34 -38 -38 -38 -38 -39 -39 -3c -3c -39 -39 -3c -3c -3c -3c -3c -3c -39 -38 -34 -2f -2d -26 -1f -18 -14 -12 -0d -0d -0b -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0d -0d -14 -1a -1a -18 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1a -23 -27 -27 -27 -28 -2d -2d -2d -2e -34 -34 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -30 -30 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -2e -2d -2d -2d -28 -28 -28 -27 -2d -34 -3c -47 -50 -54 -53 -50 -50 -50 -50 -4f -47 -42 -3b -2d -23 -1a -14 -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -08 -08 -0d -0d -0d -14 -14 -18 -1a -1f -23 -27 -29 -2c -2d -2d -2d -2d -2d -2d -2d -2f -33 -34 -34 -34 -38 -38 -38 -39 -39 -39 -3c -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -39 -38 -34 -2f -2d -27 -1f -1a -18 -14 -12 -0d -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0d -14 -14 -1a -1a -18 -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1b -23 -27 -27 -27 -27 -28 -2b -2d -2e -30 -34 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -30 -30 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -2e -2d -2d -2d -28 -28 -28 -2d -2d -3b -45 -50 -50 -50 -50 -50 -4f -45 -44 -3c -34 -2c -23 -1a -14 -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0d -0d -0d -0d -13 -14 -14 -14 -1a -1e -23 -26 -27 -29 -2d -2d -2d -2d -2d -2f -33 -33 -33 -34 -34 -34 -34 -34 -38 -38 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -38 -34 -34 -2d -2c -27 -1f -1b -18 -14 -12 -0d -0d -0d -07 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0b -0d -14 -18 -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -24 -27 -27 -27 -27 -27 -27 -2b -2d -2e -30 -34 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -30 -30 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -2e -2d -2d -2d -2d -2b -2d -2d -33 -3c -45 -4f -4f -47 -45 -44 -3c -34 -2d -26 -1a -14 -12 -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -0d -14 -14 -14 -1a -1a -1a -1f -23 -27 -29 -2c -2d -2d -2d -2d -2d -31 -33 -34 -34 -34 -34 -34 -34 -38 -38 -3c -3c -3c -3c -3c -3c -3c -3c -40 -3c -40 -40 -40 -40 -40 -40 -40 -40 -40 -40 -40 -3c -3c -3c -38 -34 -34 -2d -2d -27 -23 -1b -1a -15 -14 -0d -0d -0d -0b -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0d -0d -14 -1a -1a -1b -1f -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -23 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -34 -31 -2d -27 -24 -24 -24 -27 -27 -28 -28 -2d -2e -30 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -30 -30 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -2e -2d -2d -2d -2d -2d -2d -2d -2f -34 -3c -3c -3c -36 -34 -31 -29 -1f -18 -14 -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -14 -14 -18 -1a -1a -23 -23 -27 -27 -29 -2c -2d -2d -2d -2d -2d -33 -33 -34 -34 -38 -3c -3c -3c -3c -3c -40 -40 -45 -45 -45 -45 -45 -45 -45 -45 -45 -4b -4b -4b -4b -45 -4b -4b -4b -45 -45 -45 -45 -45 -41 -40 -3c -3c -3c -38 -34 -32 -2d -27 -24 -1f -1a -14 -14 -12 -0d -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0b -0d -14 -1a -1a -1f -24 -29 -2d -31 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -36 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -42 -36 -29 -24 -1f -1f -20 -27 -27 -28 -2d -2e -2e -34 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -30 -30 -30 -30 -30 -30 -30 -30 -30 -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -2e -2d -2d -2d -2d -28 -28 -2d -2d -2d -2d -2d -29 -27 -1f -1a -17 -12 -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -14 -14 -1a -1e -23 -23 -27 -29 -2c -2d -2d -2d -2d -33 -33 -34 -34 -34 -34 -3c -3c -45 -45 -45 -4b -4b -50 -50 -50 -50 -51 -51 -51 -51 -51 -56 -56 -56 -56 -56 -56 -56 -56 -56 -56 -56 -56 -55 -51 -51 -50 -50 -4f -45 -45 -45 -44 -3c -3c -34 -34 -2d -29 -23 -1f -1a -1a -14 -14 -0d -0d -0d -0b -06 -06 -06 -06 -06 -06 -0b -0b -0d -12 -18 -1a -1f -24 -29 -31 -37 -42 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -47 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -47 -42 -2d -24 -1f -1f -1f -20 -27 -27 -28 -2d -2e -30 -34 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -2e -2e -2e -2e -2d -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -34 -34 -34 -34 -30 -30 -30 -2e -2d -2d -28 -28 -27 -27 -27 -23 -1f -1e -1a -18 -14 -12 -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -14 -14 -1a -23 -23 -27 -29 -2d -2d -2d -31 -33 -33 -34 -34 -34 -34 -3b -3c -40 -45 -4f -50 -51 -55 -56 -56 -59 -59 -59 -5a -5a -5a -5a -5a -5b -5b -5b -5d -5e -5e -5e -5e -5e -5e -5e -5b -5b -5a -5a -5a -5a -59 -56 -55 -50 -50 -47 -45 -45 -42 -3c -36 -31 -2d -29 -27 -23 -1f -1a -17 -14 -14 -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -12 -14 -1a -1a -1f -27 -2a -34 -42 -47 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -53 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -47 -42 -31 -27 -1f -1b -1f -1f -20 -27 -27 -28 -2d -2e -30 -34 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -30 -30 -30 -30 -30 -34 -34 -30 -30 -30 -30 -2e -2e -2d -2d -2d -2e -2e -2e -2e -2e -30 -30 -34 -34 -34 -34 -34 -30 -30 -30 -2d -2d -28 -27 -27 -24 -1f -1b -18 -14 -12 -0d -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -13 -14 -1a -1a -23 -27 -29 -2c -2d -31 -33 -34 -34 -34 -34 -36 -3b -3c -3c -45 -45 -4f -50 -56 -59 -5a -5a -5a -5b -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5a -57 -56 -53 -50 -4f -47 -45 -42 -3c -34 -33 -2d -2c -27 -23 -1f -1a -14 -14 -12 -0d -0d -0d -0d -0d -0d -0d -0d -12 -14 -18 -1a -1f -23 -27 -2a -32 -42 -45 -47 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -48 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -37 -36 -2d -27 -1f -1b -1b -1f -1f -20 -24 -27 -28 -2d -2e -30 -30 -34 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -39 -35 -35 -35 -35 -35 -39 -39 -39 -35 -35 -35 -30 -30 -2e -2e -2d -2d -2e -2e -2e -2e -30 -30 -30 -34 -34 -34 -34 -34 -34 -30 -2e -2d -28 -27 -22 -1f -1b -18 -14 -0d -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -0d -13 -14 -17 -1a -1f -23 -27 -29 -2d -2d -34 -34 -34 -3b -3c -3c -42 -44 -45 -45 -4f -50 -55 -56 -59 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5e -5e -5c -5b -57 -56 -53 -50 -50 -45 -45 -3c -3c -34 -34 -2d -2c -27 -23 -1a -1a -17 -14 -14 -12 -0d -0d -0d -0d -0d -12 -14 -18 -1a -1f -1f -23 -24 -27 -2d -34 -37 -37 -3c -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -42 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -29 -24 -1f -1b -1b -1f -1f -1f -20 -20 -27 -28 -2e -30 -30 -30 -34 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -34 -30 -30 -2e -2e -2e -2e -2e -2e -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -30 -2e -2d -27 -1f -1f -1a -15 -14 -0d -0b -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -0d -14 -14 -17 -1a -1f -23 -26 -29 -2c -2d -33 -34 -34 -3c -3c -44 -45 -45 -4f -50 -55 -56 -56 -56 -5a -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5b -5a -56 -55 -50 -4f -45 -45 -3c -3c -34 -34 -2d -29 -26 -1f -1e -18 -17 -14 -14 -12 -0e -0d -0e -12 -14 -17 -1a -1e -1f -23 -23 -1f -24 -27 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -23 -1f -1b -1b -1b -1f -1f -1f -1f -24 -28 -2d -2e -30 -30 -30 -30 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -30 -30 -2e -2e -2e -2e -2e -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2d -27 -24 -1f -18 -18 -14 -0d -0d -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0d -0d -0d -13 -14 -17 -1a -1a -1f -26 -27 -2c -2d -31 -34 -34 -3b -3c -40 -45 -45 -50 -50 -56 -56 -5a -5a -5a -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5a -5a -56 -55 -50 -4f -45 -44 -3c -3b -34 -2d -2c -27 -23 -1f -1e -18 -17 -14 -14 -14 -14 -14 -14 -18 -1a -1e -23 -23 -23 -1f -1f -1f -23 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1b -1b -1b -1b -1f -1f -1f -1f -20 -27 -28 -2d -2e -2e -2e -30 -30 -30 -30 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -30 -30 -2e -2e -2e -2e -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -30 -2e -2d -27 -1f -1b -18 -14 -12 -0d -0b -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -0f -14 -17 -18 -1a -1e -23 -27 -29 -2d -2d -34 -34 -3c -3c -44 -45 -47 -50 -50 -56 -56 -5a -5b -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5b -5a -56 -55 -50 -4f -45 -3c -3c -34 -33 -2d -2c -27 -23 -1f -1a -18 -14 -14 -14 -14 -17 -18 -1a -1e -1f -23 -23 -23 -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -1f -1f -1f -20 -27 -28 -28 -2d -2d -2e -2e -30 -30 -30 -30 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -30 -30 -2e -2e -2e -30 -30 -34 -34 -34 -34 -35 -35 -34 -34 -34 -34 -30 -2e -2b -27 -1f -1a -18 -14 -0e -0d -0b -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0c -0d -0d -13 -14 -17 -18 -1e -1f -23 -27 -29 -2d -2d -34 -3b -3c -44 -45 -50 -50 -55 -56 -56 -5a -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5b -57 -56 -50 -4f -45 -40 -3c -34 -34 -2d -2d -27 -27 -23 -1f -1a -18 -18 -18 -18 -18 -1a -1f -23 -23 -23 -23 -24 -1f -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -1f -1f -1f -1f -20 -27 -27 -28 -28 -2b -2d -2d -2e -30 -30 -30 -30 -30 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -30 -30 -2e -2e -2e -30 -34 -34 -34 -35 -35 -35 -35 -35 -35 -34 -34 -34 -2d -28 -24 -1f -18 -15 -14 -0d -0d -0b -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -06 -0d -0d -0d -0d -14 -14 -18 -1a -1f -1f -23 -27 -29 -2d -33 -34 -3b -3c -45 -4f -50 -55 -56 -57 -57 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -56 -50 -4f -45 -44 -3c -34 -34 -33 -2d -2d -27 -27 -23 -1f -1e -1a -1a -1e -1e -1f -23 -23 -26 -27 -24 -24 -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1a -1a -1b -1b -1f -1f -1f -1f -1f -1f -1f -20 -20 -27 -27 -27 -28 -2b -2d -2e -30 -30 -30 -30 -30 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -34 -30 -30 -30 -2e -30 -30 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -34 -34 -2d -28 -24 -1b -18 -15 -14 -0d -0d -0b -06 -06 -06 -06 -06 -06 -06 -06 -06 -0a -0c -0d -0d -0d -0d -0d -0d -0d -14 -14 -18 -1e -1f -23 -27 -27 -29 -2d -33 -34 -3b -3c -45 -4f -50 -56 -57 -57 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5f -5f -5f -5e -5e -5e -5e -5e -5a -56 -50 -4f -45 -40 -3c -38 -34 -34 -2d -2d -29 -27 -26 -23 -1f -1f -1f -1f -1f -23 -23 -26 -27 -27 -24 -1b -1a -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1b -1b -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -22 -27 -27 -28 -2b -2d -2e -30 -30 -30 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -30 -30 -30 -30 -2e -30 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -30 -2d -28 -24 -1b -18 -15 -14 -0d -0d -0d -0b -06 -06 -08 -0d -08 -0d -0d -0d -0d -0d -0d -0d -0d -0d -14 -14 -14 -14 -18 -1e -1f -27 -27 -29 -2d -2d -34 -36 -3c -42 -45 -4f -50 -56 -57 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5a -56 -50 -4f -45 -3c -3c -38 -34 -34 -33 -2d -2d -29 -27 -26 -23 -1f -1f -1f -23 -23 -27 -27 -27 -24 -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -18 -1a -1b -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -22 -27 -27 -2b -2d -30 -30 -30 -30 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -35 -30 -30 -30 -30 -30 -30 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -30 -2d -27 -20 -1b -18 -15 -14 -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -14 -14 -14 -14 -14 -17 -1a -1a -23 -27 -29 -2d -2d -33 -34 -3b -3c -45 -45 -4f -50 -55 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5a -56 -55 -50 -45 -40 -3c -38 -34 -34 -34 -2d -2d -29 -27 -27 -23 -23 -23 -23 -27 -27 -27 -27 -24 -1f -18 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1b -1f -1f -1f -1f -1f -1f -1f -1d -1c -18 -1c -1d -1f -1f -1f -22 -27 -2b -2e -30 -30 -30 -30 -35 -35 -39 -39 -39 -39 -39 -39 -35 -35 -30 -30 -30 -30 -30 -30 -30 -34 -34 -35 -35 -35 -35 -35 -35 -3c -3c -3c -37 -34 -2e -2d -27 -1f -1b -18 -14 -14 -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -0d -13 -14 -14 -14 -14 -1a -1a -1a -1a -1f -23 -27 -29 -2d -2d -33 -34 -3c -44 -45 -4f -50 -51 -56 -59 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5a -56 -50 -4f -45 -40 -3c -3c -38 -34 -34 -2d -2d -2c -29 -27 -27 -27 -27 -27 -27 -27 -27 -24 -1f -1a -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1b -1f -1f -1f -1f -1f -1f -1f -1d -18 -18 -18 -18 -1c -1d -1f -1f -22 -27 -2d -30 -30 -30 -30 -30 -30 -34 -35 -39 -39 -39 -35 -30 -30 -30 -30 -30 -30 -2e -30 -34 -34 -35 -35 -35 -37 -35 -37 -3c -3c -43 -3d -3c -35 -2e -2d -27 -1f -1b -18 -15 -14 -0e -0d -0d -0d -0d -0d -0d -0d -0d -13 -14 -14 -14 -14 -14 -17 -1a -1a -1a -1e -23 -23 -27 -29 -2d -2d -34 -34 -3b -42 -45 -50 -50 -56 -56 -5a -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5d -5a -56 -50 -4f -45 -40 -3c -3c -3b -38 -34 -33 -2d -2d -29 -27 -27 -27 -27 -27 -27 -27 -27 -24 -1b -18 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -18 -1b -1b -1f -1f -1f -1f -1f -1f -1d -1c -18 -18 -18 -18 -18 -1d -1f -22 -27 -2d -2e -30 -30 -30 -30 -30 -34 -30 -34 -30 -30 -30 -30 -30 -30 -30 -30 -30 -2e -30 -34 -34 -35 -37 -37 -37 -3c -3c -3c -43 -45 -45 -3c -35 -32 -2a -27 -1f -1b -18 -15 -14 -12 -12 -0d -0d -0d -0d -13 -14 -14 -14 -14 -14 -14 -14 -1a -1a -1a -1f -1f -23 -27 -27 -29 -2d -33 -34 -34 -3b -3c -45 -50 -53 -56 -56 -5a -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5b -5a -56 -50 -4f -45 -40 -3c -3c -3c -38 -34 -34 -2d -2d -2d -29 -27 -27 -27 -27 -27 -27 -24 -1f -18 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -1b -1b -1f -1f -1f -1f -1f -1f -1f -18 -18 -18 -18 -18 -18 -18 -1f -1f -27 -2b -2d -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -2e -30 -30 -30 -30 -34 -34 -35 -37 -3c -3c -3c -3d -45 -46 -46 -45 -3d -37 -34 -2d -27 -1f -1b -18 -15 -14 -14 -12 -12 -12 -14 -14 -14 -14 -14 -14 -14 -17 -1a -1a -1a -1a -1f -23 -23 -27 -29 -2c -2d -2d -34 -34 -3b -3c -45 -50 -56 -56 -5a -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5b -5a -56 -50 -4f -45 -44 -3c -3c -3c -3b -34 -34 -2d -2d -2d -29 -27 -27 -27 -27 -27 -27 -23 -1b -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -18 -1b -1f -1f -1f -1f -1f -1f -1f -18 -18 -18 -18 -18 -18 -18 -1d -1f -22 -28 -2d -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -2e -30 -30 -30 -30 -34 -35 -35 -3c -3c -3c -3d -43 -45 -48 -46 -45 -3d -3c -34 -2d -27 -1f -1b -18 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -17 -1a -1a -1a -1a -1a -1a -23 -23 -27 -27 -29 -2d -2d -31 -34 -34 -3b -3c -45 -50 -55 -56 -5a -5b -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5b -5a -56 -50 -4b -45 -45 -40 -3c -3c -3b -34 -34 -2d -2d -2d -29 -29 -27 -27 -27 -27 -24 -1b -18 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -18 -1b -1f -1f -1f -1f -1f -1f -1f -1c -18 -18 -18 -18 -18 -18 -1c -1f -22 -27 -2d -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -2e -30 -30 -30 -34 -34 -35 -39 -3c -3c -3c -3d -45 -46 -48 -46 -45 -3d -37 -34 -2d -27 -1f -1b -1a -18 -15 -15 -14 -14 -14 -14 -17 -18 -1a -1a -1a -1a -1a -1a -1f -1f -23 -27 -27 -29 -2d -2d -33 -34 -34 -3c -42 -45 -50 -56 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5b -5a -59 -56 -50 -4f -45 -45 -44 -3c -3c -3b -34 -34 -2d -2d -2d -2c -29 -29 -27 -27 -24 -1f -1a -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -1b -1b -1f -1f -1f -1f -1f -1f -1d -18 -18 -18 -18 -18 -18 -18 -1f -22 -27 -2d -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -2e -2e -30 -30 -34 -35 -35 -3c -3c -3c -3d -45 -46 -48 -48 -46 -45 -3d -37 -34 -2d -27 -1f -1b -1a -18 -18 -15 -15 -18 -18 -18 -1a -1a -1a -1a -1a -1e -1f -1f -23 -23 -27 -27 -29 -2d -2d -31 -34 -34 -3c -44 -4f -50 -56 -5a -5a -5e -5e -5e -5e -5e -5e -5e -5f -5e -5e -5e -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5b -5a -59 -56 -50 -4f -45 -45 -44 -3c -3c -38 -34 -34 -2d -2d -2d -2d -2d -29 -27 -27 -24 -1f -18 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1f -1f -1f -1f -1f -1f -1f -18 -18 -18 -18 -18 -18 -18 -1f -21 -27 -2d -2d -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -2e -30 -30 -34 -34 -35 -35 -3c -3c -3d -45 -45 -48 -50 -48 -45 -45 -3c -37 -34 -2d -27 -1f -1b -1a -18 -18 -18 -18 -18 -1a -1a -1a -1a -1f -1f -1f -23 -23 -23 -26 -27 -27 -29 -2d -2d -2d -34 -34 -3c -44 -4f -50 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5f -5e -5e -5e -5e -5f -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5b -5a -59 -56 -50 -50 -45 -45 -40 -3c -3c -35 -34 -34 -2e -2d -2d -2d -2a -27 -27 -27 -24 -1f -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1b -1f -1f -1f -1f -1f -1f -1c -18 -18 -18 -18 -18 -18 -1f -1f -27 -2b -2d -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -2e -30 -30 -34 -34 -35 -39 -3c -3d -45 -45 -48 -50 -50 -46 -45 -43 -3c -35 -32 -2a -27 -1f -1b -1b -1a -1a -1a -1a -1b -1b -1e -1f -1f -1f -1f -23 -23 -26 -27 -27 -27 -29 -2c -2d -2d -33 -34 -3b -44 -4f -55 -59 -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -59 -55 -50 -4f -45 -45 -3c -3c -3c -35 -34 -34 -32 -2d -2d -2d -2a -29 -27 -27 -24 -1b -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1b -1f -1f -1f -1f -1f -1c -18 -18 -18 -18 -18 -18 -1f -1f -27 -28 -2d -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -35 -35 -39 -3c -43 -45 -46 -50 -50 -48 -46 -45 -3d -3c -35 -2e -28 -24 -1f -1f -1b -1b -1b -1b -1b -1f -1f -1f -1f -1f -23 -23 -23 -27 -27 -27 -27 -29 -29 -2d -2d -33 -34 -36 -42 -47 -53 -56 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -56 -55 -50 -4b -45 -45 -3c -3c -3c -35 -34 -34 -34 -34 -2d -2d -2a -2a -27 -24 -1f -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1b -1f -1f -1f -1f -1f -1f -18 -18 -18 -18 -18 -1c -1f -1f -27 -27 -2d -2d -30 -30 -30 -30 -30 -34 -34 -34 -30 -30 -30 -30 -30 -30 -34 -34 -35 -35 -3c -3d -45 -46 -48 -50 -50 -48 -46 -45 -3d -37 -34 -2e -28 -27 -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -23 -23 -23 -26 -27 -27 -27 -27 -2a -2a -2d -2d -2d -34 -34 -3c -45 -53 -57 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5e -5a -5a -56 -50 -50 -45 -45 -44 -3c -3c -37 -35 -34 -34 -34 -2e -2d -2d -2a -2a -27 -24 -1b -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1b -1f -1f -1f -1f -1f -1c -1c -1c -1c -18 -1d -1f -1f -22 -27 -2b -2d -2e -2e -30 -30 -30 -34 -34 -34 -30 -30 -30 -30 -30 -30 -34 -34 -35 -39 -3c -3d -45 -48 -50 -50 -50 -46 -45 -45 -3d -37 -34 -2d -28 -24 -1f -1f -1f -1f -1f -1f -1f -1f -23 -23 -23 -26 -27 -27 -27 -27 -27 -2a -2a -2d -2d -2d -34 -34 -3c -45 -50 -57 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -59 -56 -50 -4f -45 -45 -3c -3c -3c -37 -35 -34 -34 -34 -2e -2d -2a -2a -27 -24 -1b -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -22 -27 -27 -2d -2d -2d -30 -30 -30 -30 -34 -34 -34 -34 -30 -30 -34 -34 -34 -35 -35 -3c -3d -43 -46 -48 -50 -50 -50 -46 -45 -43 -3c -35 -32 -2d -27 -24 -1f -1f -1f -1f -1f -1f -1f -23 -24 -26 -27 -27 -27 -27 -27 -29 -2a -2a -2d -2d -2d -31 -34 -3b -42 -50 -56 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5f -5f -5f -5f -5f -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -56 -51 -50 -45 -45 -43 -3c -3c -3c -35 -35 -34 -34 -2e -2d -2d -2a -2a -27 -1f -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -1b -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -21 -27 -27 -27 -28 -2d -2d -2d -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -3c -3d -45 -48 -50 -50 -50 -48 -46 -45 -43 -3c -35 -2e -2d -27 -24 -1f -1f -1f -1f -1f -23 -24 -24 -27 -27 -27 -27 -27 -27 -29 -2d -2d -2d -2d -2d -31 -34 -34 -3c -46 -54 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5e -5e -5e -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5f -5e -5e -5f -5f -5f -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5a -59 -56 -50 -4f -45 -45 -3d -3c -3c -3c -35 -34 -34 -32 -2d -2d -2d -2a -28 -24 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1b -1f -1f -1f -1f -1f -1f -1f -1f -1f -1f -27 -27 -27 -27 -28 -2b -2d -2d -2d -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -3c -3c -45 -46 -50 -50 -50 -50 -48 -46 -45 -3d -3c -34 -2e -2d -27 -24 -1f -1f -1f -20 -24 -24 -27 -27 -27 -27 -27 -27 -27 -2a -2d -2d -2d -2d -2d -2d -34 -34 -3c -43 -50 -56 -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5d -5d -5d -5d -5d -5e -5e -5e -5e -5e -5d -5d -5d -5d -5e -5d -5d -5e -5d -5e -5e -5e -5e -5e -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5f -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -56 -51 -50 -45 -45 -43 -3c -3c -3c -37 -35 -34 -34 -2e -2d -2d -2d -2a -27 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1f -1f -1f -21 -27 -27 -27 -27 -27 -27 -28 -2b -2d -2d -2d -2d -2d -2d -2d -30 -30 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -39 -3c -3d -45 -46 -50 -50 -50 -48 -46 -45 -43 -3c -35 -34 -2d -28 -27 -24 -1f -20 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -2a -2d -2d -2d -2d -2d -2d -2d -34 -34 -3c -45 -54 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5d -5d -5d -5d -5d -5d -5d -5b -5d -5d -5d -5d -5d -5d -5d -5d -5d -5b -5b -5d -5d -5d -5d -5d -5d -5d -5d -5d -5d -5d -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -59 -55 -50 -4f -45 -45 -3d -3c -3c -3c -37 -34 -34 -32 -2d -2d -2d -2a -27 -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1b -1f -1f -27 -27 -28 -2d -2d -2d -2d -2e -2e -2e -2e -2e -2d -2d -2e -2e -30 -30 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -3c -3d -43 -46 -50 -50 -50 -50 -48 -46 -45 -3d -3c -35 -32 -2d -28 -27 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -2a -2d -2d -2d -2d -2d -2d -2d -31 -34 -3b -40 -4f -56 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5d -5d -5d -5d -5d -5d -5b -5b -5d -5d -5d -5d -5b -5b -5b -5b -5d -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5d -5d -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5a -5a -56 -50 -50 -45 -45 -3d -3d -3c -3c -37 -35 -34 -34 -2d -2d -2d -2d -2a -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -1b -1f -20 -27 -28 -2d -2d -2e -34 -34 -34 -34 -34 -34 -34 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -35 -35 -35 -39 -39 -3c -3c -3d -45 -46 -50 -50 -50 -50 -48 -46 -45 -3c -37 -34 -2e -2d -28 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -2a -2a -2d -2d -2d -2d -2d -2d -2d -34 -34 -3c -45 -50 -59 -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5d -5d -5d -5b -5d -5d -5b -5b -5b -5b -5b -5d -5b -5b -5b -5b -5b -5d -5b -5b -5b -5a -5a -5b -5b -5a -5a -5b -5a -5a -5a -5b -5b -5b -5d -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -56 -55 -50 -46 -45 -43 -3d -3c -3c -3c -35 -34 -34 -32 -2d -2d -2d -2a -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1f -1f -27 -28 -2d -34 -34 -39 -3c -3c -3c -3c -39 -35 -34 -34 -34 -30 -34 -34 -34 -34 -34 -34 -35 -39 -3c -3c -3c -3c -43 -45 -46 -50 -50 -50 -50 -48 -46 -45 -43 -3c -35 -34 -2e -2a -28 -27 -24 -24 -24 -27 -27 -27 -27 -27 -27 -28 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2e -34 -38 -40 -4f -56 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5d -5d -5b -5b -5b -5b -5b -5b -5b -5b -5b -5a -5b -5b -5b -5b -5b -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5d -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -59 -56 -50 -50 -46 -45 -3d -3c -3c -3c -37 -34 -34 -34 -2d -2d -2d -2a -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1b -1f -27 -27 -2d -34 -3c -3d -43 -45 -45 -3d -3c -3c -35 -35 -35 -34 -34 -34 -34 -34 -35 -35 -39 -3c -3c -3c -3d -43 -45 -46 -48 -50 -50 -50 -50 -48 -46 -45 -3d -3c -35 -32 -2e -2a -28 -24 -24 -27 -27 -27 -27 -27 -27 -27 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -34 -34 -3c -45 -50 -59 -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5a -5b -5d -5d -5b -5d -5d -5e -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5a -5a -56 -50 -50 -46 -45 -43 -3d -3c -3c -37 -35 -34 -34 -2e -2d -2d -2a -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1b -1f -24 -27 -2d -34 -3c -45 -45 -45 -45 -45 -45 -3d -3c -3c -39 -35 -35 -35 -35 -35 -39 -3c -3c -3c -3d -3d -43 -45 -46 -48 -50 -50 -50 -50 -50 -48 -46 -45 -3d -3c -35 -32 -2d -28 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -34 -3c -45 -50 -56 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5b -5b -5a -5a -5a -5a -5b -5d -5b -5b -5b -5a -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5a -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5a -5b -5d -5b -5b -5b -5d -5d -5b -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5a -5a -56 -51 -50 -48 -46 -45 -43 -3d -3c -3c -35 -34 -34 -2e -2d -2d -2d -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -15 -1b -1f -24 -27 -2d -34 -3d -46 -46 -50 -46 -46 -45 -45 -3d -3d -3c -3c -3c -3c -3c -3c -3c -3c -3c -3d -45 -45 -45 -46 -48 -50 -50 -50 -50 -50 -50 -48 -46 -45 -3d -37 -34 -2e -2d -28 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -34 -38 -40 -50 -56 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5e -5d -5b -5a -5a -5a -5a -5a -5a -5d -5b -5b -5b -5b -5b -5b -5a -5b -5b -5b -5b -5b -5b -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5a -5b -5b -5b -5d -5b -5b -5b -5b -5d -5b -5e -5d -5e -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5a -5a -56 -55 -50 -50 -46 -45 -45 -3d -3c -3c -37 -34 -34 -2e -2d -2d -2d -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1f -1f -27 -2d -34 -3d -46 -50 -50 -50 -46 -45 -45 -43 -3d -3d -3d -3c -3c -3c -3c -3c -3d -43 -45 -45 -45 -46 -48 -50 -50 -50 -50 -50 -50 -50 -48 -45 -43 -3c -35 -34 -2e -2d -28 -27 -27 -27 -27 -27 -27 -27 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -34 -34 -40 -4b -55 -59 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5b -5b -5b -5d -5a -5b -5a -5a -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5a -5a -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5a -5a -5b -5b -5b -5b -5d -5d -5d -5d -5d -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5a -5a -59 -55 -50 -50 -46 -45 -45 -3d -3c -3c -37 -34 -34 -32 -2d -2d -2d -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1b -1f -27 -2d -34 -3c -46 -50 -50 -50 -46 -46 -45 -45 -45 -43 -3d -3d -3d -3d -3d -3d -45 -45 -45 -46 -46 -48 -50 -50 -50 -50 -50 -50 -50 -50 -46 -45 -3d -3c -35 -32 -2e -2a -28 -27 -27 -27 -27 -27 -27 -28 -28 -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -33 -34 -3c -45 -51 -59 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5d -5b -5b -5b -5b -5a -5b -5a -5a -5a -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5a -5a -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5a -5b -5d -5d -5b -5b -5b -5b -5d -5b -5d -5d -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5a -5a -59 -56 -50 -50 -48 -46 -45 -3d -3c -3c -37 -35 -34 -34 -2d -2d -2d -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -1b -1f -24 -28 -34 -3c -46 -48 -50 -50 -48 -46 -46 -45 -45 -45 -45 -45 -45 -45 -45 -45 -45 -45 -46 -46 -50 -50 -50 -50 -53 -54 -54 -50 -50 -48 -46 -45 -3d -37 -34 -2e -2d -2a -28 -27 -27 -27 -27 -27 -28 -28 -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -34 -34 -3c -45 -50 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5e -5d -5d -5d -5d -5d -5d -5b -5b -5b -5a -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5a -5a -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5b -5d -5b -5b -5b -5b -5d -5b -5a -5b -5d -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5b -5a -59 -56 -51 -50 -48 -46 -45 -3d -3d -3c -37 -35 -34 -34 -2e -2d -2d -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -1b -1f -24 -27 -2d -3c -45 -46 -48 -50 -48 -48 -46 -46 -46 -45 -45 -45 -45 -45 -45 -45 -46 -46 -48 -50 -50 -50 -50 -53 -54 -54 -54 -53 -50 -48 -45 -43 -3c -35 -34 -2e -2d -2a -28 -28 -27 -27 -27 -28 -28 -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -33 -34 -3c -40 -4b -51 -59 -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5e -5e -5d -5d -5d -5b -5b -5a -5b -5a -5a -5a -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5a -5b -5b -5b -5b -5b -5d -5d -5b -5b -5b -5b -5a -5b -5b -5d -5d -5e -5e -5e -5e -5e -5e -5e -5a -5a -59 -56 -55 -50 -48 -46 -45 -43 -3d -3c -3c -35 -34 -34 -2e -2d -2d -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -18 -1f -24 -27 -2d -35 -43 -45 -46 -48 -48 -48 -46 -46 -46 -46 -46 -46 -46 -46 -46 -46 -46 -48 -50 -50 -50 -50 -53 -56 -56 -56 -56 -50 -50 -46 -45 -3d -3c -35 -34 -2e -2d -2a -28 -28 -27 -27 -28 -28 -28 -2d -2d -2d -2d -2d -2e -2d -30 -30 -33 -34 -3c -45 -4b -51 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5d -5d -5d -5d -5b -5a -5b -5a -5a -5a -5a -5b -5b -5b -5a -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5b -5b -5d -5d -5d -5d -5b -5b -5a -5a -5a -5b -5b -5d -5d -5e -5e -5e -5e -5d -5a -5a -59 -56 -55 -50 -50 -46 -45 -43 -3d -3c -3c -35 -34 -34 -32 -2d -2d -15 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -14 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -24 -27 -2d -35 -3d -45 -46 -46 -48 -48 -48 -46 -46 -46 -46 -48 -48 -48 -48 -48 -50 -50 -50 -50 -50 -53 -56 -56 -56 -56 -56 -50 -50 -46 -45 -3d -3c -35 -34 -2e -2d -2d -28 -28 -27 -28 -28 -28 -2d -2d -2d -2d -2d -2e -2e -30 -30 -30 -34 -38 -40 -4b -51 -56 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5e -5e -5e -5e -5e -5d -5d -5d -5b -5a -5b -5b -5b -5a -5a -5a -5a -5a -5a -5b -5a -5a -5a -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5a -5b -5b -5b -5b -5b -5b -5b -5a -5a -5b -5b -5b -5b -5b -5d -5d -5d -5e -5e -5d -5b -5a -59 -56 -56 -50 -50 -46 -45 -43 -3d -3c -3c -37 -34 -34 -34 -2d -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -24 -27 -2d -34 -3c -43 -45 -46 -48 -48 -48 -48 -48 -48 -50 -50 -50 -50 -50 -50 -50 -50 -50 -53 -53 -56 -56 -56 -56 -56 -56 -50 -48 -45 -43 -3c -37 -35 -32 -2e -2d -2d -28 -28 -27 -28 -28 -2d -2d -2d -2d -2d -2e -30 -30 -2f -34 -34 -34 -3c -45 -50 -56 -59 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5e -5d -5e -5d -5d -5d -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5b -5b -5b -5b -5b -5a -5b -5b -5b -5a -5b -5b -5b -5b -5d -5d -5d -5d -5d -5b -5a -5a -56 -56 -50 -50 -48 -45 -45 -3d -3c -3c -37 -35 -34 -34 -2e -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1f -27 -2d -34 -3c -3d -45 -45 -46 -48 -50 -50 -50 -50 -50 -50 -50 -50 -50 -50 -50 -50 -53 -54 -56 -56 -56 -56 -56 -56 -56 -50 -46 -45 -3d -3c -35 -34 -32 -2e -2d -2d -2a -28 -28 -28 -2d -2d -2d -2d -2d -2d -30 -30 -30 -33 -34 -34 -38 -40 -4b -51 -56 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5e -5e -5d -5e -5d -5d -5d -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5d -5b -5a -5a -5a -56 -56 -50 -50 -48 -45 -45 -3d -3c -3c -3c -35 -34 -34 -2e -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2a -32 -35 -3d -43 -45 -46 -50 -50 -50 -50 -50 -50 -50 -50 -50 -50 -50 -50 -53 -53 -56 -56 -56 -56 -56 -56 -56 -54 -50 -45 -43 -3c -3c -35 -34 -32 -2e -2d -2d -2a -28 -28 -2d -2d -2d -2d -2d -2d -2f -30 -30 -34 -34 -34 -34 -3c -45 -50 -56 -59 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5e -5d -5d -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5a -5b -5a -5a -56 -56 -50 -50 -48 -46 -45 -3d -3c -3c -3c -35 -34 -34 -2e -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1f -27 -2e -34 -3c -3d -45 -46 -50 -50 -50 -50 -50 -50 -50 -50 -50 -50 -50 -53 -53 -56 -56 -56 -56 -56 -57 -57 -56 -54 -50 -45 -3d -3c -37 -35 -34 -32 -2e -2d -2d -2a -28 -28 -2d -2d -2d -2d -2d -2d -2f -30 -34 -34 -34 -34 -38 -3c -45 -51 -56 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5b -5d -5b -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5b -5b -5b -5b -5a -5a -56 -56 -50 -50 -48 -46 -45 -3d -3d -3c -3c -35 -34 -34 -32 -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2d -34 -37 -3d -45 -46 -50 -50 -50 -50 -50 -53 -53 -54 -53 -50 -53 -54 -56 -56 -56 -56 -56 -57 -57 -57 -56 -54 -48 -45 -3d -3c -37 -35 -34 -34 -2e -2d -2d -2d -28 -2d -2d -2d -2d -2d -2e -30 -30 -34 -34 -34 -34 -34 -3c -40 -4b -55 -59 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -56 -56 -51 -50 -50 -46 -45 -3d -3d -3c -3c -35 -34 -34 -32 -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1a -1f -2a -2e -35 -3c -43 -46 -50 -50 -50 -54 -54 -54 -54 -54 -54 -54 -54 -56 -56 -56 -56 -57 -57 -57 -57 -57 -56 -54 -48 -45 -3d -3c -37 -35 -34 -32 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -30 -34 -34 -34 -34 -34 -38 -3c -45 -50 -56 -5a -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -56 -56 -53 -50 -50 -46 -45 -43 -3d -3c -3c -35 -34 -34 -34 -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1f -27 -2d -34 -37 -3d -45 -48 -50 -50 -54 -54 -54 -54 -54 -54 -56 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -56 -50 -46 -43 -3c -3c -35 -34 -34 -32 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -34 -34 -34 -34 -34 -38 -40 -45 -51 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -56 -56 -53 -50 -50 -46 -45 -43 -3d -3c -3c -35 -34 -34 -32 -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -27 -2d -32 -35 -3d -45 -48 -50 -50 -54 -54 -54 -56 -56 -56 -56 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -56 -50 -46 -43 -3c -3c -35 -34 -34 -2e -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -34 -34 -34 -34 -34 -3c -40 -4b -51 -59 -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -5a -5a -59 -5a -5a -5a -59 -59 -56 -56 -56 -50 -50 -46 -45 -43 -3d -3c -37 -35 -34 -34 -32 -2e -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2d -2e -35 -3c -45 -48 -50 -53 -54 -56 -56 -56 -56 -56 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -56 -50 -45 -3d -3c -37 -35 -34 -34 -2e -2d -2d -2d -2d -2d -2d -2d -2d -2e -30 -30 -30 -34 -34 -34 -34 -38 -3c -45 -50 -55 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -50 -50 -48 -45 -43 -3d -3c -3c -35 -34 -34 -32 -2e -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2d -2e -34 -3c -43 -48 -50 -53 -54 -56 -56 -56 -56 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -54 -48 -45 -3d -3c -35 -35 -34 -34 -2e -2d -2d -2d -2d -2d -2d -2d -2d -2e -30 -30 -30 -34 -34 -34 -38 -38 -3c -45 -50 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -50 -50 -48 -45 -43 -3d -3c -3c -35 -34 -34 -32 -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2a -2e -34 -37 -43 -46 -50 -53 -54 -56 -56 -56 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -50 -46 -3d -3c -3c -35 -34 -34 -34 -2e -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -34 -34 -34 -34 -38 -3c -3c -45 -50 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -50 -50 -48 -45 -43 -3d -3c -3c -35 -35 -34 -32 -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1a -1f -28 -2e -32 -35 -3d -46 -50 -53 -54 -56 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -50 -45 -3d -3c -37 -35 -34 -34 -32 -2e -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -34 -34 -34 -34 -38 -3c -40 -45 -50 -56 -5a -5e -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5d -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -56 -53 -50 -48 -45 -43 -3d -3c -3c -35 -35 -34 -34 -2d -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2a -32 -35 -3d -46 -50 -53 -54 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -50 -46 -43 -3c -37 -35 -35 -34 -34 -32 -2e -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -34 -34 -34 -34 -38 -3c -40 -45 -50 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -56 -54 -50 -50 -48 -45 -43 -3d -3c -3c -35 -35 -34 -32 -2e -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -19 -1f -2a -2e -35 -3c -45 -50 -50 -54 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -50 -45 -3d -3c -37 -35 -35 -34 -34 -32 -2e -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -34 -34 -34 -34 -38 -3c -40 -45 -50 -56 -5a -5d -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5d -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -56 -56 -50 -50 -48 -45 -43 -3d -3c -3c -35 -35 -34 -32 -2e -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2d -34 -3c -45 -50 -50 -54 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -54 -50 -45 -3d -3c -37 -35 -35 -34 -34 -32 -2e -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -30 -34 -34 -34 -34 -38 -3c -40 -4b -50 -56 -5a -5b -5e -5e -5e -5e -5e -5e -5e -5e -5e -5d -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -56 -56 -54 -50 -50 -48 -45 -43 -3d -3c -3c -35 -35 -34 -32 -2e -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -19 -1f -27 -32 -37 -43 -48 -50 -54 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -54 -50 -45 -3d -3c -37 -35 -35 -35 -34 -34 -2e -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -30 -34 -34 -34 -38 -3b -3c -40 -45 -50 -56 -5a -5b -5d -5e -5e -5e -5e -5e -5d -5d -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -59 -59 -56 -56 -56 -56 -56 -54 -50 -50 -46 -45 -43 -3d -3c -37 -35 -34 -34 -32 -2e -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2d -35 -3d -46 -50 -54 -56 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -54 -50 -45 -3d -3c -3c -37 -35 -35 -34 -34 -2e -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -30 -34 -34 -34 -38 -3b -3c -40 -45 -50 -56 -59 -5a -5d -5e -5e -5e -5e -5e -5d -5d -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -56 -56 -56 -54 -50 -50 -46 -45 -43 -3d -3c -37 -35 -34 -34 -32 -2e -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -18 -1f -28 -34 -3d -45 -50 -50 -54 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -54 -50 -45 -43 -3c -3c -37 -35 -35 -34 -34 -2e -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -30 -34 -34 -34 -38 -38 -3c -40 -45 -50 -55 -59 -5a -5b -5d -5d -5d -5d -5d -5d -5d -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -56 -56 -56 -50 -50 -48 -46 -45 -3d -3c -3c -37 -35 -34 -34 -2e -2e -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1b -24 -32 -3c -45 -46 -50 -54 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -56 -50 -46 -45 -3d -3c -3c -37 -37 -34 -34 -2e -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -30 -30 -34 -34 -34 -38 -3c -40 -45 -50 -51 -59 -5a -5b -5b -5d -5b -5d -5b -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -56 -56 -56 -50 -50 -48 -45 -45 -3d -3c -3c -37 -35 -34 -32 -2e -2e -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -18 -1b -24 -2d -35 -3d -46 -50 -54 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -56 -50 -48 -45 -43 -3d -3c -3c -37 -35 -34 -32 -2e -2d -2d -2d -2d -2e -2e -2e -30 -30 -30 -34 -34 -34 -34 -38 -3b -3c -45 -4b -51 -56 -5a -5a -5a -5b -5b -5b -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -54 -50 -50 -46 -45 -45 -3d -3c -3c -35 -35 -34 -32 -2e -2e -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1f -2a -34 -3c -45 -48 -50 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -56 -50 -50 -46 -45 -3d -3c -3c -3c -35 -34 -2e -2d -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -30 -34 -34 -34 -34 -38 -3c -45 -4b -50 -56 -59 -5a -5a -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -56 -56 -56 -51 -50 -50 -48 -46 -45 -43 -3d -3c -3c -37 -35 -34 -32 -2e -2e -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1f -27 -32 -3c -43 -46 -50 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -50 -50 -46 -45 -43 -3d -3c -3c -37 -34 -32 -2e -2d -2d -2d -2e -2e -2e -2e -2e -30 -30 -30 -33 -34 -34 -34 -34 -3c -40 -4b -50 -56 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5a -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -59 -58 -58 -59 -56 -56 -52 -50 -50 -48 -46 -45 -45 -3d -3d -3c -37 -35 -35 -34 -32 -2e -2e -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -24 -2e -35 -3d -45 -50 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -50 -50 -46 -45 -45 -43 -3d -3c -37 -34 -34 -2e -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -2f -2f -34 -34 -34 -34 -38 -3c -45 -50 -51 -56 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5b -5b -5a -5a -5a -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -52 -52 -51 -50 -50 -46 -45 -45 -3d -3d -3c -3c -37 -35 -35 -34 -32 -2e -2e -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -24 -2d -35 -3d -45 -50 -54 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -53 -50 -48 -45 -45 -43 -3d -3c -37 -34 -34 -2e -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -2f -2f -2f -34 -34 -34 -34 -3c -40 -4b -50 -56 -56 -59 -59 -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -52 -52 -51 -50 -46 -45 -45 -3d -3d -3c -3c -37 -35 -35 -34 -34 -32 -2e -2e -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -24 -2d -34 -3c -45 -48 -50 -56 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -54 -50 -48 -46 -45 -45 -3d -3c -3c -34 -34 -2e -2d -2d -2d -2e -2e -2e -2e -2e -30 -30 -2f -2f -2f -2f -33 -34 -34 -38 -3c -45 -50 -51 -56 -56 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -59 -59 -59 -5a -5a -5a -5b -5b -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -52 -51 -50 -4b -45 -45 -3d -3d -3c -3c -3c -37 -35 -35 -34 -34 -32 -2e -2e -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -20 -2a -32 -37 -43 -46 -50 -54 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -54 -50 -50 -46 -45 -45 -3d -3c -37 -34 -34 -2e -2d -2d -2d -2d -2d -2e -2e -2e -30 -2f -2f -2f -2f -2f -2f -2f -34 -34 -3c -40 -4b -50 -55 -56 -56 -56 -56 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5b -5b -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -4c -45 -45 -3d -3d -3d -3c -3c -39 -35 -35 -35 -34 -34 -32 -2e -2e -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -28 -32 -35 -3d -45 -50 -54 -56 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -54 -50 -48 -45 -45 -3d -3c -37 -34 -34 -2e -2d -2d -2d -2d -2d -2e -2e -2e -30 -2f -2f -2f -2f -2d -2d -2f -33 -34 -38 -3c -45 -50 -51 -55 -56 -56 -56 -56 -56 -56 -56 -59 -56 -56 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -4c -4c -45 -45 -3d -3d -3d -3c -3c -35 -35 -35 -35 -34 -34 -32 -2e -2e -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -24 -2d -34 -3c -45 -48 -50 -56 -57 -57 -57 -57 -5a -5a -5a -5a -57 -57 -57 -56 -54 -50 -50 -46 -45 -3d -3c -37 -34 -34 -2e -2d -2d -2d -2d -2e -2e -2e -30 -30 -2f -2d -2f -2f -2f -2d -2f -2f -34 -34 -3c -40 -4b -50 -51 -56 -56 -56 -56 -56 -56 -56 -56 -56 -56 -56 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -52 -51 -4e -4c -4b -45 -3d -3d -3d -3c -3c -35 -35 -35 -35 -35 -34 -34 -32 -2e -2e -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -24 -2a -32 -35 -3d -46 -50 -54 -56 -57 -57 -57 -5a -5b -5b -5a -57 -57 -57 -57 -56 -54 -50 -46 -45 -3d -3c -37 -34 -34 -2e -2e -2e -2d -2d -2e -2e -30 -30 -30 -30 -30 -30 -30 -2f -2d -2f -2f -33 -34 -38 -3c -45 -50 -50 -55 -56 -56 -56 -56 -56 -56 -56 -56 -56 -56 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -4e -4e -4c -4b -45 -3d -3d -3c -3c -39 -35 -35 -35 -34 -34 -34 -34 -32 -2e -2e -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -1f -28 -2e -34 -3c -45 -50 -50 -56 -57 -57 -57 -5a -5b -5b -5b -5a -57 -57 -57 -56 -54 -50 -46 -45 -3d -3c -35 -34 -34 -2e -2e -2e -2d -2d -2e -30 -30 -30 -30 -30 -30 -30 -2e -2d -2d -2d -2f -2f -34 -34 -3c -40 -45 -50 -51 -56 -56 -56 -56 -56 -55 -56 -56 -56 -56 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -4e -4e -4c -4c -49 -41 -3d -3d -3c -39 -35 -35 -35 -35 -34 -34 -34 -34 -32 -2e -2d -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1b -24 -2a -32 -35 -3d -46 -50 -56 -57 -57 -57 -5a -5b -5b -5b -5a -5a -57 -57 -56 -56 -50 -46 -45 -3d -3c -35 -34 -32 -2e -2e -2e -2d -2e -2e -2e -30 -30 -30 -30 -2e -2e -2e -2d -2d -2d -2f -2f -34 -34 -38 -3c -45 -4b -50 -51 -51 -51 -51 -55 -51 -51 -51 -52 -52 -58 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -4e -4e -4c -4b -41 -41 -3d -3c -39 -39 -35 -35 -35 -35 -34 -34 -34 -34 -32 -2e -2d -19 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1b -27 -2d -34 -3c -45 -50 -54 -56 -57 -57 -5a -5a -5b -5b -5a -5a -57 -57 -56 -54 -50 -46 -45 -3d -3c -35 -34 -32 -2e -2e -2e -2d -2e -2e -2e -2e -2e -30 -2e -2e -2d -2d -2d -2d -2d -2d -2f -2f -34 -34 -3c -40 -45 -4b -50 -50 -50 -50 -51 -51 -51 -51 -51 -52 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -4e -4e -4c -4b -49 -41 -41 -3a -3c -39 -35 -35 -35 -35 -35 -34 -34 -34 -34 -32 -2e -2d -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1a -1f -27 -2d -35 -3d -46 -53 -56 -57 -57 -57 -57 -5a -5a -5a -5a -57 -57 -56 -54 -50 -46 -43 -3c -37 -35 -34 -32 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -34 -34 -3c -40 -45 -4b -4b -50 -50 -50 -50 -50 -51 -51 -51 -51 -52 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -59 -59 -59 -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -58 -58 -58 -58 -58 -58 -51 -51 -4e -4e -4c -4a -49 -49 -41 -3f -39 -3c -39 -35 -35 -35 -35 -35 -34 -34 -34 -34 -2e -2e -2a -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1f -2a -32 -37 -45 -50 -56 -57 -57 -57 -57 -57 -57 -5a -57 -57 -57 -56 -50 -50 -45 -3d -3c -35 -34 -34 -34 -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -2f -34 -34 -34 -3c -40 -45 -45 -4b -4b -4b -4c -4c -4c -51 -51 -51 -51 -52 -51 -51 -52 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -5a -59 -5a -59 -5a -5a -59 -5a -5a -5a -5a -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -52 -51 -51 -58 -58 -58 -58 -51 -51 -4e -4e -4c -49 -49 -49 -49 -3f -3f -39 -39 -39 -35 -35 -35 -35 -34 -34 -34 -34 -34 -2e -2d -28 -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2d -35 -3d -50 -54 -57 -57 -57 -57 -57 -57 -57 -57 -57 -56 -56 -50 -48 -45 -3d -37 -35 -34 -34 -32 -2e -2e -2e -2d -2d -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -2f -33 -34 -34 -34 -3c -3c -45 -4b -4b -4c -4c -4c -4c -4c -51 -51 -51 -51 -51 -51 -51 -51 -52 -51 -51 -51 -52 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -51 -51 -51 -51 -51 -51 -51 -4e -4e -4e -4c -49 -49 -49 -49 -41 -3f -39 -39 -39 -39 -35 -35 -35 -35 -34 -34 -34 -34 -32 -2e -2d -27 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -27 -32 -3c -45 -50 -56 -57 -57 -57 -57 -57 -57 -57 -57 -56 -54 -50 -46 -43 -3c -35 -35 -34 -34 -32 -2e -2e -2e -2d -2d -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -2f -2f -34 -34 -38 -3c -40 -45 -45 -4b -4b -4b -4b -4c -50 -51 -51 -51 -51 -51 -51 -51 -51 -51 -51 -51 -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -51 -51 -4e -4e -4e -51 -4e -4e -4e -4e -4a -49 -49 -49 -49 -41 -3f -3e -39 -39 -39 -39 -35 -35 -35 -35 -34 -34 -34 -34 -32 -2e -28 -24 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -24 -2d -34 -43 -50 -56 -56 -57 -57 -57 -57 -57 -57 -56 -56 -53 -50 -45 -3c -37 -35 -35 -34 -34 -32 -2e -2d -2d -2d -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -30 -2f -2f -34 -34 -38 -3c -40 -45 -45 -4b -4b -4b -4b -4c -4e -4c -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -49 -49 -49 -49 -41 -3f -3e -3e -39 -39 -39 -35 -35 -35 -35 -35 -34 -34 -34 -34 -2e -2d -28 -20 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -27 -32 -3c -46 -50 -56 -56 -57 -57 -57 -57 -56 -56 -56 -50 -46 -45 -3c -37 -35 -34 -34 -34 -32 -2e -2e -2d -2d -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2f -2f -34 -34 -38 -3c -40 -41 -4b -4b -4b -4b -4b -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -49 -49 -49 -49 -41 -40 -3e -3e -39 -39 -39 -39 -35 -35 -35 -35 -35 -34 -34 -34 -34 -2e -2d -28 -20 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -19 -20 -2a -34 -3d -48 -50 -56 -56 -56 -56 -56 -56 -56 -54 -50 -46 -43 -3c -35 -35 -34 -34 -34 -2e -2e -2e -2e -2d -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2f -2d -2f -34 -34 -38 -3c -40 -40 -41 -4b -4b -4b -4b -4c -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -51 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -49 -49 -49 -49 -41 -40 -3e -3e -39 -39 -38 -38 -35 -35 -35 -35 -35 -34 -34 -34 -34 -32 -2e -2d -27 -1f -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -27 -2e -3c -45 -50 -54 -56 -56 -56 -56 -56 -56 -50 -50 -45 -3d -3c -35 -35 -34 -34 -34 -32 -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -34 -34 -38 -3c -40 -40 -41 -41 -4b -4b -4b -4b -4b -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -52 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -52 -51 -51 -51 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4a -49 -49 -49 -49 -41 -40 -3e -3e -39 -39 -39 -38 -38 -35 -35 -35 -35 -35 -34 -34 -34 -34 -32 -2d -2a -27 -1f -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1b -24 -2d -34 -3d -46 -50 -56 -56 -56 -56 -56 -54 -50 -50 -45 -3d -3c -35 -35 -34 -34 -34 -32 -2e -2e -2d -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -34 -34 -34 -34 -38 -39 -40 -40 -40 -41 -49 -4b -4b -4b -4b -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -59 -59 -59 -59 -58 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -51 -51 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4d -4a -49 -49 -49 -49 -41 -40 -3e -3e -39 -39 -38 -38 -38 -34 -35 -35 -35 -35 -34 -34 -34 -34 -34 -2e -2d -27 -20 -1b -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1f -27 -2e -37 -43 -48 -50 -56 -56 -56 -54 -53 -50 -48 -45 -3c -3c -35 -34 -34 -34 -34 -32 -2e -2e -2d -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -34 -34 -34 -34 -34 -38 -39 -40 -40 -40 -41 -49 -49 -4b -4b -4b -4b -4c -4c -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -59 -59 -58 -59 -59 -59 -58 -59 -59 -59 -59 -59 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -52 -58 -51 -51 -51 -51 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4a -4a -49 -49 -49 -49 -49 -40 -3e -3e -3e -39 -39 -38 -38 -34 -34 -35 -34 -34 -34 -34 -34 -34 -34 -32 -2d -2d -27 -1f -19 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -27 -2d -34 -3c -43 -48 -50 -54 -54 -50 -50 -50 -46 -43 -3c -37 -35 -34 -34 -34 -34 -32 -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2e -34 -34 -34 -34 -34 -34 -38 -39 -3c -40 -40 -41 -49 -49 -49 -49 -4b -4b -4b -4c -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -58 -52 -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -52 -51 -51 -51 -51 -4e -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4a -49 -49 -49 -49 -49 -41 -40 -3e -3e -3e -39 -39 -38 -38 -34 -34 -34 -35 -34 -34 -34 -34 -34 -34 -34 -2e -2d -28 -24 -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1b -24 -28 -2e -34 -3c -45 -48 -50 -50 -50 -50 -46 -45 -3d -3c -37 -35 -34 -34 -34 -34 -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -34 -34 -34 -34 -34 -34 -34 -38 -39 -3c -40 -40 -40 -41 -49 -49 -49 -49 -4b -4b -4c -4e -4c -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -51 -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -51 -51 -51 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4a -49 -49 -49 -49 -49 -41 -41 -40 -3e -3e -39 -39 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2d -2a -27 -1f -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -1f -27 -2d -32 -34 -3c -45 -46 -46 -46 -46 -45 -45 -3c -3c -35 -35 -34 -34 -34 -34 -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -34 -34 -34 -34 -34 -38 -38 -39 -39 -3c -40 -40 -41 -49 -49 -49 -49 -4b -4b -4b -4b -4c -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -51 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4a -49 -49 -49 -49 -49 -41 -40 -40 -3e -3e -39 -39 -38 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2d -27 -20 -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -1f -27 -2a -2d -34 -35 -3d -45 -45 -45 -45 -45 -3d -3c -37 -35 -34 -34 -34 -34 -32 -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -33 -33 -34 -34 -34 -34 -38 -38 -38 -39 -39 -3c -40 -40 -40 -49 -49 -49 -49 -49 -49 -4b -4b -4c -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -51 -52 -52 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4a -4a -49 -49 -49 -49 -41 -40 -3e -3e -3e -3e -39 -38 -38 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2d -2a -24 -1f -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -28 -2d -32 -34 -3c -3c -3d -3d -3d -3d -3c -3c -35 -35 -34 -34 -34 -34 -34 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -34 -34 -34 -34 -34 -38 -38 -39 -39 -39 -3e -40 -40 -49 -49 -49 -49 -49 -49 -49 -49 -49 -4b -4c -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4d -4a -4a -49 -49 -49 -49 -40 -40 -3e -3e -3e -3e -39 -39 -38 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2d -27 -24 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -27 -2d -2d -34 -35 -3c -3c -3c -3c -3c -3c -37 -35 -34 -34 -34 -34 -34 -34 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -34 -34 -34 -34 -38 -38 -39 -39 -39 -3e -3e -40 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -4b -4b -4c -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -51 -51 -52 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -58 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4d -4d -4a -4a -49 -49 -49 -49 -49 -41 -40 -3e -3e -3e -3e -39 -39 -38 -38 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2d -2a -27 -20 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -27 -28 -2d -32 -34 -35 -37 -37 -37 -37 -35 -35 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -2f -34 -34 -34 -34 -38 -38 -38 -39 -39 -3e -3e -40 -41 -41 -49 -49 -49 -49 -49 -49 -49 -49 -49 -4b -4b -4b -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -51 -51 -51 -51 -51 -51 -51 -52 -51 -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4d -4d -4d -4a -4a -4a -4a -49 -49 -49 -49 -41 -3e -3e -3e -3e -39 -39 -39 -38 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2d -28 -24 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -27 -28 -2d -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -2f -34 -34 -34 -38 -38 -38 -39 -39 -3e -3e -3e -40 -40 -40 -41 -41 -41 -49 -49 -49 -49 -49 -49 -4b -49 -4b -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -51 -51 -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4e -4d -4d -4d -4d -4d -4a -4a -4a -4a -49 -49 -49 -49 -41 -41 -3f -3e -3e -3e -39 -39 -38 -38 -38 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2d -27 -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -27 -27 -2d -2d -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -34 -34 -34 -38 -38 -38 -39 -39 -39 -3e -3e -3e -3e -40 -40 -40 -41 -49 -49 -49 -49 -49 -49 -49 -49 -49 -4b -4c -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4d -4d -4d -4d -4d -4d -4d -4d -4a -4a -4a -4a -4a -49 -49 -49 -49 -49 -41 -41 -3e -3e -3e -39 -39 -39 -38 -38 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2d -28 -24 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -27 -27 -28 -2d -2e -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -34 -34 -34 -34 -38 -38 -38 -39 -39 -39 -3e -3e -3e -3e -3e -40 -40 -41 -41 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -4a -4c -4c -4c -4c -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4d -4d -4d -4d -4d -4d -4d -4d -4a -4a -4a -4a -4a -4a -49 -49 -49 -49 -41 -41 -3f -3e -39 -39 -39 -39 -39 -38 -38 -38 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2d -2d -27 -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -27 -27 -28 -2d -2d -2d -2e -2e -32 -32 -32 -32 -32 -32 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -2f -33 -34 -34 -34 -38 -38 -38 -39 -39 -39 -39 -3e -3e -3e -3e -3e -3e -40 -40 -41 -41 -49 -49 -49 -49 -49 -49 -49 -49 -4a -4a -4a -4a -4a -4a -4c -4c -4c -4e -4c -4d -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4e -4d -4d -4d -4d -4d -4d -4d -4d -4d -4a -4a -4a -4a -4a -4a -49 -49 -49 -41 -41 -3f -3f -3e -39 -39 -39 -39 -39 -39 -39 -39 -38 -38 -38 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2d -2d -27 -24 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -27 -27 -28 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -2f -33 -34 -34 -34 -38 -38 -38 -39 -39 -3e -3e -3e -3e -3e -3e -3e -40 -40 -40 -41 -41 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -4a -4a -4a -4a -4a -4a -4a -4a -4d -4d -4a -4d -4d -4d -4d -4d -4d -4d -4d -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -49 -49 -49 -41 -41 -3f -3f -3f -3e -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2d -27 -24 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -24 -27 -27 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -30 -34 -38 -38 -38 -38 -39 -39 -39 -3e -3e -3e -3e -3e -3e -3e -3e -3e -41 -41 -41 -41 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -49 -49 -41 -3f -3f -3f -3f -3f -3e -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2d -27 -24 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -20 -24 -27 -27 -27 -28 -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -30 -30 -30 -34 -38 -38 -38 -39 -39 -39 -39 -39 -3e -3e -3e -3e -3e -3e -3e -3f -3f -3f -3f -41 -41 -41 -49 -49 -49 -49 -49 -49 -49 -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -49 -49 -41 -41 -3f -3f -3f -3f -3f -39 -39 -39 -39 -39 -39 -34 -35 -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2d -2a -24 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1f -20 -24 -27 -27 -27 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2c -2d -2d -2c -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -34 -34 -34 -38 -38 -38 -38 -39 -39 -39 -3e -3e -3e -3e -3e -3e -3e -3e -3f -3f -3f -3f -3f -3f -41 -41 -41 -49 -49 -49 -49 -49 -49 -49 -4a -49 -49 -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -4a -49 -49 -41 -41 -3f -3f -3f -3e -3e -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2d -27 -1f -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1a -1b -1b -1f -20 -24 -27 -27 -27 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -30 -30 -30 -34 -34 -34 -34 -38 -38 -38 -39 -39 -39 -39 -39 -39 -3e -3e -3e -3e -3e -3e -3f -3f -3f -3f -3f -3f -41 -41 -41 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -4a -49 -49 -49 -4a -4a -49 -49 -4a -49 -49 -49 -49 -49 -41 -41 -3f -3f -3f -3f -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -35 -34 -34 -34 -34 -34 -2e -2d -27 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1a -1a -1b -1b -1f -20 -24 -27 -27 -27 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -2f -30 -30 -34 -34 -38 -38 -38 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3e -3e -3e -3e -3f -3f -3f -3f -3f -3f -41 -41 -41 -41 -49 -49 -49 -41 -49 -41 -41 -41 -41 -41 -41 -49 -49 -41 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -49 -41 -41 -41 -3f -3f -3f -3f -3a -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -37 -37 -37 -37 -37 -35 -35 -35 -35 -34 -34 -34 -34 -32 -2d -2a -24 -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1b -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1b -1b -1f -20 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -30 -30 -30 -30 -30 -30 -34 -34 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3e -3e -3e -3e -3f -3f -3f -3f -3f -3f -3f -3f -3f -41 -41 -41 -41 -41 -41 -41 -41 -41 -41 -41 -41 -3f -41 -41 -41 -41 -41 -41 -41 -41 -41 -41 -41 -41 -41 -3f -3f -3f -3f -3f -3a -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -37 -3c -37 -37 -37 -35 -35 -35 -34 -34 -34 -34 -34 -2e -2d -27 -23 -1b -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1b -1b -1f -20 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -30 -30 -30 -30 -30 -34 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3e -3e -3e -3e -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -41 -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -41 -41 -41 -3f -3f -3a -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -37 -37 -37 -37 -37 -37 -35 -35 -35 -34 -34 -34 -34 -34 -2d -2a -24 -1f -1b -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1b -1f -20 -24 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2d -2d -2d -2e -2e -2d -2d -2d -2d -30 -30 -30 -30 -30 -30 -30 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3e -3e -3e -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3a -39 -39 -39 -39 -39 -39 -39 -34 -35 -35 -35 -35 -35 -35 -37 -37 -37 -3c -37 -37 -35 -35 -35 -34 -34 -34 -34 -2e -2d -27 -1f -1b -1b -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -18 -1b -1b -1f -20 -24 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -2a -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -30 -30 -30 -30 -30 -30 -30 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3e -3f -3e -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3f -3a -3a -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -35 -35 -35 -3c -3c -3c -3c -37 -35 -35 -35 -34 -34 -34 -34 -34 -2e -2a -24 -1f -1b -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -1a -1b -1f -20 -24 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3a -3a -3a -3f -3f -3f -3f -3f -3f -3a -3f -3a -3f -3a -3a -3a -3a -3a -3a -3a -3a -3a -3a -3a -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -35 -35 -35 -37 -3c -3c -3c -3c -37 -37 -35 -35 -34 -34 -34 -34 -32 -2d -27 -1f -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -18 -18 -1b -1b -1f -20 -24 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -37 -37 -3c -3c -37 -37 -35 -35 -35 -34 -34 -34 -34 -2e -2d -27 -1f -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -1b -1f -1f -20 -24 -24 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2d -2d -2a -2a -2a -2d -2d -2d -2d -2d -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2d -2d -2e -2e -2e -2e -2e -2e -2e -30 -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -37 -3c -37 -37 -37 -37 -35 -35 -35 -34 -34 -34 -34 -34 -2d -2a -24 -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -18 -18 -1b -1f -20 -20 -24 -24 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -28 -28 -2a -2a -2d -2d -2d -2d -2d -2a -2a -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -35 -39 -37 -35 -35 -35 -35 -35 -35 -35 -35 -3c -3c -3c -39 -35 -35 -37 -35 -34 -34 -34 -34 -34 -34 -2e -2d -28 -20 -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -15 -18 -1b -1b -1f -1f -20 -24 -24 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -28 -28 -2a -2a -2a -2d -2d -2d -2d -2d -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3c -35 -3c -3c -3c -37 -37 -3c -3c -3c -3c -3c -3c -39 -35 -35 -34 -34 -34 -34 -34 -34 -32 -2d -2a -27 -1f -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -15 -18 -1b -1b -1f -1f -20 -24 -24 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2d -2d -2d -2d -2d -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -37 -3c -37 -37 -35 -35 -34 -34 -34 -34 -34 -34 -32 -2d -2d -27 -24 -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -18 -1a -1b -1b -1f -1f -20 -24 -24 -24 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2d -2d -2d -2d -2d -2d -2d -2a -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -37 -37 -37 -37 -35 -34 -34 -34 -34 -34 -34 -34 -2e -2d -2a -27 -1f -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -18 -1a -1b -1f -1f -1f -20 -24 -24 -24 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2d -2d -2d -2d -2d -2d -2d -2a -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -37 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -2e -2d -27 -24 -1f -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1a -1a -1b -1f -1f -1f -20 -24 -24 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -37 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -32 -2d -2d -27 -20 -1b -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -15 -1a -1b -1b -1f -1f -1f -1f -24 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -2a -2a -2d -2d -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -37 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2d -2d -2a -27 -1f -1b -1b -19 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -15 -15 -1a -1b -1f -1f -1f -1f -1f -24 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -28 -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -34 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3b -3b -3b -36 -36 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2d -2d -28 -27 -1f -1b -19 -19 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1a -1b -1f -1f -1f -20 -24 -24 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -28 -28 -2d -2a -2a -2d -2d -2d -2d -2d -2a -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -35 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3b -3b -36 -36 -36 -34 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -31 -2d -2d -27 -24 -1f -1b -19 -18 -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -18 -15 -15 -15 -15 -18 -18 -1b -1f -1f -1f -1f -20 -24 -24 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -28 -2a -2a -2a -2a -2d -2d -2d -2a -28 -2d -2d -2d -2d -2d -2d -2a -2d -2d -2d -2d -2d -2d -2d -2e -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -3c -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3b -3b -36 -36 -36 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2d -2d -2d -27 -24 -1f -1b -19 -19 -18 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -15 -15 -15 -15 -15 -18 -1a -1b -1f -1f -1f -1f -24 -24 -24 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -2a -2a -2a -2a -2d -2d -2a -2d -2a -2a -2d -2d -2d -2d -2d -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -37 -37 -37 -37 -36 -36 -36 -36 -34 -36 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -31 -2d -2d -2a -27 -24 -1f -1b -1b -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -15 -15 -15 -14 -15 -18 -1b -1b -1f -1f -1f -20 -24 -24 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -2a -2a -2a -2a -2d -2d -2d -2a -2a -2a -2a -2d -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -37 -37 -35 -37 -37 -35 -36 -36 -36 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -31 -2d -2d -2d -2a -27 -24 -1f -1b -1b -19 -18 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -19 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -14 -15 -18 -18 -1b -1f -1f -1f -1f -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2d -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -2e -2e -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -37 -37 -35 -35 -35 -34 -36 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -31 -2d -2d -2d -2a -27 -24 -1f -1b -1b -19 -15 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -14 -15 -18 -1b -1b -1f -1f -1f -1f -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -2a -2a -2a -2a -2a -2a -2d -2a -2a -2a -28 -28 -28 -2a -2a -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2d -2d -2d -2d -2a -27 -20 -1f -1b -1b -19 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -15 -18 -18 -1b -1f -1f -1f -1f -1f -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -2a -2a -2a -2a -2a -2a -2a -2a -2a -2a -28 -28 -28 -2a -2a -2a -2a -2a -2d -2d -2d -2d -2d -2d -2d -2e -2e -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -37 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2d -2d -2d -2d -27 -27 -1f -1f -1b -1b -19 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -14 -18 -18 -1b -1f -1f -1f -1f -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -28 -2a -2a -2a -28 -2a -28 -28 -2a -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -39 -39 -39 -39 -39 -39 -39 -3c -3c -3c -3c -3c -3c -3c -3c -3c -3c -37 -37 -37 -37 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2d -2d -2a -27 -24 -1f -1f -1b -19 -19 -15 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -15 -18 -1a -1f -1f -1f -1f -20 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -2a -2a -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -34 -34 -35 -35 -39 -39 -39 -39 -35 -39 -39 -3c -35 -3c -35 -35 -35 -37 -3c -35 -37 -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2d -2d -2d -2a -27 -24 -1f -1f -1b -19 -19 -15 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1f -1f -1f -1f -20 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2a -2a -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2d -2d -2d -2a -27 -24 -1f -1f -1b -19 -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1a -1b -1f -1f -1f -1f -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -2a -2a -2a -2d -2d -2d -2d -2d -2d -2d -2e -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -2e -2e -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2d -2d -2d -2d -2a -27 -24 -1f -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1b -1f -1f -1f -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -2a -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -2e -2e -2e -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -35 -34 -34 -34 -35 -35 -35 -35 -34 -35 -35 -35 -35 -35 -35 -35 -35 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -30 -2e -2e -2d -2d -2d -2d -2a -27 -24 -20 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1a -1b -1f -1f -1f -1f -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -2a -2a -2d -2a -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -34 -34 -34 -35 -34 -34 -35 -34 -34 -34 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -30 -2e -2e -2d -2d -2d -2d -2a -27 -27 -20 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -1a -1b -1f -1f -1f -1f -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -2a -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -2e -2e -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -35 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -30 -2e -2e -2d -2d -2d -2d -28 -27 -27 -20 -1f -1b -19 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1b -1f -1f -20 -24 -24 -24 -24 -27 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -2a -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -30 -2e -2e -2d -2d -2d -2d -28 -27 -27 -20 -1f -1b -19 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -1a -1b -1f -1f -1f -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2e -2e -2e -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -30 -30 -2e -2d -2d -2d -2d -2d -28 -27 -27 -24 -1f -1b -1b -16 -15 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -18 -1a -1b -1f -1f -1f -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -28 -28 -2a -2d -2d -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -32 -34 -30 -2e -2e -2d -2d -2d -2d -2d -28 -27 -27 -20 -1f -1b -1b -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -16 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1f -1f -1f -1f -1f -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -2a -28 -2a -2a -2a -28 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -34 -32 -2e -2e -2d -2d -2d -2d -2d -2d -28 -27 -27 -24 -1f -1b -19 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -1b -1f -1f -1f -20 -1f -24 -24 -24 -27 -27 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -2a -2a -28 -2a -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2d -2d -2d -2d -2d -2d -28 -27 -27 -24 -1f -1b -19 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -18 -1a -1b -1f -1f -1f -1f -1f -24 -24 -24 -27 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -28 -28 -2a -28 -2a -2d -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2e -2e -2e -2d -2d -2d -2d -2d -28 -28 -27 -27 -24 -1f -1b -19 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -15 -18 -1a -1b -1f -1f -1f -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -28 -28 -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2e -2e -2e -2e -2d -2d -2d -2d -28 -28 -27 -27 -24 -1f -1b -19 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -15 -18 -1b -1b -1f -1f -1f -1f -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -30 -30 -30 -30 -30 -30 -30 -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -28 -27 -27 -24 -1f -1b -1b -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -15 -18 -1b -1b -1f -1f -1f -1f -24 -1f -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -30 -2f -2f -2d -33 -2d -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -34 -34 -32 -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -28 -28 -27 -24 -1f -1b -1b -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -15 -15 -18 -1b -1b -1f -1f -1f -1f -24 -20 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -34 -32 -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -28 -28 -27 -24 -1f -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -15 -15 -18 -1a -1b -1b -1f -1f -1f -1f -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -33 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -32 -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -28 -28 -27 -24 -1f -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -15 -18 -1a -1b -1b -1f -1f -1f -1f -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -33 -33 -33 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -34 -34 -32 -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -28 -28 -27 -27 -1f -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -14 -15 -18 -1a -1b -1f -1f -1f -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -33 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -34 -34 -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -28 -28 -27 -27 -24 -1f -1b -19 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -14 -15 -18 -1b -1b -1f -1f -1f -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -28 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -33 -33 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -34 -32 -32 -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -28 -28 -27 -27 -24 -1f -1b -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -15 -18 -18 -1b -1b -1f -1f -1f -1f -20 -24 -20 -20 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -28 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -33 -33 -33 -34 -33 -34 -34 -34 -34 -34 -34 -34 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2a -28 -27 -27 -24 -1f -1b -1a -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -15 -18 -1a -1b -1b -1f -1f -1f -1f -20 -24 -20 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2f -2d -33 -33 -33 -34 -34 -34 -34 -33 -33 -34 -33 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2a -28 -27 -27 -24 -1f -1b -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -15 -18 -1a -1b -1b -1f -1f -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -28 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -31 -33 -33 -34 -33 -33 -33 -33 -33 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -32 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2a -28 -27 -27 -24 -1f -1b -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -18 -18 -1b -1b -1f -1f -1f -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -24 -24 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2b -2d -2d -2d -2d -2d -28 -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -34 -34 -34 -34 -33 -33 -33 -33 -33 -33 -33 -33 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -32 -32 -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2a -28 -27 -27 -24 -1f -1f -1b -1a -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -14 -14 -15 -18 -1a -1b -1b -1f -1f -1f -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -24 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -32 -34 -34 -33 -33 -33 -34 -33 -33 -33 -33 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -27 -27 -24 -1f -1f -1b -1a -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -15 -14 -14 -14 -15 -18 -1b -1b -1f -1f -1f -1f -1f -1f -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -24 -24 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2b -2d -2d -2d -2d -28 -2d -28 -2d -2d -2d -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2e -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2e -2e -2e -2d -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -27 -27 -24 -1f -1f -1b -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -14 -14 -14 -15 -18 -1b -1b -1f -1f -1f -1f -1f -1f -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -24 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -28 -2d -2b -2d -2d -2d -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2d -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -30 -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -27 -27 -24 -1f -1f -1b -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -15 -14 -13 -14 -14 -18 -1a -1b -1b -1f -1f -1f -1f -1f -1f -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2d -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -30 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -27 -27 -27 -1f -1f -1b -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0e -14 -14 -15 -18 -1a -1b -1f -1f -1f -1f -1f -1f -1f -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -27 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2b -28 -2d -28 -2b -2d -2d -2d -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2d -2d -2e -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -2e -32 -2e -2d -2d -2d -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -28 -27 -27 -27 -20 -1f -1b -1a -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -14 -12 -0d -13 -14 -15 -18 -1a -1b -1f -1f -1f -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -28 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -2b -28 -28 -2d -28 -2d -2d -2d -2d -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2d -32 -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -27 -27 -27 -24 -1f -1f -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0d -0d -14 -14 -15 -18 -1b -1b -1f -1f -1f -1f -20 -20 -20 -24 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -27 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2b -2d -2b -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2e -2e -2e -2e -2e -2e -2d -2d -2e -2d -2d -2d -2d -2e -2d -2d -2d -2e -2e -31 -2e -2e -2e -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -34 -32 -32 -34 -34 -32 -34 -34 -32 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -27 -27 -27 -24 -1f -1f -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0d -0d -0d -14 -14 -15 -1a -1b -1b -1f -1f -1f -1f -20 -20 -20 -24 -20 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -28 -28 -27 -27 -28 -28 -28 -28 -28 -27 -27 -27 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -2d -2d -2b -2b -2d -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2e -2d -2d -2e -2d -2e -2e -2e -2e -31 -2e -30 -30 -30 -34 -32 -32 -32 -34 -34 -34 -34 -32 -32 -32 -32 -32 -32 -32 -34 -34 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -27 -27 -27 -24 -1f -1f -1b -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0d -0d -0d -0d -14 -14 -15 -1a -1b -1b -1f -1f -1f -1f -20 -20 -20 -24 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -28 -27 -27 -27 -27 -27 -28 -27 -27 -27 -27 -27 -28 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -28 -2d -2d -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -31 -2d -2e -34 -2e -34 -32 -32 -32 -2e -32 -34 -32 -34 -34 -32 -32 -34 -34 -32 -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -2a -27 -27 -27 -24 -1f -1f -1b -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0d -0d -0d -0d -0d -12 -14 -18 -1a -1b -1b -1f -1f -1f -1f -20 -20 -20 -24 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2b -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -31 -31 -2e -32 -32 -32 -34 -32 -32 -32 -32 -32 -32 -32 -32 -34 -32 -32 -32 -32 -32 -32 -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -27 -27 -27 -27 -20 -1f -1b -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0d -0d -0d -0d -0d -0d -12 -14 -18 -1a -1b -1f -1f -1f -1f -20 -20 -20 -20 -20 -24 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -34 -2e -2e -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -34 -34 -32 -2e -2e -32 -32 -32 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -27 -27 -27 -27 -24 -1f -1f -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -0e -0d -0d -0d -0d -0d -0d -12 -14 -18 -1a -1b -1f -1f -1f -20 -20 -20 -20 -20 -20 -24 -24 -24 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2e -2e -2e -2e -2e -2e -2e -34 -2e -2e -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -2e -2e -32 -32 -32 -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -28 -27 -27 -27 -24 -1f -1f -1b -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -12 -0d -0d -0d -0c -0c -0d -0d -14 -14 -18 -1b -1b -1f -1f -1f -20 -20 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -24 -24 -27 -27 -27 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -27 -28 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -32 -2e -32 -2e -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -2e -32 -32 -32 -32 -32 -32 -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -28 -27 -27 -27 -24 -1f -1f -1b -1a -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0d -0d -0d -08 -08 -0c -0d -0d -14 -14 -18 -1b -1b -1f -1f -1f -20 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -24 -24 -24 -24 -27 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -27 -27 -27 -27 -27 -27 -28 -28 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -2e -2e -32 -32 -32 -32 -32 -32 -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -2a -27 -27 -27 -27 -20 -1f -1b -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0d -0d -0d -06 -08 -08 -0c -0d -0d -14 -15 -18 -1b -1b -1f -1f -1f -20 -20 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -27 -27 -27 -27 -27 -27 -28 -28 -28 -27 -28 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2e -2e -2e -2e -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -2e -32 -32 -32 -2e -32 -32 -32 -32 -32 -32 -32 -32 -2e -2e -2e -32 -32 -32 -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -27 -27 -27 -27 -20 -1f -1b -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0d -0d -0d -08 -06 -06 -08 -0d -0d -0d -14 -15 -18 -1b -1b -1f -1f -20 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -27 -27 -27 -27 -27 -27 -27 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2d -2d -2e -2e -2e -2d -2d -2e -2e -2e -2e -2d -2e -2e -2e -2e -2e -2e -2e -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -2e -32 -32 -32 -32 -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -28 -27 -27 -27 -24 -1f -1f -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -14 -0d -0d -0d -08 -06 -06 -06 -08 -0d -0d -0e -14 -15 -18 -1b -1b -1f -1f -20 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -27 -27 -28 -28 -28 -28 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -27 -27 -28 -28 -28 -28 -28 -28 -28 -2d -2d -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2d -2e -2e -2e -2d -2d -2e -2e -2e -2e -2d -2e -2e -2e -2e -2e -2e -2e -32 -32 -32 -32 -32 -32 -2e -32 -32 -32 -32 -32 -32 -32 -32 -32 -2e -32 -32 -32 -2e -32 -2e -2e -2e -2e -2e -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -2a -27 -27 -27 -24 -1f -1f -1b -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -0d -0d -0c -06 -06 -06 -06 -06 -0d -0d -12 -14 -15 -1a -1b -1f -1f -1f -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -28 -27 -27 -27 -27 -28 -27 -28 -28 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2b -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2e -2e -2e -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -34 -32 -32 -32 -32 -2e -32 -2e -32 -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -29 -27 -27 -24 -1f -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -0e -0d -0d -06 -06 -06 -06 -06 -08 -0d -0d -12 -14 -18 -1a -1b -1f -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -24 -27 -27 -27 -27 -27 -27 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2b -28 -28 -2d -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -32 -2e -32 -32 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -29 -27 -27 -24 -20 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -0d -0d -08 -06 -06 -06 -06 -06 -08 -0d -0d -14 -14 -18 -1a -1b -1f -20 -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -24 -24 -27 -27 -27 -27 -27 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -27 -28 -28 -27 -27 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -32 -32 -32 -2e -32 -32 -32 -32 -32 -2e -2e -32 -32 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -2a -27 -27 -24 -20 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -0e -0d -08 -06 -06 -06 -06 -06 -06 -08 -0d -0d -14 -14 -18 -1b -1b -1f -1f -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -24 -27 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -27 -27 -27 -28 -28 -28 -28 -27 -27 -27 -27 -28 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -2e -2e -32 -32 -32 -32 -32 -32 -2e -32 -32 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -28 -27 -27 -27 -24 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -0d -0d -08 -06 -06 -06 -06 -06 -06 -08 -0d -0d -14 -15 -18 -1b -1b -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -24 -27 -24 -27 -24 -27 -27 -24 -24 -27 -27 -27 -27 -27 -27 -27 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -2e -2e -2e -2e -32 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2a -28 -28 -27 -27 -24 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -12 -0d -08 -06 -06 -06 -06 -06 -06 -06 -0d -0d -0d -14 -15 -18 -1b -1f -1f -20 -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -24 -24 -27 -27 -27 -24 -24 -24 -27 -27 -27 -24 -27 -27 -27 -27 -27 -27 -27 -27 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -28 -28 -27 -27 -24 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -0e -0d -06 -06 -06 -06 -06 -06 -06 -06 -0d -0d -13 -14 -15 -18 -1b -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -27 -27 -27 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -34 -2e -2e -2e -2e -2e -2d -2d -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -28 -28 -27 -27 -24 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -12 -0d -08 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -13 -14 -15 -1a -1b -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -28 -28 -27 -28 -28 -28 -28 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -32 -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -28 -28 -28 -27 -27 -24 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -14 -0d -09 -06 -06 -06 -06 -06 -06 -06 -06 -08 -0d -0d -14 -14 -15 -1a -1b -1f -1f -20 -20 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -24 -27 -27 -24 -24 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -27 -28 -27 -27 -27 -27 -27 -27 -27 -27 -28 -27 -27 -28 -28 -27 -27 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -28 -2a -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -2e -32 -2e -2e -2e -2e -2e -2e -2e -2e -2d -2d -2e -2e -2d -2d -2d -2e -2e -2e -2e -2e -2e -2e -2d -2d -2d -2d -2d -2d -2d -2d -28 -28 -28 -28 -27 -24 -1f -1b -18 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 -15 diff --git a/examples/nexys_a7/video_sprite/data/palette.mem b/examples/nexys_a7/video_sprite/data/palette.mem deleted file mode 100644 index 6188001..0000000 --- a/examples/nexys_a7/video_sprite/data/palette.mem +++ /dev/null @@ -1,256 +0,0 @@ -fed -fec -eed -fdd -fdc -edd -edc -edb -ddc -ddb -ecc -ecb -dcc -dcb -dca -ccb -cca -dbb -dba -cbb -cba -cb9 -cb8 -caa -ca9 -ca8 -ba9 -ba8 -c99 -c98 -b99 -b98 -b97 -b88 -b87 -a98 -a97 -a96 -a88 -a87 -a86 -987 -986 -a76 -977 -976 -975 -966 -965 -876 -875 -866 -865 -864 -765 -764 -855 -854 -853 -755 -754 -753 -844 -843 -744 -743 -654 -653 -644 -643 -642 -543 -542 -733 -732 -633 -632 -722 -622 -533 -532 -522 -521 -432 -431 -422 -421 -321 -511 -411 -311 -310 -210 -300 -200 -100 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 \ No newline at end of file diff --git a/examples/nexys_a7/video_sprite/manta.yaml b/examples/nexys_a7/video_sprite/manta.yaml index e66d25b..a1d285d 100644 --- a/examples/nexys_a7/video_sprite/manta.yaml +++ b/examples/nexys_a7/video_sprite/manta.yaml @@ -2,13 +2,8 @@ cores: image_mem: type: block_memory - width: 8 - depth: 65536 - - pallete_mem: - type: block_memory - width: 10 - depth: 256 + width: 12 + depth: 16384 uart: port: "auto" diff --git a/examples/nexys_a7/video_sprite/util/no_testbenches.png b/examples/nexys_a7/video_sprite/no_testbenches.png similarity index 100% rename from examples/nexys_a7/video_sprite/util/no_testbenches.png rename to examples/nexys_a7/video_sprite/no_testbenches.png diff --git a/examples/nexys_a7/video_sprite/util/pop_cat.png b/examples/nexys_a7/video_sprite/pop_cat.png similarity index 100% rename from examples/nexys_a7/video_sprite/util/pop_cat.png rename to examples/nexys_a7/video_sprite/pop_cat.png diff --git a/examples/nexys_a7/video_sprite/send_image.py b/examples/nexys_a7/video_sprite/send_image.py new file mode 100644 index 0000000..f0b8c42 --- /dev/null +++ b/examples/nexys_a7/video_sprite/send_image.py @@ -0,0 +1,37 @@ +import sys +from PIL import Image, ImageOps + +if __name__ == "__main__": + if len(sys.argv) < 2: + print("Usage: {0} ".format(sys.argv[0])) + + else: + input_fname = sys.argv[1] + image_in = Image.open(input_fname) + image_in = image_in.convert('RGB') + + # Resize the image + image_in = image_in.resize((128, 128)) + image_out = image_in.copy() + w, h = image_in.size + + # Take input image and divide each color channel's value by 16 + for y in range(h): + for x in range(w): + r, g, b = image_in.getpixel((x, y)) + image_out.putpixel((x,y), (r//16, g//16, b//16)) + + + # Save the image itself + pixels = [] + for y in range(h): + for x in range(w): + (r, g, b) = image_out.getpixel((x,y)) + color = (r*16*16) + (g*16) + (b) + pixels.append(color) + + from manta import Manta + m = Manta('manta.yaml') + + for addr, pixel in enumerate(pixels): + m.image_mem.write(addr, pixel) \ No newline at end of file diff --git a/examples/nexys_a7/video_sprite/sim/alpha_tb.sv b/examples/nexys_a7/video_sprite/sim/alpha_tb.sv deleted file mode 100644 index 067f21c..0000000 --- a/examples/nexys_a7/video_sprite/sim/alpha_tb.sv +++ /dev/null @@ -1,51 +0,0 @@ -`timescale 1ns / 1ps -`default_nettype none - -module alpha_tester(input wire [2:0] alpha_in, - input wire [11:0] a_in, - input wire [11:0] b_in, - output logic [11:0] pixel_out); - - // your (combinational) alpha blending logic goes here! - // replace the code below with your bit math - logic [3:0] r, g, b; - assign r = 0; - assign g = 0; - assign b = 0; - assign pixel_out = {r, g, b}; -endmodule - -module alpha_tb; - logic [2:0] alpha_in; - logic [11:0] a_in; - logic [11:0] b_in; - logic [11:0] pixel_out; - - alpha_tester uut (.alpha_in(alpha_in), - .a_in(a_in), - .b_in(b_in), - .pixel_out(pixel_out)); - - //initial block...this is our test simulation - initial begin - $dumpfile("alpha.vcd"); //file to store value change dump (vcd) - $dumpvars(0,alpha_tb); //store everything at the current level and below - $display("Starting Sim"); //print nice message - a_in = 12'hF00; - b_in = 12'hFFF; - alpha_in = 0; - #10 //wait a little bit of time at beginning - $display("a_in = %12b b_in = %12b",a_in, b_in); - for (integer i = 0; i<5; i= i+1)begin - alpha_in = i; - #10; - $display("alpha_in = %d pixel_out = %03h", alpha_in, pixel_out); - end - #100; - $display("Finishing Sim"); //print nice message - $finish; - - end -endmodule //counter_tb - -`default_nettype wire \ No newline at end of file diff --git a/examples/nexys_a7/video_sprite/sim/image_sprite_tb.sv b/examples/nexys_a7/video_sprite/sim/image_sprite_tb.sv deleted file mode 100644 index a191f2a..0000000 --- a/examples/nexys_a7/video_sprite/sim/image_sprite_tb.sv +++ /dev/null @@ -1,50 +0,0 @@ -`timescale 1ns / 1ps -`default_nettype none - -module image_sprite_tb; - - //make logics for inputs and outputs! - logic pixel_clk_in; - logic rst_in; - logic [11:0] pixel_out; - logic [10:0] hcount_in; - - image_sprite #(.WIDTH(256), .HEIGHT(256)) - uut - ( .pixel_clk_in(pixel_clk_in), - .rst_in(rst_in), - .x_in(11'd256), - .hcount_in(hcount_in), - .y_in(10'd256), - .vcount_in(10'd380), - .pixel_out(pixel_out) - ); - always begin - #5; //every 5 ns switch...so period of clock is 10 ns...100 MHz clock - pixel_clk_in = !pixel_clk_in; - end - - //initial block...this is our test simulation - initial begin - $dumpfile("image_sprite.vcd"); //file to store value change dump (vcd) - $dumpvars(0,image_sprite_tb); //store everything at the current level and below - $display("Starting Sim"); //print nice message - pixel_clk_in = 0; //initialize clk (super important) - rst_in = 0; //initialize rst (super important) - hcount_in = 0; - #10 //wait a little bit of time at beginning - rst_in = 1; //reset system - #10; //hold high for a few clock cycles - rst_in=0; - #10; - for (hcount_in = 0; hcount_in<1025; hcount_in = hcount_in + 1)begin - #10; - end - #100; - $display("Finishing Sim"); //print nice message - $finish; - - end -endmodule //counter_tb - -`default_nettype wire diff --git a/examples/nexys_a7/video_sprite/src/dual_port_bram.v b/examples/nexys_a7/video_sprite/src/dual_port_bram.v new file mode 100644 index 0000000..cc8d6a3 --- /dev/null +++ b/examples/nexys_a7/video_sprite/src/dual_port_bram.v @@ -0,0 +1,60 @@ + +// Xilinx True Dual Port RAM, Read First, Dual Clock +// This code implements a parameterizable true dual port memory (both ports can read and write). +// The behavior of this RAM is when data is written, the prior memory contents at the write +// address are presented on the output port. If the output data is +// not needed during writes or the last read value is desired to be retained, +// it is suggested to use a no change RAM as it is more power efficient. +// If a reset or enable is not necessary, it may be tied off or removed from the code. + +// Modified from the xilinx_true_dual_port_read_first_2_clock_ram verilog language template. + +module dual_port_bram #( + parameter RAM_WIDTH = 0, + parameter RAM_DEPTH = 0 + ) ( + input wire [$clog2(RAM_DEPTH-1)-1:0] addra, + input wire [$clog2(RAM_DEPTH-1)-1:0] addrb, + input wire [RAM_WIDTH-1:0] dina, + input wire [RAM_WIDTH-1:0] dinb, + input wire clka, + input wire clkb, + input wire wea, + input wire web, + output wire [RAM_WIDTH-1:0] douta, + output wire [RAM_WIDTH-1:0] doutb + ); + + // The following code either initializes the memory values to a specified file or to all zeros to match hardware + generate + integer i; + initial begin + for (i = 0; i < RAM_DEPTH; i = i + 1) + BRAM[i] = {RAM_WIDTH{1'b0}}; + end + endgenerate + + reg [RAM_WIDTH-1:0] BRAM [RAM_DEPTH-1:0]; + reg [RAM_WIDTH-1:0] ram_data_a = {RAM_WIDTH{1'b0}}; + reg [RAM_WIDTH-1:0] ram_data_b = {RAM_WIDTH{1'b0}}; + + always @(posedge clka) begin + if (wea) BRAM[addra] <= dina; + ram_data_a <= BRAM[addra]; + end + + always @(posedge clkb) begin + if (web) BRAM[addrb] <= dinb; + ram_data_b <= BRAM[addrb]; + end + + // Add a 2 clock cycle read latency to improve clock-to-out timing + reg [RAM_WIDTH-1:0] douta_reg = {RAM_WIDTH{1'b0}}; + reg [RAM_WIDTH-1:0] doutb_reg = {RAM_WIDTH{1'b0}}; + + always @(posedge clka) douta_reg <= ram_data_a; + always @(posedge clkb) doutb_reg <= ram_data_b; + + assign douta = douta_reg; + assign doutb = doutb_reg; +endmodule diff --git a/examples/nexys_a7/video_sprite/src/iverilog_hack.svh b/examples/nexys_a7/video_sprite/src/iverilog_hack.svh deleted file mode 100644 index 3eea34c..0000000 --- a/examples/nexys_a7/video_sprite/src/iverilog_hack.svh +++ /dev/null @@ -1,5 +0,0 @@ -`ifdef SYNTHESIS -`define FPATH(X) `"X`" -`else /* ! SYNTHESIS */ -`define FPATH(X) `"data/X`" -`endif /* ! SYNTHESIS */ diff --git a/examples/nexys_a7/video_sprite/src/ssd.v b/examples/nexys_a7/video_sprite/src/ssd.v new file mode 100644 index 0000000..dc27ee4 --- /dev/null +++ b/examples/nexys_a7/video_sprite/src/ssd.v @@ -0,0 +1,85 @@ +module ssd( + input wire clk_in, + input wire rst_in, + input wire [31:0] val_in, + output reg[6:0] cat_out, + output reg[7:0] an_out); + + parameter COUNT_TO = 100000; + logic[7:0] segment_state; + logic[31:0] segment_counter; + logic [3:0] routed_vals; + logic [6:0] led_out; + + bto7s mbto7s (.x_in(routed_vals), .s_out(led_out)); + + assign cat_out = ~led_out; + assign an_out = ~segment_state; + + always @(*) begin + case(segment_state) + 8'b0000_0001: routed_vals = val_in[3:0]; + 8'b0000_0010: routed_vals = val_in[7:4]; + 8'b0000_0100: routed_vals = val_in[11:8]; + 8'b0000_1000: routed_vals = val_in[15:12]; + 8'b0001_0000: routed_vals = val_in[19:16]; + 8'b0010_0000: routed_vals = val_in[23:20]; + 8'b0100_0000: routed_vals = val_in[27:24]; + 8'b1000_0000: routed_vals = val_in[31:28]; + default: routed_vals = val_in[3:0]; + endcase + end + + always @(posedge clk_in) begin + if (rst_in) begin + segment_state <= 8'b0000_0001; + segment_counter <= 32'b0; + end + + else begin + if (segment_counter == COUNT_TO) begin + segment_counter <= 32'd0; + segment_state <= {segment_state[6:0],segment_state[7]}; + end else begin + segment_counter <= segment_counter +1; + end + end + end +endmodule + + +module bto7s( + input wire [3:0] x_in, + output reg [6:0] s_out); + + reg sa, sb, sc, sd, se, sf, sg; + assign s_out = {sg, sf, se, sd, sc, sb, sa}; + + // array of bits that are "one hot" with numbers 0 through 15 + reg [15:0] num; + + assign num[0] = ~x_in[3] && ~x_in[2] && ~x_in[1] && ~x_in[0]; + assign num[1] = ~x_in[3] && ~x_in[2] && ~x_in[1] && x_in[0]; + assign num[2] = x_in == 4'd2; + assign num[3] = x_in == 4'd3; + assign num[4] = x_in == 4'd4; + assign num[5] = x_in == 4'd5; + assign num[6] = x_in == 4'd6; + assign num[7] = x_in == 4'd7; + assign num[8] = x_in == 4'd8; + assign num[9] = x_in == 4'd9; + assign num[10] = x_in == 4'd10; + assign num[11] = x_in == 4'd11; + assign num[12] = x_in == 4'd12; + assign num[13] = x_in == 4'd13; + assign num[14] = x_in == 4'd14; + assign num[15] = x_in == 4'd15; + + assign sa = num[0] || num[2] || num[3] || num[5] || num[6] || num[7] || num[8] || num[9] || num[10] || num[12] ||num[14] ||num[15]; + assign sb = num[0] || num[1] || num[2] || num[3] || num[4] || num[7] || num[8] || num[9] || num[10] || num[13]; + assign sc = num[0] || num[1] || num[3] || num[4] || num[5] || num[6] || num[7] || num[8] || num[9] || num[10] || num[11] || num[13]; + assign sd = num[0] || num[2] || num[3] || num[5] || num[6] || num[8] || num[9] || num[11] || num[12] || num[13] || num[14]; + assign se = num[0] || num[2] || num[6] || num[8] || num[10] || num[11] || num[12] || num[13] || num[14] || num[15]; + assign sf = num[0] || num[4] || num[5] || num[6] || num[8] || num[9] || num[10] || num[11] || num[12] || num[14] || num[15]; + assign sg = num[2] || num[3] || num[4] || num[5] || num[6] || num[8] || num[9] || num[10] || num[11] || num[13] || num[14] ||num[15]; +endmodule diff --git a/examples/nexys_a7/video_sprite/src/top_level.sv b/examples/nexys_a7/video_sprite/src/top_level.sv index 8f74683..eb14822 100644 --- a/examples/nexys_a7/video_sprite/src/top_level.sv +++ b/examples/nexys_a7/video_sprite/src/top_level.sv @@ -1,91 +1,89 @@ -`timescale 1ns / 1ps -`default_nettype none + `timescale 1ns / 1ps + `default_nettype none -module top_level( - input wire clk_100mhz, - input wire [15:0] sw, - input wire btnc, btnu, btnl, btnr, btnd, + module top_level( + input wire clk_100mhz, - output logic [15:0] led, + output logic [3:0] vga_r, vga_g, vga_b, + output logic vga_hs, vga_vs, - output logic [3:0] vga_r, vga_g, vga_b, - output logic vga_hs, vga_vs - ); + input wire btnc, + output logic [15:0] led, + output logic ca, cb, cc, cd, ce, cf, cg, + output logic [7:0] an, - logic clk_65mhz; + input wire uart_txd_in, + output logic uart_rxd_out); - clk_wiz_lab3 clk_gen( - .clk_in1(clk_100mhz), - .clk_out1(clk_65mhz)); + logic clk_65mhz; - // VGA signals - logic [10:0] hcount; - logic [9:0] vcount; - logic hsync, vsync, blank; + clk_wiz_lab3 clk_gen( + .clk_in1(clk_100mhz), + .clk_out1(clk_65mhz)); - vga vga_gen( - .pixel_clk_in(clk_65mhz), - .hcount_out(hcount), - .vcount_out(vcount), - .hsync_out(hsync), - .vsync_out(vsync), - .blank_out(blank)); + // VGA signals + logic [10:0] hcount; + logic [9:0] vcount; + logic hsync, vsync, blank; - localparam WIDTH = 256; - localparam HEIGHT = 256; + vga vga_gen( + .pixel_clk_in(clk_65mhz), + .hcount_out(hcount), + .vcount_out(vcount), + .hsync_out(hsync), + .vsync_out(vsync), + .blank_out(blank)); - // calculate rom address - logic [$clog2(WIDTH*HEIGHT)-1:0] image_addr; - assign image_addr = (hcount_in - x_in) + ((vcount_in - y_in) * WIDTH); + localparam WIDTH = 128; + localparam HEIGHT = 128; - logic in_sprite; - assign in_sprite = ((hcount_in >= x_in && hcount_in < (x_in + WIDTH)) && - (vcount_in >= y_in && vcount_in < (y_in + HEIGHT))); + localparam X = 0; + localparam Y = 0; - // image BRAM - xilinx_single_port_ram_read_first #( - .RAM_WIDTH(8), - .RAM_DEPTH(WIDTH*HEIGHT), - .RAM_PERFORMANCE("HIGH_PERFORMANCE"), - .INIT_FILE(`FPATH(image.mem)) - ) image_bram ( - .addra(image_addr), - .dina(), - .clka(clk_65mhz), - .wea(1'b0), - .ena(1'b1), - .rsta(1'b0), - .regcea(1'b1), - .douta(color_lookup)); + // calculate rom address + logic [$clog2(WIDTH*HEIGHT)-1:0] image_addr; + assign image_addr = (hcount - X) + ((vcount - Y) * WIDTH); - // lookup - logic [7:0] color_lookup; + logic in_sprite; + assign in_sprite = ((hcount >= X && hcount < (X + WIDTH)) && + (vcount >= Y && vcount < (Y + HEIGHT))); - // pallete BRAM - xilinx_single_port_ram_read_first #( - .RAM_WIDTH(12), - .RAM_DEPTH(256), - .RAM_PERFORMANCE("HIGH_PERFORMANCE"), - .INIT_FILE(`FPATH(palette.mem)) - ) pallete_bram ( - .addra(color_lookup), - .dina(), - .clka(clk_65mhz), - .wea(1'b0), - .ena(1'b1), - .rsta(1'b0), - .regcea(1'b1), - .douta(color)); + manta manta_inst ( + .clk(clk_65mhz), - logic [11:0] color; + .rx(uart_txd_in), + .tx(uart_rxd_out), - // the following lines are required for the Nexys4 VGA circuit - do not change - assign vga_r = ~blank ? color[11:8]: 0; - assign vga_g = ~blank ? color[7:4] : 0; - assign vga_b = ~blank ? color[3:0] : 0; + .image_mem_clk(clk_65mhz), + .image_mem_addr(image_addr), + .image_mem_din(), + .image_mem_dout(sprite_color), + .image_mem_we(1'b0)); - assign vga_hs = ~hsync; - assign vga_vs = ~vsync; -endmodule + logic [11:0] sprite_color; + logic [11:0] color; + assign color = in_sprite ? sprite_color : 12'h0; -`default_nettype wire + // the following lines are required for the Nexys4 VGA circuit - do not change + assign vga_r = ~blank ? color[11:8]: 0; + assign vga_g = ~blank ? color[7:4] : 0; + assign vga_b = ~blank ? color[3:0] : 0; + + assign vga_hs = ~hsync; + assign vga_vs = ~vsync; + + + // debug + assign led = manta_inst.brx_image_mem_addr; + + logic [6:0] cat; + assign {cg,cf,ce,cd,cc,cb,ca} = cat; + ssd ssd ( + .clk_in(clk_65mhz), + .rst_in(btnc), + .val_in( {manta_inst.image_mem_btx_rdata, manta_inst.brx_image_mem_wdata} ), + .cat_out(cat), + .an_out(an)); + endmodule + + `default_nettype wire diff --git a/examples/nexys_a7/video_sprite/util/death_star.png b/examples/nexys_a7/video_sprite/util/death_star.png deleted file mode 100644 index c16cdd6..0000000 Binary files a/examples/nexys_a7/video_sprite/util/death_star.png and /dev/null differ diff --git a/examples/nexys_a7/video_sprite/util/img_to_mem.py b/examples/nexys_a7/video_sprite/util/img_to_mem.py deleted file mode 100644 index a5edb3e..0000000 --- a/examples/nexys_a7/video_sprite/util/img_to_mem.py +++ /dev/null @@ -1,48 +0,0 @@ -import sys -from PIL import Image, ImageOps - -if __name__ == "__main__": - if len(sys.argv) < 2: - print("Usage: {0} ".format(sys.argv[0])) - - else: - input_fname = sys.argv[1] - image_in = Image.open(input_fname) - image_in = image_in.convert('RGB') - - num_colors_out = 256 - w, h = image_in.size - print(f'Reducing {input_fname} of size {w}x{h} to {num_colors_out} unique colors.') - - # Take input image and divide each color channel's value by 16 - preview = image_in.copy() - image_out = image_in.copy() - - for y in range(h): - for x in range(w): - r, g, b = image_in.getpixel((x, y)) - image_out.putpixel((x,y), (r//16, g//16, b//16)) - preview.putpixel((x,y), ((r//16)*16, (g//16)*16, (b//16)*16) ) - - # Save the image preview - preview.save('preview.png') - print('Output image preview saved at preview.png') - - # Palettize the image - image_out = image_out.convert(mode='P', palette=1, colors=num_colors_out) - palette = image_out.getpalette() - rgb_tuples = [tuple(palette[i:i+3]) for i in range(0, 3*num_colors_out, 3)] - - # Save pallete - with open(f'palette.mem', 'w') as f: - f.write( '\n'.join( [f'{r:01x}{g:01x}{b:01x}' for r, g, b in rgb_tuples] ) ) - - print('Output image pallete saved at palette.mem') - - # Save the image itself - with open(f'image.mem', 'w') as f: - for y in range(h): - for x in range(w): - f.write(f'{image_out.getpixel((x,y)):02x}\n') - - print('Output image saved at image.mem') \ No newline at end of file diff --git a/examples/nexys_a7/video_sprite/xdc/top_level.xdc b/examples/nexys_a7/video_sprite/xdc/top_level.xdc index a6c3e9e..9ca45f2 100644 --- a/examples/nexys_a7/video_sprite/xdc/top_level.xdc +++ b/examples/nexys_a7/video_sprite/xdc/top_level.xdc @@ -18,22 +18,22 @@ create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports {cl ##Switches -set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { sw[0] }]; #IO_L24N_T3_RS0_15 Sch=sw[0] -set_property -dict { PACKAGE_PIN L16 IOSTANDARD LVCMOS33 } [get_ports { sw[1] }]; #IO_L3N_T0_DQS_EMCCLK_14 Sch=sw[1] -set_property -dict { PACKAGE_PIN M13 IOSTANDARD LVCMOS33 } [get_ports { sw[2] }]; #IO_L6N_T0_D08_VREF_14 Sch=sw[2] -set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33 } [get_ports { sw[3] }]; #IO_L13N_T2_MRCC_14 Sch=sw[3] -set_property -dict { PACKAGE_PIN R17 IOSTANDARD LVCMOS33 } [get_ports { sw[4] }]; #IO_L12N_T1_MRCC_14 Sch=sw[4] -set_property -dict { PACKAGE_PIN T18 IOSTANDARD LVCMOS33 } [get_ports { sw[5] }]; #IO_L7N_T1_D10_14 Sch=sw[5] -set_property -dict { PACKAGE_PIN U18 IOSTANDARD LVCMOS33 } [get_ports { sw[6] }]; #IO_L17N_T2_A13_D29_14 Sch=sw[6] -set_property -dict { PACKAGE_PIN R13 IOSTANDARD LVCMOS33 } [get_ports { sw[7] }]; #IO_L5N_T0_D07_14 Sch=sw[7] -set_property -dict { PACKAGE_PIN T8 IOSTANDARD LVCMOS18 } [get_ports { sw[8] }]; #IO_L24N_T3_34 Sch=sw[8] -set_property -dict { PACKAGE_PIN U8 IOSTANDARD LVCMOS18 } [get_ports { sw[9] }]; #IO_25_34 Sch=sw[9] -set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33 } [get_ports { sw[10] }]; #IO_L15P_T2_DQS_RDWR_B_14 Sch=sw[10] -set_property -dict { PACKAGE_PIN T13 IOSTANDARD LVCMOS33 } [get_ports { sw[11] }]; #IO_L23P_T3_A03_D19_14 Sch=sw[11] -set_property -dict { PACKAGE_PIN H6 IOSTANDARD LVCMOS33 } [get_ports { sw[12] }]; #IO_L24P_T3_35 Sch=sw[12] -set_property -dict { PACKAGE_PIN U12 IOSTANDARD LVCMOS33 } [get_ports { sw[13] }]; #IO_L20P_T3_A08_D24_14 Sch=sw[13] -set_property -dict { PACKAGE_PIN U11 IOSTANDARD LVCMOS33 } [get_ports { sw[14] }]; #IO_L19N_T3_A09_D25_VREF_14 Sch=sw[14] -set_property -dict { PACKAGE_PIN V10 IOSTANDARD LVCMOS33 } [get_ports { sw[15] }]; #IO_L21P_T3_DQS_14 Sch=sw[15] +# set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { sw[0] }]; #IO_L24N_T3_RS0_15 Sch=sw[0] +# set_property -dict { PACKAGE_PIN L16 IOSTANDARD LVCMOS33 } [get_ports { sw[1] }]; #IO_L3N_T0_DQS_EMCCLK_14 Sch=sw[1] +# set_property -dict { PACKAGE_PIN M13 IOSTANDARD LVCMOS33 } [get_ports { sw[2] }]; #IO_L6N_T0_D08_VREF_14 Sch=sw[2] +# set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33 } [get_ports { sw[3] }]; #IO_L13N_T2_MRCC_14 Sch=sw[3] +# set_property -dict { PACKAGE_PIN R17 IOSTANDARD LVCMOS33 } [get_ports { sw[4] }]; #IO_L12N_T1_MRCC_14 Sch=sw[4] +# set_property -dict { PACKAGE_PIN T18 IOSTANDARD LVCMOS33 } [get_ports { sw[5] }]; #IO_L7N_T1_D10_14 Sch=sw[5] +# set_property -dict { PACKAGE_PIN U18 IOSTANDARD LVCMOS33 } [get_ports { sw[6] }]; #IO_L17N_T2_A13_D29_14 Sch=sw[6] +# set_property -dict { PACKAGE_PIN R13 IOSTANDARD LVCMOS33 } [get_ports { sw[7] }]; #IO_L5N_T0_D07_14 Sch=sw[7] +# set_property -dict { PACKAGE_PIN T8 IOSTANDARD LVCMOS18 } [get_ports { sw[8] }]; #IO_L24N_T3_34 Sch=sw[8] +# set_property -dict { PACKAGE_PIN U8 IOSTANDARD LVCMOS18 } [get_ports { sw[9] }]; #IO_25_34 Sch=sw[9] +# set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33 } [get_ports { sw[10] }]; #IO_L15P_T2_DQS_RDWR_B_14 Sch=sw[10] +# set_property -dict { PACKAGE_PIN T13 IOSTANDARD LVCMOS33 } [get_ports { sw[11] }]; #IO_L23P_T3_A03_D19_14 Sch=sw[11] +# set_property -dict { PACKAGE_PIN H6 IOSTANDARD LVCMOS33 } [get_ports { sw[12] }]; #IO_L24P_T3_35 Sch=sw[12] +# set_property -dict { PACKAGE_PIN U12 IOSTANDARD LVCMOS33 } [get_ports { sw[13] }]; #IO_L20P_T3_A08_D24_14 Sch=sw[13] +# set_property -dict { PACKAGE_PIN U11 IOSTANDARD LVCMOS33 } [get_ports { sw[14] }]; #IO_L19N_T3_A09_D25_VREF_14 Sch=sw[14] +# set_property -dict { PACKAGE_PIN V10 IOSTANDARD LVCMOS33 } [get_ports { sw[15] }]; #IO_L21P_T3_DQS_14 Sch=sw[15] ## LEDs @@ -65,35 +65,35 @@ set_property -dict { PACKAGE_PIN V11 IOSTANDARD LVCMOS33 } [get_ports { led[15 ##7 segment display -# set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { ca }]; #IO_L24N_T3_A00_D16_14 Sch=ca -# set_property -dict { PACKAGE_PIN R10 IOSTANDARD LVCMOS33 } [get_ports { cb }]; #IO_25_14 Sch=cb -# set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports { cc }]; #IO_25_15 Sch=cc -# set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33 } [get_ports { cd }]; #IO_L17P_T2_A26_15 Sch=cd -# set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { ce }]; #IO_L13P_T2_MRCC_14 Sch=ce -# set_property -dict { PACKAGE_PIN T11 IOSTANDARD LVCMOS33 } [get_ports { cf }]; #IO_L19P_T3_A10_D26_14 Sch=cf -# set_property -dict { PACKAGE_PIN L18 IOSTANDARD LVCMOS33 } [get_ports { cg }]; #IO_L4P_T0_D04_14 Sch=cg +set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { ca }]; #IO_L24N_T3_A00_D16_14 Sch=ca +set_property -dict { PACKAGE_PIN R10 IOSTANDARD LVCMOS33 } [get_ports { cb }]; #IO_25_14 Sch=cb +set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports { cc }]; #IO_25_15 Sch=cc +set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33 } [get_ports { cd }]; #IO_L17P_T2_A26_15 Sch=cd +set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { ce }]; #IO_L13P_T2_MRCC_14 Sch=ce +set_property -dict { PACKAGE_PIN T11 IOSTANDARD LVCMOS33 } [get_ports { cf }]; #IO_L19P_T3_A10_D26_14 Sch=cf +set_property -dict { PACKAGE_PIN L18 IOSTANDARD LVCMOS33 } [get_ports { cg }]; #IO_L4P_T0_D04_14 Sch=cg #set_property -dict { PACKAGE_PIN H15 IOSTANDARD LVCMOS33 } [get_ports { dp }]; #IO_L19N_T3_A21_VREF_15 Sch=dp -# set_property -dict { PACKAGE_PIN J17 IOSTANDARD LVCMOS33 } [get_ports { an[0] }]; #IO_L23P_T3_FOE_B_15 Sch=an[0] -# set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports { an[1] }]; #IO_L23N_T3_FWE_B_15 Sch=an[1] -# set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { an[2] }]; #IO_L24P_T3_A01_D17_14 Sch=an[2] -# set_property -dict { PACKAGE_PIN J14 IOSTANDARD LVCMOS33 } [get_ports { an[3] }]; #IO_L19P_T3_A22_15 Sch=an[3] -# set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33 } [get_ports { an[4] }]; #IO_L8N_T1_D12_14 Sch=an[4] -# set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33 } [get_ports { an[5] }]; #IO_L14P_T2_SRCC_14 Sch=an[5] -# set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { an[6] }]; #IO_L23P_T3_35 Sch=an[6] -# set_property -dict { PACKAGE_PIN U13 IOSTANDARD LVCMOS33 } [get_ports { an[7] }]; #IO_L23N_T3_A02_D18_14 Sch=an[7] +set_property -dict { PACKAGE_PIN J17 IOSTANDARD LVCMOS33 } [get_ports { an[0] }]; #IO_L23P_T3_FOE_B_15 Sch=an[0] +set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports { an[1] }]; #IO_L23N_T3_FWE_B_15 Sch=an[1] +set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { an[2] }]; #IO_L24P_T3_A01_D17_14 Sch=an[2] +set_property -dict { PACKAGE_PIN J14 IOSTANDARD LVCMOS33 } [get_ports { an[3] }]; #IO_L19P_T3_A22_15 Sch=an[3] +set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33 } [get_ports { an[4] }]; #IO_L8N_T1_D12_14 Sch=an[4] +set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33 } [get_ports { an[5] }]; #IO_L14P_T2_SRCC_14 Sch=an[5] +set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { an[6] }]; #IO_L23P_T3_35 Sch=an[6] +set_property -dict { PACKAGE_PIN U13 IOSTANDARD LVCMOS33 } [get_ports { an[7] }]; #IO_L23N_T3_A02_D18_14 Sch=an[7] ##Buttons -#set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports { cpu_resetn }]; #IO_L3P_T0_DQS_AD1P_15 Sch=cpu_resetn +# set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports { cpu_resetn }]; #IO_L3P_T0_DQS_AD1P_15 Sch=cpu_resetn set_property -dict { PACKAGE_PIN N17 IOSTANDARD LVCMOS33 } [get_ports { btnc }]; #IO_L9P_T1_DQS_14 Sch=btnc -set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports { btnu }]; #IO_L4N_T0_D05_14 Sch=btnu -#set_property -dict { PACKAGE_PIN P17 IOSTANDARD LVCMOS33 } [get_ports { btnl }]; #IO_L12P_T1_MRCC_14 Sch=btnl -#set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33 } [get_ports { btnr }]; #IO_L10N_T1_D15_14 Sch=btnr -set_property -dict { PACKAGE_PIN P18 IOSTANDARD LVCMOS33 } [get_ports { btnd }]; #IO_L9N_T1_DQS_D13_14 Sch=btnd +# set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports { btnu }]; #IO_L4N_T0_D05_14 Sch=btnu +# set_property -dict { PACKAGE_PIN P17 IOSTANDARD LVCMOS33 } [get_ports { btnl }]; #IO_L12P_T1_MRCC_14 Sch=btnl +# set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33 } [get_ports { btnr }]; #IO_L10N_T1_D15_14 Sch=btnr +# set_property -dict { PACKAGE_PIN P18 IOSTANDARD LVCMOS33 } [get_ports { btnd }]; #IO_L9N_T1_DQS_D13_14 Sch=btnd ##Pmod Headers @@ -223,8 +223,8 @@ set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports { vga_vs ##USB-RS232 Interface -#set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports { uart_txd_in }]; #IO_L7P_T1_AD6P_35 Sch=uart_txd_in -#set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports { uart_rxd_out }]; #IO_L11N_T1_SRCC_35 Sch=uart_rxd_out +set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports { uart_txd_in }]; #IO_L7P_T1_AD6P_35 Sch=uart_txd_in +set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports { uart_rxd_out }]; #IO_L11N_T1_SRCC_35 Sch=uart_rxd_out #set_property -dict { PACKAGE_PIN D3 IOSTANDARD LVCMOS33 } [get_ports { uart_cts }]; #IO_L12N_T1_MRCC_35 Sch=uart_cts #set_property -dict { PACKAGE_PIN E5 IOSTANDARD LVCMOS33 } [get_ports { uart_rts }]; #IO_L5N_T0_AD13N_35 Sch=uart_rts diff --git a/src/manta/__init__.py b/src/manta/__init__.py index 284c7e9..0000754 100644 --- a/src/manta/__init__.py +++ b/src/manta/__init__.py @@ -677,15 +677,13 @@ class BlockMemoryCore: def hdl_inst(self): inst = VerilogManipulator("block_memory_inst_tmpl.v") - # inst.sub(self.name, "/* INST_NAME */") - # inst.sub(self.depth, "/* DEPTH */") - # inst.sub(self.width, "/* WIDTH */") + inst.sub(self.name, "/* INST_NAME */") + inst.sub(self.depth, "/* DEPTH */") + inst.sub(self.width, "/* WIDTH */") return inst.get_hdl() def hdl_def(self): - bram_core = VerilogManipulator("block_memory_tmpl.v") - bram_core.sub(self.name, "/* NAME */") - return bram_core.get_hdl() + return VerilogManipulator("block_memory_tmpl.v").get_hdl() def hdl_top_level_ports(self): @@ -693,11 +691,11 @@ class BlockMemoryCore: return "" tlp = [] - tlp.append(f"input wire {self.name}_clk,") + tlp.append(f"input wire {self.name}_clk") tlp.append(f"input wire [{self.addr_width-1}:0] {self.name}_addr") - tlp.append(f"input wire [{self.addr_width-1}:0] {self.name}_din") - tlp.append(f"input wire [{self.addr_width-1}:0] {self.name}_dout") - tlp.append(f"input wire [{self.addr_width-1}:0] {self.name}_we") + tlp.append(f"input wire [{self.width-1}:0] {self.name}_din") + tlp.append(f"output reg [{self.width-1}:0] {self.name}_dout") + tlp.append(f"input wire {self.name}_we") return tlp def read(self, addr): @@ -786,11 +784,11 @@ class Manta: src = core_pair[0].name dst = core_pair[1].name - hdl = f"\treg [15:0] {src}_{dst}_addr;\n" - hdl += f"\treg [15:0] {src}_{dst}_wdata;\n" - hdl += f"\treg [15:0] {src}_{dst}_rdata;\n" - hdl += f"\treg {src}_{dst}_rw;\n" - hdl += f"\treg {src}_{dst}_valid;\n" + hdl = f"reg [15:0] {src}_{dst}_addr;\n" + hdl += f"reg [15:0] {src}_{dst}_wdata;\n" + hdl += f"reg [15:0] {src}_{dst}_rdata;\n" + hdl += f"reg {src}_{dst}_rw;\n" + hdl += f"reg {src}_{dst}_valid;\n" conns.append(hdl) return conns @@ -821,7 +819,7 @@ class Manta: # connect output if (i < len(self.cores)-1): - dst_name = self.cores[i+1] + dst_name = self.cores[i+1].name hdl = hdl.replace(".addr_o()", f".addr_o({core.name}_{dst_name}_addr)") hdl = hdl.replace(".wdata_o()", f".wdata_o({core.name}_{dst_name}_wdata)") @@ -920,10 +918,10 @@ class Manta: # connect interface_rx to core_chain interface_rx_conn= f""" - reg [15:0] brx_{self.cores[0].name}_addr; - reg [15:0] brx_{self.cores[0].name}_wdata; - reg brx_{self.cores[0].name}_rw; - reg brx_{self.cores[0].name}_valid;\n""" +reg [15:0] brx_{self.cores[0].name}_addr; +reg [15:0] brx_{self.cores[0].name}_wdata; +reg brx_{self.cores[0].name}_rw; +reg brx_{self.cores[0].name}_valid;\n""" return interface_rx_inst + interface_rx_conn @@ -931,9 +929,9 @@ class Manta: # connect core_chain to interface_tx interface_tx_conn = f""" - reg [15:0] {self.cores[-1].name}_btx_rdata; - reg {self.cores[-1].name}_btx_rw; - reg {self.cores[-1].name}_btx_valid;\n""" +reg [15:0] {self.cores[-1].name}_btx_rdata; +reg {self.cores[-1].name}_btx_rw; +reg {self.cores[-1].name}_btx_valid;\n""" # instantiate interface_tx, substitute in register names interface_tx_inst = self.interface.tx_hdl_inst() diff --git a/src/manta/block_memory_inst_tmpl.v b/src/manta/block_memory_inst_tmpl.v new file mode 100644 index 0000000..b64baae --- /dev/null +++ b/src/manta/block_memory_inst_tmpl.v @@ -0,0 +1,23 @@ +block_memory #( + .WIDTH(/* WIDTH */), + .DEPTH(/* DEPTH */) +) /* INST_NAME */ ( + .clk(clk), + + .addr_i(), + .wdata_i(), + .rdata_i(), + .rw_i(), + .valid_i(), + + .user_clk(/* INST_NAME */_clk), + .user_addr(/* INST_NAME */_addr), + .user_din(/* INST_NAME */_din), + .user_dout(/* INST_NAME */_dout), + .user_we(/* INST_NAME */_we), + + .addr_o(), + .wdata_o(), + .rdata_o(), + .rw_o(), + .valid_o()); \ No newline at end of file diff --git a/src/manta/block_memory_tmpl.v b/src/manta/block_memory_tmpl.v index 31ae165..e0d5814 100644 --- a/src/manta/block_memory_tmpl.v +++ b/src/manta/block_memory_tmpl.v @@ -1,7 +1,7 @@ `default_nettype none `timescale 1ns/1ps -module /* NAME */ ( +module block_memory ( input wire clk, // input port @@ -21,18 +21,18 @@ module /* NAME */ ( // BRAM itself input wire user_clk, input wire [ADDR_WIDTH-1:0] user_addr, - input wire [BRAM_WIDTH-1:0] user_din, - output reg [BRAM_WIDTH-1:0] user_dout, + input wire [WIDTH-1:0] user_din, + output reg [WIDTH-1:0] user_dout, input wire user_we); parameter BASE_ADDR = 0; - parameter BRAM_WIDTH = 0; - parameter BRAM_DEPTH = 0; - localparam ADDR_WIDTH = $clog2(BRAM_DEPTH); + parameter WIDTH = 0; + parameter DEPTH = 0; + localparam ADDR_WIDTH = $clog2(DEPTH); - // ugly typecasting, but just computes ceil(BRAM_WIDTH / 16) - localparam N_BRAMS = int'($ceil(real'(BRAM_WIDTH) / 16.0)); - localparam MAX_ADDR = BASE_ADDR + (BRAM_DEPTH * N_BRAMS); + // ugly typecasting, but just computes ceil(WIDTH / 16) + localparam N_BRAMS = int'($ceil(real'(WIDTH) / 16.0)); + localparam MAX_ADDR = BASE_ADDR + (DEPTH * N_BRAMS); // Port A of BRAMs reg [N_BRAMS-1:0][ADDR_WIDTH-1:0] addra = 0; @@ -48,14 +48,14 @@ module /* NAME */ ( // kind of a hack to part select from a 2d array that's been flattened to 1d reg [(N_BRAMS*16)-1:0] doutb_flattened; assign doutb_flattened = doutb; - assign user_dout = doutb_flattened[BRAM_WIDTH-1:0]; + assign user_dout = doutb_flattened[WIDTH-1:0]; // Pipelining - reg [3:0][15:0] addr_pipe = 0; - reg [3:0][15:0] wdata_pipe = 0; - reg [3:0][15:0] rdata_pipe = 0; - reg [3:0] valid_pipe = 0; - reg [3:0] rw_pipe = 0; + reg [2:0][15:0] addr_pipe = 0; + reg [2:0][15:0] wdata_pipe = 0; + reg [2:0][15:0] rdata_pipe = 0; + reg [2:0] valid_pipe = 0; + reg [2:0] rw_pipe = 0; always @(posedge clk) begin addr_pipe[0] <= addr_i; @@ -70,7 +70,7 @@ module /* NAME */ ( valid_o <= valid_pipe[2]; rw_o <= rw_pipe[2]; - for(int i=1; i<4; i=i+1) begin + for(int i=1; i<3; i=i+1) begin addr_pipe[i] <= addr_pipe[i-1]; wdata_pipe[i] <= wdata_pipe[i-1]; rdata_pipe[i] <= rdata_pipe[i-1]; @@ -98,7 +98,7 @@ module /* NAME */ ( for(i=0; i