module top;
task delay;
z.delay;
endtask
always begin
delay;
end
initial begin
#10 $display("PASSED");
$finish;
endmodule
module z;
#1;