From 56c5bf1da1d4540978a4db711b31c30937f26abf Mon Sep 17 00:00:00 2001 From: Stephen Williams Date: Fri, 15 Dec 2023 15:57:24 -0800 Subject: [PATCH] ivtest: Remove regress v11, v12, and v13 These tests lists are no longer needed. --- Documentation/developer/regression_tests.rst | 31 +++- ivtest/gold/automatic_error11-vvp-stdout.gold | 1 + ivtest/gold/automatic_error11.gold | 1 - ivtest/gold/automatic_error12-vvp-stdout.gold | 1 + ivtest/gold/automatic_error12.gold | 1 - ivtest/gold/automatic_error13-vvp-stdout.gold | 1 + ivtest/gold/br_gh230-vlog95.gold | 1 - ivtest/gold/br_gh230-vvp-stdout.gold | 1 + ivtest/gold/br_gh230.gold | 1 - ivtest/gold/br_gh440-iverilog-stderr.gold | 13 ++ ivtest/gold/br_gh440-v11.gold | 7 - ivtest/gold/br_gh440.gold | 13 -- ivtest/gold/eofmt_percent-v11.gold | 1 - .../gold/eofmt_percent-vlog95-vvp-stdout.gold | 2 + ivtest/gold/eofmt_percent-vlog95.gold | 2 - ivtest/gold/eofmt_percent-vvp-stdout.gold | 2 + ivtest/gold/eofmt_percent.gold | 2 - ivtest/gold/fdisplay3-vlog95.gold | 1 - ivtest/gold/fdisplay3-vvp-stdout.gold | 1 + ivtest/gold/fdisplay3.gold | 1 - ivtest/gold/fread-error-vlog95.gold | 7 - ivtest/gold/fread-error-vvp-stdout.gold | 7 + ivtest/gold/fread-error.gold | 7 - ...ve.gold => line_directive-vvp-stdout.gold} | 2 +- ivtest/gold/param_test3-iverilog-stderr.gold | 1 + ivtest/gold/param_test3-vvp-stdout.gold | 1 + ivtest/gold/param_test3.gold | 2 - ivtest/gold/pr2800985b-vlog95.gold | 6 - ivtest/gold/pr2800985b-vvp-stdout.gold | 6 + ivtest/gold/pr2800985b.gold | 6 - ivtest/gold/queue_fail-vlog95.gold | 70 --------- ivtest/gold/queue_fail-vvp-stdout.gold | 70 +++++++++ ivtest/gold/queue_fail.gold | 70 --------- ivtest/gold/readmem-invalid-vlog95.gold | 18 --- ivtest/gold/readmem-invalid-vvp-stdout.gold | 18 +++ ivtest/gold/readmem-invalid.gold | 18 --- ivtest/gold/scan-invalid-vvp-stdout.gold | 12 ++ ivtest/gold/scan-invalid.gold | 12 -- .../sf_countbits_fail-iverilog-stderr.gold | 6 + ivtest/gold/sf_countbits_fail-vvp-stdout.gold | 8 + ivtest/gold/sf_countbits_fail.gold | 14 -- .../sf_countones_fail-iverilog-stderr.gold | 2 + ivtest/gold/sf_countones_fail-vvp-stdout.gold | 4 + ivtest/gold/sf_countones_fail.gold | 6 - .../sf_isunknown_fail-iverilog-stderr.gold | 2 + ivtest/gold/sf_isunknown_fail-vvp-stdout.gold | 4 + ivtest/gold/sf_isunknown_fail.gold | 6 - .../gold/sf_onehot0_fail-iverilog-stderr.gold | 2 + ivtest/gold/sf_onehot0_fail-vvp-stdout.gold | 4 + ivtest/gold/sf_onehot0_fail.gold | 6 - .../gold/sf_onehot_fail-iverilog-stderr.gold | 2 + ivtest/gold/sf_onehot_fail-vvp-stdout.gold | 4 + ivtest/gold/sf_onehot_fail.gold | 6 - ...v_default_port_value3-iverilog-stderr.gold | 3 + ivtest/gold/sv_default_port_value3.gold | 3 - ivtest/gold/warn_opt_sys_tf-vlog95.gold | 16 -- ivtest/gold/warn_opt_sys_tf-vvp-stdout.gold | 16 ++ ivtest/gold/warn_opt_sys_tf.gold | 16 -- ivtest/gold/writemem-invalid-vlog95.gold | 18 --- ivtest/gold/writemem-invalid-vvp-stdout.gold | 18 +++ ivtest/gold/writemem-invalid.gold | 18 --- ivtest/regress-fsv.list | 7 - ivtest/regress-ivl1.list | 3 - ivtest/regress-sv.list | 12 -- ivtest/regress-synth.list | 8 - ivtest/regress-v11.list | 145 ------------------ ivtest/regress-v12.list | 77 ---------- ivtest/regress-v13.list | 77 ---------- ivtest/regress-vlg.list | 15 -- ivtest/regress-vlog95.list | 21 --- ivtest/regress-vvp.list | 48 ++++++ ivtest/run_ivl.py | 31 ++-- ivtest/vvp_reg.pl | 1 - ivtest/vvp_tests/automatic_error11.json | 5 + ivtest/vvp_tests/automatic_error12.json | 5 + ivtest/vvp_tests/automatic_error13.json | 5 + ivtest/vvp_tests/br_gh230.json | 5 + ivtest/vvp_tests/br_gh440.json | 6 + ivtest/vvp_tests/comment1.json | 4 + ivtest/vvp_tests/eofmt_percent-vlog95.json | 5 + ivtest/vvp_tests/eofmt_percent.json | 5 + ivtest/vvp_tests/fdisplay3.json | 5 + ivtest/vvp_tests/fread-error.json | 5 + ivtest/vvp_tests/line_directive.json | 6 + ivtest/vvp_tests/memsynth2-synth.json | 6 + ivtest/vvp_tests/memsynth2.json | 4 + ivtest/vvp_tests/memsynth3-synth.json | 6 + ivtest/vvp_tests/memsynth3.json | 4 + ivtest/vvp_tests/memsynth4-synth.json | 6 + ivtest/vvp_tests/memsynth4.json | 4 + ivtest/vvp_tests/memsynth5-synth.json | 6 + ivtest/vvp_tests/memsynth5.json | 4 + ivtest/vvp_tests/memsynth6-synth.json | 6 + ivtest/vvp_tests/memsynth6.json | 4 + ivtest/vvp_tests/memsynth7-synth.json | 6 + ivtest/vvp_tests/memsynth7.json | 4 + ivtest/vvp_tests/memsynth9-synth.json | 6 + ivtest/vvp_tests/memsynth9.json | 4 + ivtest/vvp_tests/mix_reset-synth.json | 6 + ivtest/vvp_tests/mix_reset.json | 4 + ivtest/vvp_tests/param_test3.json | 5 + ivtest/vvp_tests/pr1916261a.json | 4 + ivtest/vvp_tests/pr2800985b.json | 5 + ivtest/vvp_tests/program2b-vlog95.json | 6 + ivtest/vvp_tests/program2b.json | 5 + ivtest/vvp_tests/program3a-vlog95.json | 6 + ivtest/vvp_tests/program3a.json | 5 + ivtest/vvp_tests/queue_fail.json | 6 + ivtest/vvp_tests/readmem-invalid.json | 5 + ivtest/vvp_tests/scan-invalid.json | 5 + ivtest/vvp_tests/sf_countbits_fail.json | 6 + ivtest/vvp_tests/sf_countones_fail.json | 6 + ivtest/vvp_tests/sf_isunknown_fail.json | 6 + ivtest/vvp_tests/sf_onehot0_fail.json | 6 + ivtest/vvp_tests/sf_onehot_fail.json | 6 + ivtest/vvp_tests/sv_default_port_value1.json | 5 + ivtest/vvp_tests/sv_default_port_value2.json | 5 + ivtest/vvp_tests/sv_default_port_value3.json | 6 + ivtest/vvp_tests/warn_opt_sys_tf.json | 5 + ivtest/vvp_tests/writemem-invalid.json | 5 + 120 files changed, 547 insertions(+), 743 deletions(-) create mode 100644 ivtest/gold/automatic_error11-vvp-stdout.gold delete mode 100644 ivtest/gold/automatic_error11.gold create mode 100644 ivtest/gold/automatic_error12-vvp-stdout.gold delete mode 100644 ivtest/gold/automatic_error12.gold create mode 100644 ivtest/gold/automatic_error13-vvp-stdout.gold delete mode 100644 ivtest/gold/br_gh230-vlog95.gold create mode 100644 ivtest/gold/br_gh230-vvp-stdout.gold delete mode 100644 ivtest/gold/br_gh230.gold create mode 100644 ivtest/gold/br_gh440-iverilog-stderr.gold delete mode 100644 ivtest/gold/br_gh440-v11.gold delete mode 100644 ivtest/gold/br_gh440.gold delete mode 100644 ivtest/gold/eofmt_percent-v11.gold create mode 100644 ivtest/gold/eofmt_percent-vlog95-vvp-stdout.gold delete mode 100644 ivtest/gold/eofmt_percent-vlog95.gold create mode 100644 ivtest/gold/eofmt_percent-vvp-stdout.gold delete mode 100644 ivtest/gold/eofmt_percent.gold delete mode 100644 ivtest/gold/fdisplay3-vlog95.gold create mode 100644 ivtest/gold/fdisplay3-vvp-stdout.gold delete mode 100644 ivtest/gold/fdisplay3.gold delete mode 100644 ivtest/gold/fread-error-vlog95.gold create mode 100644 ivtest/gold/fread-error-vvp-stdout.gold delete mode 100644 ivtest/gold/fread-error.gold rename ivtest/gold/{line_directive.gold => line_directive-vvp-stdout.gold} (78%) create mode 100644 ivtest/gold/param_test3-iverilog-stderr.gold create mode 100644 ivtest/gold/param_test3-vvp-stdout.gold delete mode 100644 ivtest/gold/param_test3.gold delete mode 100644 ivtest/gold/pr2800985b-vlog95.gold create mode 100644 ivtest/gold/pr2800985b-vvp-stdout.gold delete mode 100644 ivtest/gold/pr2800985b.gold delete mode 100644 ivtest/gold/queue_fail-vlog95.gold create mode 100644 ivtest/gold/queue_fail-vvp-stdout.gold delete mode 100644 ivtest/gold/queue_fail.gold delete mode 100644 ivtest/gold/readmem-invalid-vlog95.gold create mode 100644 ivtest/gold/readmem-invalid-vvp-stdout.gold delete mode 100644 ivtest/gold/readmem-invalid.gold create mode 100644 ivtest/gold/scan-invalid-vvp-stdout.gold delete mode 100644 ivtest/gold/scan-invalid.gold create mode 100644 ivtest/gold/sf_countbits_fail-iverilog-stderr.gold create mode 100644 ivtest/gold/sf_countbits_fail-vvp-stdout.gold delete mode 100644 ivtest/gold/sf_countbits_fail.gold create mode 100644 ivtest/gold/sf_countones_fail-iverilog-stderr.gold create mode 100644 ivtest/gold/sf_countones_fail-vvp-stdout.gold delete mode 100644 ivtest/gold/sf_countones_fail.gold create mode 100644 ivtest/gold/sf_isunknown_fail-iverilog-stderr.gold create mode 100644 ivtest/gold/sf_isunknown_fail-vvp-stdout.gold delete mode 100644 ivtest/gold/sf_isunknown_fail.gold create mode 100644 ivtest/gold/sf_onehot0_fail-iverilog-stderr.gold create mode 100644 ivtest/gold/sf_onehot0_fail-vvp-stdout.gold delete mode 100644 ivtest/gold/sf_onehot0_fail.gold create mode 100644 ivtest/gold/sf_onehot_fail-iverilog-stderr.gold create mode 100644 ivtest/gold/sf_onehot_fail-vvp-stdout.gold delete mode 100644 ivtest/gold/sf_onehot_fail.gold create mode 100644 ivtest/gold/sv_default_port_value3-iverilog-stderr.gold delete mode 100644 ivtest/gold/sv_default_port_value3.gold delete mode 100644 ivtest/gold/warn_opt_sys_tf-vlog95.gold create mode 100644 ivtest/gold/warn_opt_sys_tf-vvp-stdout.gold delete mode 100644 ivtest/gold/warn_opt_sys_tf.gold delete mode 100644 ivtest/gold/writemem-invalid-vlog95.gold create mode 100644 ivtest/gold/writemem-invalid-vvp-stdout.gold delete mode 100644 ivtest/gold/writemem-invalid.gold delete mode 100644 ivtest/regress-v11.list delete mode 100644 ivtest/regress-v12.list delete mode 100644 ivtest/regress-v13.list create mode 100644 ivtest/vvp_tests/automatic_error11.json create mode 100644 ivtest/vvp_tests/automatic_error12.json create mode 100644 ivtest/vvp_tests/automatic_error13.json create mode 100644 ivtest/vvp_tests/br_gh230.json create mode 100644 ivtest/vvp_tests/br_gh440.json create mode 100644 ivtest/vvp_tests/comment1.json create mode 100644 ivtest/vvp_tests/eofmt_percent-vlog95.json create mode 100644 ivtest/vvp_tests/eofmt_percent.json create mode 100644 ivtest/vvp_tests/fdisplay3.json create mode 100644 ivtest/vvp_tests/fread-error.json create mode 100644 ivtest/vvp_tests/line_directive.json create mode 100644 ivtest/vvp_tests/memsynth2-synth.json create mode 100644 ivtest/vvp_tests/memsynth2.json create mode 100644 ivtest/vvp_tests/memsynth3-synth.json create mode 100644 ivtest/vvp_tests/memsynth3.json create mode 100644 ivtest/vvp_tests/memsynth4-synth.json create mode 100644 ivtest/vvp_tests/memsynth4.json create mode 100644 ivtest/vvp_tests/memsynth5-synth.json create mode 100644 ivtest/vvp_tests/memsynth5.json create mode 100644 ivtest/vvp_tests/memsynth6-synth.json create mode 100644 ivtest/vvp_tests/memsynth6.json create mode 100644 ivtest/vvp_tests/memsynth7-synth.json create mode 100644 ivtest/vvp_tests/memsynth7.json create mode 100644 ivtest/vvp_tests/memsynth9-synth.json create mode 100644 ivtest/vvp_tests/memsynth9.json create mode 100644 ivtest/vvp_tests/mix_reset-synth.json create mode 100644 ivtest/vvp_tests/mix_reset.json create mode 100644 ivtest/vvp_tests/param_test3.json create mode 100644 ivtest/vvp_tests/pr1916261a.json create mode 100644 ivtest/vvp_tests/pr2800985b.json create mode 100644 ivtest/vvp_tests/program2b-vlog95.json create mode 100644 ivtest/vvp_tests/program2b.json create mode 100644 ivtest/vvp_tests/program3a-vlog95.json create mode 100644 ivtest/vvp_tests/program3a.json create mode 100644 ivtest/vvp_tests/queue_fail.json create mode 100644 ivtest/vvp_tests/readmem-invalid.json create mode 100644 ivtest/vvp_tests/scan-invalid.json create mode 100644 ivtest/vvp_tests/sf_countbits_fail.json create mode 100644 ivtest/vvp_tests/sf_countones_fail.json create mode 100644 ivtest/vvp_tests/sf_isunknown_fail.json create mode 100644 ivtest/vvp_tests/sf_onehot0_fail.json create mode 100644 ivtest/vvp_tests/sf_onehot_fail.json create mode 100644 ivtest/vvp_tests/sv_default_port_value1.json create mode 100644 ivtest/vvp_tests/sv_default_port_value2.json create mode 100644 ivtest/vvp_tests/sv_default_port_value3.json create mode 100644 ivtest/vvp_tests/warn_opt_sys_tf.json create mode 100644 ivtest/vvp_tests/writemem-invalid.json diff --git a/Documentation/developer/regression_tests.rst b/Documentation/developer/regression_tests.rst index 5adb5b739..1adf46cd0 100644 --- a/Documentation/developer/regression_tests.rst +++ b/Documentation/developer/regression_tests.rst @@ -62,9 +62,11 @@ This describes the kind of test to run. The valid values are: * **NI** - Mark the test as not implemented. The test will be skipped without running or reporting an error. -* **CE** - Compile, but expect the compiler to fail +* **CE** - Compile, but expect the compiler to fail. This means the compiler + command process must return an error exit. -* **EF** - Compile and run, burt expect the run time to fail. +* **EF** - Compile and run, but expect the run time to fail. This means the + run time program must return an error exit. gold (optional) ^^^^^^^^^^^^^^^ @@ -77,6 +79,31 @@ and vvp stderr and stdout. For example, if a "normal" test includes a gold file, then the program is compiled and run, and the outputs are compared with the gold file to make sure it ran properly. +The way the regression suite works, there are 4 log files created for each +test: + +* foo-iverilog-stdout.log +* foo-iverilog-stderr.log +* foo-vvp-stdout.log +* foo-vvp-stderr.log + +The "gold" value is the name of the gold file set. If the gold value is "foo", +Then the actual gold files are called: + +* gold/foo-iverilog-stdout.gold +* gold/foo-iverilog-stderr.gold +* gold/foo-vvp-stdout.gold +* gold/foo/vvp-stderr.gold + +If any of those files is empty, then the gold file doesn't need to be +present at all. The log files and the gold files are compared byte for +byte, so if the output you are getting is correct, then copy the log to +the corresponding gold, and you're done. + +If the run type is "CE" or "RE", then the gold files still work, and can +be used to check that the error message is correct. If the gold file setting +is present, the error return is required, and also the gold files must match. + iverilog-args (optional) ^^^^^^^^^^^^^^^^^^^^^^^^ diff --git a/ivtest/gold/automatic_error11-vvp-stdout.gold b/ivtest/gold/automatic_error11-vvp-stdout.gold new file mode 100644 index 000000000..f8185cd71 --- /dev/null +++ b/ivtest/gold/automatic_error11-vvp-stdout.gold @@ -0,0 +1 @@ +ERROR: ivltests/automatic_error11.v:9: $monitor argument "local" is an automatic variable. diff --git a/ivtest/gold/automatic_error11.gold b/ivtest/gold/automatic_error11.gold deleted file mode 100644 index 722f3b08d..000000000 --- a/ivtest/gold/automatic_error11.gold +++ /dev/null @@ -1 +0,0 @@ -ERROR: ./ivltests/automatic_error11.v:9: $monitor argument "local" is an automatic variable. diff --git a/ivtest/gold/automatic_error12-vvp-stdout.gold b/ivtest/gold/automatic_error12-vvp-stdout.gold new file mode 100644 index 000000000..e6f02756a --- /dev/null +++ b/ivtest/gold/automatic_error12-vvp-stdout.gold @@ -0,0 +1 @@ +ERROR: ivltests/automatic_error12.v:10: $strobe argument "local" is an automatic variable. diff --git a/ivtest/gold/automatic_error12.gold b/ivtest/gold/automatic_error12.gold deleted file mode 100644 index 30fc605f2..000000000 --- a/ivtest/gold/automatic_error12.gold +++ /dev/null @@ -1 +0,0 @@ -ERROR: ./ivltests/automatic_error12.v:10: $strobe argument "local" is an automatic variable. diff --git a/ivtest/gold/automatic_error13-vvp-stdout.gold b/ivtest/gold/automatic_error13-vvp-stdout.gold new file mode 100644 index 000000000..4dcde6430 --- /dev/null +++ b/ivtest/gold/automatic_error13-vvp-stdout.gold @@ -0,0 +1 @@ +ERROR: ivltests/automatic_error13.v:10: $fstrobe argument "local" is an automatic variable. diff --git a/ivtest/gold/br_gh230-vlog95.gold b/ivtest/gold/br_gh230-vlog95.gold deleted file mode 100644 index 678c5c791..000000000 --- a/ivtest/gold/br_gh230-vlog95.gold +++ /dev/null @@ -1 +0,0 @@ -ERROR: vlog95.v:13: $dumpvars cannot dump a vpiPartSelect. diff --git a/ivtest/gold/br_gh230-vvp-stdout.gold b/ivtest/gold/br_gh230-vvp-stdout.gold new file mode 100644 index 000000000..5295b3152 --- /dev/null +++ b/ivtest/gold/br_gh230-vvp-stdout.gold @@ -0,0 +1 @@ +ERROR: ivltests/br_gh230.v:6: $dumpvars cannot dump a vpiPartSelect. diff --git a/ivtest/gold/br_gh230.gold b/ivtest/gold/br_gh230.gold deleted file mode 100644 index 11357c1c0..000000000 --- a/ivtest/gold/br_gh230.gold +++ /dev/null @@ -1 +0,0 @@ -ERROR: ./ivltests/br_gh230.v:6: $dumpvars cannot dump a vpiPartSelect. diff --git a/ivtest/gold/br_gh440-iverilog-stderr.gold b/ivtest/gold/br_gh440-iverilog-stderr.gold new file mode 100644 index 000000000..2a1213d4a --- /dev/null +++ b/ivtest/gold/br_gh440-iverilog-stderr.gold @@ -0,0 +1,13 @@ +ivltests/br_gh440.v:4: Error: Class/null r-value not allowed in this context. +ivltests/br_gh440.v:30: Error: Class/null r-value not allowed in this context. +ivltests/br_gh440.v:43: error: Both arguments (logic, class) must be class/null for '==' operator. +ivltests/br_gh440.v:44: error: Class/null is not allowed with the '|' operator. +ivltests/br_gh440.v:45: error: Class/null is not allowed with the '<<(<)' operator. +ivltests/br_gh440.v:46: error: Class/null is not allowed with the '<<(<)' operator. +ivltests/br_gh440.v:46: Error: Class/null r-value not allowed in this context. +ivltests/br_gh440.v:48: error: Class/null is not allowed with the '<=' operator. +ivltests/br_gh440.v:49: error: Class/null is not allowed with the '<=' operator. +ivltests/br_gh440.v:50: error: Class/null is not allowed with the '!' operator. +ivltests/br_gh440.v:51: Error: Class/null r-value not allowed in this context. +ivltests/br_gh440.v:52: Error: Class/null r-value not allowed in this context. +12 error(s) during elaboration. diff --git a/ivtest/gold/br_gh440-v11.gold b/ivtest/gold/br_gh440-v11.gold deleted file mode 100644 index 6363e0102..000000000 --- a/ivtest/gold/br_gh440-v11.gold +++ /dev/null @@ -1,7 +0,0 @@ -./ivltests/br_gh440.v:44: error: Class/null is not allowed with the '|' operator. -./ivltests/br_gh440.v:45: error: Class/null is not allowed with the '<<(<)' operator. -./ivltests/br_gh440.v:46: error: Class/null is not allowed with the '<<(<)' operator. -./ivltests/br_gh440.v:48: error: Class/null is not allowed with the '<=' operator. -./ivltests/br_gh440.v:49: error: Class/null is not allowed with the '<=' operator. -./ivltests/br_gh440.v:50: error: Class/null is not allowed with the '!' operator. -6 error(s) during elaboration. diff --git a/ivtest/gold/br_gh440.gold b/ivtest/gold/br_gh440.gold deleted file mode 100644 index 771009f7e..000000000 --- a/ivtest/gold/br_gh440.gold +++ /dev/null @@ -1,13 +0,0 @@ -./ivltests/br_gh440.v:4: Error: Class/null r-value not allowed in this context. -./ivltests/br_gh440.v:30: Error: Class/null r-value not allowed in this context. -./ivltests/br_gh440.v:43: error: Both arguments (logic, class) must be class/null for '==' operator. -./ivltests/br_gh440.v:44: error: Class/null is not allowed with the '|' operator. -./ivltests/br_gh440.v:45: error: Class/null is not allowed with the '<<(<)' operator. -./ivltests/br_gh440.v:46: error: Class/null is not allowed with the '<<(<)' operator. -./ivltests/br_gh440.v:46: Error: Class/null r-value not allowed in this context. -./ivltests/br_gh440.v:48: error: Class/null is not allowed with the '<=' operator. -./ivltests/br_gh440.v:49: error: Class/null is not allowed with the '<=' operator. -./ivltests/br_gh440.v:50: error: Class/null is not allowed with the '!' operator. -./ivltests/br_gh440.v:51: Error: Class/null r-value not allowed in this context. -./ivltests/br_gh440.v:52: Error: Class/null r-value not allowed in this context. -12 error(s) during elaboration. diff --git a/ivtest/gold/eofmt_percent-v11.gold b/ivtest/gold/eofmt_percent-v11.gold deleted file mode 100644 index 2fd0895ac..000000000 --- a/ivtest/gold/eofmt_percent-v11.gold +++ /dev/null @@ -1 +0,0 @@ -The following should be a single percent: % diff --git a/ivtest/gold/eofmt_percent-vlog95-vvp-stdout.gold b/ivtest/gold/eofmt_percent-vlog95-vvp-stdout.gold new file mode 100644 index 000000000..15b405293 --- /dev/null +++ b/ivtest/gold/eofmt_percent-vlog95-vvp-stdout.gold @@ -0,0 +1,2 @@ +WARNING: work/a.out.v:11: a single % at the end of format string $display<%> will be displayed as '%'. +The following should be a single percent: % diff --git a/ivtest/gold/eofmt_percent-vlog95.gold b/ivtest/gold/eofmt_percent-vlog95.gold deleted file mode 100644 index 071f69b8c..000000000 --- a/ivtest/gold/eofmt_percent-vlog95.gold +++ /dev/null @@ -1,2 +0,0 @@ -WARNING: vlog95.v:11: a single % at the end of format string $display<%> will be displayed as '%'. -The following should be a single percent: % diff --git a/ivtest/gold/eofmt_percent-vvp-stdout.gold b/ivtest/gold/eofmt_percent-vvp-stdout.gold new file mode 100644 index 000000000..b3385ea6c --- /dev/null +++ b/ivtest/gold/eofmt_percent-vvp-stdout.gold @@ -0,0 +1,2 @@ +WARNING: ivltests/eofmt_percent.v:2: a single % at the end of format string $display<%> will be displayed as '%'. +The following should be a single percent: % diff --git a/ivtest/gold/eofmt_percent.gold b/ivtest/gold/eofmt_percent.gold deleted file mode 100644 index 0ab0c8074..000000000 --- a/ivtest/gold/eofmt_percent.gold +++ /dev/null @@ -1,2 +0,0 @@ -WARNING: ./ivltests/eofmt_percent.v:2: a single % at the end of format string $display<%> will be displayed as '%'. -The following should be a single percent: % diff --git a/ivtest/gold/fdisplay3-vlog95.gold b/ivtest/gold/fdisplay3-vlog95.gold deleted file mode 100644 index 6888aa8d1..000000000 --- a/ivtest/gold/fdisplay3-vlog95.gold +++ /dev/null @@ -1 +0,0 @@ -ERROR: vlog95.v:12: $fdisplay's file descriptor/MCD must be numeric. diff --git a/ivtest/gold/fdisplay3-vvp-stdout.gold b/ivtest/gold/fdisplay3-vvp-stdout.gold new file mode 100644 index 000000000..09ee8df68 --- /dev/null +++ b/ivtest/gold/fdisplay3-vvp-stdout.gold @@ -0,0 +1 @@ +ERROR: ivltests/fdisplay3.v:28: $fdisplay's file descriptor/MCD must be numeric. diff --git a/ivtest/gold/fdisplay3.gold b/ivtest/gold/fdisplay3.gold deleted file mode 100644 index 3705f9334..000000000 --- a/ivtest/gold/fdisplay3.gold +++ /dev/null @@ -1 +0,0 @@ -ERROR: ./ivltests/fdisplay3.v:28: $fdisplay's file descriptor/MCD must be numeric. diff --git a/ivtest/gold/fread-error-vlog95.gold b/ivtest/gold/fread-error-vlog95.gold deleted file mode 100644 index dbf3f27e2..000000000 --- a/ivtest/gold/fread-error-vlog95.gold +++ /dev/null @@ -1,7 +0,0 @@ -ERROR: vlog95.v:17: $fread's first argument must be an integral variable or memory. -ERROR: vlog95.v:18: $fread requires a second (file descriptor) argument. -ERROR: vlog95.v:19: $fread's second argument must be numeric. -ERROR: vlog95.v:20: $fread's third argument must be numeric. -ERROR: vlog95.v:21: $fread's fourth argument must be numeric. -ERROR: vlog95.v:22: $fread takes at most four arguments. - Found 1 extra argument. diff --git a/ivtest/gold/fread-error-vvp-stdout.gold b/ivtest/gold/fread-error-vvp-stdout.gold new file mode 100644 index 000000000..e453e2204 --- /dev/null +++ b/ivtest/gold/fread-error-vvp-stdout.gold @@ -0,0 +1,7 @@ +ERROR: ivltests/fread-error.v:8: $fread's first argument must be an integral variable or memory. +ERROR: ivltests/fread-error.v:9: $fread requires a second (file descriptor) argument. +ERROR: ivltests/fread-error.v:10: $fread's second argument must be numeric. +ERROR: ivltests/fread-error.v:11: $fread's third argument must be numeric. +ERROR: ivltests/fread-error.v:12: $fread's fourth argument must be numeric. +ERROR: ivltests/fread-error.v:13: $fread takes at most four arguments. + Found 1 extra argument. diff --git a/ivtest/gold/fread-error.gold b/ivtest/gold/fread-error.gold deleted file mode 100644 index 7b8a8d0f8..000000000 --- a/ivtest/gold/fread-error.gold +++ /dev/null @@ -1,7 +0,0 @@ -ERROR: ./ivltests/fread-error.v:8: $fread's first argument must be an integral variable or memory. -ERROR: ./ivltests/fread-error.v:9: $fread requires a second (file descriptor) argument. -ERROR: ./ivltests/fread-error.v:10: $fread's second argument must be numeric. -ERROR: ./ivltests/fread-error.v:11: $fread's third argument must be numeric. -ERROR: ./ivltests/fread-error.v:12: $fread's fourth argument must be numeric. -ERROR: ./ivltests/fread-error.v:13: $fread takes at most four arguments. - Found 1 extra argument. diff --git a/ivtest/gold/line_directive.gold b/ivtest/gold/line_directive-vvp-stdout.gold similarity index 78% rename from ivtest/gold/line_directive.gold rename to ivtest/gold/line_directive-vvp-stdout.gold index e96b3f99e..abca3f0b4 100644 --- a/ivtest/gold/line_directive.gold +++ b/ivtest/gold/line_directive-vvp-stdout.gold @@ -1,4 +1,4 @@ -file ./ivltests/line_directive.v line 8 +file ivltests/line_directive.v line 8 file real_source.v line 1 file ./ivltests/line_directive_inc.v line 1 file real_source.v line 3 diff --git a/ivtest/gold/param_test3-iverilog-stderr.gold b/ivtest/gold/param_test3-iverilog-stderr.gold new file mode 100644 index 000000000..30e670df7 --- /dev/null +++ b/ivtest/gold/param_test3-iverilog-stderr.gold @@ -0,0 +1 @@ +ivltests/param_test3.v:25: warning: ignoring 3 extra parameter override(s) for instance 'am' of module 'm' which expects 0 parameter(s). diff --git a/ivtest/gold/param_test3-vvp-stdout.gold b/ivtest/gold/param_test3-vvp-stdout.gold new file mode 100644 index 000000000..53cdf1e93 --- /dev/null +++ b/ivtest/gold/param_test3-vvp-stdout.gold @@ -0,0 +1 @@ +PASSED diff --git a/ivtest/gold/param_test3.gold b/ivtest/gold/param_test3.gold deleted file mode 100644 index 7b702b567..000000000 --- a/ivtest/gold/param_test3.gold +++ /dev/null @@ -1,2 +0,0 @@ -./ivltests/param_test3.v:25: warning: ignoring 3 extra parameter override(s) for instance 'am' of module 'm' which expects 0 parameter(s). -PASSED diff --git a/ivtest/gold/pr2800985b-vlog95.gold b/ivtest/gold/pr2800985b-vlog95.gold deleted file mode 100644 index c2430ce23..000000000 --- a/ivtest/gold/pr2800985b-vlog95.gold +++ /dev/null @@ -1,6 +0,0 @@ -ERROR: vlog95.v:18: $ferror's fd (first) argument must be numeric. -ERROR: vlog95.v:19: $ferror requires a second (variable) argument. -ERROR: vlog95.v:20: $ferror's second argument must be a variable (>=640 bits). -ERROR: vlog95.v:21: $ferror's second argument must have 640 bit or more. -ERROR: vlog95.v:22: $ferror takes two arguments. - Found 1 extra argument. diff --git a/ivtest/gold/pr2800985b-vvp-stdout.gold b/ivtest/gold/pr2800985b-vvp-stdout.gold new file mode 100644 index 000000000..b05ce707d --- /dev/null +++ b/ivtest/gold/pr2800985b-vvp-stdout.gold @@ -0,0 +1,6 @@ +ERROR: ivltests/pr2800985b.v:11: $ferror's fd (first) argument must be numeric. +ERROR: ivltests/pr2800985b.v:12: $ferror requires a second (variable) argument. +ERROR: ivltests/pr2800985b.v:13: $ferror's second argument must be a variable (>=640 bits). +ERROR: ivltests/pr2800985b.v:14: $ferror's second argument must have 640 bit or more. +ERROR: ivltests/pr2800985b.v:15: $ferror takes two arguments. + Found 1 extra argument. diff --git a/ivtest/gold/pr2800985b.gold b/ivtest/gold/pr2800985b.gold deleted file mode 100644 index 25313bfb9..000000000 --- a/ivtest/gold/pr2800985b.gold +++ /dev/null @@ -1,6 +0,0 @@ -ERROR: ./ivltests/pr2800985b.v:11: $ferror's fd (first) argument must be numeric. -ERROR: ./ivltests/pr2800985b.v:12: $ferror requires a second (variable) argument. -ERROR: ./ivltests/pr2800985b.v:13: $ferror's second argument must be a variable (>=640 bits). -ERROR: ./ivltests/pr2800985b.v:14: $ferror's second argument must have 640 bit or more. -ERROR: ./ivltests/pr2800985b.v:15: $ferror takes two arguments. - Found 1 extra argument. diff --git a/ivtest/gold/queue_fail-vlog95.gold b/ivtest/gold/queue_fail-vlog95.gold deleted file mode 100644 index 357bfd586..000000000 --- a/ivtest/gold/queue_fail-vlog95.gold +++ /dev/null @@ -1,70 +0,0 @@ -ERROR: vlog95.v:24: $q_initialize requires four arguments. -ERROR: vlog95.v:25: $q_initialize requires a second (<= 32 bit numeric) argument. -ERROR: vlog95.v:26: $q_initialize requires a second (<= 32 bit numeric) argument. -ERROR: vlog95.v:27: $q_initialize's first argument must be numeric (<= 32 bits). -ERROR: vlog95.v:27: $q_initialize requires a second (<= 32 bit numeric) argument. -ERROR: vlog95.v:28: $q_initialize requires a third (<= 32 bit numeric) argument. -ERROR: vlog95.v:29: $q_initialize requires a third (<= 32 bit numeric) argument. -ERROR: vlog95.v:30: $q_initialize's second argument must be numeric (<= 32 bits). -ERROR: vlog95.v:30: $q_initialize requires a third (<= 32 bit numeric) argument. -ERROR: vlog95.v:31: $q_initialize requires a fourth (variable) argument. -ERROR: vlog95.v:32: $q_initialize's third argument must be numeric (<= 32 bits). -ERROR: vlog95.v:32: $q_initialize requires a fourth (variable) argument. -ERROR: vlog95.v:33: $q_initialize's fourth argument must be a 32 bit variable. -ERROR: vlog95.v:34: $q_initialize's fourth (variable) argument must be 32 bits. -ERROR: vlog95.v:35: $q_initialize takes four arguments. - Found 1 extra argument. -ERROR: vlog95.v:36: $q_add requires four arguments. -ERROR: vlog95.v:37: $q_add requires a second (<= 32 bit numeric) argument. -ERROR: vlog95.v:38: $q_add requires a second (<= 32 bit numeric) argument. -ERROR: vlog95.v:39: $q_add's first argument must be numeric (<= 32 bits). -ERROR: vlog95.v:39: $q_add requires a second (<= 32 bit numeric) argument. -ERROR: vlog95.v:40: $q_add requires a third (<= 32 bit numeric) argument. -ERROR: vlog95.v:41: $q_add's second argument must be numeric (<= 32 bits). -ERROR: vlog95.v:41: $q_add requires a third (<= 32 bit numeric) argument. -ERROR: vlog95.v:42: $q_add requires a fourth (variable) argument. -ERROR: vlog95.v:43: $q_add's third argument must be numeric (<= 32 bits). -ERROR: vlog95.v:43: $q_add requires a fourth (variable) argument. -ERROR: vlog95.v:44: $q_add's fourth (variable) argument must be 32 bits. -ERROR: vlog95.v:45: $q_add takes four arguments. - Found 1 extra argument. -ERROR: vlog95.v:46: $q_remove requires four arguments. -ERROR: vlog95.v:47: $q_remove requires a second (variable) argument. -ERROR: vlog95.v:48: $q_remove requires a second (variable) argument. -ERROR: vlog95.v:49: $q_remove's first argument must be numeric (<= 32 bits). -ERROR: vlog95.v:49: $q_remove requires a second (variable) argument. -ERROR: vlog95.v:50: $q_remove requires a third (variable) argument. -ERROR: vlog95.v:51: $q_remove's second argument must be a 32 bit variable. -ERROR: vlog95.v:51: $q_remove requires a third (variable) argument. -ERROR: vlog95.v:52: $q_remove requires a fourth (variable) argument. -ERROR: vlog95.v:53: $q_remove's third argument must be a 32 bit variable. -ERROR: vlog95.v:53: $q_remove requires a fourth (variable) argument. -ERROR: vlog95.v:54: $q_remove's fourth (variable) argument must be 32 bits. -ERROR: vlog95.v:55: $q_remove's second (variable) argument must be 32 bits. -ERROR: vlog95.v:55: $q_remove's third (variable) argument must be 32 bits. -ERROR: vlog95.v:56: $q_remove takes four arguments. - Found 1 extra argument. -ERROR: vlog95.v:57: $q_full requires a second (variable) argument. -ERROR: vlog95.v:58: $q_full requires a second (variable) argument. -ERROR: vlog95.v:59: $q_full's first argument must be numeric (<= 32 bits). -ERROR: vlog95.v:59: $q_full requires a second (variable) argument. -ERROR: vlog95.v:60: $q_full's second (variable) argument must be 32 bits. -ERROR: vlog95.v:61: $q_full takes two arguments. - Found 1 extra argument. -ERROR: vlog95.v:62: $q_exam requires four arguments. -ERROR: vlog95.v:63: $q_exam requires a second (<= 32 bit numeric) argument. -ERROR: vlog95.v:64: $q_exam requires a second (<= 32 bit numeric) argument. -ERROR: vlog95.v:65: $q_exam's first argument must be numeric (<= 32 bits). -ERROR: vlog95.v:65: $q_exam requires a second (<= 32 bit numeric) argument. -ERROR: vlog95.v:66: $q_exam requires a third (variable) argument. -ERROR: vlog95.v:67: $q_exam requires a third (variable) argument. -ERROR: vlog95.v:68: $q_exam's second argument must be numeric (<= 32 bits). -ERROR: vlog95.v:68: $q_exam requires a third (variable) argument. -ERROR: vlog95.v:69: $q_exam requires a fourth (variable) argument. -ERROR: vlog95.v:70: $q_exam's third (variable) argument must have at least 32 bits. -ERROR: vlog95.v:70: $q_exam requires a fourth (variable) argument. -ERROR: vlog95.v:71: $q_exam's third argument must be a variable. -ERROR: vlog95.v:71: $q_exam requires a fourth (variable) argument. -ERROR: vlog95.v:72: $q_exam's fourth (variable) argument must be 32 bits. -ERROR: vlog95.v:73: $q_exam takes two arguments. - Found 1 extra argument. diff --git a/ivtest/gold/queue_fail-vvp-stdout.gold b/ivtest/gold/queue_fail-vvp-stdout.gold new file mode 100644 index 000000000..e84dafa0f --- /dev/null +++ b/ivtest/gold/queue_fail-vvp-stdout.gold @@ -0,0 +1,70 @@ +ERROR: ivltests/queue_fail.v:7: $q_initialize requires four arguments. +ERROR: ivltests/queue_fail.v:8: $q_initialize requires a second (<= 32 bit numeric) argument. +ERROR: ivltests/queue_fail.v:9: $q_initialize requires a second (<= 32 bit numeric) argument. +ERROR: ivltests/queue_fail.v:10: $q_initialize's first argument must be numeric (<= 32 bits). +ERROR: ivltests/queue_fail.v:10: $q_initialize requires a second (<= 32 bit numeric) argument. +ERROR: ivltests/queue_fail.v:11: $q_initialize requires a third (<= 32 bit numeric) argument. +ERROR: ivltests/queue_fail.v:12: $q_initialize requires a third (<= 32 bit numeric) argument. +ERROR: ivltests/queue_fail.v:13: $q_initialize's second argument must be numeric (<= 32 bits). +ERROR: ivltests/queue_fail.v:13: $q_initialize requires a third (<= 32 bit numeric) argument. +ERROR: ivltests/queue_fail.v:14: $q_initialize requires a fourth (variable) argument. +ERROR: ivltests/queue_fail.v:15: $q_initialize's third argument must be numeric (<= 32 bits). +ERROR: ivltests/queue_fail.v:15: $q_initialize requires a fourth (variable) argument. +ERROR: ivltests/queue_fail.v:16: $q_initialize's fourth argument must be a 32 bit variable. +ERROR: ivltests/queue_fail.v:17: $q_initialize's fourth (variable) argument must be 32 bits. +ERROR: ivltests/queue_fail.v:18: $q_initialize takes four arguments. + Found 1 extra argument. +ERROR: ivltests/queue_fail.v:20: $q_add requires four arguments. +ERROR: ivltests/queue_fail.v:21: $q_add requires a second (<= 32 bit numeric) argument. +ERROR: ivltests/queue_fail.v:22: $q_add requires a second (<= 32 bit numeric) argument. +ERROR: ivltests/queue_fail.v:23: $q_add's first argument must be numeric (<= 32 bits). +ERROR: ivltests/queue_fail.v:23: $q_add requires a second (<= 32 bit numeric) argument. +ERROR: ivltests/queue_fail.v:24: $q_add requires a third (<= 32 bit numeric) argument. +ERROR: ivltests/queue_fail.v:25: $q_add's second argument must be numeric (<= 32 bits). +ERROR: ivltests/queue_fail.v:25: $q_add requires a third (<= 32 bit numeric) argument. +ERROR: ivltests/queue_fail.v:26: $q_add requires a fourth (variable) argument. +ERROR: ivltests/queue_fail.v:27: $q_add's third argument must be numeric (<= 32 bits). +ERROR: ivltests/queue_fail.v:27: $q_add requires a fourth (variable) argument. +ERROR: ivltests/queue_fail.v:28: $q_add's fourth (variable) argument must be 32 bits. +ERROR: ivltests/queue_fail.v:29: $q_add takes four arguments. + Found 1 extra argument. +ERROR: ivltests/queue_fail.v:31: $q_remove requires four arguments. +ERROR: ivltests/queue_fail.v:32: $q_remove requires a second (variable) argument. +ERROR: ivltests/queue_fail.v:33: $q_remove requires a second (variable) argument. +ERROR: ivltests/queue_fail.v:34: $q_remove's first argument must be numeric (<= 32 bits). +ERROR: ivltests/queue_fail.v:34: $q_remove requires a second (variable) argument. +ERROR: ivltests/queue_fail.v:35: $q_remove requires a third (variable) argument. +ERROR: ivltests/queue_fail.v:36: $q_remove's second argument must be a 32 bit variable. +ERROR: ivltests/queue_fail.v:36: $q_remove requires a third (variable) argument. +ERROR: ivltests/queue_fail.v:37: $q_remove requires a fourth (variable) argument. +ERROR: ivltests/queue_fail.v:38: $q_remove's third argument must be a 32 bit variable. +ERROR: ivltests/queue_fail.v:38: $q_remove requires a fourth (variable) argument. +ERROR: ivltests/queue_fail.v:39: $q_remove's fourth (variable) argument must be 32 bits. +ERROR: ivltests/queue_fail.v:40: $q_remove's second (variable) argument must be 32 bits. +ERROR: ivltests/queue_fail.v:40: $q_remove's third (variable) argument must be 32 bits. +ERROR: ivltests/queue_fail.v:41: $q_remove takes four arguments. + Found 1 extra argument. +ERROR: ivltests/queue_fail.v:43: $q_full requires a second (variable) argument. +ERROR: ivltests/queue_fail.v:44: $q_full requires a second (variable) argument. +ERROR: ivltests/queue_fail.v:45: $q_full's first argument must be numeric (<= 32 bits). +ERROR: ivltests/queue_fail.v:45: $q_full requires a second (variable) argument. +ERROR: ivltests/queue_fail.v:46: $q_full's second (variable) argument must be 32 bits. +ERROR: ivltests/queue_fail.v:47: $q_full takes two arguments. + Found 1 extra argument. +ERROR: ivltests/queue_fail.v:49: $q_exam requires four arguments. +ERROR: ivltests/queue_fail.v:50: $q_exam requires a second (<= 32 bit numeric) argument. +ERROR: ivltests/queue_fail.v:51: $q_exam requires a second (<= 32 bit numeric) argument. +ERROR: ivltests/queue_fail.v:52: $q_exam's first argument must be numeric (<= 32 bits). +ERROR: ivltests/queue_fail.v:52: $q_exam requires a second (<= 32 bit numeric) argument. +ERROR: ivltests/queue_fail.v:53: $q_exam requires a third (variable) argument. +ERROR: ivltests/queue_fail.v:54: $q_exam requires a third (variable) argument. +ERROR: ivltests/queue_fail.v:55: $q_exam's second argument must be numeric (<= 32 bits). +ERROR: ivltests/queue_fail.v:55: $q_exam requires a third (variable) argument. +ERROR: ivltests/queue_fail.v:56: $q_exam requires a fourth (variable) argument. +ERROR: ivltests/queue_fail.v:57: $q_exam's third (variable) argument must have at least 32 bits. +ERROR: ivltests/queue_fail.v:57: $q_exam requires a fourth (variable) argument. +ERROR: ivltests/queue_fail.v:58: $q_exam's third argument must be a variable. +ERROR: ivltests/queue_fail.v:58: $q_exam requires a fourth (variable) argument. +ERROR: ivltests/queue_fail.v:59: $q_exam's fourth (variable) argument must be 32 bits. +ERROR: ivltests/queue_fail.v:60: $q_exam takes two arguments. + Found 1 extra argument. diff --git a/ivtest/gold/queue_fail.gold b/ivtest/gold/queue_fail.gold deleted file mode 100644 index e9b4ff158..000000000 --- a/ivtest/gold/queue_fail.gold +++ /dev/null @@ -1,70 +0,0 @@ -ERROR: ./ivltests/queue_fail.v:7: $q_initialize requires four arguments. -ERROR: ./ivltests/queue_fail.v:8: $q_initialize requires a second (<= 32 bit numeric) argument. -ERROR: ./ivltests/queue_fail.v:9: $q_initialize requires a second (<= 32 bit numeric) argument. -ERROR: ./ivltests/queue_fail.v:10: $q_initialize's first argument must be numeric (<= 32 bits). -ERROR: ./ivltests/queue_fail.v:10: $q_initialize requires a second (<= 32 bit numeric) argument. -ERROR: ./ivltests/queue_fail.v:11: $q_initialize requires a third (<= 32 bit numeric) argument. -ERROR: ./ivltests/queue_fail.v:12: $q_initialize requires a third (<= 32 bit numeric) argument. -ERROR: ./ivltests/queue_fail.v:13: $q_initialize's second argument must be numeric (<= 32 bits). -ERROR: ./ivltests/queue_fail.v:13: $q_initialize requires a third (<= 32 bit numeric) argument. -ERROR: ./ivltests/queue_fail.v:14: $q_initialize requires a fourth (variable) argument. -ERROR: ./ivltests/queue_fail.v:15: $q_initialize's third argument must be numeric (<= 32 bits). -ERROR: ./ivltests/queue_fail.v:15: $q_initialize requires a fourth (variable) argument. -ERROR: ./ivltests/queue_fail.v:16: $q_initialize's fourth argument must be a 32 bit variable. -ERROR: ./ivltests/queue_fail.v:17: $q_initialize's fourth (variable) argument must be 32 bits. -ERROR: ./ivltests/queue_fail.v:18: $q_initialize takes four arguments. - Found 1 extra argument. -ERROR: ./ivltests/queue_fail.v:20: $q_add requires four arguments. -ERROR: ./ivltests/queue_fail.v:21: $q_add requires a second (<= 32 bit numeric) argument. -ERROR: ./ivltests/queue_fail.v:22: $q_add requires a second (<= 32 bit numeric) argument. -ERROR: ./ivltests/queue_fail.v:23: $q_add's first argument must be numeric (<= 32 bits). -ERROR: ./ivltests/queue_fail.v:23: $q_add requires a second (<= 32 bit numeric) argument. -ERROR: ./ivltests/queue_fail.v:24: $q_add requires a third (<= 32 bit numeric) argument. -ERROR: ./ivltests/queue_fail.v:25: $q_add's second argument must be numeric (<= 32 bits). -ERROR: ./ivltests/queue_fail.v:25: $q_add requires a third (<= 32 bit numeric) argument. -ERROR: ./ivltests/queue_fail.v:26: $q_add requires a fourth (variable) argument. -ERROR: ./ivltests/queue_fail.v:27: $q_add's third argument must be numeric (<= 32 bits). -ERROR: ./ivltests/queue_fail.v:27: $q_add requires a fourth (variable) argument. -ERROR: ./ivltests/queue_fail.v:28: $q_add's fourth (variable) argument must be 32 bits. -ERROR: ./ivltests/queue_fail.v:29: $q_add takes four arguments. - Found 1 extra argument. -ERROR: ./ivltests/queue_fail.v:31: $q_remove requires four arguments. -ERROR: ./ivltests/queue_fail.v:32: $q_remove requires a second (variable) argument. -ERROR: ./ivltests/queue_fail.v:33: $q_remove requires a second (variable) argument. -ERROR: ./ivltests/queue_fail.v:34: $q_remove's first argument must be numeric (<= 32 bits). -ERROR: ./ivltests/queue_fail.v:34: $q_remove requires a second (variable) argument. -ERROR: ./ivltests/queue_fail.v:35: $q_remove requires a third (variable) argument. -ERROR: ./ivltests/queue_fail.v:36: $q_remove's second argument must be a 32 bit variable. -ERROR: ./ivltests/queue_fail.v:36: $q_remove requires a third (variable) argument. -ERROR: ./ivltests/queue_fail.v:37: $q_remove requires a fourth (variable) argument. -ERROR: ./ivltests/queue_fail.v:38: $q_remove's third argument must be a 32 bit variable. -ERROR: ./ivltests/queue_fail.v:38: $q_remove requires a fourth (variable) argument. -ERROR: ./ivltests/queue_fail.v:39: $q_remove's fourth (variable) argument must be 32 bits. -ERROR: ./ivltests/queue_fail.v:40: $q_remove's second (variable) argument must be 32 bits. -ERROR: ./ivltests/queue_fail.v:40: $q_remove's third (variable) argument must be 32 bits. -ERROR: ./ivltests/queue_fail.v:41: $q_remove takes four arguments. - Found 1 extra argument. -ERROR: ./ivltests/queue_fail.v:43: $q_full requires a second (variable) argument. -ERROR: ./ivltests/queue_fail.v:44: $q_full requires a second (variable) argument. -ERROR: ./ivltests/queue_fail.v:45: $q_full's first argument must be numeric (<= 32 bits). -ERROR: ./ivltests/queue_fail.v:45: $q_full requires a second (variable) argument. -ERROR: ./ivltests/queue_fail.v:46: $q_full's second (variable) argument must be 32 bits. -ERROR: ./ivltests/queue_fail.v:47: $q_full takes two arguments. - Found 1 extra argument. -ERROR: ./ivltests/queue_fail.v:49: $q_exam requires four arguments. -ERROR: ./ivltests/queue_fail.v:50: $q_exam requires a second (<= 32 bit numeric) argument. -ERROR: ./ivltests/queue_fail.v:51: $q_exam requires a second (<= 32 bit numeric) argument. -ERROR: ./ivltests/queue_fail.v:52: $q_exam's first argument must be numeric (<= 32 bits). -ERROR: ./ivltests/queue_fail.v:52: $q_exam requires a second (<= 32 bit numeric) argument. -ERROR: ./ivltests/queue_fail.v:53: $q_exam requires a third (variable) argument. -ERROR: ./ivltests/queue_fail.v:54: $q_exam requires a third (variable) argument. -ERROR: ./ivltests/queue_fail.v:55: $q_exam's second argument must be numeric (<= 32 bits). -ERROR: ./ivltests/queue_fail.v:55: $q_exam requires a third (variable) argument. -ERROR: ./ivltests/queue_fail.v:56: $q_exam requires a fourth (variable) argument. -ERROR: ./ivltests/queue_fail.v:57: $q_exam's third (variable) argument must have at least 32 bits. -ERROR: ./ivltests/queue_fail.v:57: $q_exam requires a fourth (variable) argument. -ERROR: ./ivltests/queue_fail.v:58: $q_exam's third argument must be a variable. -ERROR: ./ivltests/queue_fail.v:58: $q_exam requires a fourth (variable) argument. -ERROR: ./ivltests/queue_fail.v:59: $q_exam's fourth (variable) argument must be 32 bits. -ERROR: ./ivltests/queue_fail.v:60: $q_exam takes two arguments. - Found 1 extra argument. diff --git a/ivtest/gold/readmem-invalid-vlog95.gold b/ivtest/gold/readmem-invalid-vlog95.gold deleted file mode 100644 index 47a847642..000000000 --- a/ivtest/gold/readmem-invalid-vlog95.gold +++ /dev/null @@ -1,18 +0,0 @@ -ERROR: vlog95.v:14: $readmemb requires two arguments. -ERROR: vlog95.v:15: $readmemb's first argument must be a file name (string). -ERROR: vlog95.v:15: $readmemb requires a second (memory) argument. -ERROR: vlog95.v:16: $readmemb requires a second (memory) argument. -ERROR: vlog95.v:17: $readmemb's second argument must be a memory. -ERROR: vlog95.v:18: $readmemb's third argument must be a start address (numeric). -ERROR: vlog95.v:19: $readmemb's fourth argument must be a finish address (numeric). -ERROR: vlog95.v:20: $readmemb takes at most four arguments. - Found 1 extra argument. -ERROR: vlog95.v:21: $readmemh requires two arguments. -ERROR: vlog95.v:22: $readmemh's first argument must be a file name (string). -ERROR: vlog95.v:22: $readmemh requires a second (memory) argument. -ERROR: vlog95.v:23: $readmemh requires a second (memory) argument. -ERROR: vlog95.v:24: $readmemh's second argument must be a memory. -ERROR: vlog95.v:25: $readmemh's third argument must be a start address (numeric). -ERROR: vlog95.v:26: $readmemh's fourth argument must be a finish address (numeric). -ERROR: vlog95.v:27: $readmemh takes at most four arguments. - Found 1 extra argument. diff --git a/ivtest/gold/readmem-invalid-vvp-stdout.gold b/ivtest/gold/readmem-invalid-vvp-stdout.gold new file mode 100644 index 000000000..fb9650f75 --- /dev/null +++ b/ivtest/gold/readmem-invalid-vvp-stdout.gold @@ -0,0 +1,18 @@ +ERROR: ivltests/readmem-invalid.v:5: $readmemb requires two arguments. +ERROR: ivltests/readmem-invalid.v:6: $readmemb's first argument must be a file name (string). +ERROR: ivltests/readmem-invalid.v:6: $readmemb requires a second (memory) argument. +ERROR: ivltests/readmem-invalid.v:7: $readmemb requires a second (memory) argument. +ERROR: ivltests/readmem-invalid.v:8: $readmemb's second argument must be a memory. +ERROR: ivltests/readmem-invalid.v:9: $readmemb's third argument must be a start address (numeric). +ERROR: ivltests/readmem-invalid.v:10: $readmemb's fourth argument must be a finish address (numeric). +ERROR: ivltests/readmem-invalid.v:11: $readmemb takes at most four arguments. + Found 1 extra argument. +ERROR: ivltests/readmem-invalid.v:13: $readmemh requires two arguments. +ERROR: ivltests/readmem-invalid.v:14: $readmemh's first argument must be a file name (string). +ERROR: ivltests/readmem-invalid.v:14: $readmemh requires a second (memory) argument. +ERROR: ivltests/readmem-invalid.v:15: $readmemh requires a second (memory) argument. +ERROR: ivltests/readmem-invalid.v:16: $readmemh's second argument must be a memory. +ERROR: ivltests/readmem-invalid.v:17: $readmemh's third argument must be a start address (numeric). +ERROR: ivltests/readmem-invalid.v:18: $readmemh's fourth argument must be a finish address (numeric). +ERROR: ivltests/readmem-invalid.v:19: $readmemh takes at most four arguments. + Found 1 extra argument. diff --git a/ivtest/gold/readmem-invalid.gold b/ivtest/gold/readmem-invalid.gold deleted file mode 100644 index 95c8cf7b6..000000000 --- a/ivtest/gold/readmem-invalid.gold +++ /dev/null @@ -1,18 +0,0 @@ -ERROR: ./ivltests/readmem-invalid.v:5: $readmemb requires two arguments. -ERROR: ./ivltests/readmem-invalid.v:6: $readmemb's first argument must be a file name (string). -ERROR: ./ivltests/readmem-invalid.v:6: $readmemb requires a second (memory) argument. -ERROR: ./ivltests/readmem-invalid.v:7: $readmemb requires a second (memory) argument. -ERROR: ./ivltests/readmem-invalid.v:8: $readmemb's second argument must be a memory. -ERROR: ./ivltests/readmem-invalid.v:9: $readmemb's third argument must be a start address (numeric). -ERROR: ./ivltests/readmem-invalid.v:10: $readmemb's fourth argument must be a finish address (numeric). -ERROR: ./ivltests/readmem-invalid.v:11: $readmemb takes at most four arguments. - Found 1 extra argument. -ERROR: ./ivltests/readmem-invalid.v:13: $readmemh requires two arguments. -ERROR: ./ivltests/readmem-invalid.v:14: $readmemh's first argument must be a file name (string). -ERROR: ./ivltests/readmem-invalid.v:14: $readmemh requires a second (memory) argument. -ERROR: ./ivltests/readmem-invalid.v:15: $readmemh requires a second (memory) argument. -ERROR: ./ivltests/readmem-invalid.v:16: $readmemh's second argument must be a memory. -ERROR: ./ivltests/readmem-invalid.v:17: $readmemh's third argument must be a start address (numeric). -ERROR: ./ivltests/readmem-invalid.v:18: $readmemh's fourth argument must be a finish address (numeric). -ERROR: ./ivltests/readmem-invalid.v:19: $readmemh takes at most four arguments. - Found 1 extra argument. diff --git a/ivtest/gold/scan-invalid-vvp-stdout.gold b/ivtest/gold/scan-invalid-vvp-stdout.gold new file mode 100644 index 000000000..82113a63e --- /dev/null +++ b/ivtest/gold/scan-invalid-vvp-stdout.gold @@ -0,0 +1,12 @@ +ERROR: ivltests/scan-invalid.v:10: $sscanf requires at least three argument. +ERROR: ivltests/scan-invalid.v:11: $sscanf's first argument must be a register or a string. +ERROR: ivltests/scan-invalid.v:12: $sscanf requires at least three argument. +ERROR: ivltests/scan-invalid.v:13: $sscanf argument 3 (a vpiModule) is not assignable. +ERROR: ivltests/scan-invalid.v:14: $sscanf argument 3 (a vpiNet) is not assignable. +ERROR: ivltests/scan-invalid.v:15: $sscanf argument 4 (a vpiNet) is not assignable. +ERROR: ivltests/scan-invalid.v:20: $fscanf requires at least three argument. +ERROR: ivltests/scan-invalid.v:21: $fscanf's first argument (fd) must be numeric. +ERROR: ivltests/scan-invalid.v:22: $fscanf requires at least three argument. +ERROR: ivltests/scan-invalid.v:23: $fscanf argument 3 (a vpiModule) is not assignable. +ERROR: ivltests/scan-invalid.v:24: $fscanf argument 3 (a vpiNet) is not assignable. +ERROR: ivltests/scan-invalid.v:25: $fscanf argument 4 (a vpiNet) is not assignable. diff --git a/ivtest/gold/scan-invalid.gold b/ivtest/gold/scan-invalid.gold deleted file mode 100644 index e5b88a652..000000000 --- a/ivtest/gold/scan-invalid.gold +++ /dev/null @@ -1,12 +0,0 @@ -ERROR: ./ivltests/scan-invalid.v:10: $sscanf requires at least three argument. -ERROR: ./ivltests/scan-invalid.v:11: $sscanf's first argument must be a register or a string. -ERROR: ./ivltests/scan-invalid.v:12: $sscanf requires at least three argument. -ERROR: ./ivltests/scan-invalid.v:13: $sscanf argument 3 (a vpiModule) is not assignable. -ERROR: ./ivltests/scan-invalid.v:14: $sscanf argument 3 (a vpiNet) is not assignable. -ERROR: ./ivltests/scan-invalid.v:15: $sscanf argument 4 (a vpiNet) is not assignable. -ERROR: ./ivltests/scan-invalid.v:20: $fscanf requires at least three argument. -ERROR: ./ivltests/scan-invalid.v:21: $fscanf's first argument (fd) must be numeric. -ERROR: ./ivltests/scan-invalid.v:22: $fscanf requires at least three argument. -ERROR: ./ivltests/scan-invalid.v:23: $fscanf argument 3 (a vpiModule) is not assignable. -ERROR: ./ivltests/scan-invalid.v:24: $fscanf argument 3 (a vpiNet) is not assignable. -ERROR: ./ivltests/scan-invalid.v:25: $fscanf argument 4 (a vpiNet) is not assignable. diff --git a/ivtest/gold/sf_countbits_fail-iverilog-stderr.gold b/ivtest/gold/sf_countbits_fail-iverilog-stderr.gold new file mode 100644 index 000000000..8eda2061e --- /dev/null +++ b/ivtest/gold/sf_countbits_fail-iverilog-stderr.gold @@ -0,0 +1,6 @@ +ivltests/sf_countbits_fail.v:6: error: constant function $countbits() does not support a single argument. +ivltests/sf_countbits_fail.v:7: error: constant function $countbits() does not support a single argument. +ivltests/sf_countbits_fail.v:8: error: constant function $countbits() does not support a single argument. +ivltests/sf_countbits_fail.v:9: error: constant function $countbits() does not support a string argument (1). +ivltests/sf_countbits_fail.v:10: error: constant function $countbits() does not support a string argument (2). +ivltests/sf_countbits_fail.v:11: error: constant function $countbits() does not support a string argument (3). diff --git a/ivtest/gold/sf_countbits_fail-vvp-stdout.gold b/ivtest/gold/sf_countbits_fail-vvp-stdout.gold new file mode 100644 index 000000000..dac71d0a2 --- /dev/null +++ b/ivtest/gold/sf_countbits_fail-vvp-stdout.gold @@ -0,0 +1,8 @@ +ERROR: ivltests/sf_countbits_fail.v:6: The first argument to $countbits() must be numeric. +ERROR: ivltests/sf_countbits_fail.v:6: $countbits() requires at least one control bit argument. +ERROR: ivltests/sf_countbits_fail.v:7: The first argument to $countbits() must be numeric. +ERROR: ivltests/sf_countbits_fail.v:7: $countbits() requires at least one control bit argument. +ERROR: ivltests/sf_countbits_fail.v:8: $countbits() requires at least one control bit argument. +ERROR: ivltests/sf_countbits_fail.v:9: The first argument to $countbits() must be numeric. +ERROR: ivltests/sf_countbits_fail.v:10: Control bit argument 1 to $countbits() must be numeric. +ERROR: ivltests/sf_countbits_fail.v:11: Control bit argument 2 to $countbits() must be numeric. diff --git a/ivtest/gold/sf_countbits_fail.gold b/ivtest/gold/sf_countbits_fail.gold deleted file mode 100644 index a16b5732f..000000000 --- a/ivtest/gold/sf_countbits_fail.gold +++ /dev/null @@ -1,14 +0,0 @@ -./ivltests/sf_countbits_fail.v:6: error: constant function $countbits() does not support a single argument. -./ivltests/sf_countbits_fail.v:7: error: constant function $countbits() does not support a single argument. -./ivltests/sf_countbits_fail.v:8: error: constant function $countbits() does not support a single argument. -./ivltests/sf_countbits_fail.v:9: error: constant function $countbits() does not support a string argument (1). -./ivltests/sf_countbits_fail.v:10: error: constant function $countbits() does not support a string argument (2). -./ivltests/sf_countbits_fail.v:11: error: constant function $countbits() does not support a string argument (3). -ERROR: ./ivltests/sf_countbits_fail.v:6: The first argument to $countbits() must be numeric. -ERROR: ./ivltests/sf_countbits_fail.v:6: $countbits() requires at least one control bit argument. -ERROR: ./ivltests/sf_countbits_fail.v:7: The first argument to $countbits() must be numeric. -ERROR: ./ivltests/sf_countbits_fail.v:7: $countbits() requires at least one control bit argument. -ERROR: ./ivltests/sf_countbits_fail.v:8: $countbits() requires at least one control bit argument. -ERROR: ./ivltests/sf_countbits_fail.v:9: The first argument to $countbits() must be numeric. -ERROR: ./ivltests/sf_countbits_fail.v:10: Control bit argument 1 to $countbits() must be numeric. -ERROR: ./ivltests/sf_countbits_fail.v:11: Control bit argument 2 to $countbits() must be numeric. diff --git a/ivtest/gold/sf_countones_fail-iverilog-stderr.gold b/ivtest/gold/sf_countones_fail-iverilog-stderr.gold new file mode 100644 index 000000000..398d4ca26 --- /dev/null +++ b/ivtest/gold/sf_countones_fail-iverilog-stderr.gold @@ -0,0 +1,2 @@ +ivltests/sf_countones_fail.v:7: error: constant function $countones() does not support a string argument (1). +ivltests/sf_countones_fail.v:8: error: constant function $countones() does not support two arguments. diff --git a/ivtest/gold/sf_countones_fail-vvp-stdout.gold b/ivtest/gold/sf_countones_fail-vvp-stdout.gold new file mode 100644 index 000000000..05e6bee1a --- /dev/null +++ b/ivtest/gold/sf_countones_fail-vvp-stdout.gold @@ -0,0 +1,4 @@ +ERROR: ivltests/sf_countones_fail.v:6: $countones's argument must be numeric. +ERROR: ivltests/sf_countones_fail.v:7: $countones's argument must be numeric. +ERROR: ivltests/sf_countones_fail.v:8: $countones takes a single numeric argument. + Found 1 extra argument. diff --git a/ivtest/gold/sf_countones_fail.gold b/ivtest/gold/sf_countones_fail.gold deleted file mode 100644 index db251060c..000000000 --- a/ivtest/gold/sf_countones_fail.gold +++ /dev/null @@ -1,6 +0,0 @@ -./ivltests/sf_countones_fail.v:7: error: constant function $countones() does not support a string argument (1). -./ivltests/sf_countones_fail.v:8: error: constant function $countones() does not support two arguments. -ERROR: ./ivltests/sf_countones_fail.v:6: $countones's argument must be numeric. -ERROR: ./ivltests/sf_countones_fail.v:7: $countones's argument must be numeric. -ERROR: ./ivltests/sf_countones_fail.v:8: $countones takes a single numeric argument. - Found 1 extra argument. diff --git a/ivtest/gold/sf_isunknown_fail-iverilog-stderr.gold b/ivtest/gold/sf_isunknown_fail-iverilog-stderr.gold new file mode 100644 index 000000000..8d10129e7 --- /dev/null +++ b/ivtest/gold/sf_isunknown_fail-iverilog-stderr.gold @@ -0,0 +1,2 @@ +ivltests/sf_isunknown_fail.v:7: error: constant function $isunknown() does not support a string argument (1). +ivltests/sf_isunknown_fail.v:8: error: constant function $isunknown() does not support two arguments. diff --git a/ivtest/gold/sf_isunknown_fail-vvp-stdout.gold b/ivtest/gold/sf_isunknown_fail-vvp-stdout.gold new file mode 100644 index 000000000..8b8460fcb --- /dev/null +++ b/ivtest/gold/sf_isunknown_fail-vvp-stdout.gold @@ -0,0 +1,4 @@ +ERROR: ivltests/sf_isunknown_fail.v:6: $isunknown's argument must be numeric. +ERROR: ivltests/sf_isunknown_fail.v:7: $isunknown's argument must be numeric. +ERROR: ivltests/sf_isunknown_fail.v:8: $isunknown takes a single numeric argument. + Found 1 extra argument. diff --git a/ivtest/gold/sf_isunknown_fail.gold b/ivtest/gold/sf_isunknown_fail.gold deleted file mode 100644 index 619c05ca7..000000000 --- a/ivtest/gold/sf_isunknown_fail.gold +++ /dev/null @@ -1,6 +0,0 @@ -./ivltests/sf_isunknown_fail.v:7: error: constant function $isunknown() does not support a string argument (1). -./ivltests/sf_isunknown_fail.v:8: error: constant function $isunknown() does not support two arguments. -ERROR: ./ivltests/sf_isunknown_fail.v:6: $isunknown's argument must be numeric. -ERROR: ./ivltests/sf_isunknown_fail.v:7: $isunknown's argument must be numeric. -ERROR: ./ivltests/sf_isunknown_fail.v:8: $isunknown takes a single numeric argument. - Found 1 extra argument. diff --git a/ivtest/gold/sf_onehot0_fail-iverilog-stderr.gold b/ivtest/gold/sf_onehot0_fail-iverilog-stderr.gold new file mode 100644 index 000000000..c7d8dbdbd --- /dev/null +++ b/ivtest/gold/sf_onehot0_fail-iverilog-stderr.gold @@ -0,0 +1,2 @@ +ivltests/sf_onehot0_fail.v:7: error: constant function $onehot0() does not support a string argument (1). +ivltests/sf_onehot0_fail.v:8: error: constant function $onehot0() does not support two arguments. diff --git a/ivtest/gold/sf_onehot0_fail-vvp-stdout.gold b/ivtest/gold/sf_onehot0_fail-vvp-stdout.gold new file mode 100644 index 000000000..033ff8f7c --- /dev/null +++ b/ivtest/gold/sf_onehot0_fail-vvp-stdout.gold @@ -0,0 +1,4 @@ +ERROR: ivltests/sf_onehot0_fail.v:6: $onehot0's argument must be numeric. +ERROR: ivltests/sf_onehot0_fail.v:7: $onehot0's argument must be numeric. +ERROR: ivltests/sf_onehot0_fail.v:8: $onehot0 takes a single numeric argument. + Found 1 extra argument. diff --git a/ivtest/gold/sf_onehot0_fail.gold b/ivtest/gold/sf_onehot0_fail.gold deleted file mode 100644 index 3d314c1fa..000000000 --- a/ivtest/gold/sf_onehot0_fail.gold +++ /dev/null @@ -1,6 +0,0 @@ -./ivltests/sf_onehot0_fail.v:7: error: constant function $onehot0() does not support a string argument (1). -./ivltests/sf_onehot0_fail.v:8: error: constant function $onehot0() does not support two arguments. -ERROR: ./ivltests/sf_onehot0_fail.v:6: $onehot0's argument must be numeric. -ERROR: ./ivltests/sf_onehot0_fail.v:7: $onehot0's argument must be numeric. -ERROR: ./ivltests/sf_onehot0_fail.v:8: $onehot0 takes a single numeric argument. - Found 1 extra argument. diff --git a/ivtest/gold/sf_onehot_fail-iverilog-stderr.gold b/ivtest/gold/sf_onehot_fail-iverilog-stderr.gold new file mode 100644 index 000000000..f16ba0682 --- /dev/null +++ b/ivtest/gold/sf_onehot_fail-iverilog-stderr.gold @@ -0,0 +1,2 @@ +ivltests/sf_onehot_fail.v:7: error: constant function $onehot() does not support a string argument (1). +ivltests/sf_onehot_fail.v:8: error: constant function $onehot() does not support two arguments. diff --git a/ivtest/gold/sf_onehot_fail-vvp-stdout.gold b/ivtest/gold/sf_onehot_fail-vvp-stdout.gold new file mode 100644 index 000000000..1a003a9c3 --- /dev/null +++ b/ivtest/gold/sf_onehot_fail-vvp-stdout.gold @@ -0,0 +1,4 @@ +ERROR: ivltests/sf_onehot_fail.v:6: $onehot's argument must be numeric. +ERROR: ivltests/sf_onehot_fail.v:7: $onehot's argument must be numeric. +ERROR: ivltests/sf_onehot_fail.v:8: $onehot takes a single numeric argument. + Found 1 extra argument. diff --git a/ivtest/gold/sf_onehot_fail.gold b/ivtest/gold/sf_onehot_fail.gold deleted file mode 100644 index 843580b8c..000000000 --- a/ivtest/gold/sf_onehot_fail.gold +++ /dev/null @@ -1,6 +0,0 @@ -./ivltests/sf_onehot_fail.v:7: error: constant function $onehot() does not support a string argument (1). -./ivltests/sf_onehot_fail.v:8: error: constant function $onehot() does not support two arguments. -ERROR: ./ivltests/sf_onehot_fail.v:6: $onehot's argument must be numeric. -ERROR: ./ivltests/sf_onehot_fail.v:7: $onehot's argument must be numeric. -ERROR: ./ivltests/sf_onehot_fail.v:8: $onehot takes a single numeric argument. - Found 1 extra argument. diff --git a/ivtest/gold/sv_default_port_value3-iverilog-stderr.gold b/ivtest/gold/sv_default_port_value3-iverilog-stderr.gold new file mode 100644 index 000000000..12d9e3177 --- /dev/null +++ b/ivtest/gold/sv_default_port_value3-iverilog-stderr.gold @@ -0,0 +1,3 @@ +ivltests/sv_default_port_value3.v:3: error: A reference to a net or variable (`v') is not allowed in a constant expression. +ivltests/sv_default_port_value3.v:3: error: Failed to elaborate port default value. +2 error(s) during elaboration. diff --git a/ivtest/gold/sv_default_port_value3.gold b/ivtest/gold/sv_default_port_value3.gold deleted file mode 100644 index 9e56f4ea5..000000000 --- a/ivtest/gold/sv_default_port_value3.gold +++ /dev/null @@ -1,3 +0,0 @@ -./ivltests/sv_default_port_value3.v:3: error: A reference to a net or variable (`v') is not allowed in a constant expression. -./ivltests/sv_default_port_value3.v:3: error: Failed to elaborate port default value. -2 error(s) during elaboration. diff --git a/ivtest/gold/warn_opt_sys_tf-vlog95.gold b/ivtest/gold/warn_opt_sys_tf-vlog95.gold deleted file mode 100644 index ec2040f03..000000000 --- a/ivtest/gold/warn_opt_sys_tf-vlog95.gold +++ /dev/null @@ -1,16 +0,0 @@ -SORRY: vlog95.v:14: $getpattern() is not available in Icarus Verilog. -SORRY: vlog95.v:15: $input() is not available in Icarus Verilog. -SORRY: vlog95.v:16: $key() is not available in Icarus Verilog. -SORRY: vlog95.v:17: $nokey() is not available in Icarus Verilog. -SORRY: vlog95.v:18: $list() is not available in Icarus Verilog. -SORRY: vlog95.v:19: $log() is not available in Icarus Verilog. -SORRY: vlog95.v:20: $nolog() is not available in Icarus Verilog. -SORRY: vlog95.v:21: $save() is not available in Icarus Verilog. -SORRY: vlog95.v:22: $restart() is not available in Icarus Verilog. -SORRY: vlog95.v:23: $incsave() is not available in Icarus Verilog. -SORRY: vlog95.v:24: $scale() is not available in Icarus Verilog. -SORRY: vlog95.v:25: $scope() is not available in Icarus Verilog. -SORRY: vlog95.v:26: $showscopes() is not available in Icarus Verilog. -SORRY: vlog95.v:27: $showvars() is not available in Icarus Verilog. -SORRY: vlog95.v:28: $sreadmemb() is not available in Icarus Verilog. -SORRY: vlog95.v:29: $sreadmemh() is not available in Icarus Verilog. diff --git a/ivtest/gold/warn_opt_sys_tf-vvp-stdout.gold b/ivtest/gold/warn_opt_sys_tf-vvp-stdout.gold new file mode 100644 index 000000000..af3c91260 --- /dev/null +++ b/ivtest/gold/warn_opt_sys_tf-vvp-stdout.gold @@ -0,0 +1,16 @@ +SORRY: ivltests/warn_opt_sys_tf.v:7: $getpattern() is not available in Icarus Verilog. +SORRY: ivltests/warn_opt_sys_tf.v:8: $input() is not available in Icarus Verilog. +SORRY: ivltests/warn_opt_sys_tf.v:9: $key() is not available in Icarus Verilog. +SORRY: ivltests/warn_opt_sys_tf.v:10: $nokey() is not available in Icarus Verilog. +SORRY: ivltests/warn_opt_sys_tf.v:11: $list() is not available in Icarus Verilog. +SORRY: ivltests/warn_opt_sys_tf.v:12: $log() is not available in Icarus Verilog. +SORRY: ivltests/warn_opt_sys_tf.v:13: $nolog() is not available in Icarus Verilog. +SORRY: ivltests/warn_opt_sys_tf.v:14: $save() is not available in Icarus Verilog. +SORRY: ivltests/warn_opt_sys_tf.v:15: $restart() is not available in Icarus Verilog. +SORRY: ivltests/warn_opt_sys_tf.v:16: $incsave() is not available in Icarus Verilog. +SORRY: ivltests/warn_opt_sys_tf.v:17: $scale() is not available in Icarus Verilog. +SORRY: ivltests/warn_opt_sys_tf.v:18: $scope() is not available in Icarus Verilog. +SORRY: ivltests/warn_opt_sys_tf.v:19: $showscopes() is not available in Icarus Verilog. +SORRY: ivltests/warn_opt_sys_tf.v:20: $showvars() is not available in Icarus Verilog. +SORRY: ivltests/warn_opt_sys_tf.v:21: $sreadmemb() is not available in Icarus Verilog. +SORRY: ivltests/warn_opt_sys_tf.v:22: $sreadmemh() is not available in Icarus Verilog. diff --git a/ivtest/gold/warn_opt_sys_tf.gold b/ivtest/gold/warn_opt_sys_tf.gold deleted file mode 100644 index f76afe9c7..000000000 --- a/ivtest/gold/warn_opt_sys_tf.gold +++ /dev/null @@ -1,16 +0,0 @@ -SORRY: ./ivltests/warn_opt_sys_tf.v:7: $getpattern() is not available in Icarus Verilog. -SORRY: ./ivltests/warn_opt_sys_tf.v:8: $input() is not available in Icarus Verilog. -SORRY: ./ivltests/warn_opt_sys_tf.v:9: $key() is not available in Icarus Verilog. -SORRY: ./ivltests/warn_opt_sys_tf.v:10: $nokey() is not available in Icarus Verilog. -SORRY: ./ivltests/warn_opt_sys_tf.v:11: $list() is not available in Icarus Verilog. -SORRY: ./ivltests/warn_opt_sys_tf.v:12: $log() is not available in Icarus Verilog. -SORRY: ./ivltests/warn_opt_sys_tf.v:13: $nolog() is not available in Icarus Verilog. -SORRY: ./ivltests/warn_opt_sys_tf.v:14: $save() is not available in Icarus Verilog. -SORRY: ./ivltests/warn_opt_sys_tf.v:15: $restart() is not available in Icarus Verilog. -SORRY: ./ivltests/warn_opt_sys_tf.v:16: $incsave() is not available in Icarus Verilog. -SORRY: ./ivltests/warn_opt_sys_tf.v:17: $scale() is not available in Icarus Verilog. -SORRY: ./ivltests/warn_opt_sys_tf.v:18: $scope() is not available in Icarus Verilog. -SORRY: ./ivltests/warn_opt_sys_tf.v:19: $showscopes() is not available in Icarus Verilog. -SORRY: ./ivltests/warn_opt_sys_tf.v:20: $showvars() is not available in Icarus Verilog. -SORRY: ./ivltests/warn_opt_sys_tf.v:21: $sreadmemb() is not available in Icarus Verilog. -SORRY: ./ivltests/warn_opt_sys_tf.v:22: $sreadmemh() is not available in Icarus Verilog. diff --git a/ivtest/gold/writemem-invalid-vlog95.gold b/ivtest/gold/writemem-invalid-vlog95.gold deleted file mode 100644 index 880336f59..000000000 --- a/ivtest/gold/writemem-invalid-vlog95.gold +++ /dev/null @@ -1,18 +0,0 @@ -ERROR: vlog95.v:14: $writememb requires two arguments. -ERROR: vlog95.v:15: $writememb's first argument must be a file name (string). -ERROR: vlog95.v:15: $writememb requires a second (memory) argument. -ERROR: vlog95.v:16: $writememb requires a second (memory) argument. -ERROR: vlog95.v:17: $writememb's second argument must be a memory. -ERROR: vlog95.v:18: $writememb's third argument must be a start address (numeric). -ERROR: vlog95.v:19: $writememb's fourth argument must be a finish address (numeric). -ERROR: vlog95.v:20: $writememb takes at most four arguments. - Found 1 extra argument. -ERROR: vlog95.v:21: $writememh requires two arguments. -ERROR: vlog95.v:22: $writememh's first argument must be a file name (string). -ERROR: vlog95.v:22: $writememh requires a second (memory) argument. -ERROR: vlog95.v:23: $writememh requires a second (memory) argument. -ERROR: vlog95.v:24: $writememh's second argument must be a memory. -ERROR: vlog95.v:25: $writememh's third argument must be a start address (numeric). -ERROR: vlog95.v:26: $writememh's fourth argument must be a finish address (numeric). -ERROR: vlog95.v:27: $writememh takes at most four arguments. - Found 1 extra argument. diff --git a/ivtest/gold/writemem-invalid-vvp-stdout.gold b/ivtest/gold/writemem-invalid-vvp-stdout.gold new file mode 100644 index 000000000..159fc89e1 --- /dev/null +++ b/ivtest/gold/writemem-invalid-vvp-stdout.gold @@ -0,0 +1,18 @@ +ERROR: ivltests/writemem-invalid.v:5: $writememb requires two arguments. +ERROR: ivltests/writemem-invalid.v:6: $writememb's first argument must be a file name (string). +ERROR: ivltests/writemem-invalid.v:6: $writememb requires a second (memory) argument. +ERROR: ivltests/writemem-invalid.v:7: $writememb requires a second (memory) argument. +ERROR: ivltests/writemem-invalid.v:8: $writememb's second argument must be a memory. +ERROR: ivltests/writemem-invalid.v:9: $writememb's third argument must be a start address (numeric). +ERROR: ivltests/writemem-invalid.v:10: $writememb's fourth argument must be a finish address (numeric). +ERROR: ivltests/writemem-invalid.v:11: $writememb takes at most four arguments. + Found 1 extra argument. +ERROR: ivltests/writemem-invalid.v:13: $writememh requires two arguments. +ERROR: ivltests/writemem-invalid.v:14: $writememh's first argument must be a file name (string). +ERROR: ivltests/writemem-invalid.v:14: $writememh requires a second (memory) argument. +ERROR: ivltests/writemem-invalid.v:15: $writememh requires a second (memory) argument. +ERROR: ivltests/writemem-invalid.v:16: $writememh's second argument must be a memory. +ERROR: ivltests/writemem-invalid.v:17: $writememh's third argument must be a start address (numeric). +ERROR: ivltests/writemem-invalid.v:18: $writememh's fourth argument must be a finish address (numeric). +ERROR: ivltests/writemem-invalid.v:19: $writememh takes at most four arguments. + Found 1 extra argument. diff --git a/ivtest/gold/writemem-invalid.gold b/ivtest/gold/writemem-invalid.gold deleted file mode 100644 index 5c8179d78..000000000 --- a/ivtest/gold/writemem-invalid.gold +++ /dev/null @@ -1,18 +0,0 @@ -ERROR: ./ivltests/writemem-invalid.v:5: $writememb requires two arguments. -ERROR: ./ivltests/writemem-invalid.v:6: $writememb's first argument must be a file name (string). -ERROR: ./ivltests/writemem-invalid.v:6: $writememb requires a second (memory) argument. -ERROR: ./ivltests/writemem-invalid.v:7: $writememb requires a second (memory) argument. -ERROR: ./ivltests/writemem-invalid.v:8: $writememb's second argument must be a memory. -ERROR: ./ivltests/writemem-invalid.v:9: $writememb's third argument must be a start address (numeric). -ERROR: ./ivltests/writemem-invalid.v:10: $writememb's fourth argument must be a finish address (numeric). -ERROR: ./ivltests/writemem-invalid.v:11: $writememb takes at most four arguments. - Found 1 extra argument. -ERROR: ./ivltests/writemem-invalid.v:13: $writememh requires two arguments. -ERROR: ./ivltests/writemem-invalid.v:14: $writememh's first argument must be a file name (string). -ERROR: ./ivltests/writemem-invalid.v:14: $writememh requires a second (memory) argument. -ERROR: ./ivltests/writemem-invalid.v:15: $writememh requires a second (memory) argument. -ERROR: ./ivltests/writemem-invalid.v:16: $writememh's second argument must be a memory. -ERROR: ./ivltests/writemem-invalid.v:17: $writememh's third argument must be a start address (numeric). -ERROR: ./ivltests/writemem-invalid.v:18: $writememh's fourth argument must be a finish address (numeric). -ERROR: ./ivltests/writemem-invalid.v:19: $writememh takes at most four arguments. - Found 1 extra argument. diff --git a/ivtest/regress-fsv.list b/ivtest/regress-fsv.list index 3d0f9c617..a816d4b5c 100644 --- a/ivtest/regress-fsv.list +++ b/ivtest/regress-fsv.list @@ -99,13 +99,6 @@ br_gh306b normal ivltests case5-syn-fail normal ivltests casesynth7 normal ivltests casesynth8 normal ivltests -memsynth2 normal ivltests -memsynth3 normal ivltests -memsynth5 normal ivltests -memsynth6 normal ivltests -memsynth7 normal ivltests -memsynth9 normal ivltests -mix_reset normal ivltests # These use $abstime() and produce different results when run # using SytemVerilog diff --git a/ivtest/regress-ivl1.list b/ivtest/regress-ivl1.list index 400515599..c4a9ad468 100644 --- a/ivtest/regress-ivl1.list +++ b/ivtest/regress-ivl1.list @@ -249,9 +249,6 @@ sv-2val-nets normal,-g2009 ivltests # Left aligned formats pr2476430 normal ivltests -# A % at the end of the format string is displayed a a % -eofmt_percent normal ivltests gold=eofmt_percent.gold - # Command line parameters br_gh377 normal,-Ptest.name= ivltests gold=br_gh377.gold cmdline_parm1 normal,-Pmain.foo=2 ivltests diff --git a/ivtest/regress-sv.list b/ivtest/regress-sv.list index 45615003e..20eea95c0 100644 --- a/ivtest/regress-sv.list +++ b/ivtest/regress-sv.list @@ -205,7 +205,6 @@ br_gh411 normal,-g2009 ivltests br_gh418 normal,-g2009 ivltests br_gh433 normal,-g2009 ivltests gold=br_gh433.gold br_gh437 normal,-g2009 ivltests -br_gh440 CE,-g2009 ivltests gold=br_gh440.gold br_gh443 normal,-g2009 ivltests br_gh445 normal,-g2009 ivltests br_gh461 normal,-g2009 ivltests @@ -374,7 +373,6 @@ l_impl normal,-g2005-sv ivltests l_equiv normal,-g2005-sv ivltests l_equiv_ca normal,-g2005-sv ivltests l_equiv_const normal,-g2005-sv ivltests -line_directive normal,-g2009,-I./ivltests ivltests gold=line_directive.gold localparam_implicit normal,-g2005-sv ivltests localparam_implicit2 CE,-g2005-sv ivltests localparam_implicit3 CE,-g2005-sv ivltests @@ -446,9 +444,7 @@ pr3515542 CE,-g2005-sv ivltests gold=pr3515542.gold pr3534333 normal,-g2005-sv ivltests pr3576165 normal,-g2009 ivltests program2 normal,-g2009 ivltests -program2b normal,-g2009 ivltests program3 normal,-g2009 ivltests -program3a normal,-g2009 ivltests program3b CE,-g2009 ivltests program4 normal,-g2009 ivltests program5a CE,-g2009 ivltests @@ -466,15 +462,10 @@ recursive_func_const2 normal,-g2005-sv ivltests gold=recursive_func_const.gold sbyte_test normal,-g2005-sv ivltests scalar_vector normal,-g2005-sv ivltests sf_countbits normal,-g2012 ivltests -sf_countbits_fail RE,-g2012 ivltests gold=sf_countbits_fail.gold sf_countones normal,-g2009 ivltests -sf_countones_fail RE,-g2009 ivltests gold=sf_countones_fail.gold sf_isunknown normal,-g2005-sv ivltests -sf_isunknown_fail RE,-g2005-sv ivltests gold=sf_isunknown_fail.gold sf_onehot normal,-g2005-sv ivltests -sf_onehot_fail RE,-g2005-sv ivltests gold=sf_onehot_fail.gold sf_onehot0 normal,-g2005-sv ivltests -sf_onehot0_fail RE,-g2005-sv ivltests gold=sf_onehot0_fail.gold sformatf normal,-g2009 ivltests simple_byte normal,-g2005-sv ivltests simple_int normal,-g2005-sv ivltests @@ -666,9 +657,6 @@ sv_darray_oob_vec2 normal,-g2009 ivltests sv_darray_oob_vec4 normal,-g2009 ivltests sv_darray_signed normal,-g2009 ivltests sv_darray_word_size normal,-g2005-sv ivltests -sv_default_port_value1 normal,-g2009 ivltests -sv_default_port_value2 normal,-g2009 ivltests -sv_default_port_value3 CE,-g2009 ivltests gold=sv_default_port_value3.gold sv_deferred_assert1 normal,-g2009 ivltests gold=sv_deferred_assert1.gold sv_deferred_assert2 normal,-g2009 ivltests gold=sv_deferred_assert2.gold sv_deferred_assume1 normal,-g2009 ivltests gold=sv_deferred_assume1.gold diff --git a/ivtest/regress-synth.list b/ivtest/regress-synth.list index f925122d9..3cd91d65f 100644 --- a/ivtest/regress-synth.list +++ b/ivtest/regress-synth.list @@ -123,15 +123,7 @@ inside_synth2 normal ivltests inside_synth3 normal ivltests land5 normal ivltests lcatsynth normal ivltests -memsynth2 normal ivltests -memsynth3 normal ivltests -memsynth4 normal ivltests -memsynth5 normal ivltests -memsynth6 normal ivltests -memsynth7 normal ivltests memsynth8 normal ivltests -memsynth9 normal ivltests -mix_reset normal ivltests multireg normal ivltests not_a_latch1 normal ivltests not_a_latch2 normal ivltests diff --git a/ivtest/regress-v11.list b/ivtest/regress-v11.list deleted file mode 100644 index 0814b79ce..000000000 --- a/ivtest/regress-v11.list +++ /dev/null @@ -1,145 +0,0 @@ -# This test list is used to override other test lists when using -# Icarus Verilog v11. - -# -# Copyright (c) 1999-2021 Guy Hutchison (ghutchis@pacbell.net) -# -# This source code is free software; you can redistribute it -# and/or modify it in source code form under the terms of the GNU -# General Public License as published by the Free Software -# Foundation; either version 2 of the License, or (at your option) -# any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA -# -# Format of the file -# -# testname testtype directory -# -# The is the verilog file name minus an extension. -# -# The can be one of the following: -# -# normal: Normal results expected, i.e it should compile and execute -# producing at least a single line with PASSED. -# -# CO: Compile Only - Compile the file to the default output type. -# -# CN: Compile Null - Compile with the null target. Similar to CO. -# -# CE: Compile with Errors - We EXPECT errors - we're checking -# illegal syntax -# -# RE: Runtime with Errors - We EXPECT errors - we're checking -# illegal syntax -# -# EF: Expected Fail - We EXPECT this test to fail - only use -# with older versions of Icarus. -# -# TE: Translation Error - We EXPECT the translated code to fail - -# only supported in the vlog95 checker. -# -# NI: Not implemented. Only use for version specific tests. -# -# is where the .v file is located. -# -# An optional fourth and fifth argument can be supplied. -# -# The fourth argument may be one of the following. -# -# modulename - Defines the top level module -# gold=filename - Compare a gold file against the -# generated log file. -# unordered=filename - Compare a gold file against the -# generated log file, allowing for lines -# to appear in any order -# diff=filename1:filename2:skip_ln - Compare the two files for equality. -# Skip the first lines or none. -# -# If a is given you can supply a fifth argument for the -# gold or diff commands. -# - -# v11 does not print a warning for too many parameter overrides -param_test3 normal ivltests -eofmt_percent normal ivltests gold=eofmt_percent-v11.gold - -# v11 does not fail during elaboration for these tests -pr1916261a normal ivltests -comment1 normal ivltests - -# v11 has not been updated to support this. -line_directive EF,-I./ivltests ivltests gold=line_directive.gold -program2b CE,-g2009 ivltests -program3a CE,-g2009 ivltests -sv_default_port_value1 CE,-g2009 ivltests -sv_default_port_value2 CE,-g2009 ivltests -sv_default_port_value3 CE,-g2009 ivltests - -# v11 does not check for as many issues as devel. -br_gh440 CE,-g2009 ivltests gold=br_gh440-v11.gold - -# v11 has incomplete synthesis support -memsynth2 CE,-S ivltests -memsynth3 CE,-S ivltests -memsynth5 CE,-S ivltests -memsynth6 CE,-S ivltests -memsynth7 CE,-S ivltests -memsynth9 CE,-S ivltests -mix_reset CE,-S ivltests - -# For V11 vvp does not fail for these tests -automatic_error11 normal ivltests gold=automatic_error11.gold -automatic_error12 normal ivltests gold=automatic_error12.gold -automatic_error13 normal ivltests gold=automatic_error13.gold -br_gh230 normal ivltests gold=br_gh230.gold -fdisplay3 normal ivltests gold=fdisplay3.gold -fread-error normal ivltests gold=fread-error.gold -pr2800985b normal ivltests gold=pr2800985b.gold -queue_fail normal ivltests gold=queue_fail.gold -readmem-invalid normal ivltests gold=readmem-invalid.gold -scan-invalid normal ivltests gold=scan-invalid.gold -warn_opt_sys_tf normal ivltests gold=warn_opt_sys_tf.gold -writemem-invalid normal ivltests gold=writemem-invalid.gold -sf_countbits_fail normal,-g2012 ivltests gold=sf_countbits_fail.gold -sf_countones_fail normal,-g2009 ivltests gold=sf_countones_fail.gold -sf_isunknown_fail normal,-g2009 ivltests gold=sf_isunknown_fail.gold -sf_onehot_fail normal,-g2009 ivltests gold=sf_onehot_fail.gold -sf_onehot0_fail normal,-g2009 ivltests gold=sf_onehot0_fail.gold - -# These tests are not implemented for v11 -analog1 NI ivltests -analog2 NI ivltests -br605a NI ivltests -br605b NI ivltests -br971 NI ivltests -br_gh72b_fail NI ivltests -br_gh175 NI ivltests -br_gh307 NI ivltests -br_gh390a NI ivltests -br_gh390b NI ivltests -br_gh412 NI ivltests -br_gh414 NI ivltests -br_gh436 NI ivltests -br_gh453 NI ivltests -br_gh460 NI ivltests -br_gh478 NI ivltests -br_gh527 NI ivltests -edge NI ivltests -fileline2 NI ivltests -ifdef_fail NI ivltests -scalar_vector NI ivltests -string12 NI ivltests -sv_deferred_assert1 NI ivltests -sv_deferred_assert2 NI ivltests -sv_deferred_assume1 NI ivltests -sv_deferred_assume2 NI ivltests -timeliteral NI ivltests -vhdl_multidim_array NI ivltests diff --git a/ivtest/regress-v12.list b/ivtest/regress-v12.list deleted file mode 100644 index 766b2eeef..000000000 --- a/ivtest/regress-v12.list +++ /dev/null @@ -1,77 +0,0 @@ -# This test list is used to override other test lists when using -# Icarus Verilog v12. - -# -# Copyright (c) 1999-2015 Guy Hutchison (ghutchis@pacbell.net) -# -# This source code is free software; you can redistribute it -# and/or modify it in source code form under the terms of the GNU -# General Public License as published by the Free Software -# Foundation; either version 2 of the License, or (at your option) -# any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA -# -# Format of the file -# -# testname testtype directory -# -# The is the verilog file name minus an extension. -# -# The can be one of the following: -# -# normal: Normal results expected, i.e it should compile and execute -# producing at least a single line with PASSED. -# -# CO: Compile Only - Compile the file to the default output type. -# -# CN: Compile Null - Compile with the null target. Similar to CO. -# -# CE: Compile with Errors - We EXPECT errors - we're checking -# illegal syntax -# -# RE: Runtime with Errors - We EXPECT errors - we're checking -# illegal syntax -# -# EF: Expected Fail - We EXPECT this test to fail - only use -# with older versions of Icarus. -# -# TE: Translation Error - We EXPECT the translated code to fail - -# only supported in the vlog95 checker. -# -# NI: Not implemented. Only use for version specific tests. -# -# is where the .v file is located. -# -# An optional fourth and fifth argument can be supplied. -# -# The fourth argument may be one of the following. -# -# modulename - Defines the top level module -# gold=filename - Compare a gold file against the -# generated log file. -# unordered=filename - Compare a gold file against the -# generated log file, allowing for lines -# to appear in any order -# diff=filename1:filename2:skip_ln - Compare the two files for equality. -# Skip the first lines or none. -# -# If a is given you can supply a fifth argument for the -# gold or diff commands. -# - -# v11 has incomplete synthesis support -memsynth2 CE,-S ivltests -memsynth3 CE,-S ivltests -memsynth5 CE,-S ivltests -memsynth6 CE,-S ivltests -memsynth7 CE,-S ivltests -memsynth9 CE,-S ivltests -mix_reset CE,-S ivltests diff --git a/ivtest/regress-v13.list b/ivtest/regress-v13.list deleted file mode 100644 index d62d6b1af..000000000 --- a/ivtest/regress-v13.list +++ /dev/null @@ -1,77 +0,0 @@ -# This test list is used to override other test lists when using -# Icarus Verilog v13. - -# -# Copyright (c) 1999-2015 Guy Hutchison (ghutchis@pacbell.net) -# -# This source code is free software; you can redistribute it -# and/or modify it in source code form under the terms of the GNU -# General Public License as published by the Free Software -# Foundation; either version 2 of the License, or (at your option) -# any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program; if not, write to the Free Software -# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA -# -# Format of the file -# -# testname testtype directory -# -# The is the verilog file name minus an extension. -# -# The can be one of the following: -# -# normal: Normal results expected, i.e it should compile and execute -# producing at least a single line with PASSED. -# -# CO: Compile Only - Compile the file to the default output type. -# -# CN: Compile Null - Compile with the null target. Similar to CO. -# -# CE: Compile with Errors - We EXPECT errors - we're checking -# illegal syntax -# -# RE: Runtime with Errors - We EXPECT errors - we're checking -# illegal syntax -# -# EF: Expected Fail - We EXPECT this test to fail - only use -# with older versions of Icarus. -# -# TE: Translation Error - We EXPECT the translated code to fail - -# only supported in the vlog95 checker. -# -# NI: Not implemented. Only use for version specific tests. -# -# is where the .v file is located. -# -# An optional fourth and fifth argument can be supplied. -# -# The fourth argument may be one of the following. -# -# modulename - Defines the top level module -# gold=filename - Compare a gold file against the -# generated log file. -# unordered=filename - Compare a gold file against the -# generated log file, allowing for lines -# to appear in any order -# diff=filename1:filename2:skip_ln - Compare the two files for equality. -# Skip the first lines or none. -# -# If a is given you can supply a fifth argument for the -# gold or diff commands. -# - -# v13 has incomplete synthesis support -memsynth2 CE,-S ivltests -memsynth3 CE,-S ivltests -memsynth5 CE,-S ivltests -memsynth6 CE,-S ivltests -memsynth7 CE,-S ivltests -memsynth9 CE,-S ivltests -mix_reset CE,-S ivltests diff --git a/ivtest/regress-vlg.list b/ivtest/regress-vlg.list index df7ab9b44..8dd34a0fa 100644 --- a/ivtest/regress-vlg.list +++ b/ivtest/regress-vlg.list @@ -193,9 +193,6 @@ automatic_error7 CE ivltests automatic_error8 CE ivltests automatic_error9 CE ivltests automatic_error10 CE ivltests -automatic_error11 RE ivltests gold=automatic_error11.gold -automatic_error12 RE ivltests gold=automatic_error12.gold -automatic_error13 RE ivltests gold=automatic_error13.gold automatic_events normal ivltests gold=automatic_events.gold automatic_events2 normal ivltests gold=automatic_events.gold automatic_events3 normal ivltests gold=automatic_events3.gold @@ -317,7 +314,6 @@ br_gh198 normal ivltests gold=br_gh198.gold br_gh199a normal ivltests br_gh199b normal ivltests br_gh209 normal ivltests diff=work/br_gh209.dat:gold/br_gh209.dat -br_gh230 RE ivltests gold=br_gh230.gold br_gh244a normal ivltests br_gh244b normal ivltests br_gh277a normal ivltests @@ -408,7 +404,6 @@ check_constant_18 CE ivltests check_constant_19 CE ivltests check_constant_20 CE ivltests cmos normal ivltests gold=cmos.gold -comment1 CE ivltests # PR410/411 comp1000 normal ivltests gold=comp1000.gold comp1001 normal ivltests gold=comp1001.gold comp1001_fail3 normal ivltests @@ -523,7 +518,6 @@ event_list3 normal ivltests gold=event_list3.gold extend normal ivltests fdisplay1 normal ivltests gold=fdisplay1.gold fdisplay2 normal ivltests diff=work/fdisplay2.out:gold/fdisplay2.out -fdisplay3 RE ivltests gold=fdisplay3.gold fdisplay_fail_fd normal ivltests gold=fdisplay_fail_fd.gold fdisplay_fail_mcd normal ivltests gold=fdisplay_fail_mcd.gold fifo normal contrib @@ -545,7 +539,6 @@ fork3.19B normal ivltests format normal ivltests gold=format.gold fr47 normal ivltests fread normal ivltests -fread-error RE ivltests gold=fread-error.gold fscanf_u normal ivltests fscanf_u_warn normal ivltests gold=fscanf_u_warn.gold fscanf_z normal ivltests @@ -744,7 +737,6 @@ param_tern normal ivltests param_tern2 normal ivltests param_test1 normal ivltests gold=param_test1.gold param_test2 normal ivltests gold=param_test2.gold -param_test3 normal ivltests gold=param_test3.gold # PR#293 param_test4 normal ivltests param_times normal ivltests # param has multiplication. parameter_1bit normal ivltests @@ -1120,7 +1112,6 @@ pr1913918b normal ivltests pr1913918c normal ivltests pr1913937 normal ivltests pr1916261 normal ivltests -pr1916261a CE ivltests pr1921332 CO ivltests pr1924845 normal ivltests pr1925356 normal ivltests @@ -1314,7 +1305,6 @@ pr2792897 normal ivltests pr2792897 normal ivltests pr2794144 CE ivltests gold=pr2794144.gold pr2800985a normal ivltests -pr2800985b RE ivltests gold=pr2800985b.gold pr2801134 normal ivltests pr2801662 normal ivltests pr2809288 CE ivltests gold=pr2809288.gold @@ -1477,12 +1467,10 @@ qmark3 normal ivltests qmark5 normal ivltests qmark6 normal ivltests queue normal ivltests -queue_fail RE ivltests gold=queue_fail.gold queue_stat normal ivltests gold=queue_stat.gold ram16x1 normal ivltests # Sitting here for a long time? random normal ivltests gold=random.gold readmem-error normal ivltests gold=readmem-error.gold -readmem-invalid RE ivltests gold=readmem-invalid.gold readmemb1 normal ivltests # basic $readmemb - uses readmemh1.dat readmemb2 normal ivltests # $readmemb w/ short data file - readmemh2.dat readmemb3 normal ivltests # $readmemb 0-3 with long dfile - readmemh1.dat @@ -1536,7 +1524,6 @@ rptconcat normal ivltests # Repeat concatenation operation. rtran normal ivltests gold=rtran.gold rtranif0 normal ivltests gold=rtranif0.gold rtranif1 normal ivltests gold=rtranif1.gold -scan-invalid RE ivltests gold=scan-invalid.gold scanf normal ivltests scanf2 normal ivltests scanf3 normal ivltests @@ -1805,7 +1792,6 @@ vvp_scalar_value normal ivltests wait1 normal ivltests wait2 normal ivltests wait3 normal ivltests gold=wait3.gold -warn_opt_sys_tf RE ivltests gold=warn_opt_sys_tf.gold wildsense normal ivltests # Wildcard sensitivity list. wildsense2 normal ivltests # Wildcard sensitivity list. wireadd1 normal ivltests @@ -1820,7 +1806,6 @@ wiresr normal ivltests wiresub1 normal ivltests wirexor1 normal ivltests writemem-error normal ivltests gold=writemem-error.gold -writemem-invalid RE ivltests gold=writemem-invalid.gold writememb1 normal ivltests # pr#400 writememb2 normal ivltests # pr#400 writememh1 normal ivltests # pr#334 diff --git a/ivtest/regress-vlog95.list b/ivtest/regress-vlog95.list index 2f350d183..199bb2e72 100644 --- a/ivtest/regress-vlog95.list +++ b/ivtest/regress-vlog95.list @@ -70,9 +70,6 @@ # Verilog 95 does not support automatic tasks or functions. always_comb_rfunc CE ivltests always_comb_void_func CE ivltests -automatic_error11 CE ivltests -automatic_error12 CE ivltests -automatic_error13 CE ivltests automatic_events CE ivltests automatic_events2 CE ivltests automatic_events3 CE ivltests @@ -188,15 +185,10 @@ vhdl_pow_rem CE,-g2005-sv,-pallowsigned=1,ivltests/vhdl_pow_rem.vhd ivltests # IEEE 1364-1995 does not support these SV functions sf_countbits RE,-g2012 ivltests -sf_countbits_fail RE,-g2012 ivltests sf_countones RE,-g2009 ivltests -sf_countones_fail RE,-g2009 ivltests sf_isunknown RE,-g2005-sv ivltests -sf_isunknown_fail RE,-g2005-sv ivltests sf_onehot RE,-g2005-sv ivltests -sf_onehot_fail RE,-g2005-sv ivltests sf_onehot0 RE,-g2005-sv ivltests -sf_onehot0_fail RE,-g2005-sv ivltests # IEEE 1364-1995 only supports register arrays. array_lval_select1 normal,-DVLOG95 ivltests @@ -220,7 +212,6 @@ br_gh661b CE ivltests br_ml20171017 CE ivltests genvar_scopes CE ivltests meminit2 CE ivltests -memsynth4 CE,-S ivltests # Synthesized net array module_port_typedef_array1 CE,-g2005-sv ivltests # Module port array negative_genvar CE ivltests pr1565544 CE ivltests @@ -249,7 +240,6 @@ real_array CE ivltests real_array_nb CE,-pallowsigned=1 ivltests real_array_multi_dim CE,-pallowsigned=1 ivltests real_array_store_after_cmp CE ivltests -scan-invalid CE ivltests sel_rval_bit_ob CE ivltests sel_rval_part_ob CE ivltests signed_net_display CE,-pallowsigned=1 ivltests @@ -275,9 +265,7 @@ final CE,-g2009 ivltests final2 CE,-g2009 ivltests program_hello CE,-g2009 ivltests program2 CE,-g2009,-pallowsigned=1 ivltests -program2b CE,-g2009,-pallowsigned=1 ivltests program3 CE,-g2009 ivltests -program3a CE,-g2009 ivltests program4 CE,-g2009 ivltests # No support for the SystemVerilog string data type. @@ -804,15 +792,11 @@ br1003b normal,-g2009 ivltests gold=br1003b-vlog95.gold br1003c normal,-g2009 ivltests gold=br1003c-vlog95.gold br1003d normal,-g2009 ivltests gold=br1003d-vlog95.gold br1007 normal,-Wselect-range ivltests gold=br1007-vlog95.gold -br_gh230 RE ivltests gold=br_gh230-vlog95.gold br_gh889 normal,-gspecify ivltests gold=br_gh889-vlog95.gold -eofmt_percent normal ivltests gold=eofmt_percent-vlog95.gold fatal_et_al normal ivltests gold=fatal_et_al-vlog95.gold -fdisplay3 RE ivltests gold=fdisplay3-vlog95.gold fdisplay_fail_fd normal ivltests gold=fdisplay_fail_fd-vlog95.gold fdisplay_fail_mcd normal ivltests gold=fdisplay_fail_mcd-vlog95.gold format RE ivltests gold=format-vlog95.gold -fread-error RE ivltests gold=fread-error-vlog95.gold fscanf_u_warn normal ivltests gold=fscanf_u_warn-vlog95.gold fscanf_z_warn normal ivltests gold=fscanf_z_warn-vlog95.gold mem1 normal ivltests gold=mem1-vlog95.gold @@ -822,9 +806,6 @@ pr1698820 normal ivltests gold=pr1698820-vlog95.gold pr1819452 normal ivltests gold=pr1819452-vlog95.gold pr2509349a normal ivltests gold=pr2509349a-vlog95.gold pr2509349b normal ivltests gold=pr2509349b-vlog95.gold -pr2800985b RE ivltests gold=pr2800985b-vlog95.gold -queue_fail RE ivltests gold=queue_fail-vlog95.gold -readmem-invalid RE ivltests gold=readmem-invalid-vlog95.gold # Because the lower module has a parameter it is given a unique name that # does not match what the code is looking for. If we can verify that there # is only a single instance or that the instance has the original or at @@ -837,9 +818,7 @@ swrite normal ivltests gold=swrite-vlog95.gold sys_func_task_error RE ivltests gold=sys_func_task_error-vlog95.gold # In Verilog 95 a system function cannot be called as a task. sys_func_as_task RE,-g2009 ivltests -warn_opt_sys_tf RE ivltests gold=warn_opt_sys_tf-vlog95.gold writemem-error normal ivltests gold=writemem-error-vlog95.gold -writemem-invalid RE ivltests gold=writemem-invalid-vlog95.gold # For Verilog 95 signed is supported as an option (-pallowsigned=1). array6 normal,-pallowsigned=1 ivltests diff --git a/ivtest/regress-vvp.list b/ivtest/regress-vvp.list index 5a7633278..09091b4e2 100644 --- a/ivtest/regress-vvp.list +++ b/ivtest/regress-vvp.list @@ -7,14 +7,19 @@ always4B vvp_tests/always4B.json analog1 vvp_tests/analog1.json analog2 vvp_tests/analog2.json array_packed_write_read vvp_tests/array_packed_write_read.json +automatic_error11 vvp_tests/automatic_error11.json +automatic_error12 vvp_tests/automatic_error12.json +automatic_error13 vvp_tests/automatic_error13.json bits4 vvp_tests/bits4.json bitsel11 vvp_tests/bitsel11.json br_gh13a vvp_tests/br_gh13a.json br_gh13a-vlog95 vvp_tests/br_gh13a-vlog95.json +br_gh230 vvp_tests/br_gh230.json br_gh383a vvp_tests/br_gh383a.json br_gh383b vvp_tests/br_gh383b.json br_gh383c vvp_tests/br_gh383c.json br_gh383d vvp_tests/br_gh383d.json +br_gh440 vvp_tests/br_gh440.json br_gh939 vvp_tests/br_gh939.json br_gh99c vvp_tests/br_gh99c.json br_gh99c-vlog95 vvp_tests/br_gh99c-vlog95.json @@ -26,6 +31,7 @@ case3 vvp_tests/case3.json casex_synth vvp_tests/casex_synth.json cast_int_ams vvp_tests/cast_int_ams.json cast_int_ams-vlog95 vvp_tests/cast_int_ams-vlog95.json +comment1 vvp_tests/comment1.json constfunc4_ams vvp_tests/constfunc4_ams.json constfunc4_ams-vlog95 vvp_tests/constfunc4_ams-vlog95.json constfunc6_ams vvp_tests/constfunc6_ams.json @@ -49,16 +55,38 @@ dffsynth9 vvp_tests/dffsynth9.json dffsynth10 vvp_tests/dffsynth10.json dffsynth11 vvp_tests/dffsynth11.json dumpfile vvp_tests/dumpfile.json +eofmt_percent vvp_tests/eofmt_percent.json +eofmt_percent-vlog95 vvp_tests/eofmt_percent-vlog95.json +fdisplay3 vvp_tests/fdisplay3.json final3 vvp_tests/final3.json +fread-error vvp_tests/fread-error.json +line_directive vvp_tests/line_directive.json localparam_type vvp_tests/localparam_type.json localparam_type-vlog95 vvp_tests/localparam_type-vlog95.json macro_str_esc vvp_tests/macro_str_esc.json memsynth1 vvp_tests/memsynth1.json +memsynth2 vvp_tests/memsynth2.json +memsynth2-synth vvp_tests/memsynth2-synth.json +memsynth3 vvp_tests/memsynth3.json +memsynth3-synth vvp_tests/memsynth3-synth.json +memsynth4 vvp_tests/memsynth4.json +memsynth4-synth vvp_tests/memsynth4-synth.json +memsynth5 vvp_tests/memsynth5.json +memsynth5-synth vvp_tests/memsynth5-synth.json +memsynth6 vvp_tests/memsynth6.json +memsynth6-synth vvp_tests/memsynth6-synth.json +memsynth7 vvp_tests/memsynth7.json +memsynth7-synth vvp_tests/memsynth7-synth.json +memsynth9 vvp_tests/memsynth9.json +memsynth9-synth vvp_tests/memsynth9-synth.json +mix_reset vvp_tests/mix_reset.json +mix_reset-synth vvp_tests/mix_reset-synth.json module_ordered_list1 vvp_tests/module_ordered_list1.json module_ordered_list2 vvp_tests/module_ordered_list2.json module_port_array1 vvp_tests/module_port_array1.json module_port_array_init1 vvp_tests/module_port_array_init1.json non-polymorphic-abs vvp_tests/non-polymorphic-abs.json +param_test3 vvp_tests/param_test3.json param-width vvp_tests/param-width.json param-width-vlog95 vvp_tests/param-width-vlog95.json parameter_type vvp_tests/parameter_type.json @@ -69,16 +97,31 @@ pr1701890 vvp_tests/pr1701890.json pr1864110a vvp_tests/pr1864110a.json pr1864110b vvp_tests/pr1864110b.json pr1864115 vvp_tests/pr1864115.json +pr1916261a vvp_tests/pr1916261a.json +pr1916261a vvp_tests/pr1916261a.json +pr2800985b vvp_tests/pr2800985b.json pr3270320_ams vvp_tests/pr3270320_ams.json pr903 vvp_tests/pr903.json pr903-vlog95 vvp_tests/pr903-vlog95.json +program2b vvp_tests/program2b.json +program2b-vlog95 vvp_tests/program2b-vlog95.json +program3a vvp_tests/program3a.json +program3a-vlog95 vvp_tests/program3a-vlog95.json pv_wr_fn_vec2 vvp_tests/pv_wr_fn_vec2.json pv_wr_fn_vec4 vvp_tests/pv_wr_fn_vec4.json +queue_fail vvp_tests/queue_fail.json +readmem-invalid vvp_tests/readmem-invalid.json scaled_real vvp_tests/scaled_real.json +scan-invalid vvp_tests/scan-invalid.json sdf_interconnect1 vvp_tests/sdf_interconnect1.json sdf_interconnect2 vvp_tests/sdf_interconnect2.json sdf_interconnect3 vvp_tests/sdf_interconnect3.json sdf_interconnect4 vvp_tests/sdf_interconnect4.json +sf_countbits_fail vvp_tests/sf_countbits_fail.json +sf_countones_fail vvp_tests/sf_countones_fail.json +sf_isunknown_fail vvp_tests/sf_isunknown_fail.json +sf_onehot_fail vvp_tests/sf_onehot_fail.json +sf_onehot0_fail vvp_tests/sf_onehot0_fail.json struct_enum_partsel vvp_tests/struct_enum_partsel.json struct_field_left_right vvp_tests/struct_field_left_right.json struct_packed_write_read vvp_tests/struct_packed_write_read.json @@ -118,6 +161,9 @@ sv_const_fail6 vvp_tests/sv_const_fail6.json sv_const_fail7 vvp_tests/sv_const_fail7.json sv_const_fail8 vvp_tests/sv_const_fail8.json sv_const_fail9 vvp_tests/sv_const_fail9.json +sv_default_port_value1 vvp_tests/sv_default_port_value1.json +sv_default_port_value2 vvp_tests/sv_default_port_value2.json +sv_default_port_value3 vvp_tests/sv_default_port_value3.json sv_foreach9 vvp_tests/sv_foreach9.json sv_foreach10 vvp_tests/sv_foreach10.json sv_module_port1 vvp_tests/sv_module_port1.json @@ -144,4 +190,6 @@ vams_abs2-vlog95 vvp_tests/vams_abs2-vlog95.json vams_abs3 vvp_tests/vams_abs3.json vams_abs3-vlog95 vvp_tests/vams_abs3-vlog95.json va_math vvp_tests/va_math.json +warn_opt_sys_tf vvp_tests/warn_opt_sys_tf.json wreal vvp_tests/wreal.json +writemem-invalid vvp_tests/writemem-invalid.json diff --git a/ivtest/run_ivl.py b/ivtest/run_ivl.py index dc3df3f48..34829ffd6 100644 --- a/ivtest/run_ivl.py +++ b/ivtest/run_ivl.py @@ -151,7 +151,7 @@ def run_CE(options : dict) -> list: else: return [0, "Passed - CE"] -def check_run_outputs(options : dict, expected_fail : bool, it_stdout : str, log_list : list) -> list: +def check_run_outputs(options : dict, it_stdout : str, log_list : list) -> list: '''Check the output files, and return success for failed. This function takes an options dictionary that describes the settings, and @@ -166,16 +166,10 @@ def check_run_outputs(options : dict, expected_fail : bool, it_stdout : str, log if it_gold is not None: compared = check_gold(it_key, it_gold, log_list) - if expected_fail: - if compared: - return [1, "Failed = Passed, but expected failure"] - else: - return [0, "Passed - Expected fail"] + if compared: + return [0, "Passed"] else: - if compared: - return [0, "Passed"] - else: - return [1, "Failed - Gold output doesn't match actual output."] + return [1, "Failed - Gold output doesn't match actual output."] # If there is a diff description, then compare named files instead of # the log and a gold file. @@ -209,10 +203,7 @@ def check_run_outputs(options : dict, expected_fail : bool, it_stdout : str, log # Otherwise, look for the PASSED output string in stdout. for line in it_stdout.splitlines(): if line == "PASSED": - if expected_fail: - return [1, "Failed - Passed, but expected failure"] - else: - return [0, "Passed"] + return [0, "Passed"] # If there is no PASSED output, and nothing else to check, then # assume a failure. @@ -267,7 +258,7 @@ def do_run_normal_vlog95(options : dict, expected_fail : bool) -> list: "iverilog-vlog95-stdout", "iverilog-vlog95-stderr", "vvp-stdout", "vvp-stderr"] - return check_run_outputs(options, expected_fail, it_stdout, log_list) + return check_run_outputs(options, it_stdout, log_list) def do_run_normal(options : dict, expected_fail : bool) -> list: @@ -298,14 +289,18 @@ def do_run_normal(options : dict, expected_fail : bool) -> list: vvp_res = run_cmd(vvp_cmd) log_results(it_key, "vvp", vvp_res); - if vvp_res.returncode != 0: - return [1, "Failed - Vvp execution failed"] + if vvp_res.returncode == 0 and expected_fail: + return [1, "Failed - Vvp execution did not fail, but was expted to fail."] + if vvp_res.returncode >= 256: + return [1, "Failed - Vvp execution error"] + if vvp_res.returncode > 0 and vvp_res.returncode < 256 and not expected_fail: + return [1, "Failed - Vvp error, but expected to succeed"] it_stdout = vvp_res.stdout.decode('ascii') log_list = ["iverilog-stdout", "iverilog-stderr", "vvp-stdout", "vvp-stderr"] - return check_run_outputs(options, expected_fail, it_stdout, log_list) + return check_run_outputs(options, it_stdout, log_list) def run_normal(options : dict) -> list: return do_run_normal(options, False) diff --git a/ivtest/vvp_reg.pl b/ivtest/vvp_reg.pl index 8585261b5..fe80510b4 100755 --- a/ivtest/vvp_reg.pl +++ b/ivtest/vvp_reg.pl @@ -51,7 +51,6 @@ if ($#ARGV != -1) { if ($force_sv) { &read_regression_list("regress-fsv.list", $ver, $force_sv, ""); } - &read_regression_list("regress-v$ver.list", $ver, $force_sv, ""); &read_regression_list("regress-ivl1.list", $ver, $force_sv, ""); &read_regression_list("regress-vlg.list", $ver, $force_sv, ""); if ($ver >= 10) { diff --git a/ivtest/vvp_tests/automatic_error11.json b/ivtest/vvp_tests/automatic_error11.json new file mode 100644 index 000000000..00b19920a --- /dev/null +++ b/ivtest/vvp_tests/automatic_error11.json @@ -0,0 +1,5 @@ +{ + "type" : "EF", + "source" : "automatic_error11.v", + "gold" : "automatic_error11" +} diff --git a/ivtest/vvp_tests/automatic_error12.json b/ivtest/vvp_tests/automatic_error12.json new file mode 100644 index 000000000..9cea986ff --- /dev/null +++ b/ivtest/vvp_tests/automatic_error12.json @@ -0,0 +1,5 @@ +{ + "type" : "EF", + "source" : "automatic_error12.v", + "gold" : "automatic_error12" +} diff --git a/ivtest/vvp_tests/automatic_error13.json b/ivtest/vvp_tests/automatic_error13.json new file mode 100644 index 000000000..de77ec2dd --- /dev/null +++ b/ivtest/vvp_tests/automatic_error13.json @@ -0,0 +1,5 @@ +{ + "type" : "EF", + "source" : "automatic_error13.v", + "gold" : "automatic_error13" +} diff --git a/ivtest/vvp_tests/br_gh230.json b/ivtest/vvp_tests/br_gh230.json new file mode 100644 index 000000000..e187e8f26 --- /dev/null +++ b/ivtest/vvp_tests/br_gh230.json @@ -0,0 +1,5 @@ +{ + "type" : "EF", + "source" : "br_gh230.v", + "gold" : "br_gh230" +} diff --git a/ivtest/vvp_tests/br_gh440.json b/ivtest/vvp_tests/br_gh440.json new file mode 100644 index 000000000..135f8ddbf --- /dev/null +++ b/ivtest/vvp_tests/br_gh440.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "br_gh440.v", + "iverilog-args" : [ "-g2009" ], + "gold" : "br_gh440" +} diff --git a/ivtest/vvp_tests/comment1.json b/ivtest/vvp_tests/comment1.json new file mode 100644 index 000000000..83daec0fe --- /dev/null +++ b/ivtest/vvp_tests/comment1.json @@ -0,0 +1,4 @@ +{ + "type" : "CE", + "source" : "comment1.v" +} diff --git a/ivtest/vvp_tests/eofmt_percent-vlog95.json b/ivtest/vvp_tests/eofmt_percent-vlog95.json new file mode 100644 index 000000000..c2a131b6c --- /dev/null +++ b/ivtest/vvp_tests/eofmt_percent-vlog95.json @@ -0,0 +1,5 @@ +{ + "type" : "normal-vlog95", + "source" : "eofmt_percent.v", + "gold" : "eofmt_percent-vlog95" +} diff --git a/ivtest/vvp_tests/eofmt_percent.json b/ivtest/vvp_tests/eofmt_percent.json new file mode 100644 index 000000000..2b5150b7a --- /dev/null +++ b/ivtest/vvp_tests/eofmt_percent.json @@ -0,0 +1,5 @@ +{ + "type" : "normal", + "source" : "eofmt_percent.v", + "gold" : "eofmt_percent" +} diff --git a/ivtest/vvp_tests/fdisplay3.json b/ivtest/vvp_tests/fdisplay3.json new file mode 100644 index 000000000..b696ecc36 --- /dev/null +++ b/ivtest/vvp_tests/fdisplay3.json @@ -0,0 +1,5 @@ +{ + "type" : "EF", + "source" : "fdisplay3.v", + "gold" : "fdisplay3" +} diff --git a/ivtest/vvp_tests/fread-error.json b/ivtest/vvp_tests/fread-error.json new file mode 100644 index 000000000..04e0698ed --- /dev/null +++ b/ivtest/vvp_tests/fread-error.json @@ -0,0 +1,5 @@ +{ + "type" : "EF", + "source" : "fread-error.v", + "gold" : "fread-error" +} diff --git a/ivtest/vvp_tests/line_directive.json b/ivtest/vvp_tests/line_directive.json new file mode 100644 index 000000000..7d27f5079 --- /dev/null +++ b/ivtest/vvp_tests/line_directive.json @@ -0,0 +1,6 @@ +{ + "type" : "normal", + "source" : "line_directive.v", + "iverilog-args" : [ "-g2009", "-I./ivltests" ], + "gold" : "line_directive" +} diff --git a/ivtest/vvp_tests/memsynth2-synth.json b/ivtest/vvp_tests/memsynth2-synth.json new file mode 100644 index 000000000..d7f91e9d1 --- /dev/null +++ b/ivtest/vvp_tests/memsynth2-synth.json @@ -0,0 +1,6 @@ +{ + "__comment" : "Synthesis not implemented for this yet.", + "type" : "NI", + "source" : "memsynth2.v", + "iverilog-args" : [ "-S" ] +} diff --git a/ivtest/vvp_tests/memsynth2.json b/ivtest/vvp_tests/memsynth2.json new file mode 100644 index 000000000..6d814ac74 --- /dev/null +++ b/ivtest/vvp_tests/memsynth2.json @@ -0,0 +1,4 @@ +{ + "type" : "normal", + "source" : "memsynth2.v" +} diff --git a/ivtest/vvp_tests/memsynth3-synth.json b/ivtest/vvp_tests/memsynth3-synth.json new file mode 100644 index 000000000..2af0ec177 --- /dev/null +++ b/ivtest/vvp_tests/memsynth3-synth.json @@ -0,0 +1,6 @@ +{ + "__comment" : "Synthesis not implemented for this yet.", + "type" : "NI", + "source" : "memsynth3.v", + "iverilog-args" : [ "-S" ] +} diff --git a/ivtest/vvp_tests/memsynth3.json b/ivtest/vvp_tests/memsynth3.json new file mode 100644 index 000000000..d65af418c --- /dev/null +++ b/ivtest/vvp_tests/memsynth3.json @@ -0,0 +1,4 @@ +{ + "type" : "normal", + "source" : "memsynth3.v" +} diff --git a/ivtest/vvp_tests/memsynth4-synth.json b/ivtest/vvp_tests/memsynth4-synth.json new file mode 100644 index 000000000..2ac648d5d --- /dev/null +++ b/ivtest/vvp_tests/memsynth4-synth.json @@ -0,0 +1,6 @@ +{ + "__comment" : "Synthesis not implemented for this yet.", + "type" : "normal", + "source" : "memsynth4.v", + "iverilog-args" : [ "-S" ] +} diff --git a/ivtest/vvp_tests/memsynth4.json b/ivtest/vvp_tests/memsynth4.json new file mode 100644 index 000000000..95a892344 --- /dev/null +++ b/ivtest/vvp_tests/memsynth4.json @@ -0,0 +1,4 @@ +{ + "type" : "normal", + "source" : "memsynth4.v" +} diff --git a/ivtest/vvp_tests/memsynth5-synth.json b/ivtest/vvp_tests/memsynth5-synth.json new file mode 100644 index 000000000..09f3f3121 --- /dev/null +++ b/ivtest/vvp_tests/memsynth5-synth.json @@ -0,0 +1,6 @@ +{ + "__comment" : "Synthesis not implemented for this yet.", + "type" : "NI", + "source" : "memsynth5.v", + "iverilog-args" : [ "-S" ] +} diff --git a/ivtest/vvp_tests/memsynth5.json b/ivtest/vvp_tests/memsynth5.json new file mode 100644 index 000000000..1cd0e053f --- /dev/null +++ b/ivtest/vvp_tests/memsynth5.json @@ -0,0 +1,4 @@ +{ + "type" : "normal", + "source" : "memsynth5.v" +} diff --git a/ivtest/vvp_tests/memsynth6-synth.json b/ivtest/vvp_tests/memsynth6-synth.json new file mode 100644 index 000000000..5d9ad1602 --- /dev/null +++ b/ivtest/vvp_tests/memsynth6-synth.json @@ -0,0 +1,6 @@ +{ + "__comment" : "Synthesis not implemented for this yet.", + "type" : "NI", + "source" : "memsynth6.v", + "iverilog-args" : [ "-S" ] +} diff --git a/ivtest/vvp_tests/memsynth6.json b/ivtest/vvp_tests/memsynth6.json new file mode 100644 index 000000000..a3e7a8dc8 --- /dev/null +++ b/ivtest/vvp_tests/memsynth6.json @@ -0,0 +1,4 @@ +{ + "type" : "normal", + "source" : "memsynth6.v" +} diff --git a/ivtest/vvp_tests/memsynth7-synth.json b/ivtest/vvp_tests/memsynth7-synth.json new file mode 100644 index 000000000..7b8d61e0b --- /dev/null +++ b/ivtest/vvp_tests/memsynth7-synth.json @@ -0,0 +1,6 @@ +{ + "__comment" : "Synthesis not implemented for this yet.", + "type" : "NI", + "source" : "memsynth7.v", + "iverilog-args" : [ "-S" ] +} diff --git a/ivtest/vvp_tests/memsynth7.json b/ivtest/vvp_tests/memsynth7.json new file mode 100644 index 000000000..98cad11a1 --- /dev/null +++ b/ivtest/vvp_tests/memsynth7.json @@ -0,0 +1,4 @@ +{ + "type" : "normal", + "source" : "memsynth7.v" +} diff --git a/ivtest/vvp_tests/memsynth9-synth.json b/ivtest/vvp_tests/memsynth9-synth.json new file mode 100644 index 000000000..e4abc0a2b --- /dev/null +++ b/ivtest/vvp_tests/memsynth9-synth.json @@ -0,0 +1,6 @@ +{ + "__comment" : "Synthesis not implemented for this yet.", + "type" : "NI", + "source" : "memsynth9.v", + "iverilog-args" : [ "-S" ] +} diff --git a/ivtest/vvp_tests/memsynth9.json b/ivtest/vvp_tests/memsynth9.json new file mode 100644 index 000000000..d3c28d90a --- /dev/null +++ b/ivtest/vvp_tests/memsynth9.json @@ -0,0 +1,4 @@ +{ + "type" : "normal", + "source" : "memsynth9.v" +} diff --git a/ivtest/vvp_tests/mix_reset-synth.json b/ivtest/vvp_tests/mix_reset-synth.json new file mode 100644 index 000000000..3c3b79d7d --- /dev/null +++ b/ivtest/vvp_tests/mix_reset-synth.json @@ -0,0 +1,6 @@ +{ + "__comment" : "Synthesis not implemented for this yet.", + "type" : "NI", + "source" : "mix_reset.v", + "iverilog-args" : [ "-S" ] +} diff --git a/ivtest/vvp_tests/mix_reset.json b/ivtest/vvp_tests/mix_reset.json new file mode 100644 index 000000000..c84c10482 --- /dev/null +++ b/ivtest/vvp_tests/mix_reset.json @@ -0,0 +1,4 @@ +{ + "type" : "normal", + "source" : "mix_reset.v" +} diff --git a/ivtest/vvp_tests/param_test3.json b/ivtest/vvp_tests/param_test3.json new file mode 100644 index 000000000..0b66f9e25 --- /dev/null +++ b/ivtest/vvp_tests/param_test3.json @@ -0,0 +1,5 @@ +{ + "type" : "normal", + "source" : "param_test3.v", + "gold" : "param_test3" +} diff --git a/ivtest/vvp_tests/pr1916261a.json b/ivtest/vvp_tests/pr1916261a.json new file mode 100644 index 000000000..47442aabc --- /dev/null +++ b/ivtest/vvp_tests/pr1916261a.json @@ -0,0 +1,4 @@ +{ + "type" : "CE", + "source" : "pr1916261a.v" +} diff --git a/ivtest/vvp_tests/pr2800985b.json b/ivtest/vvp_tests/pr2800985b.json new file mode 100644 index 000000000..0e2c38315 --- /dev/null +++ b/ivtest/vvp_tests/pr2800985b.json @@ -0,0 +1,5 @@ +{ + "type" : "EF", + "source" : "pr2800985b.v", + "gold" : "pr2800985b" +} diff --git a/ivtest/vvp_tests/program2b-vlog95.json b/ivtest/vvp_tests/program2b-vlog95.json new file mode 100644 index 000000000..c592dd0b0 --- /dev/null +++ b/ivtest/vvp_tests/program2b-vlog95.json @@ -0,0 +1,6 @@ +{ + "__comment" : "This doesn't actually work for vlog95 conversion. Fix this?", + "type" : "NI", + "source" : "program2b.v", + "iverilog-args" : [ "-g2009", "-tvlog95", "-pallowsigned=1" ] +} diff --git a/ivtest/vvp_tests/program2b.json b/ivtest/vvp_tests/program2b.json new file mode 100644 index 000000000..f1bb3d5b1 --- /dev/null +++ b/ivtest/vvp_tests/program2b.json @@ -0,0 +1,5 @@ +{ + "type" : "normal", + "source" : "program2b.v", + "iverilog-args" : [ "-g2009" ] +} diff --git a/ivtest/vvp_tests/program3a-vlog95.json b/ivtest/vvp_tests/program3a-vlog95.json new file mode 100644 index 000000000..9c46f974f --- /dev/null +++ b/ivtest/vvp_tests/program3a-vlog95.json @@ -0,0 +1,6 @@ +{ + "__comment" : "This doesn't actually work for vlog95 conversion. Fix this?", + "type" : "NI", + "source" : "program3a.v", + "iverilog-args" : [ "-g2009", "-tvlog95", "-pallowsigned=1" ] +} diff --git a/ivtest/vvp_tests/program3a.json b/ivtest/vvp_tests/program3a.json new file mode 100644 index 000000000..15b2a5c99 --- /dev/null +++ b/ivtest/vvp_tests/program3a.json @@ -0,0 +1,5 @@ +{ + "type" : "normal", + "source" : "program3a.v", + "iverilog-args" : [ "-g2009" ] +} diff --git a/ivtest/vvp_tests/queue_fail.json b/ivtest/vvp_tests/queue_fail.json new file mode 100644 index 000000000..131b482fc --- /dev/null +++ b/ivtest/vvp_tests/queue_fail.json @@ -0,0 +1,6 @@ +{ + "type" : "EF", + "source" : "queue_fail.v", + "iverilog-args" : [ "-g2009" ], + "gold" : "queue_fail" +} diff --git a/ivtest/vvp_tests/readmem-invalid.json b/ivtest/vvp_tests/readmem-invalid.json new file mode 100644 index 000000000..084263c45 --- /dev/null +++ b/ivtest/vvp_tests/readmem-invalid.json @@ -0,0 +1,5 @@ +{ + "type" : "EF", + "source" : "readmem-invalid.v", + "gold" : "readmem-invalid" +} diff --git a/ivtest/vvp_tests/scan-invalid.json b/ivtest/vvp_tests/scan-invalid.json new file mode 100644 index 000000000..c9350e935 --- /dev/null +++ b/ivtest/vvp_tests/scan-invalid.json @@ -0,0 +1,5 @@ +{ + "type" : "EF", + "source" : "scan-invalid.v", + "gold" : "scan-invalid" +} diff --git a/ivtest/vvp_tests/sf_countbits_fail.json b/ivtest/vvp_tests/sf_countbits_fail.json new file mode 100644 index 000000000..8a449872c --- /dev/null +++ b/ivtest/vvp_tests/sf_countbits_fail.json @@ -0,0 +1,6 @@ +{ + "type" : "EF", + "source" : "sf_countbits_fail.v", + "iverilog-args" : [ "-g2012" ], + "gold" : "sf_countbits_fail" +} diff --git a/ivtest/vvp_tests/sf_countones_fail.json b/ivtest/vvp_tests/sf_countones_fail.json new file mode 100644 index 000000000..cd151dd90 --- /dev/null +++ b/ivtest/vvp_tests/sf_countones_fail.json @@ -0,0 +1,6 @@ +{ + "type" : "EF", + "source" : "sf_countones_fail.v", + "iverilog-args" : [ "-g2009" ], + "gold" : "sf_countones_fail" +} diff --git a/ivtest/vvp_tests/sf_isunknown_fail.json b/ivtest/vvp_tests/sf_isunknown_fail.json new file mode 100644 index 000000000..330b7998e --- /dev/null +++ b/ivtest/vvp_tests/sf_isunknown_fail.json @@ -0,0 +1,6 @@ +{ + "type" : "EF", + "source" : "sf_isunknown_fail.v", + "iverilog-args" : [ "-g2009" ], + "gold" : "sf_isunknown_fail" +} diff --git a/ivtest/vvp_tests/sf_onehot0_fail.json b/ivtest/vvp_tests/sf_onehot0_fail.json new file mode 100644 index 000000000..63578d2a6 --- /dev/null +++ b/ivtest/vvp_tests/sf_onehot0_fail.json @@ -0,0 +1,6 @@ +{ + "type" : "EF", + "source" : "sf_onehot0_fail.v", + "iverilog-args" : [ "-g2009" ], + "gold" : "sf_onehot0_fail" +} diff --git a/ivtest/vvp_tests/sf_onehot_fail.json b/ivtest/vvp_tests/sf_onehot_fail.json new file mode 100644 index 000000000..d95444f38 --- /dev/null +++ b/ivtest/vvp_tests/sf_onehot_fail.json @@ -0,0 +1,6 @@ +{ + "type" : "EF", + "source" : "sf_onehot_fail.v", + "iverilog-args" : [ "-g2009" ], + "gold" : "sf_onehot_fail" +} diff --git a/ivtest/vvp_tests/sv_default_port_value1.json b/ivtest/vvp_tests/sv_default_port_value1.json new file mode 100644 index 000000000..0fa3db306 --- /dev/null +++ b/ivtest/vvp_tests/sv_default_port_value1.json @@ -0,0 +1,5 @@ +{ + "type" : "normal", + "source" : "sv_default_port_value1.v", + "iverilog-args" : [ "-g2009" ] +} diff --git a/ivtest/vvp_tests/sv_default_port_value2.json b/ivtest/vvp_tests/sv_default_port_value2.json new file mode 100644 index 000000000..bddd12e5b --- /dev/null +++ b/ivtest/vvp_tests/sv_default_port_value2.json @@ -0,0 +1,5 @@ +{ + "type" : "normal", + "source" : "sv_default_port_value2.v", + "iverilog-args" : [ "-g2009" ] +} diff --git a/ivtest/vvp_tests/sv_default_port_value3.json b/ivtest/vvp_tests/sv_default_port_value3.json new file mode 100644 index 000000000..42ff5769d --- /dev/null +++ b/ivtest/vvp_tests/sv_default_port_value3.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "sv_default_port_value3.v", + "iverilog-args" : [ "-g2009" ], + "gold" : "sv_default_port_value3" +} diff --git a/ivtest/vvp_tests/warn_opt_sys_tf.json b/ivtest/vvp_tests/warn_opt_sys_tf.json new file mode 100644 index 000000000..91a9646d1 --- /dev/null +++ b/ivtest/vvp_tests/warn_opt_sys_tf.json @@ -0,0 +1,5 @@ +{ + "type" : "EF", + "source" : "warn_opt_sys_tf.v", + "gold" : "warn_opt_sys_tf" +} diff --git a/ivtest/vvp_tests/writemem-invalid.json b/ivtest/vvp_tests/writemem-invalid.json new file mode 100644 index 000000000..5e5b04fcd --- /dev/null +++ b/ivtest/vvp_tests/writemem-invalid.json @@ -0,0 +1,5 @@ +{ + "type" : "EF", + "source" : "writemem-invalid.v", + "gold" : "writemem-invalid" +}