From 41b4ce5f8c5d3fd1eaa7a7ee6f3a70e57a4a0f2f Mon Sep 17 00:00:00 2001 From: Lars-Peter Clausen Date: Sat, 5 Mar 2022 13:18:41 +0100 Subject: [PATCH] Add regression test for part select on vector declared in package Check that it is possible to do a part select on a vector declared in a package. Signed-off-by: Lars-Peter Clausen --- ivtest/ivltests/package_vec_part_select.v | 20 ++++++++++++++++++++ ivtest/regress-sv.list | 1 + 2 files changed, 21 insertions(+) create mode 100644 ivtest/ivltests/package_vec_part_select.v diff --git a/ivtest/ivltests/package_vec_part_select.v b/ivtest/ivltests/package_vec_part_select.v new file mode 100644 index 000000000..7d39d8f90 --- /dev/null +++ b/ivtest/ivltests/package_vec_part_select.v @@ -0,0 +1,20 @@ +// Check that it is possible to do a part select on a vector declared in +// package + +package P; + reg [7:0] x = 8'h5a; + reg [1:0][7:0] y = 16'h5af0; +endpackage + +module test; + + initial begin + if (P::x[3:0] == 4'ha && P::x[7:4] == 4'h5 && + P::y[0] == 8'hf0 && P::y[1] == 8'h5a) begin + $display("PASSED"); + end else begin + $display("FAILED"); + end + end + +endmodule diff --git a/ivtest/regress-sv.list b/ivtest/regress-sv.list index d6f190e08..30e6b9704 100644 --- a/ivtest/regress-sv.list +++ b/ivtest/regress-sv.list @@ -322,6 +322,7 @@ net_class_fail CE,-g2005-sv ivltests net_darray_fail CE,-g2005-sv ivltests net_queue_fail CE,-g2005-sv ivltests net_string_fail CE,-g2005-sv ivltests +package_vec_part_select normal,-g2005-sv ivltests packeda normal,-g2009 ivltests packeda2 normal,-g2009 ivltests parameter_in_generate2 CE,-g2005-sv ivltests