19 lines
158 B
Coq
19 lines
158 B
Coq
|
|
module test();
|
||
|
|
|
||
|
|
task print_hex;
|
||
|
|
|
||
|
|
input n;
|
||
|
|
|
||
|
|
reg [7:0] n;
|
||
|
|
|
||
|
|
begin
|
||
|
|
$display("%h", n);
|
||
|
|
end
|
||
|
|
endtask
|
||
|
|
|
||
|
|
initial begin
|
||
|
|
print_hex(66);
|
||
|
|
end
|
||
|
|
|
||
|
|
endmodule
|