From 8ac65c3ddad27679f5360f25ade4e52603fc2edc Mon Sep 17 00:00:00 2001 From: James Cherry Date: Tue, 11 Feb 2025 22:04:14 -0700 Subject: [PATCH 01/16] power NaN from missing clocks resolves #189 Signed-off-by: James Cherry --- power/Power.cc | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/power/Power.cc b/power/Power.cc index d944408e..cd564c3f 100644 --- a/power/Power.cc +++ b/power/Power.cc @@ -664,7 +664,9 @@ Power::ensureActivities() // unless it has been set by command. if (input_activity_.density() == 0.0) { float min_period = clockMinPeriod(); - float density = 0.1 / (min_period != 0.0 ? min_period : 0.0); + float density = 0.1 / (min_period != 0.0 + ? min_period + : units_->timeUnit()->scale()); input_activity_.set(density, 0.5, PwrActivityOrigin::input); } ActivitySrchPred activity_srch_pred(this); From 1c494c776bd7324be281f368aa56b43e738dfea7 Mon Sep 17 00:00:00 2001 From: James Cherry Date: Wed, 12 Feb 2025 10:21:49 -0700 Subject: [PATCH 02/16] report_edges doc Signed-off-by: James Cherry --- doc/OpenSTA.odt | Bin 105100 -> 105371 bytes doc/OpenSTA.pdf | Bin 1349978 -> 1354241 bytes 2 files changed, 0 insertions(+), 0 deletions(-) diff --git a/doc/OpenSTA.odt b/doc/OpenSTA.odt index 437b600d679d631cdd36f1d0f0d3af94409bd496..ed62790635e071903b506f8ad4e1da18c78dad93 100644 GIT binary patch delta 80078 zcmZ^}bxBbkR_#ph zd3w%tpW1nj+1JYxjyFBnIg6&$|O2Zp0KLH9XX1`24CE>m|{aE-l)fI`iu|+%>whCwG>Q zu09ovrcT7e<7ybTojm+q<@-78ZlQ9abd|rDXeu8+f~_o*GaB&c_cydL8+U>6!q6b^ zo8o5azkI6p!_nk0Bhd>~ZP_nRX&3ImOu)~d^}pW-Y7}2Zv3YA&wKD;rxw*AcM@K(=&n=Go!8I}KnZO3N?0Zqi2G6(Ig_-r!2izR61pMG{sYwE6KGE)b#F6aP95K( z^Q3YQJcss!*2h(d&<}puufKVx-rZXecytDJh7)~|en1e9q*p19 z$z(`?Dfp^}JqYAde#J5*H78gSCM8nGLhp4YSU^G7d?`Wj^?K4 znmdGn>D*4Kq)G&BWuQkm!JBi-aN49SZ^@kWX4GJ`4*HnZ$dSlcP}>E zB;2Z6mZv`bDQh^Uis@OER%*z{`uUZ$9Ua3XPzHRT+6?r&*?hWmXz>v!dhFLcw7_gO zM}jiu#FhyzQOhx~c`U5iM|732ek~=t+N%L*`xC&m4x29v4-VFd0eN4Md6CJH2@kt2 zixbrFxMA7kZ76Nh41PY26*hY?KDq3J^n-U@P*3d$tp3uT|Cl)p$(1DwEqyK`*EDwy zc35$Aj!J8F-{7jb ztRvu$v~k22!-#Js66h<^CDc_n;Ch_$_bk+z$lmoL$07Q}vmx4>Z(cEZKNU;C!9t1X z#{05tgZ1izzTgD0CTE`PVk+;rJ8{hy9@ZNa6XyiyeTCcT6Pgh_YQH<>pJ%BJAPc)m zL4A%dfJY8E6RH_;JHWhn=W+F_?LY><(jNvO;bK~&677V7Qc;W|$=iP#`X#3afbWZg zS08m`{ft9Px|E64wqjVZmuy584r(vkc@-hHBHgpe%a?Y5aKa3Ss~yT7XLleASw>_@ z4Q!=KV_PGmnQy=7-mb!E(F5Ve;Ia~Y^o}KLV#1%`W@3FEVLyWwM&!f$-jfq~x_Sbb zMRh~_`myHZ_~8E-W%Y*r3buSG^-E_5`)Xep`V<_y5Q#}{Xg-u&9th=xB47iNi1Jw6Q2V*3)SLgWO+qxW5R(<9KiqjV4?haPwcdR- zV%@ZPB6JO^3U`MK>FE7zyL}P-p)Og;G=dBTq*-VkLf^}V#Ws=tGN-DOS~f*!_NU1x zt3mQ$W|HEH>C?cRXQeQqhS})$#D?kTap(o{YL_$Ga?8@7bu+9!cs7JBSIYde@BZCj zE6DDvxZ%9n(?)L=!IxgLI0HQ3#Li2VPc*# z++qxK@X~;3)AsEshZN3s4{+`D`E{|5IN;Lqq?o zFEl~^p9A3l6*e@V3pHpa=_!~@pr)^+HTs-usrpeh9 zHTq<&Pq+3IIi!oMh!sk-@#vOnKftHJ z*6z<=#=rEKEobcIteISaYjFi2vE{NjZJCs=V$A%Bo{R;qkMPYUsksxMPsym!gt1} z)q99l_5z50L%IsTl_r50*42cWZ*2@dPL2Q;h{xUQnHRZTB|rXjsE$2MHZnu4ynYbc zY_39UwJ>|bN5ro>TAgk@cbhhq*M((O?3z8+1dK zIfLu;SPs<6nYyO`w{So_`ZQm`KtO^%7tjAH%=TquR9 zhiIK4C_MGKNt1)sLS=biiE!x6ILSGAFYv^JsCU;iRknmg+}EtPQ^@g@Cr9=!ec}~q z^YfsKzMJtDGc%WN&5=6t2#<4IzK)aE5ulPhsj~)l&!^w`2D5XYS@K5q0l^VJU#A1(_zt@~SS*B(>2l3!DALo8s^Y$V6#t8erU74H4< zos=InI-21+wr{<(ittCIWFa~_Arl_?&`kFhF>*4t)ILYKl22rkuy1Yr5Ie zKjF`oR|o+LkKH@{0dj=3g1mEMc{p79X6)h?rWw=S8NHFCzOG*xI9n*{L%IX&NSXXT zqnPp*PO7ADQ7fh}#nJ_!aqHg6{W)3g4z|zIBZqQsvMx>qj^rz3LW=t`&27g`Ks~6 z(7wrW@B*X-(2nv6-?#vwZ^1Dr9{c$OXo257!OP##e|@z2p*8 zYbL@-3nxbZt*Ybu^;LOi@`Gom9q(v`*Mj&1Xz~llg%?A(qev+HCMZX?KRX}lK~_>w zj6*YhL{bMPX9q-#fo7t74}^hn<|Ip*5Ip%o`ADmh23E97Tw-(n&WsO=EtWmu#jLYg z_&9JW&TcP3@1l_CfYjD#NPH<5{C6r*s4ow+XQSIZwj_-tCbR5kO&o2k{70jpK2njt zT^#*HEHNsF_G1)o7DQs)T>rDxaTK)iE+hw4W^|sf-*ze{nXa3od^5BN-=d9Qhlu-5 zcnQhxhB5Ar8!Y=`@>h8OvFMoT7(cwi14;nif&BVc>?N4qWFR0j@Ui@OZ&_u6O^gM7eZlQ7XYR{GiB3#?|Vg|3H_ zdnJ?V*#p;0wcnzf2A4^ypfeMci~0|XB)CbCSVDFgd4?MFoix-XSc94(Il8s%wF%%+ z6fI7=J2tdW(M;IzlKD%IV`~bcMtcJLa(Ll(WW8;*p`)sike_glzE&Q}AEpG!Dg-Pq z)a_ssCQ^5z7#}1LShnXe#W9WoVS!%`uh2%XA1=f8#EYK%Zon7(C-a*c?#LGp!baJ} zgh;+#&PhWSuxg{>oz+6*gVH(masuF{s=bh?Cp;srxK4D|wJtUrK@Tm=7ICUznlqzC zAzYZ6Pdt4;6md_ZWGIcf&Ebizczs>8&_GGTmA;u%Y#7B?_fC{eRbI@e_ z+}>79U!Kv~>@tJ7#_FT7cDq-V(Ro^ZRB>EfW4m^%;wGb~#_r>DQe4w!)ym1o9E+zn z{Rk%FJ;&qeEwN)oH#&%Pvk%O`r<8f-6Y62mA8bT0l^l)jQ+6e&7~iE+B)3?r^eLIz zDC(LOSJADCI@c;?HbJ5lfmtjf#)mO~EpZr1OD)NZw-C75)?p$hpN~@G;^y#kj1;g&JqZWz|Lx^Bv-_Nj$D~~>!2vMbuf&+*ObZXluph}#2 zN%^3(%|b&!;5-#vqjxqOusB!L0<#}SFSZ(GICf^E@cH@gFsxENusu$u>$ zTftv_x2D^zeCKG&m_dI&f;Voh&h$$%^;YimoF+J)xc#P7C6jH1 zD4Cjd!n9HD!d~2czPiMiEv~~nfcblVBY~Pz#3!_r(f`zZ$9>A%rM21AhHAv;2xMa4 zz-)~O#d?Zad1copG)@XK8toJ}3O6D+dY}6vGIY+6cTCdsVHWs>V^N_XCO|h_9Lkn{ zD$o`udO^UeZ6A``f+>Eltwi5!K65v(Yo9$cSuO7hF)V9&42x+~w7!a7d?FFJROA}` z%g~I|B{;G%#qJ#TX3n!nCohj$yfH&bt=YWmX}|iHu1v}@I!>!DeQh= zi+09;;4Z)+^huZ{*4#oZoq7}O8jMqY*&p6~!fcb>_V~??sPmF~?ay)`@%o8HV-5qg z4BGFvpxs=u3ejvONm{eg8B4OT)^RSKK9$L>q~ftaM+x3Ba+qfJ)KAlM@L%h~l7pfgfAzRVPxxtWL9TCp5)=TQBgL(k6L z0kV4FiTS0>dIAL%Dq%o^?=mGp8*LDwv;gN5@YU~ynVhvl1gX`_K`}CuVIIh{IBd?zQYatGYf1dEIcZPZQqgpy%7vdI#rR`V9$$ z1Rn^$6|Eg1H(~)FV?Kvek@vcjo(CTY#WYP4vYp;Cb5v9A6jRUcRo;Yl8APS z;#wY*@(Xd}Q#m0?fy&pd#IP3B^xVfd(;`Pj?ib$_hg&rNAr7C&Tzxa~^*CX2W^7Sh zqx4LPY*+E*@!`N~fO!H^;l^#GG`sUpaBaasb{ zAD88Z0QpPLVh%mMaC)MT;pk1>!+kuC;cg`8+aChou*!?J7+MrgPS<+5PBoGweJa%1 zp^uX8+DlrdeC(63r`Y%_suX@AvZ2)C_{;{M9r6vAx3BepFE8pIeTb1ucIw68?48>h z;vnzu#>K%EpEm{0H(i_FUEMLrL8#vv^4<)oXdauB!uo=bXv#u z`k?~9x#zO8Wru8}AK7BcO5v68$p%@}_Pcr9NSxy3`jesWx`MO((#Q#+Tg)}S)+J#I z|B9xCY+#ZYs@1q)X03Zvl0@_i+cBA(9UkEwZ(G^%+%SFPU2bC`v8|56nocrVqow$b z-0?*YI3Igw4u3NNfYw*#s z`hMe8_n~O`QMC9z!gtygw*olW-Rnu5cA>5SbD?jkjxY2VWA9esZwrPmH7~zDByB(D ze3mxQG&j(e)=zt{ty=aL+)SUK!q4#g=7B??|9!vN@QAgO9h?jmoXilMOpPc`ZrflO z@ecFnrru5<=WcK_*wR7Cx$BamoKHeriB63M%B?#*UdY1$J_sYsd=#;9WcbQrhhuR_)G~al@90J8o|ChI#ds`t_Ey#`cey-5>7Meq_%Z-* zX>?pM9@>DY64GDd!ZN5i6i?>aUQH#Whx+=t-N562+=)oXh6Te=pV{vQe#ZDYSft7jOE|{;smK2J zPd#oBKlN~Rhf)G=5Fc2$7fu6B@zKP@>ySQW_Vk|l?{ZMA?q8Bi|B^iYm*l;mOHh&_ zJ`aCDp25@l{8B&YA1=gc@LoqjEIx#$PW-J6Ly}T&EPn!~Y=2zhikPKd5~CsPO=wq! z)KJSqckVB#W-qGJaagQV$exYTf?}1M6fS@kk||DDIbk?tN^dcU?a$H+yQbX&v>hm? z4uZwuv0lmHQNL~zix@MAOM!O%n7jOr;l9nn5Y3_s=rgxagb^MX_58J%h`twJD8&3)etc0{plSc}t3ZnL8^I_r z?BmD=N(241HWN+p*y^uw{BFq>yU}$hdXkConiGEVwau`e3ZM4cZqKpg)3_QG4IHS> z^o+Luk-I0Kk;crCA%dlGCI)lB5!!s2gX(Cr(9-cN2fbeb6yBKZOkNJpn(%2J1xVWTpFT-3e|o0ZKZ3-`Om>mI8n1|FE2np&aJKOvm9wsT4Y_npspg_o%c!HS_=#XJa>x_sgno7cpa!OB zXtkK$eftoB?lBO(ykypQ$9|A)_GEj2e_0-;l?hqzK&C#0^`G zF(we2xsxOSZ!D#%g@;VN&nz(j4%=d~NI+gbA9to|6JttmJ^c3hrOSq2S9UEp+hfZ; z)u%Uk!P(~FKd`P;H}O*7B*90txVshy>mNw*M}L2@?|JhZjhUtdd5F7sdVJb}RM&I! z$KQdex*?A-u03(IF|2EkX924X`T{)@QYd4JkuEwV>v{YtC1mg z5b?3;HBlNp$wptTPhzWj&5@={2J?aRZz~ZqBYx=|0|bQ15%hoW!6(Z5k^_Z*_-ORC zQqe@1RxGA4)K7ay4X2Li?&plC!xdIwjm?;DE>aV+`Xu(EM1r>{?eLdH@#i~;V#Tw) z&i5YpeKlw&_)_mo6{ZXcu?jcQXiwQpMR*i2@7U{1Sy)UtKCyuP& zY3DP}oO!A7FVcaR>d;8~3eN^k$Bv(;vKx9lHL9PttqvmfTo;%st1>4+yuB&crj{;#Wtd`N)0D;*rSma?y%tt413Q zHFiuWH~y>KRav=)5}@V&a@}OF_3(ZF`8cmYPqoR)to4gni3WEdlJC|fxtm$m5t7~A z)bNX}K6zW$#l?~=KkbN;k2yV`_x7o$X3nbDa%7HMHhoEh=!{dv)7}lF$9w@>PL1sO z-<+CNb`zH!-8<%-*DezB>RfJ*Il}!YF=-}7l5m4PWwzGRxV>b>%IOc z>!pSpU;}b3`y&{zqrJDTH8r~a4phx zbq)?HTFgA_8Ti{}ol-Dz42_|Cp7~T~H+)_*)20shaBuPQ!T%Fu=m!7%0HjU9jM;<3 z{%9YpVC1Os+t|pG<4x~Fo9&JLqxFN^&86D`e@n4miWz>ni;M5RJOC_N*1kTUzt+rT zSl&DYTDd}vPh`IuJDnYtYq!bnaP^j_r`|meld?yi0i)3-sgd-1uin=Pfye#MO9p@6 zu6B^tS83TYstrngb-gfT( z+8Z8s{;@v~kzoqY@#1nJ?pDXsc1|l=YZlA1Zt}e1y6lzlEyM33R?e>J+~b^^*%CM~ zFkOFtDWe{fo9?~2pTC%IeQ;|4qHR|lyDFRD4kphp*9_M$Mf(@g`g=MiMve_xt73=Y2jnL0VWa9c@>^vK57A-{cMgeoOG~`SPq0OZM?dEsQp92D*+`e+ZKYXmqk?EC7MGMq3u*k(ttDuEYqI6pOjpK z(lp|xlHn#~@abvx?xqp4EMMV(;4S|&8`&jQH0Y0M0lhnX|FiX$i;v#-ZWPbOWMq!7FetcZ0bk}Jkf+1DTR|ky399gP5m^P81$jyhXhG%8TeR-0G^!NJa1+#{Ny@YDK%kt{kK}4!nEETT&_w7h~`}6VTEV6p$dJR>RkY+ zL2Tb5vBLcorx#u!FRB$i6nSFzjt-;*<4tcHe6rcTzZ*91l=N?LfW=7{&%f=1L@&{^ zU&40=Q9!TI!Ogh)!(~sSSntNADCY~Ie*yuCJVsDdwP6Cy4{211-X9TyrAmbTT`sqnUBgV8R~0wWE(19ibEllO*$g343(dV_w@|0GpM8?|ibLH!8xd+3I!yS&0H zj!MB_7q*$&4172=0qVgcnKZ%5qEf_jp3nqFOF#_yg86S+xbMUwh7T7=cERBcl?kR| z#Mali)Gp=8o`f>l`)dBy=B&^XEoaPYg9OI#e}+F zr^{E!JEEfKuMqOB?a;||^zGK+yxDa_d+6dV?Mza>9I4od>sho6H*RK9)(Y+epcm1p z9PV;@cvSwtZXT*Q9#z4JFe#iz>5pZ(WYAUrNks7bLv=Gy7lJ~iXJ!wu^x?0Q(%JbF zyW#!P*}10IN7U~maociWl<&sQ{W->YkBRc0Txq^C+y}&n+qg#h1RGdiy`oZSj+Yz$ zWr-NwZeb$}^7gJ1n?6<*_3c{F{cfzvc+!6D-5OX}S4|sxw#6j<5`5!Kr}vwc{#x5( z5yuO)n`o6hzQ^ZDKLLayE(a>_izm{U2L)L!z2-S~OfCuW{}1an~A=O;V%2J49WG=0OFS zXn*PF#uuW|e=>Tj$AM{p)w+88Zf#&BeX8Q!9Z+bs79`jq*=1V*R=!f|cg$9P$URSi z5sZzlXds%5N?8+NfBoi!V1&qln;PYAIvBF>!IhJ=Gk;*LCjmC_YB?Cgut zq8S;SB0m3(3iZYfRj2;to}93%YA|Gj9-uwc7!62Evt`1wcb(MzSr*?UXd?|3`7SUbqO(G37webL@NO9BwRa`)Q z0f+I|OA=NL9E=1^2(>>HwYD1-mr1&sASCNCJ zQPd2MS?2uIpv9PB=Dhrlvm|)(z-9>xB5zSaol-gkXHop^0vG99!@#7T)wj2XnCh;1 zn%e~&37|@esEZZyp~|7u?t9kLJWbfez=&;@2)uMjl2RU{BNdA*AR8Q$t(2#+t88AF z37eG=A!StB%n}nJC8p+Jfk8zVUAR3>MkNbouxCs<1_KsTAnotV0xiRoh-pah&?rIp=%{>r8X+8C7%u!#8Vm{V3afyfmZ5M~ z@ck%(#e}rvGIL-aFEht7^H&`&Q+4wR_=Nk>!a`nVtj`|`c$udf%tHQuT@}Y0BqY2{ z8S2d-#k@@OttQ~%&VV!t$|!4wo*_u`DC_*tc!WrX--58j8A&9WkitC{_9U5PtwA%t z;_TCtloKI^SuO096UkaFr+>-Ir03<6QD7MirAiY#B_KuRSERzj-6hCKFc|kjhYbCE zkN3hAWl%O>(`z>_fD564>y8sag`tBVD5r5$>L=zP9(`;9ZjyZc8T>ku*B43*@%eeu0>ermb~59#a>u(q#9K%Su@M>+vPP z`df>Nk(9G>9kRAUisBRT0RnW2JuCrhE71~O?59K(ccM8axWrKOCN#%LWEaK9}wZQM@h=UY1gBijxPBSs*FcgBXOYd zp>u$1WVpj^7QYP4enw>{N!2JrP-_DgVWafA$aWQG^Hte8BjNKZZn2R*1B2qv*tyA~Q?lZ(68l&&30jH&(Yk^Z4Y4U~2RwaK*8PUi&Yr{iGzjeW<8|^bBq3gr;pyuSDP6y5q207bJM5<4fWviw6rK)DJh!@Qc?n+ zkc5eL7y&k>QCS02KXp%`&hEgYx7GH64m6$zCQ+F*6llT`fgjWNAFt9--NZA30w!Ex zg-qYU0dWypP-O+Fz6l3p9>;Is$}xdhnLGN2lu=vvgf4uLX}AaFhg~QZM$&ODj3^%1 zU%%h+T;qc)zXys_eF*yP@ZuvgMm*eX#waueq;!*VeqZ&#B{hqXj$sghl9q0!i~{Fl;1} zK^v6MOMm==h~Yp3V!!rbk#w$w`-+FI=}Fver47N)B28=VV%sv~z`1VB?#M9Xz@cXB zre%J=Zo~4Xt$B8zbIsyLE8un8qusRs2Jje-n0QY??}B5X&;A;h(p;o~5-$cJv&&3D zWJ;eK-bRrdUI}Yn`$t4A&NSBFv|g|z3ACuv0Xdol^DzuM=lfLH21G8(1k8l^x?;C> zi~(6mL z#Nr19q+$*9Aj&pUw>8JaP}4f^Z}%Z7Evy-9!6WOx(_&2{wbCVQTZV<}MEI13aW(hn ztsO9(hPBx0rmP*L`l;f@sw8)n$^q0mwemh4wDKRam<>s*%HL#}!h0n|9XQhX5y>A= zLK8E$?LDM+5Sd~fpwcFq12cVA1=stV14k`Z{V~{+wJ?o#=O4vCLSht(F85PD4o`#$m)~~X=jV5ifVbC^tWoOHrj_= zDfT%8#i%I+)K7Qkz#!mw`gM(<-^V%da)w8@jLv~8fbPoAJ0~Vrissk7fZ0OPSmSCZ zok)pPZ?@)PvzIw1q4prf#(7|q^E10<#N6BEaW-Czb3U5p2e<4#MRxT{ms354A|{jH zd<(u|Ya;kr3Ld{@%P>jlx1Fbg$y~?#huFpyN2FF~r7u_gSYLoE!d8|60q;_}F@9vd z)j3pBw$#OY;I9XO&FlrFl@IsBpgQfQ^!c~43U>ND!M%ZV zC0kvy9psib9~0o7+Gw!vdWn~(6=4F&bPcCWwV{csv_;_b0YBRgPiXI=3R=sjvl6Yh zbPX%9Y5;J18GS;JRDcqRu+@+qGiucwwQ*nF?x0s*^jN`Ug^m_X;tfs!*Ya!6%Dtq(Q(}z=- z<24q4e`O?b<#US{7%^M%-Qpt(Gm6k!TYoJkMz<@iq_-zj4{vm3n&;tFw}x%x zox>XxTz0*EAc)%o+P;f^Ar@&ut0Yjg8JNsnv>T`sFQfh&KuiM}Z01y+QQ%Tw71Wk=4DNK zR}WZnzPh~7)1ORaF00+VEtoD9FP+7@9wDZ!yz_T?KkrUeo(!HpoPB)6ZMFp6I#21} zj2z$XP4RR!1gyLtJQV5pY`iVJ>wU{~z1L_CSp3UitW-_%6UUi|+JaKKeTXNWi(#O_ zc^B*^zgjAg0UynL?06-q6y|rA^*9#X(gx5KJK{SP)7D>GHW{}@%QOQ**UJ?2LU+;0x9>EB_F8;NK!Pl<^{&VPJFunVI-e{T?^* z4LMkRj2=#+C+Ijf>|p~WzvVYYd8!9MRXPhZhCRU=1B-%4Uhhm9oJjI2lphxZ;nzpv zuSoWyCSQ?wzL6Yc&dg$TsQ^~rDG5n!G6g9I5`MFgIsM&6fyH_`><>_Wwcif~eSlM8 ztf(mxKP0AYkQ}8*3@3q1=M;S*+t<|gUAldwCB)vRjmF)G)X|2lIJRH8A7>Z%t-Om9 zS+vI_Ao7*5rq~tO`4B+ zjYAAkmaHf)6*$VT$WfchT0t}ILbXGlO{Zlh7-V%Cy!`Sh_%#+j{^T?x9rFSf0>wOX z2>1q5XU6^Yds5X{Bc@{CIWFLL{ZpPmf|=JuQcb*&e&-@vv`DT$>03g*@l`n+s>21< zKt~BDM3EJmqrJ*yn5_0;5Pm3@TSoDQO6ma7zVz6d+_LF_kU)5C`l3ZPL&pF`aJ_74 zp%Wt^iJFQC){pDewc^5Z6WZKB#;(AbhGg;4LPy%ChXLt)7FN{UR0)9U2045n42+X| z58bpQNsE-Ah%S)lD4*1#0B3GjiTSVX%=3FyqyDj;u90GtQyLKOy{dzl-5Xumq$2uN zoVbJirmJ=5z`I5r=StULcMf(0JG?K|b9pEdt~~F>l-F&J%y0M7 zD*XH)ioxcd|9jv}VT_7Cr4nlFnZLOzVW~3mG79!rU?;3{wh+)W`UqtB3tb2;An{&{ znsm7d_LDP8GW%*1^=An(n$QvY0I_oTw8QS-z=X6=Wkvj95E$^@d;+oSu+CW?@P2_n zhYft7lc&|J4s_kuoyqk}rcTXzPSfNAlH7>h$X)8r1e;fDtd48m>{SgCci;JWRzRmm z^xKAYmu^=lw;fQW_Ao}1gMTdMlpE=R-_hR1iwA6foxfJfNNxHe`Zi3Ty-fT{4t@MJ z$Hw=4#t}c^n*aUac**A(Z1IsndBp&iUmZB$!RyEZt=#VMeOf>{<`;sgh&zB6-`&BZ zjTc0@?#OhaqaJ&A8K?i2_gWYG)uAH4+TU@QB5A)Jk{)o!j5 zHcnv}^K~@jR$_#}fb6yr)ud=a&tchid~XD6 zODWoECK;drq>MSzvsFeG>mk%Y&#dB%&@#@dX{*0-`;QZ4I>H~#8tqoQ@vKb7>u82i zyBaoDkXo;15aes}@X;6*?z63Nj5zLG&zJmZhfjd=)i-K_BE_gxU zegoup8ZIhNWpE(|DNCbV4GIfSEx0W?$chuc1qen6DxTgD!s3y(QK@c)?iDH=`i!5Q zS-~_RDzvXZEd@PIvppt`ZJQl3o+E99L(vL?0b5I=g16x(A^ zL4@Yz1lGjPXo@>+-CdpI2HoOXp;w3(aI(PTLPYb~;EXm~r@xbhzp;mbn8pk$;)9aW zP!h7^K{ohCBNY${r5U?E_T5VmBe?n*<^LkFZ|4{@@H>Yotg!B7lr$T3K+5G@Xx;%5 zJ9DPYI$Ayc81~p{6UVN37rT%nQ zzkN~(cfG|;WtMN^PejZ%Y@p-kDTk!j7wZrTsVE&LeI~Up*pP*Bs|h8Ih}uZ(*Fjlg zJrsTh{h`$5pbMLM?J+`MV~0Ls$Jka*7e6Iq9%KG8Nu9fj1J$zhOAIXk`snlhCzhn_ ztT3n`%X}dXY2(5U=kEPCfVoC5b>a-gu94guXm6r9lQw-B%-~;rW5$Ia3gv%m{_+F< zdRcKcoxm(Y27Y5geGR#gKPVb@0|@w&Pn8GNrhyaZd)Oz{3*I==GSBF_R2U&()P36O za2xw5OPis6Q4-Rqm5`32^Uwrn;dLHyL{F@%Jv(lVzAKYS`d}H75E^vMBJ)I3~aj760q6@kLuxpBUSHx&Nvp8n6Rm$hN1=EyqE6H6xy z1AOj7P)Y&;5wI`-@eB{aj`P&g2@0;_Tje>Y6zZ~IVaVg5c9#pTs;1xWa#1i2+1)7Y z@Fea20W{}Y7wUD1EtAkx1G`GJZ+}v82^#%e2j_i**D;q`09P3Lkfy4IfNn*yMeRO; zAh}~Mu>iurwXbfDr*TqzLa3Q+j)#S#e}`U>c7Oc3k$87Q8g^|un8o1O2xJjpa3_s) zEMDQgA8q4YOQE~1Z1KDM*|bt14Mr>sh&z{B;1S=cWitsX<%yK6P>LbV)PHPl^zN{{ z9B1>!URDW^K&~-b0EiV4td=R^1=&?XfOld**u1U#JxVMWP+Kg^76QDNO~+{oX?qw_ zcT59m0FAlENg)<#$9+nR6ck=ve6Q&ffkz1;LR(OHlklDMQNa^f7#{b$>;=wG`@ctY zkIW75o&5PaDkU_(&BB1VBY%{(ALmdO71Yzu!f>=h9*I+q@JkP@?Y=?CpYoA7!fHe7 z{*v+j8-$0+8@K~#oB}0rq$6@eK?fyL>Xb9|EDX{*zHY&IRaVH<47*0?X+n+FSRYmx z^z=v$g|JF93dQ(g(9dJhPb=jFcFfW^^l3xEUYkKRGtk{u7=H6Cmw$VmekDG`bAvx8 z767fAQX`Y(EDZZO&wMCIW_zufG@A;8qRu6o8u>&9MRB>G$g=^%wCC=s@AXmyU12cV z3EC7jr68rj?cqTLx~3rzo<0kQo<`ayNv-*XM7;Y3A^jRdzcWwSowFY!JVwXD@UZie z+o0^5HYKg5wasA&-hc7=IW@A7P^)(sZg=RbFCBfUc?gQYVv^UPFHurjiJD$Y^}25+ zRsJ-A*<2zMTyQz<`Z*d+9wf!0DXRz=Jsp&%^aDhzC#;%FgpVL977j*TOU0=x#LyLGUdW zo)N|tq|_B<0e|!|FFf-Vcsz!$=$V_)1L=#~Q4NiCEW88|++RU$Qv%lw3m?7&T+wkY z9T4L#e87){@~)(%khP9Ae@<*5V~`aw`*&hRC29ikh?yy0heac-1@12vK9mEvqADwE zfF{d@kIj(qP{AiWl?_X>`za}L3SjtoW3fz@aNeFXuV+b$W9qbGVuwr!Ntx5$I3jGN+bj4B@ z1!O2eDVAReP_jt~M0z{;=`{=3^D@SgX) z-HH0_S-*EJ(`Q|8_d&StEyKde%iNU4DAi2OO|-34m2ybRQAYN_U3i{@x~f6~n=;mr zR#in1z|ln++v>&&T^C4RS;hu$RevpOfvCJ5siQ&_jV`7wJm@5&5;!ZD(?^_@SkvFp z46K->dOM|vBBRy)y&kY)tOZtOV+0aA>eyR3Zk2#co{nraKG^(E)$!#&+aD--S)XzP zIE9hp75x+fbi~HIOkz*NE~x*sd?H(Ix5daQZ8h~@p+}X;f)!NizblUxG=DX59BSP6 zpNUViW1O+3nzo^X`ftIfaj%zn8KF8${kPk}(^n`(8zi!${SNjU|F0f)yVJAXeA{QvQ zaMuVpjt!z2^=>(Wg(o^*x8CLp$Ka^gT|G?nL;2mHp(2mT{%H$41W$Ro?~ZXqGPKw zN|cTxx91d`O+2xrq}bImjh!Lfyno(cDh!SYj)keycUr2|6Si?_Zaps@aD<{X9m<>h zVT3Frc36=T;~arJBsi^@p5<{m()N;=w2lW3FP^ym=CZ<)L~smoaAH+jV@SeB6c;ph ze31(2oP^HySo4dT%YV9@xJC>PFrFnESu(cfQC5wrpqLVzG(3B5ktJhou8=6`5I7Qe z&It`4lewtQqny|@*h(3|R=2#2G(JtwBT5DVn3;=bUj89jN}DyE}QDjGd{*l=B!NOwPtojoL4l{EyM zK*8a|;Yrbsl1YyPP_R?i`%x1bRnz zqmnu^z1dV;|bS)lP@_kUo()a*p|2nB83T)d`hK|+pc zUAv>g@)W#A-mVAoT2+$r$$5>8E{5cqtgZ$m{87IjuC(jGzzSbHj{N7 zx?#3fP=8Sm97I2{B(J1`N)g~F`QYr-h>Fz{SJ=QIb$}P)?6lZvGiv2B`g6navpab+jFT0MWx#|bCG?PDG?oG5 zvS|?fCkA2}oLEvC3B3!(qTdr{$~GKZGGQq=2|ZIhnR(1c^vDoP116A$>>jI!KN$)l z3x6E@&+T;HdGC1c=g{ds7K=(iDn_dUnVeuCD#74!r-~KgQ_oX;|00WhT6s?ruw?Ap z%I%VtImm*5_yWLwY$-{&A0RBjiRMmSH5K$YEC@a@Jh;3doG}8jSV#j>NoEZK>&0Rr z4M%RPV>>O0p$$idMi>Zb;Kc;qlm--hhxq>hlYXoie@p@6ZqIJx#&(~(#|zxt zSfI#MiEb9DA*rgC-9Gpi7Waq#l8cB;QhbxiS4rwJ;2xDkW@bc2L`Fo$qu@lSK_^W; zW1H1zE>-Po+_WIUXtSMew^ABN>e7M(4(fBj}XjGHzJ9vPZG(`7Cd$4xs0 zkBrrHqe~sPjavnaDcePTQ&BBY-|C_ybK5J^cJ5j`q;1L6`0B9e>U`60?8LZnX1%f4 zZbwocdCAQBET+-1M6t}2O$~mrH8N953-i+r%+`%x!2IyXx^+Wj7A)d2VRkIvq3BkkSpBc9T{|TVpCYW#cv)TVth4#Y#yg z)K;cTbu^!^diLQ+X6j~yK}Oq%7N=35zJlQgd&e`X}zh4;+1tept@{AR;v!etGsIF;^ZuY1<8 zPG!>u(Gp8-nhmR}>T0aXc?+3%Iqq;Vf`v1pko zo4WMXtu~S}6DN`xw&^Jun=CY$l$wcT?rVWW$RvABlW}rQBvV_ZRx{NmTd5|0f0M?& zxNA(=)QDl*?MSLX)TD9xDl=9Ssp`)Y$@EpJZ61$iD_zFTIcc1tI#%bKg&YDJRwO7y zfc$D2OFC&rZ`?OqRkur3CSGOIyl?hwK9On$HmTV+yX*v3Rc$_*_j`{&so6AJz*698 zTaAoazuxSLWa?`5R(#Xhv}j+te}JWNPOMn8%#vyx2ltyo1bRotbF47#liGijPttj_eQA$P7e%_>&av9?`GK>42O zeo6v)@TKY4;k4rKv=+q-Am|P5=~lBm7QUc6*5SeScyJwl98iqicQ@)yf8@J+Z4XN} z?dWP#GbI7J9`~wlD;6L9UJT~y0Euc@kXM>H)w2vNO z*FE5>=?RbhdSJHB1_skDFY8M_l3{v#OFIsngHOp97JFnC0CK~B=6HQ;SqIN|HNxX< z!@)ivj+>8yl+<*PH?H<%f7k3>;Gh$fmpsvEkyvGHDulz83wl|e||Y8(_k zA`I{mm``&vQ2iF4!#96~heBeBzOC=Hz0cgNpYV&!@3jrg=j?^>@sE3$$we6-2rvuq z##|iR{qAO%IWMuleR$Yp&rLuv+XxA>1f30#J}yD}_yy_hBYjeW^vMg-+eiAe1nJWk zq_>asSqai-FGz16f9dlQq|aZF-agV7B}iYqAiaI0FH4ZVd_j8qNMDs8ef5I$_K~iR z%B-W&3)*whp7R(toMSU^$4%0_EjHux40f`hb4>kw=Yo_8zQ0S`;st(-(3;bfPl!da zKc0!s$s6(A&Sl&0ZvMx5{YSPH3s|q$9~^x;o-J1E@oc=re}MT}mgyL$DgOJT>6rld zApg0$*UrO(W*Z9p#gy;e`@;jl80^~Zohrc8?h+1{PM}<>ok029Pa3X337~ziyXL+= zn3JYpuDaRG59R~RqI_>_*3mOP<>29;@8A3o0d(9apz~2T`N7-xs z4zGa@w()$>ExUwHaoOH+;aNqq70`_Kj%oW5SZB{if3&SD2~k-y?-#Ca&dVQ63q*|a z+fN{CJkNCOD1?h;uOP0vIVu07xh86`G00XKkVTbQu8L=??gGqRdW8W*bYYZp&m`X7 zIL^lh&5apIe2@ydZ(x{c)_$jLC>7lr@P#MG#7ptFzk@H;(QG!GjAx^XiX$~0W4fF+ z9%D9Ie>NXuLa-Dm@gb@~IJJ!EaKwV8H5s7_f(l2dv`vL01jNF5VOJmkrl7j)n4*iV zK(be~U@PDVbQN)9zK4S%!}O%rZth^igDY`(@D7Gxinnu~Y-PhN=V@3jiiE9$Y>59y zNP%&UFwCKRe)^U8mf|FC?}DY@-VJul+6RB~e_?dsYfQVuRVwr|!p}aLe&IlmpqYcj zO^-DS#tNROG@R`Kq^6%eoZ%?8!| zz!9R|v%-=69j?JsC>-YVgZ2qbG{*l@#BYV~BO0=?PrqneJkDIs)Jl|&D(!vILmxnP zf9ns%dTUxNHgLU3vf(?=73YvB5B=zW$>q8Th@$`6F}qOUd>HdUn&{B)KYXvyf#WHM z{i{Hfc_f3jrt1j~+PcmI5kAnat032znq~f#Vx1IRdNoNW7%?jB%&h`c45aM&-cWhF zRWt?7!9b>#j{RYhjX%ZofJ>QfZcR|sf6LGUQ1}T+9vv)!m^eykiCkbY{eWh{hJoy7 z3d&#?xe{hI@w2A;<|B*2XP(Snf}XQ`Z0$IQw%=QDh!MK+2`|a_H83<(egZ*+qvL`K zM*!*~X&l9G0Dp z6W0KQ$pMm_5=Q(Ko0gBII5rc*D|07%fAQk#JH$5#boZe92VfHAPauIT=bpd8eWu-k{m3V4 z3bqg(Z7&hc@inJ~#v4=lr5T^sBdiCT0o#gy2D|EW&$iAi3pO=6^!Fg__{|*NMg`B~ z0^<9sYiKKQN)U$+&WfYrL>%aF=psF$jIn0u!?d&`UNpejgf@;?z7{y%(oqq=^m&RdgO?8Z0ksG?oAtp+-th&Yqm~N@brCbBzlil1y38e z$Z#2S9{tn_%g+Su!!fn3MOL#6evcr7)C}}9e)3U&aNJi4+LhhFVAKae9GeCwj>!IR zj$--D1qw-QjN`^Mk_rU6e+X}abjQYUdlPMK!2qOjpS@cGonRkS1I0VEG)Z3hGeAM>x(_jK(z;f1_2V>nf4*(T={9 zynQU>2N0sCYuFSMNoiNvAa<->EpP6?D&z`c%n&0w<7%@ODkDU^;eli`*jk{^C=mw- z+>YsR5~6V<<=uL>imO#1OlWQD+QR|h+q8ors*i-v4^iXf%v3LJ3KuLse6IOAid|^Rz4ztqyTLkF0b-i zFxAl4t)ercPl1yNZD{jSqQH(xN}j6711VG-Bhg4QN#dd9Oku5TXBQ6R~6FzJ)7_{Y7n z(Q`ylAp8dW1$;hqqE;1%$uV%k@Ll*r;?+&o^jppIyOzf&B>91|rcGhWfN3rm3Zvnw z($j_X14j+2f2}b^NFAzYEbn1T&EJU;0MIo$w8~yN?SGZyV*D(}1sGK8lOfdT%uxOS zimMx}-%9~drY%Q-sJf6hTunxve+N+9yV`z-fBfLsKG;i!=`u9uj^}c?B|_KQIoz`1 z*5tg4Qj2Qql$nGX$6%s)j^Z8mT$2T;VNzvJU}>>Xe?$qZl30<($h0i+px$U34fSZ4 z%!A?e9l2QW{=lSUe6$NOV_v%_BRez>Nt`G`?RMbU0)zMg@1-+GK^v5AAkZ@3n|8{a z%f!w!p^keWkLqN!GuD0;;eW4#IxXHPI{@I>T!mbZkA!A=&Z8hm63?QqCQ};IrmO{q zKp=B;e-6Sgif{5jtsceLIpf^Oon?)i1|}0c5Seh4E6StvQ@CBTo4dCt;vxc^?rMT5 z0!IX`f}3Zs1R$Ok?lzMck@i~+)#xj)NHz2EqB8}81pfhiF~&n$0|q!j{#WKYa6sr9 zy0lDRF&tnPi~!(Vq!^T+XUHD_QbWd1lEj^@!}VWRnP`c-;; ze?XcW(PlR zasJW(DELuTbKaaU;!9I!!%@c4ey8cKlfAUja5Q57Hp+&*yB{+a`A##^OI)4R65D4x z5;563GarufIS%%DmHVSQ9I5c*D(6Rae+)lP!2HSvvZ}zFO@UD}h1Ya8oTq=82WYJz z`WL-hQ|L8Gshdq5Z<(-x-S!`N7?LRh=jwyFeM7wljG@r-Dqijw{pZX`hGpEt;jAeS zc)O|T`hl!@u8A{Hlpo;FVfny6IH4W*s=6-?Edo?9-s+lr@RUH86#&AJ0xr7bf5BV4 z1J_XK|FAmv%?7=p<;bx~gcwl4^*5kU*SWyMkwPU3MmmK|@atEXJ(|uTB-vrcBy~Js zW`M_mqww`BAs8t}eSF-DUn>&ghdAz^Toe8(H}#Q7{`C5d^7WrI>%f%PJIw|a>W$`W z-^2*AdemFo;?a$kJP7R!Q%V<4e>)SfhxAQ)cMxWf9ZJ$VeUk;(;S^xYCU>MCv?lM7 z({tD7t828*IUz{yr84kzu*-zpKpCkjNp2h#4+_@v{a@2G(ZnEMRj`_iHH6A?eO^ml zSO|4tEg`IEF4P$$4~KW-5hm98K@fxRRPZFK5CekZA_~Pu!=pCh%R1TUfAFNaH34b9 zbyRdNzfn>N9YoH_+HzV`JcG8S*o3U~SvfDO)T-L?vi`;~jyHGzlO3NNHyZr{+EW;} z^PnA(@U_hbH4yZ44wa|^*GNXjE<_Ht`Rjp|kH=Syy!q?FeAFyv`$0J0*0C(-iAN?? z4H2rkyhhha?#xNF~8e}}25k_=gL$J*fFn{%yPpz#x2(JhQry?U=9rI{S4wMpdvyq{Q<}f|HvZv%Jb1x z`ud)NHr638#l8&~i)h*sGz|-&&r+MW)oj@I;Qh#7@LMEqId~xQRrP6*%np{v)*)BY zSDBm%i+7JiC+4Q5XGsrq~#veV|crdR1)#zhlK6pol2PArzm+XHM3{==i0 zA|Kc66HvavjL+T<=0=hI**gH$gHTS-G8{_Vev{5rP4oc%4wD7B6pE>qBAlbdb=6&1 zXG5@D#)F5z46X-3B?GRz^#|EIa7bcw1qdXKds80{fBFtoppRhjDL>gJuns8WnGS`@ z1U4;RPckScw71&zG6K+;MRD*|g$_;R+aYGkm7JLa{?=FtkK6FgYBg-@DGnVh^5j&)~2J zb>XO(_M>AxGB1+2mGZKX$B2I`E~|J{96AX>&;*7LOu~X}I_0B{5!}H~2K)gk5Yrwg ziw)$Yizbz!JneY;VSEhSC0-3gOl04G+mhp6e@0X>Ye}H#W&D+$YWYh}`$2p?gvy=eIXJ_)fh%<`GZVBmmpN-3Ihg!jrf#7D-^Y zg0t!AVQmD4wA{`ZSDZ*>Ui(byg@q1|JjSH((CRUKK3P%o zHnS9_gesCAuyY-xR@H^9+{4C_SX>QI{(q1}%8^NYM->K6ZVSw+?&H=TG|#Lvv*Dbv z+*r`l-oJSrwNydkXw{ScVrkvnzT$40e|FYN#Trf(CHlZR3X+}Hg(M;B(qo~wU|4}V z{k4r{81CT!!@NA$5(_VRezX)ef=)OJ^MALeE#_x#Z@qH`&;}+*(z^;|HHQf_$Tj$M|>f8 z2%eweyZF!fcwtd`WAah^@_Q$de+u6a01<7{fyqfxNhbL=&`Jy(qnrW%<=2Db|GP8` z0cEtaIzGQbNl;#NsezFMg5X;;pU_xBfq-QDO3`}Sm0Cr-is$6D7SRw4cZ6VNy^)$$ zb}3P3RulDkLoHmO^4@u1{!Wy3*i+^$9FkA21K%W$M6jQq9QUKBS!$v?)gFjp zzCZmDUBi=US)o5RI0ig8LoLlc1&*1*r`f9?vFY&?el9$p!b7Ra0kxzMQBxN|q7gp~ z5vZWsjf3tQ)8o}xqy8LEf8i=m1`St9YiX>`^&~w`)=B$9B-AYXf~A&ov&wm!A;xSc zA^|WVzKPKmar?G>jkv1mQ#%E!4E&ayf6?l2Ta$-sDp-p^F@WQO%bFCI^()wf=NFdqRAnK z2eP-RUgg#Eyy&Pvzzz^@*0oP~p8@(pa0PUV%L?x|s7N%SmOSg2ort>dQ2EV~dxZi7 zhsRJ`mT}8Wd~^#N<%1i8`t^B3K_Xycl$Eo9j}dr!mdtDq6sn<*|iHF zY;DIin9GZL#5|Npf83j>Q;2H|4+qMSM4+RWUxC78Xud|}cfaQK@gpue)BXls(@zZb zEHCOD;`2qMii8T37m?H2!PS=~7j=$dm{SMlYJR+j*zrL8_XINs)bx^f&m*CtUZxBIMJ^+idzn<^B9;4$ z!q4efkT z=u$LjLU8JvAZ_PzFWS%Lj<;Q{AG+Lj5;t}P<$XaF{#i(P{ETR&?_#o_{}Ow3pgY## z!Onep_&qIK;)UNNUvM!BIPdAya?o_iUkt$IY`3P)f4+UhQZNJ2-qpw2e>eHu{sF!~ zYTHn%cpF4ffjMzPK@ebj1o=A|2Sy9@K%HX~XzT;A@5U>>h}7J-2@;5ZlRqM6^CRY{ zrG^NAE7E7Cgj;F3nXwt6)N?^qb8X&F!6Q*kO+n~|AjKU?Q1AIbcw^FNWt$5Wl4%wx5t3ZP#osq3&xjO-uY;h5 zgX>~_7SaB!qSTz_O3j&+)SSsm&6$+coJq08f9x`)CXZk~N3q!qp_i$}Wb8%>hl&i} zd=`~s&3P_s&ZSs$F3Xy8Db}1zvF7|TSu>15K11egfYOP26BLiBQuqnc^1Uceg`t;N zGXWonVI`wf0BK7-dCYuL>Dd+hhvk>)AQ$F()jlGUrB&ER-^z0+K5Y#1C+BBm4J87hC^sb)c51^vb^FX5Q-SYVLzv^ZNEq7}{64aS40iDmIT`8iX(fNxWCLcX2!I zDz*+m8iXL7Y=$O#cV2$JOxI3vttJe|Iko zT*eKiYGp9SqvldankVh(@vH@5?tT;n<>_%<#KXnL@^_BMPK88r;&LJuphj&01B+2i zR|%CdlZvBJR9^_Nm<{nMt)ItT8=Nq|DWCcSh8hhL9+ygMO_~w(=Bui3Ce>M~w^Ldb zM->&_sx(0QY>y(_;|YS*#>(|=e^OhgT=SA>+tsMeFp!oVu{V{IFo>{u+Pl5X^=>bv zyxU7z@Agv4ySq|&%A7PW zcBMJh@KDb{ZVOt>by2s(Fg2kTfzM5J#`ineJeV6;3A0t4&0iSmB+-N7FPMPETo;=N zV)^ktjDSn0n;bcHy>!IuFHxFe2rbX&5NS{WI(*a^qp492Id)>T;J$gGuu=+(pKjMq zSb-XQOIAn3flD+N;3BYpf4)5Gi3A3IdE2k?FTTKSmlYaumG;_&2GWKINF`^A;ZQO% zg{zaxB#MlZ8hjT^MchWYrY+5?h)O_S-PR?I$ZOd};>HlTSco{6>Zy#9Fp~TXz1WLf zk4)&2-ZK|l8F`AQ*`|LCiz&xl&2Pa5do+y$P_=kKlztJfvq)2Gf8j;;sC0$x2wgh( zmN)94TtyR+XN4o;58-en-RQBO!fXB-?buc`tytYws;;5AHjqtxAs&A=Qnl(-Yq+Z4 z_XAcprGj}0zrKp)?P7_g!5T~&$U$}gpl@gu5$gHD=9zcO4iT0lJaw| zq-4?R0{xu4Jpg*mf6vg**$P5Sl=?#cfZfN23@J|_GFq-`*LYS8-2B|#@BmbDyE{MB zr5UMLtv&V8bm*0`6zhzlS;#q31A*6rx_FiZ(wQ*34iX{sL|dDDsdUoi5p(&Z za>@m!HX`xXAymozs>r6g>IO={wQaS575vShV3O#(BeJ@kemYrBb2Oo6H)=1IR4b^N zS3tUDHw~Bj1b-9TWTln&-iUe}@%T4-$+@Z8-$2>0&_ztEKw5 zwj=L%$)X}^!WrK8o?51uWyMs0yzBnzSn0a(^P;K@yOxc|yrCp^OGo1JDwTigk=f%2$AdNadpHXZ$sMBfXBE#bthK@oNx`^$)DSF!hH-VYR+Apt zy3PX-KE=jAGWW?feVieqF1%B0OD=v7s(yrBaAK)Dw4GImEY1sRN>+9u%W0U z3dT@}?R5+)UcTDUwcZeQV2J|mHcXiagjtS#|6-0+kB;!*7%Yf+7o%Yqux`5g0Ygx| zgT6bpHRpa`*CUg6ztcdS6L5gVnT_Fg# zs{U}moB`_y2-mHH2RzdO4)VZ%5K-OP^ngwZFhI60`}M$V{TL;X$jzfLK0cg0AK7=2 zI1Aqk?gW&Tn%VBWXozx4Lxxra7S<5WoJ`wi_speWdrd(PmcpG}sl16K)CGAA0$u;A zM4V=~=m!ca0~XB_yN#ni+4&E(Y(}(UWHjP0=1Y-OEhPq zQp$Knj##z@n^FKgB{G#DAdIU|&~^Lh=s?z{1->9&Ue7d3MuhMJR35Xix*Ht4+=Y=z z#^D<>DM;0mf70&Q2m{7{#Zb6(IMjjo4Mp2Hk3<)Ikdt-&k|otjmejk+3SG-$U{cx@ z4_lIK7`9srNb8g#gs)XpR_>E|ItsNlOEsjdHkzy6{;!xC4%AK3oeJFgNbFmn>5emV zRAu>ho_9sVMq^vEHD-~Kn^{+nSDECDO0dxVFI4 zw5p_~33f`;h%JbO5=LXXMR2NeZW0-#oS~%!PT6ir;QOQ(%|^=qN$+4lh3@!=GNb(^*??@p65pL^#LzVl-b`~-;i-7 z)=^`ubF#!U((q<~`Du7Fxiq|)bQ<1Fs-iVKF6HW)U2MMHqhtACP^^K-wX{Fy`IGHh z!EBRz94t+mm5tYG0teRb)EcJbl|qr3o}xRBYhV+Lj=?+4liz!+J1FlDnro~#$OE6c zg_F

3Lzy4~lCM#{8~5Ey36&2pL*yvj1@Z9%;N_JGqj7!OEhNAo$n4?YN@43-4H` z*_w6qOt13UJI_CR=W=K7T>9*t%bmS)eb;e47kBm^To-h{HLosnj>m2*NG;*fW|dO& za91*ElRBw&*!s4NF&FuaxsYSbg*0O>>ue zEhb0=%BapCjO*CeQPK8$rn&H0r@((L1aNCwjIiZ0ey<)Js?^ibWdnZONVNkwYODF& zBc$?RCyWUuX$`rxK2b;vnxa-WF(<^-FuXL9v$0oyK?9 zAm?5qyd^Qw3n0@`JHg!|cm@tGmpwR-jT$O+;;5Rb1=qJJx+%GhRpl>mRmmG%Rq_f~ zy;#|dK~Fhdqn=+rw}V#+A;B4H^A~L5*MrckLub7T&2Ca+nt(A?qk>Ot)$j`T2TkgK zIOUximbop7QiF#|;?J^}@8oou7TkkOB`-pz@;4z<$*Yj5q>Flbk-kDYT`r*_cZXiX zFUhnaEd-e-jxe1UsN(mN#Y98z0pvLk6JUarFk=;;hkigpm%Gz_2h z-9bT~>N+oBRF0$uhE16upWoco+k!q&I2|_%(PI7uo+Lan0GBMi(@9BlI&g4*CAE*5 zf?HzAOJeyuV#zCF$t$%>ydX9`-LY+^`>De4XL7D|ctcE8y^zT1muNl-g^W~=Y(byV zXNkvoEwLu!ql2oW6HT7xn>gH0BBnW+JYxi#I2uA`;?=%TLiSPGt;a0`Lk)T3x%aG{ z#yH62ux-Jf!N+#&&L(PV?MbhHjL;?|Bd>$bO#6k*&Xdeg^p~Ec=YIyhIUPJ$g(^IJ zMCl*DcWdReAYR!E1TFRkGewObG9ZQuLW1?dbT42IUZ*4IJ5FWW$aXO*TNkT*>tZEm zU96<7i}o@cDCX%4CMC|Di*V~9L=xFSo3_wn#(cPT$-`wt2R8ub2)ySUm(A2VTLs? zGO)%N{&UO`Yl_#O2Xus#TG$0~m9c7Bp9febY2EgqSZArppqW)d{GIC@?sw%wiU+GK zwb-A#xVifrb372TI#@@4=`xh~Itagw*O857r^0}a1a0|F>3B-j0Xf|D2F!%^V8f9B ztfyhc$jm2DlB{{|5J;BK@c%V}MIUfE`9#Inrhj*%svtf-KWLxu|Ke@tKwW+E0|bdW0G>Rvn(GA5=bQT&Q&yy~tK-%7T5+r-a*1ArF&*#` z4QTjJ4{jq2@(GK7+ZK0%uD=5GPxJZa;^WyQN`Nwf6U#24e@v=+bD2ax&ij0ZH1-&!oeDYp1>Hr`5QQdiz4!MB44s za`9eGXs^2IBw7md3m>05AIocrVvFN}QYj65kJQCUW&i?ujMI%mO*U3;04 z*W)^)^DI7Q5vBD)rtRvDFNFOiX^aT-3m0Dk7t3jlFI@aZ>5DIH+>KeY04|LM9X&Vq zyyL}*yCEWfFm?afKJ73HsgGe%D2{zF2JE0_c~1LaT^FTS&e%^x*i}ZtWt=SH;J`32 zsw@8W&asSd6n)1)>NVPNda+43_~gtffg&ZpBy!+o`bEHmh{~e_u4c=x`7FqP-uIWoAfFhn_%J9ShL_VITQ#`q z6M-66#fgHxYt4)}J36R#Kc3Ecir^rXb#%$ZKqYn#4;$v9yDap{_2dI|Gia-+`-X@c zv|j4eMTAYe`6ZHZW_Dc!4f%<5FC=|-B#nnO(R8qDS4Po`o4bGhj?14 z^y;hGc;bA5te0bMd2?q0eRXhe3*xVO@y-T+Amk?7zg)I8WVz}gA1my|Q2BA3BhJi; zvIZ~AT$`EiU8ebo6SAGDd*$b>Iu|eeoaSe$%owZnaRn^&9-}rm18t6ieO&kx$eM_- zSB63sPFA6AW#*GVqbEo@IOMO3nW`O*(YiSi==n~TxfI%O>UJ6Nker)?3v9xbH&ot# zwNH^Sd{5pX0w?=^sj2GB@k51OFWl9|48`1n)HXfE*`wD&fls#ME8d>z=GHuwtgRzL zBH#Rf00030|Lk2`Z`{ao{wstY0xuxj(%k19Sb$^i1`8O86YFFjjKzTB^hkt9WHF>lBanHCfY z;#8t`8ly_MJ1Ij8CVc1w?hdMuyt4ihB9gbDsqb5~Utz0%hl2gnLARU8a|j8+&*TuV zK>VJILg<|&1cuSFW-A?4*RvY&)w3FL*RvY&)jA*Xl@J;Yuy7SDJj|(&=}6Uo(6a%r z{rvLIMS&eScQDV=q;+?p!z>c{tJ*?3{SfW2EZh4+5;2`h>EJi+wqTmEG}dS?p|86Z zh8v(;+;Hh5&v)z){jOjo4w9Sl4*T=VKOz^ECw}xdt3(h>4fC+pxg1HQ27)C!<{poC zBpmENnx0+#UdczTX!inQz5TF%k-QXAuCKQ_g$A=4!KARNkj(GN+M)-v#a1@j!V+Qi z!HEI_IAxVGu@Z9+S$j~2LFgK}WO~WSCC!Fn;jHTT+!{9bzPrPJbKv~M>TeX1a<%cC zk(J-E8Sg@~#7fgDcO_k)nsZ_eb8D4pQhK$jt~b+Esz~QsE2bdK5gU?!U{%HjBo_Gh z;;NYh^Mm&-F^*qf{rLXB>Rl4`{bTklv%A$Y7VhNDoA#Oqwjhl3Zd=1ZNYJeCcy>!ABXvO(jJ;egrM z6?k;YIPS%t;Z@2!96|0o#ngFYX;_w?dE4}v#1omjd z?S>8hIq|R%#BbwAo)jw9!Gm#~<3Jh|(Dj;74!CSX)*;O| zMlbY`qnf45W`1A}(->8UX^i;7G)CNE8Y8|ijS=6r91S!~gXE&?W1NNrL8lE>ZgQ|Y zLuf$Bqk+Xg#IXfxV;s281@RM-e=GLJ=OZ%~mXaNRGw$e|!eaO9nGmNKAtn z_Y5~Qn6bf(4Q6aG<6dIMnl(m+$WCVpV^5GBeJj^9->CRZl=?<#xz-^$MhpI|CeJAO zfO<47sAZ#A3(FSttv-&m1(@MW4tzyFLr5sOr{hIiTf6knLqJinS6`q|2!yKZ! zb7OmD={-94cFNN8e4#Eo&npstr=UaV8v-qZ0l#Y4umev1=mip0Ap96cP^bPAB{6HI&1`U^u3 z=`$>}%m(D%e}I|()YomOE^i#LNfvS9_@0Lx@Sb7OPttoFZx+Kq3=DLvVV^J?5jZl4 zlP&?X5EC6lPL2rAl4+!rYU$>ui`X`68Gx*?|4yCD%d+(G%8@G4i7N+bjAWbz+}@tp z)|)oeF36Q)$@v;&7OB(^VK|zHSW>FGc2-_!JVo-pf2(?Fj$fwEtv<1#q%qhyW5T0^ zL|Cf`{OI;B_V8KM+m~n>0D-ODkU0G`gO(Z@24o)>!^CdTesRoPT4*U?h-btEZ4{*I zjsdO@)*gUCpaRrrceWAWN9nRdF^m3zpmDxUwQ4wSbG4Du@{i%REKcPZl}*aaQ2){z zh3>6qe{BN)p8tkQsYgBUhfdwlH@5TT(GJ$3l?^55zM~%JAai3duwTK`o_{O0Z0_f}2GoU(b}IOV zuu;4Ly31HRe8xJ|OMA~;)wpLmkyp<}h8U!?Z`|24TuiNx~;Qpd`G{BM!)rgtNshI)o*o?REvP^bna;L{u5;_%captXCru zVn1PN-UCYMndp*c7PtxQ;OU&CB&G82Je6nXNrvZ4C5emB^18g(j-HRRivyo5{56;G zuAJ24GE%>?e*PnJF+rf_T+?N2e1d(>eim^qJDT{V%;+ z@~F*(we77u942DmrFeoQeFAT$B!MODj#f%vNQ;xMMX+3*jFNQr@q~4x>Eh?Ye{tJf zC@}%!3(mfz^elCC#OgWKguw|x=0Sc_RDQNA`72DXS2~hc0$<0U zlL){c-~Zko=nS2`R!^wLK7V}whwjvm`XrM{@T`)UCc(4n{!M~sli=ATcs2>1Pcgx> z##3H(ftVIGj^|n+Ml(04ED$3(e-?3WcyCyh)e5Mo`Yz@GkkO9n@GRKYc0uSCgVXKYb;2I}btY>tmOn zgWJ2oG!D=XdF$*-Qi1&R2-vgDox!ncIf+~H93Ma~p5Z#@4r8KkdLM69f7$~x-aVo3qK;YjXZ70^j$3>OVYiA0FNSN>`R-LLr$-f74Y5kDQ8`vV!!} zYLI@)2hvZuLHa2lNY7WrY$RCB;>@myWN(^rL1?l!qWr3+Yc zEXD<_o|g(9hcD8&^4h)pn!85nSKH6sRTNd~e;%-1AX)>8|X$O+UC7e;+QNB^I4QTTv<)X7f5G zZAV3%y&7?zcdggNJCM)nb;A~?P;V4`LNF<80~{4r4Xqorg(ZS}=ur?riuE+hDY%wm z+d+rLz_0#1?;BF$1TZ(G%nd1XL+ZHC4sJ;46L|(6S4m6*kM|5BHSoBB#|=E*?5H*H zcn9#f##3H3e>~oz#_?R?an0PI5+0Wvi-E@n&Pxj6@y=MOC-AsNXIBHcGG|wtPWj<` zysmRaEbXM2W>#G@L%2yLVG4XxZB0y5D^1EMGVpU4s9h*xrC^MOY^ySEtZNaS7R&6s z$6k@1@9&6G>DV5KiW_7_A(A*%vVCPvmZE&>S@=$;e=;Tu{PEDfJm^Pc(S-tl;#nMD z{o#rWI*#?Ii3_p;BtUBJcM3%cT~4Z#6T`DBj>sSUK6f4W315C&ShJl+rQLgV@g=m2p2h6i&aOJNzhC%Y9xQX*%bNG@Wxt zn$Gzme@*9n+TDDZCr4lN+AASvgE&0xNK?_I0Ego*V9dg!kqX8vc{2R)NDfYcq#{EK zl^oT^^R{D-^9$^uhf20Mh2e)v>SKq~2$d8kfC-f}p^_$4^0?0qLM8QyJQFIZl9(n` zvS;CPCREadN}5o~X6(v@N_G$`sqvIo9ULb)e-;xQH*j837#!CbEA=EePNRF{bb{kL z^>G{q$LX*_7iU)XB059*4jK7Df%su0{TDd|u@#eR#{W@>^RO|WB+&zqhFJ1RIc z-sa<;`<|zaqv!<0Bc|{U3F&S#FtJiUnE-|Hj@(3eIBOSbL-^Llg;)hV@pa%nU_%Zl ze^0D85;p3Fv4d>8^&fK=oND`-W(2bGy3Xe~jI%yS=aPu?La5&FR^kE0M(5fMs0I4w zjUVlI(LQtiG=|nJszYlQe4#Z9?$DY=99lzWC7cL6jR5Oq5CiZ{Scdw)l-9I2~#E|wAFq8fLBe`h=} z3VxqEBgVyoFC)eU-fNAv?!u!Bho@o_!YF=LSgV+>ry_q)~k#(=e1z( zLeFJi7Qb98=dF-*5DqJxDbbH7pdaTrorN!z?c#0}-CBaoFX+ijiLp^3itd?VEWzbPI# z-sbVO+HdjyN$_6!3yeT&9yIsP$_|_plUswzwj?o86{-5XSRCuXfnYCIn#am_eH!h0 zZY42c^d~T|73;_Mzj2eVN;wf86dZX0`Xh1!%=9jM1io0VqP9+WiWOI#)z9Ft&}bZJ zJv!c6X-c~M>bCB7ihFfYNPy_IyV)R;j3#lT!$c_Z5Z?KZ9!*=5=Dw(n0-jSH&3f_a z{W~iWvOlqWxoDDHqqD0Ue>+4pM!29>Zrm*@e^Rmwi$S;z9dDxTwxZ)$C#>(6e9Axs zydlVG$nb8aU002JTs!Xk@Z4~9i#!BLW!5Ec*7kx;O#C_Ulzm(NJ%0S)2K(qJ$y4FI z@^NBTb^Vf7Lq7@}6f#m3t#4Tt02GBa+S*U6d;9BZV{f;`j^pR9e^>t;e;>GE$%L}+ z%b(Yids@4wo4~&ZMW%#Y$Sdn??~~U{f1ph<^D^6J&5x}iw<|txN{d4>mR`K#q#$%cE|{$X6uMzWPseXCf8P|oUf|O@J*SyJD)mar z4}u)#oem<=NV*6i|(=)P|E)grFz!(;|0N)k^zmgSN65*C_(Zy@}9W3(my) zKJkh7;sZTkf79frzPEiUJ31K{t?}ZDpth9BIDU@s@&T>YZ!YQ${Mr#tMc5UbNhBiZ z&U*0?|MzEa&0xsI4lh_=_yg^B9HrBr$T%O_;3*79BfAljKzY^G35`!8ST00cLEfwEe zpIhq)KX;y-ErQf1?(MM>+B7r?g@1vy-*Af_InU^6d`2tknD4ArHRDSEQTn*~?4!sT z?@6_Yos6rse{YvoxH!-UtCfFA&q||z1k9)U!7-lgcq0m_oAVMO$=?JKM8IiGe8XqP zrOoGCf2#If3N|L-sLV~gQaB&>Q@J5T27S1F8U=O6Xtk1h&f+YJMXnKxor%RZMAqog zt0OblWtCK^>06q%l_IFPc^U^(BVYIj#ocp-FcKgKn_y}lRJG)4n;d1^fmP&TMV4yu z|A974aLfWc;Q^FgE76O~Xqm)bRO#kfpLLfAe@4sd+)Ycq+)YdF+)YcqvS>@bD>=(y zULT0VmjF}kWv(VAWUVC$$<-A2_bprZ5 zA<^MV(d{&oTk%WiZbPBT6=x~NsBM0EfhA6h&0}H*e!IiJZ#n5#8dD>}sC{6xro>+w zf1LJ9Vm^hfWZNcrW3?2`GqR(w`HoeJmeh;B?mZRPK+OId?EYidbp({7+RfXRPR2h@ zkOC|zSX8U~H!_S#QaZ9U5aI^bZV2lT(I6VYw&kY zm8ZS)>EwYdD)L)(n&i%lHeDwY%cZ!EGnfG6vJjcM25)2k7~3F3bbBQlP#dEyYE37w zkN(x)XHJFF+B)N=Tdcq^1tm8}fxJFz*0`5zJZZ|SLW?#YhtMAD>_cANf7{ z0;i&8PyQGmTjWd*sk_K-!55lTB1rOjrXcaT6=(g_FwqG~cuKDZ(vh@qQJp3>)ifJG z*hvY8wY|41V{T4Fd`!4?pIY)k7%CWc%wItJ{gqB1Ck;oa3dy89vBNmis9#^@L=;#N zc<~aKHzrsMGRw#+Bdhu&f2)Yk$jGX}Xi+3M1h1KqQAS4fQ${uAm?1JM_0H#}UgC&9 zNl~(#b}mX1^0#S|8 z(>>Y&ZwhWr+-xK5+vwTo-!NMvGln2D_;he1GoFLY=t%QE385iedXOQuuj+0|dV9(Z z={0&xKk{a*w*~0v0v=0O^rMw?haiu3NpG(#xXZ@W7F=_}Oog?R!qCYzH*1YTCvZtl z09YW*fBqvLFL%6Sf9b`BbN+U!V(e+!1n$;~;p<63N#-kF3W%g=#|UDAwOiVCkkTo1tWPf&khuE`ve5l*{{)x82`Ma03b+GK(gl$tGRr2kzq9xFL??BL9b`pV zl5AWkT_5KbIxRM9h?Q2ki92VohG41Y%SC&CXKm2~+FJMiI@+SwWT^0Ua^;8~PF7N0 ze|SGa8H5kGE9(W?+BZHFR6e&hTgasA{X_=0KbS&eh0{`1t8|&^P5*fA z_fbglO~JJBuCX@|wG+@I9DMJ@NB}i2$=L-(!@{HqvIG`cSfHtz@qykBlXs$k6dR`_ z&8vnrW&~Y&GMm71@I>}lNKkR|Pk%)I{?9igA_+?rfBI{MP(jr)#d^F$9(m=#4qT$2 zGVMvC4$%=+NqCq!Q(OBWI$F}-U{Kn}L9Cb~i@-ub0MyJGTEIX1cv-BC?QTP^)nuPm zQQIt}(qL-r@{k&vZ1iE|I0&snR@3L~>f*R9cVDDIt+7*W>+qR6+?EbsE(^Y6aCr6% zXeO&fe@OJvqUqY8xunJ3saK<5$CQ@NY+pO$<;rgFuOE=GRn@H9e5Uz|Zt1~GbTb_g z#o%~CYVQn!C8*YxrG-sYbPqkI{LxoOH0MqH>T{$_Z-KW#+sJkarpy7QxJOvVO{~hu zWp#3`OTOeEB&% ze}jS*xp-F(8sOzWbYGQ$XuRSP+?*Buan;rc|4tDOm}1Jc!VK43UR)%re}J)wh@7)B~A%0^2Olf2epyY^yl*m9xK|+RE8q6|WrmP&mL!?}E0k zVDz6Occuh%*K@Yfe;Tb| zFo#g`9`ox>v_~+MLiWSD0`!Y{Q*aXAv7dar#0g>O%w24+uW8IuJSt{>X7XB)eyK$x zz_H|O8p%up7HBvESu8t1an$e)=G(yba1$hWg7gLf8^3z=&CuOPL^N|1A7P(f-fpXj zUu&Fa!or)aeVf#yNTRt(7Zj6Ef2KzQerUN1sB?ii@sG$2@ar_9n&xl8pW;@?*YGI3 z^P}zB+WP(%%m80dT)GLOt#LblD&O{1OczrKwlVwzi{HcSN@HBC7o=0PrgZtq`tPEN zn$=#}x!#NSweQgGoi}IWv)5N^JWpCZSwW>$ z+#3y{`8kfHVd-_6_PT;I3Acg8OSD@hcPv;({?`G`@Xp_^RZg(sA#l&n65LZWLWZ9I zU94w6+UsZB_Z-VQG^V2$9Z6}S)_Cr(tU+Al?7@dPqB0W82%=*E{J@{-?) zpvLbeQkpSRET=yG0uhREe}0exQb`%j+y8QRFeG8m@uEX#yntIPZJ@#A7@b{(w%d@buXCTjqNP*i z47|J;1C9_n{!Xf723MC8yi(AiuKvbnrGSH~-t_?yLUKYlyuSrze`{QMWm`;m*4VPS z7WYc%@~>cF_v!7gzo>VsSX30mv0aA&{$QQq)&koBBlSLZAd;M$*t~n%#RoRI7J>j(6O!>~f@6X}}h8zE5yLWFH(P`iZdZ2$fBkMI9bLm3S&?*8xZ z)_kH;Q&~zoMG2F}e@s8{4NUy4+8m=Fm)XV2A`nnZf+?RrT0kY7m1&*rbvI|xK#_(Hg=|8ypC+k;lj{@h8ldQF zbMX*!*=VC?-foU|A^!HQ=lOebrsd~>TZFp2_APmWcP~vt?)lL^5leRZVNJ@9TB~@x ztPW0F@&%_Yxr5V|e8Fi;K5TF~(BQOi?ex${RYT4O$;kZHMl9nmMfTWwvz3Y{(fr}ytNj1h2f zwaV=O0{{U3|LlG1j@-Jj?yCp`B=E|foBBF~AOm>WUWpNB#oKnWemFrxqt;9-R!bd8 z?eT2*M_wVw8|Fzit4K*y>q1fYR_ov-+nJUql2xoKf7azIv77n>1lPG)unr-$&_>>A zE|+s~pjg6<^n7Q>X9B>#pJ_q3r;z))vj^)B%$*IGd;!jYMlHa-Z9$G=p=l7UxzY(C zO?z*w9D9Y|h|Q^J4ZB)x;~I}P%(5%4W^xr*GwF(}nOw!yOs?i-c7zpIjQt;aXjj#< zoprfmeP`?Vl)!{$ionVoBrV(qj+^_5s7jGby=52hn*9PM z8)m3D6m%8fH)!1`IQzgq_VM*kL2Bqm`-(1bf4W2tJuFyjgb)>u1J)?pl1p%V2B|RT z_!#>o^nKzRH2vpq5XDlj;J+tI09i$|Awz_!wW4|KH|}Zr2bvj4xEXS-a23IHxEKD$ zzj3a3CPd8;AdRkwteorwteu$k#CnCGou)7+uE_(anJm*s*F3(e^^{IWV|V55gyLZg ze+$^C-9S+K-T5afp9LzCa7!HBj71uv>~|D41RB*!%mP#k}Z20&<`X7>Xe3 z2#5~k&Lp)mj%6;>@OJ*k%}3jTggE8xgR&*WG9i_Yj_9!ja0*kGJBuX|&&g?oIDp>H z(9H+4v%I^UPZji1zWw>thc|zE^Yf=ee{Vn-Q~_~GhR`fi6O%1D?#p+Q9)tG~|MF_)?%Lx%k>`IP!xHfIKgq?KoT1fwv9ANF_hajunxBoZvosCu-WzdfgMUm;`9g!GERxTK7dPf90Z8 z`ySNfFZiUYDs1Q%!`Q8eF{|mA&b`tdqQ3}nGxm%AxFT9@kCgzc2Tk{#;`Qm|v)Hr+ zUXq*Y-<#dmwB_O|jbS)wN{Q1-1=9kO65}c=hOf`&t~Bpk0YT$fr(V)IES(=Vor8M%LDE@Tf3{^HqIO93YIo{C@IYWirGAy9P&hgi{Jf05$^UYg zYK;aGe?g|H)pWS4yNJby#?!aOS~JLa+SOU=RbA3T5Pqd>S2c-d6U_$Rnj2_v{4hs;$h=A>Z(Fh3;?Xk7PxPWph|FBU&k43c zg?#@^qfxjo@MDsTiszVD5`+ut1C2M0F+D}Sylxq#tz8CPG!kK@&V-@r)DO5i!YjUJ zT2PY^Db7}Sb$ND&#UC`_f9qkucXK!&?hMth#)l@S`xQ##yUN~$D<;L*BK(vKrUs)AjIn2{+#P>Zke~|phO0;M)Km^ne@ z12Q92IM%&w)!Z_4e}ED^P+(T+5s<=DO7KEm(@J&N7n~ML$;)iznk7Fn(<3S)M9nJ)S_xqe`ZzH^+8hkV8jEn$2@No z68#hsj6lAxKHW)6A(eL4*?E^OL*b?PrI@)^SW0`lp;}HFt z<=BtZJ2G0<(%Y8_M|3oLauPl*DM8b?3^SCu89<`R2Hyw#sHxb!MY;k$Binh-$ zp>{8_9Vr2Pf04Ubwnf3lO2__bhKj+We9f7*Bn$X2{C@}Rg7{0lm}`s(%A3!}r_*WRCz<`8!)EoGL!lpeOiAh%|6*vg3Ues#jYm5A3m zf2f`plVt&8EpImxX~`gWU;GuXTdyd5+3WpwH+G;HLd3f)RbCXQ_u*ta`Sze;;_8!ZB1J)m${GT6wTXe%4@60ftW!f9_nUuXTpUK)A_wJMaUoBJs>0KDLLTkCXe@xKpMClQ!P-N^(b=bXiteZ?&4` zmkKIeV*UiHMM!+_N!wB9C7E>#e@?rj6S7ak2bIArMH>`=&WezWi)G*UMK)C8@D1@jP(-V1xby@x^k+$-ycT3upx?+p_$WERfcL%E0WIobZxywA)J1kfD)>#te~KZ`OXEQ= zba!`=@4oT-?| z>@n`4R!y){r=@&2skZ%1+1^GKZN+s9N@c19yx^REz|By&&B*krkGwSUQW0KihLZ}% zK5xQ_<^6?HH6mge>2!!{Nyl4FoeH}R&@U?MtY$hRegOPv-pa==4ocUz(@pG z18;hUzrq;bD$u%d-<@C|Q~=NU=ib14YhoSxhHD3Dl3+c?vO(!zlUc$t!MFK5+Zi^O zbB4{Oondo1XV_fs9yvcsXIRXPSRn{h4-K>`n~QPmLNfpJ1vyUpe_g7@wQ;O0Q%rXN ze<Pv$zKeaOymO(%USTkYG*`(iw0{s@1mxjGJ<7cgvg>%JnN zNS!fUX;=AnFg`*a5Ilxe4w_9Kb9FDxR<7FxB`-RtA=)rFP06qe;+5KfGj)}K7qB?!6rlIhd>3M3Qq*<9r;4U7ij&Q4qM=IN3O`{ ziootY6}AZ0JMu+7U*MJJRG0!6J90!mN6@S8sjviH?Z^}PJQ4UfPK7HF;)JYskbHrq zAk`T|g$n%0!IkfhI&S{>llINr?zaj}^nlO>t`^fjI0zD1fB)Nm|Mj1^x2@<1g6cPj zAxN}0;IgR?{dzS`C?6{BNRLrr@p_R%$E1!5f14{z>iC3W?=wyyJ^jM)Q~wU| z4lC1Mq2NhN4<$0>U4g9Hd zzND$cCbS10JDeuU+*Yn4N68OF_?`+ogh{mk6+5y;E?ZO*^aAZh4AGcsKNbF?EJsnR zD9{2fe}Ck`Zg`M49#4z~$yJmAbX4a+e6e)aWqXXNs>NU(ixeEC+Wj?i=(6e^K)8%1 z`$LHC3&(w~#@OG{#Qu7y`5KS?b#^Ygjs5kO)D6e}x+QmqvA;eNyy4hi*QVqc`#WUT z9>)G?SE=g3KVj^TeuxkHK~pWj2nPG7jLDJhe;6n{>(bG`%nM=hPX}>78sl?tDtO-q zbVUl=Nw>1x_yS(IIlI%`QkRm0Wk4K~5*_fQKb55A7|N{_%FS+bG?#00G?#93G?#00 zG?#16Ft5@qN1b*W%cZ%$eGK7N-BcQH&4;OgI3P;s1a>0+GX@L${lYapV^#N{51M6F ze;G7Aj=XW5{o_VFBzsU|{ml%GyHI)PjF@_X0UengaD?M2MF*toE;nH6Y5_(V`YvkZ zujyfB=!wTHDss8`PX4okW#K5Rt!cwQukr_BQ#4+}q@HANM)|4RX$8)7WTDefp@L`?}uR9 zD5Jdd2z2|L-uBPi=$tk~^twlge?Yl(CZ#+;`7;3Exz<)gZqb(J>lPgYCvp_;%XFEJrY-Vw;#pT z5!q6-C!}SKVrmpq$1J8oM=H1W6rbrZrOEBQdub97pvlV_FFpW18)9@ue>>UNy<_;| zdU;aj8Zn-y&dyWI-<(a6KfnO!V6~(0=)LfeH|j^>aZ17?xIT>1W0W2T+<|!paWUYG z5}>)$IFHP6r;{)VoQtgc=y)#5o8;q3>fj`uj3ExI9mU2`hz&Vod=wj}AvQWP!AH5# z(9u{Bu@FmZ9rSUXk>!4`@(=5-ae_5BUOn*Tu-IBYf(7aUB zi&YpYPhrs*`GwZoG_dr69rI~E6{3pNcmjLjT{r^4z;bpV#TvQm1+)nJp+$UtLhotc z36Av!Cqs3tLJl&gSOLM{G<;c3z=D&L;)F0eWn=}86|`?do&yC#!|IO-R)19xW??2O`4f z3q6h0>7Wpk(a`h_=ary1Cu=$;xG2<<yn+pmv z=nA$(&#%pg2jFendIq`2YRil(Fp98l!wcVm>?HOGzE1(Yc*iUq1|E2FT+gQhG)SU? zKNC%h$B4W^{(p!fH85GatTi~R&O)q;7)Qn6D~|SL36?%-e=vZSM%A_s@)rT=GAONsY`z|AMX_3Qf(qeg;O{ zLL!F8iQ|?}A>s0^kXd?blA~pvcPvvd;)qs^xr}YBYRZMCZcEpM8TFuX4zb92)<9k- z7^)$i24|##SdIV`kNseMh*Uf*2YpNAf{fjAsLIDkf7Cf)mw)a}mv)daFieBudcf2L zP|G2am!fV1Cg#&9#Z=}q`l|tU7en3 z{FCNB8a{*4p+4qQpnQZ}JF-9u3n*`C0D}IF$cRV7HeBqb0B`JEXQw^F|BQx@AgJg| z>?1p(e`3h{kUl@y-~-2D2(CO3p2EmdCSwhmhzuKhXkSxWft*y58b9OESnpE)u#-gHac}b8}cZng94LhJ?&V+i{1d9O{lt-vsoC zBW>~|>XL~CiI2q`KP~u4=GSL;y0+7F6N^y4e|whk^c}l^uOREB+6RTun ze~>Y-#am^ujwnaZl&>8um&U~?mSaD%ZsUXpohV}w|JFt$)5&$=My5G!^OVEpqBL~J zk`LF0N6fQJfn5!K5Z~i#keL~llan!MZ~&rwMCbZ@mpKW}p(Y*v5!9pOy~I0L(6_*l zBJkcBt6k4(-|LYth;NVxq`{|vWsTLjs1M_)pRV)cU&*ERo7R;y>~n#uWuSn{Q)P+e|NI% z)F&as3ME(iucn>zt83^EnMKx*TZb$Mq#E z%AtKjW%n#(`qHJ+a66R6g5stof0yF!iG~erpLX2t?eUI^mt7`>kDQ)=@eE=dvb8AA z-rw#0z&|@EqQQYL=4hWoN>!*o2XuDD%WkZM2Cid7NbI-!Ez6+{Dn{V27XBkI)Qo>r%@Q@6Z$HGfU*GyB7Srh?8K=BU+f5?bjM8ma+ zC@q64<1lCm*G@`G(>^s)2KW16P*U@rZGGc9wzG#?a=Nq@!CP7<9{OJfNjqHGa7mYR zj}3#0Tx5h8H!vlpDo=xwph|{ENK}vmCu87Z9tQQmC?!UV?U@(`j5+HDO~Efiq9Cm1 zL}(We(+q@!05lAXQgBgqe^U})Y%zjdoxm7l8xU=AJ*RU(2c#ZNDDXc4;W`!MJNGb~ zvr@ROQhN88&3WY9j*=>#U(o}e6u@g7Q8MR`&iWaq_F%f6UoxvRnah<(?Zg_;c<2yb zLexo70s=jaUySD`$6YfFN{{A1Dw^W$?-|}C7J`Ov;rIwdac?Bbe?Ud)gn;9h#W{%a zCy^dVo|nxigjaq%d?n{R!t*i*5~ox)$xWSvC4zON50)Hbb~CGzf`|?tNy!}>NDyQs zTLkGfww{)PP&M5#w)V~wCMz=2;w(rR6a=f!?r3s0zlkZyaRS1?=Zf1#^Ci(frmb81 zwXq^X#c*xSTBT7oe}5QaV*S1F;Rc`Mo~gLLHPR2{&)AsF z-5g=UY}4Z4-sy&_@H?q>C4r7YYG8Hb-<#LPCHT9%rkfNt&Egb@?QnG#s^YoMGntN; zG&BC@6Y*a!e|9{ujaX|3Foh6CL!n7WAbXsk8Uo!vfPgIK^@Y#@IBtQg-kc!Zd>=u+I0Pa7U9f0+!hpNR=#7&aOB(e%i>UeNCb z+yC*+Zm7vZ=n%XTHVI5Tb*a3M4Ng1iz;4i;IzRkNd<>6lK~R8h8D9-c@eIu5_-cTu zq;Cu|0+|S10pBzokgN@Vg??fES+}Tde@_2MjDEEAVIP4c@S?dkTnSI-e4g#;oX_Pv zo%6Z0f2WhYBIW5M&r4l5U`j`AegW_yx#gL?ES9dky#i0xj0v1B?TUP?;orM#40)&X$=!^j=3WnYAMe}_>jF8^_0fukzqRl(T(e1RFv-!C#z zCMpJ&GA-GVxw1ku;<(c1Oc(SRDyk?m`hzP0vGNPP79<%5uI(swTRaJQ&7ksHt|@4p zKQ3yk2k8;fuo`5TG*hRAdLi{F{M#K{bQS$=l^q)9>^1qA-b3$c)h+#efr>zM zyG78~of+#rk$PDsvP3kN?-Uv-Xk;(Ce|PiE?XyQCdMpb=RAgy4sLTZ)Xs1`0qEuKwbWudwNlvGd?+_GaTp*xOOr-Izj_E?^5P{{B>e;Nv) z+B+@s{K)SeRMLhfO*~hPw{p5XAeB;&1xueah^ol)ydXWsb#%iko{X7#c#>9Ug#&>} zOZAK;&dEwnyp|7#wUwq9wP7|Nl&#|$5Vxisn}fY)9FCb;G>Dz1%a2zoVCJJhz!LL( zn<`%idc0x5S^5tTW(c#G>U=saf5ye=vxGM?%r5gb&XcEXfTwY>aB4zlb$FwIK8=|08yq#zz;AI=_MY*u zw^$_Le*gFgR}!MP$#S-Q8(#jD7WQnb*`DphLCg13tJ0orwT|>NGK!Q$e^8It;ca@b zg7pe>(EI55uCTX6+FteQJZElpB5gEyH0CYP5CR4dC)Oz#8gyAE|9r+%9=vm@yn$rW zlp(0u&N|;|G&wbt7BJ_-PoPVVmC4YFu_^^J7!$PgE5T&Q2S7I2icQvbsk}rd2L#pl z3DWTk?HtrDu-8^}9kFeTf3@-}K5B&h5+BXu_BC_z_Y4(Wvwg491hl-*A5tQCBPQLE zGJqhOxefLKw?&cBPjAFX!war!O)N6zkytXA1rU0>&m& zIJ?8ZNBr0bEFof9WlAwVuCiB^m&%XPe*XBo>wb7D^OqJAP zY86qdMqz|b^jHZuPeFj5#p3KP$yWVSl-0@ClOS<3=|^P_L+N{uD5f?>E^FFnwUt0l z{eD!zr1iIW-@u~gO+ zA`2OVBag(3d#_BPot6xdh3a~1dk!3CJrkv`XlmyK$=kOMVc zNMo8Vp-8oIu zom~qgbuP$o>#IbX0Wzb}dLrj?o=wHZ7pyQYWP2TQ;c$;<-No_CMdQWsmC%JN9mW=d ze-e`3#bPEzS!kW&V{=;_mg>sWyO1ss4oxPF*=hcnyp#S z9-;lc<{4|nv7!2Zr#+(g$ZMu+W_OL-)kvqV6Sp-B36k7aM-C4lhp^wQOl z`%Tr+x5|h}Aw+m1HU~IAmL@vsR``9RT5s~M5Xd`*=jzcSk#d%G-I+O>xRkWCH29^X zUlg^t9!(wREzdw4M!orH6@EU_CvvyyK{(~c(*b3^Wm5aG>&QK_pLSBfGh#S|JNkI) z@;Wu%M4FqmybL_-EpPsrPUe$q?wo{inJGPi>@~HhByO zCFwEIygYNfp-Xe-FoA#EsI;{!i?I{uvSSaMzMiMGIs^UP@Zf^()_s+f% zJB*!N&hRA}Rb(0~X@0P7V}IyGm_@@9 zW;3MT&1?ZkR!}$6yg?M507tk355mknmx^l9As^CdjRhJrsE-h@3Yx@H!?*1GXOl+wGMmvBDL^Uh{^ zR)hy>>n-A+`J*2f-$Iv0oN15%XtYG{A|B4Dij1$ff_6v`SB7iKKXa=e#4?kDqm#${ zhkEang1#dQE4Piy(!9NR7Y?Rdh}GsYF_wNMARhgvbkjYH+G|emMb80(vo2RgFse4j zY(V0~!66y2vJT5|M6f{ykD4VUB$8K=sW$|E#;8Nx27AOFaOaba=F%zUnn*rIo0OXB z4m<7bxFJj_uA0GUY3(v8R|sxaFMr*XqyowA%I?WIvWTR~40HrelU0f+<;5bu9c|`w zC>Dp~KFAjHurE53){*~B?}*QcWqnMjIgXWGiT%@N@=WkCBm{R(UOdj*7f%#pUi}cg zUE_H<_bs#8Eu@rLSDEXVeUM$B7m4O||C@P`_PBVf_(T(#m}6Z9aGU+a&N&a$od*`B zRqRRjxof|T2_k;0%Fxu6vbFGo=6rh~!7tB?Ru!q&mfQXL6lOPq$X2h)+tH7ukq+TN z%}ZrvLcpUYPwe*E&Jw||XzarkOKT5lTP?QRPl((qPW0rz8mX^k?@g1@j$UN%Z{I0a zJ$z9+_`1UMsy$}=_yoApOhX)6{{YK1*Bb((IoK>#PdXSZf z-INbAj3U!r89=8V(EoXBdfFehqW`el`#{p=)lSqvmHMtq3t5UDs&%i^tNh|pjYnjy z01(vc@%5r=4`_i)&@9Z81V&iSwH&yZ@p{sgBvs8RvZq;iPgL7c_O;pyo6*#6f$&1^ zNb}&Ew{6`f7fziX5K7+uNU@+IET)yI?_wJj@vgRNHFdEs}JQtsw~JXN|;fk5h84$ z|2UYu(Yu4zWD}eDlmd1&-c*tT!ZMxdq}Ct;mo3IP=RqWwQ|S0;Gl`J`gci7YjC-7& zdA@LzW?}-@l}~$X{+#}Bchxx*3|;y&(fldMqrJ|nf^T!B!;XN_M~E^yX|vK8-jJ_j za!+*f(B<~rqumV3dNz(`)3`VpBKd3yH=4@rwWIKyL%hIx)NV`JHBGu?0=HkR{SxL( z=FWqd33M;YH6sUCq_YK9`4FfGA9dH8%IXdfA|3#7oYr`h64zLEg_K@OWZWCska@MF|Bd#PaM#s6RtNELse_-Wz4K*QSsFcJPPl*(-eqP zm@F1c`SGgi#GvW)n&|4dkUDAcmw;f^2l5NWSOWUbeD|oL4_NG(fE`eXoEEX@fywI^ zolk=qZKTGrsno8{g^1#pmgcaI5MH%kJc z-~2Txdf#yUkM-0R%dZ~#XXBd~C`jioakTg$fwN7@=U#cZ>wt@AInAq_cjI{#h8{1g z9?RLKs3;MjLF;e0P;mpT30B)Q^TS-GjcrZ5AZ(hsNcAGyc6HT^l_(1M$5O3vh!w+4 zW3ZZ7yT&q{c)8@i-Ohbc1IWqqQHI)a#4M94RE4CGdpXHHta599IlMM_B)n%SrRU7~ zw0Uubou0hum#k}e+Z($rINVwazReW0(~F$h&0DuX8;l`d$onXJ#y^iRXa#6dGdnnv7ho+8Zt$f+NHxs^i&Ow~nS2 zXada1ajO~lu}HpVDtWvN7bXj%PUOi68AUSopr4Lj*5PFRv3=J#hde>Tv!D*%avZ5iq)nj4462n$ zXXO z61%fY=$t6Uvvj8-O*>49hFFS=WIAFi>cqDkjpqA{P~HUmjCZ(lO3 z*=)SV29?sSiJ(XZH}q*8nGeX02=}6_hEb?(F2$MpNpzN!PjxJ{ef^`wih(z~P3JEw zBtvM$wjI1*g^he)3WQ`X9WR=hxepMm88mlYl85H*`nYh3-z)%$$j-sd&ZZHYJ+~01dfdgS z0H|fsA~lMGxBl`?pXa{1gO@M>h|Tcq5`I4?tlBi@x7JTrMbfLu2_NcZ#viE6ZFGuz zR*PExIE}G>^Lzt>bz1?kMTXFU(mz&-!Y!&Er-&#YNX74|v%7HX=GRt>%?c_-Nh>4o z0KU^!^VHo1d;(byWjYgg;9(Lgx!eCKusqvDcr9Cbhqm0)BgF2r=|vlv2Xk9Xfec;j zSB=HMnMMrhGSE|fT;V(d9tM1U?z_75&HhUACNVjetzcSz*vq=~eZG-D;Xe?^`Ewaj za+NdI;T%M3r003MONQ)#0+1q@j!TVo=vvj_NN0W7%lcBk8HTc&NL*zwKf>XJARb-! z4-pe#mQoWqm)w5#N5zj_89-EC495MMsLO<6$Pjc9f~WSu|KNN_7XZFi^xAl&gG3U( zBmOXABspuwV%*wRa!L<5-JLlEO2WKgyvKKqpZ~S;Hqye&N(A^d{H@JG}_va@{MN8+O7zH{RciDtth|jkH*k0E$4hdbiiF_A1vo&1o52IY$$)O{VBa5Dm(|*3Ze(A{ zVD68SF;P9=pCx@4tEB($1pS?CO@h6p=rX-NuPBAp3zHh7*<71dbH@^_}e>#x>xJqUK>d2}=CJT^z<`aBhI%|@2}Txw|J1kuC$`{U*D_TqRs zby=D@vKht>33wDyU9!Oii6x8*XK_}%5tFFYFLysBN&n*ZBs-aYjS70y3poBdV&MkV zfQ}AX@UX3NP;+p%t=@q3zx7~NZ<2}DF}s947n>ze&Dki-*dP207<)>V$aEkkQK9K?gI>QRbKZe@B6n0o{hn(`(F0qbQIe;8TbY+E zOkVD?Hk{l9*-EDXc#{Kvt+g(NM+OAU7goF)W3t#3&9guXK{yc9MX~| zt3(6mdD-_ACRRJZO9@+q1{LPY$!QhWE958HtxwRSs=UR2x*~RjZN-khw^;X%g4*HQ0+E0Ufyy|K7q6c1t#1W~l zCgcx`rA)FRS`1$^?@aFy44`^Qb_c}gw9A0P417T~!o?YNw zmQ>c1iINzA5b*9F82vuWsS%^kb=~SxQiGymsCr2=k8D~^aYClJu8*sg@lYWmehM3X zMVMk^u5+1Qy|lD`nwA+0owT1KWDrN_h9p8O7j8k%T(Jd;@}jl~2d~X_%jaGFfwIqAdn=!YtP&Oh6*ziXOff-bqSwk8` zE|{q!WMcwR>uk+F&|pO^neRTi7yo%o4CelOVIpEtwxZI}rKnqKQD3!g921y6(%)Ex z^SZ%&$wX)8a<)CO(oDzgPHL^buA>oCI?=AgR%75V(S~sciFGcR9hx=M@-Y#1oC%Fk zxcpl`2!)l)C=hMyjt)MiJMS<3j(9F~RMn`P3pBN_W&6`%5ntQ`t zqv16r-e|F+6hgf`7xErkGYhD<8$Gk)LokA4MI-N^MzVCCCW=*}kVAV20u|I8WHz%e zql{tE_RoRIlHaF2heMbi?q)`PY}ZFMQR`97G|O)j;QQnsrF!>)({GT)wVIhmtoJQx zh*$cM^ZmX>_lLP7Zf+Ydx@;y$t;cFQIYtQq%(7H>QcjaIhEWG@=M?z7#M9s$m7y(+ z(I^>M$`b{x4V~pv91%XqYUzQB6!E^bnS%hAfC=&Eyx&0F43bwZu3~Y&+)&hhog#{9 z)k;Hk^hlLXx)2Q(NF{YR{b|H`p@}=YB%haZsX}(*7d`L|&u^^$$DVITLz#tOc1tQ% z>BVuj#XzfRXExjnAQWTZrFOGER4E0G$v%`KLyK zAzO6F>Dt}s@qu$ilG`+Uam3`Gzk3vp*>*$!TQ&oDo1*uQ-f?RN^CW-Z+2LK8xqCi**70w-~sgmFDu=Twn=LR0|$ou2t9jkKE$4NDKRA76X z@?U(V!x4Ry!@DTKLQD=C*2>LdjElCj+A)q~LD`QD-Mle!sF*aSN8)19^o>_{$Aly%wQrkJ?QIw0v?~Pf(Fv61GN6wm3&0Ls z+06~p?4T(DyGFHEymQkQ<^tq&(cj?aIhZ|~7UB*Vp2+Dz-%lQ!gPYrmKh|!W^t=dj zv4`>mY=)P|3y&x<2kvLp?gJSIdJ%DwIdxgw zz=Kd2cfqCeflk;@I;X=~Jvmv6IrViI!In6j87t$8XYz?LZe@lSkTCcgTi z@#9ww|6*S{7?gyvrhfsYjxTspA@)unm6UGqqY>vuEh11sp%bIT-7rBk@8<6@d3i3a z74ZE=;I7V*qOk3(mlZeSdJ0M1(d!M7D2vWy`j1B}BP^9?(-^$7IuO*bqCacP`h~&P zC$Z10B9sOrDMVNBCP&lT(JiQAuKvM6ak0=e zad$f0C^-LWr0N3+OR*tb2qfU>ob7x(&XIFcE1HrRX(5)Eb(pLkpYXa&?CE|1UTXZs zSm-vXnAMs;eES6~0IMq!7;_4}jD2xl7@*T$HeLSNwYIu414&}>`DxnM8g%DPv-kr% zE{RB!`p5MU@AOe7b0fyDjZE8BDY6qlZC(`Ub2z{Y9`nib({Yz`ZA|4agg|)zHFlByR%x!5JP0;;Pj4;1oTD{^R)i>6DW)!6vRsScy>+eK=SmG z-@MqOf@s~eGrbKm<{PYHa58RX+9I`lSu3+Oqk9Z&87um@!{k30=C8~NS;(9~e7?-1 z31?vtQylc98r8rR#dbo2NKwVsz&~qQ-^&c3^}mz4-8_0>PDs5kpJlhQ2FB>SwbRh& z&6=1=X1*ZbDrJJLK=$S`-fmbtE18q!c}Nb_%xPCPbJCw8TTlMG?FjnL-u5sne-krz zhhzofa7@XthgGuvqbM7UzCz7R9a5o_;{Pu0H3q=-$ow(wdo~HCT9?F_7lZfl(0p^0?XWz`s-GQxnY$K+H#W`dk z!iDrpa6<`(9-J?Vb1jzOSh4XPt=sFzz||uVS-7IM7K@6LGfO@kIVFsMgmp&Dgu>H< z9+f{P>mJiH=S!n^y*0FZp6sj$cgdr7gc`3V-;I7}t00A*SxNg<%GdY=mbP028ZRG` z@IvZ4BwZ(gw34nvTI+*90&EbQp?&FlJ;!dfmc7rt?qqo>ZwP3E;b&OEggtcwi_xp?_BIN?@Fq1tl zaK|3EO^8mIOw;VOj@(9G2!8LQ0sJE8cX=U5It5RlUv!UEwVC;$dH#=PuAQ(sFxknK zKFBro3_ErLmG3;iA^o(OlSbzf5C{M;j~z6pZ;oG`-j*BGU3IoBHgOUxK3*!lfuhpq z*!=By5q(|-?XEOi9YR%EVd$(dU^g(Kj$>_ZO>zVfYsi2zya%qhZ6kY+kR0^7qYNY@ z-=Xz=HEY(;K{bj2{pvLq#{%j@>`x6ZQ&l+KCWU%&>A=B8no&&WR19v7}^IxBb3wU%Ty%DknBiql(4BsvK4 z*bhx+39H8yC#>Qeo7Y>$XMye!p|m=HOV2UNzM3R^Th>Eg%lLQzaM~1&KPTE#+1D-h z7s5sR*r*JygW6|8dyrA82@E)WZHKjIn!50*bM%d=Ao2d8Bt_Id=k)_AOHMj2T`xft z;MBBI&|5A0@@zH5A{Q>Y43H%wMi3Q+#toH|Xo>Ma`(9=L<+6xyGlxv-RHpY6O=m-p zKh!}IF#t)lzY;VQ)EunE%ita4S;3v!t$h#yLypp2C*=sVoK7>Jwf#u^UqyR0K&}0; z@M=f3LL+s*4mjy&u{$8n#>pRik*tA-ViliffI1ZVXwE`~=$7^-<(dWaV`cV&uZxYw9L5*j|y3+Sm?jI_Zw+_5& zrQSJTn;@p{Ei!s&>Md$l(d}9e2{=7U$t;!0*iJM=jQ0pA>n*@-htSA8I^NfqhE73% z*6D#QYCQ)l+=EcsE#zR<$j$`fqG4k*y(1HYIn2Gh)bi~k)jX_S(4*+Eg^X|YUu^b~ z_&Cwsp#vr2*6vCx<&5ZDgdNkW=>nfxkR5ypu(t$oVvIb4)7ZrUyAW;!S}E}fTb%u4TR1a-i15G~>TcOj`Wn3f+%NUyu4 z_hoK(<@)JffLxk*EavfyU>T3N>Q@xY3U|RvCr8ytnJpJch~)Cun{IJfod2)){C_kz zJmhO-qV(XMqQZZbYlf3kIfz3?DaJbN*O}e03hYzJLbH`A(6icg!}YX&=K=V!{ zJ6q~)Tirq*ME6u5$m{p_ce6DAneO^CpMh^{@m37NqIGT&^0$3Tk#He#RXcYRx%HD965YI=%h1GJ+u_M$14 zRX{4%4s_GPqT@Q>N~+1!<;&Ph{?H~K=il_qLQ5zuhaMIf^1O8#8*C8?l4Wjk!4SVr zISFhCs%hfEX9Dvvz$T^vv#u0J1Em5>${#I_r&A9qz{;Z6J3tvg*W+01%L!9;3rbg7 zb?Yvvt$S-_I%w(1s?-aJrrYZ#9NtN#2D}f-%w?=c_sJhZBat?(k8suH$UINE2!c~VEOt- z>hRQ!gT2s&nOBEdty)0{FY4^NQ)ttiCc5EeuwgfhYYuM7q>I?Bg?_$e+=9dfk;Adh zobtqSi(di2m@XyB^B3>3kgw+2t}z|hD-9s*IW!1Agh8Otie(XdIFz{GCqAp0K8;FT zI37h9B9lQ?3eSF9iN#3bN)kmG$i3TH&*U^?dwB->ICdkn`$u+ZCsl@w7l9Rpm##>_0B3@IZx+@(1Xsll=IWhM#z?Crv1uU!%KoT@Slm8P6X=G z>)?$LiU9&=uFck<<5VoxKS40G8j|zda~2LQHhL34LT&MH=74(N~j_ryFO!m)$?enLWl!Yg`8FB#1eD`$)@4 zPP6Cc5?&XhpQai@%G6JIz+U^J$&P!~+dnLM?`)pZ2Vx~ZnPz2A|B2rYct?@(<4;}U z-y$Q_M04V?HR=Y%#oLsV)83G#P1Iere&Rl=Z1?2~BN`*!^KTzq(bGvFbQz-RVPTQ; zYxlFe=$UFnahC4FRfh~%RlrBuI(z9Ge;2|#j=KGS)F;O-n}Y@F0b8=H73u|>L=)>sS!;TAtlIyu8JDeq-Uhzt!#ILUK6>L(*cLV z?S`aI@Mil+bFG3g>_eQS#6frR+(BO!Uo-W{$~pSHfI@o>9M^XGpG(sE}s7-%TSRkcGj&E4e=;bO?z?@P_^WftX6{x5v``NO=fYIjJ)- zdw#KpVJ1*O0c7rLq2Lbl^$4VR+%(xBG`nG())m9?^9rsk1MMoh`U@4qvR?e)jSuRq zyY@41M*i(8NOZdXGOKP{B+LZ)yB8cy+~wFx8?8H|8gXa)0>w5Q>p_Y~zHjDBUoc)H z(`VINM4P<cn^DG5Zg&o|Q<>OY|J$=Vu5ZxjUpP--+HfpuVC> zDLHUZg-DtfjnfqQu5eoG*sKLaQ&8>qYykVoVYsOHt6~tqOE6U|zO@T?qr+q;>&ndy z&VJqF-o*8!{BrnLNr>2w?tGNI2wQBR2-~rF{G<+=6nHTQM_Lgmd+$o0Z!v#l44VO1 zR!OR#$kL^fZAUsz^U@%^EQ|6z9di~}y8Q0*%rM>YQR~eJKFf$1|`HIVkzo3&~F<1Q?Hb9lY3No+sZNqCv}{?UM#8Z$m9wItSq^|w{Vx!U6zAC zgcI2Hz;r+YF?f()vaECc3#-XDZXms#9ZAI%gVLdVMhIB~ zY=Ci>_9T}(r6?zcuo()|7iaZbpkV3VR%+MDV5aMniGAk8HP15LVJ3yD-K zHSd(y4}Ei%zOnHiFNs;r%yB&7+hQpurQ60M!#>F)t00>flvCjsxMcv}ZziB6W~vHm zIuknT)DbYqrv!AniVdASXJZuflW_YP-0AxHVhdwp_01vU-rNX}RZ-lh`)QJFw#2Xk zXaD`=m;h=`cPtLSz+V*~wfv;^<{%>H#Jnh<+xV?GyKz&xbF_5L#pv!%vpLmmJr>-n zvE7iEVNn~Il9}=RotOmt-^e8XHM{-hgf5b2!x{dyi^kGX2Hsyd#}g2|g0b+fd?Ui7 zRKu$E6&JNCF)A0XOo(@|zdjv0O*{}vc*$eFn;trhlF`z+?!!)9QUBzBfZwOS7EE*J zHdboD=OKq?a)qmn>+TEDvM zi>#=)@-V@z*`{s>iJNO}Qp6Ks4s4m|I58dUe*VnF4C)ExEHhy{QGyxX7BB{0j|#?@A@HPn$RiuAtIK+#2++`Uw4Zu_R$Kj zCv#^<8QD}ghtj@4^UDvci28qyPHHt4$SaM5WXIS|n{i0N!~^ztuezXut);<%hAs z#k$vdERsJ1It8ne2{((blWG#LLKCWQ<(ht2P0pZAhopdIL2NaFd)k4<5kq3vH8KBg zu&#cssN+zdUF%H;%^Ad(rVU6xQG{yOqF+r>Qx~^(x=Ub&zH zH;?xWDLf%ihhu-SI`79WLn%?XMQ5{xM5npR{Ttb7qAnq0_00y&(a54@yWQ)fE<)}f z-pg2DleeUL^Hj7adK})HUf#2$tS|BgAw_yK+G{X72{lZJHND$rWyKJF~QF$n_8O>heb)+KjY=|$h!@Tvw@ zlvMhgsu{v$>)Ie$Qj#aJ9`CRj#P)QQA7QoE3E=*1q__0%$Gz&#J6-Reh%{B@)LRAj zun_dx1@?+Wf42jEdPi(K3W|A#Mi2Bi+Tt&qu5I^mxh1BmZ1;Au`Gqz=(S7TK27;XbeDs zx1jm?(vjH%?E7Eqrf`$|Yf?@!!(!Pz(06J~ zUfxyNv$~Y_?$k%UKcy;6wx#~&Ru*ajS$@E6Jb`0uUE}GFrN|}ZmF<1^V~+WId4EPt za&Z@&ajd^L-2ivnrnC8!?!i@R6X&tlj$P_XM6xODFE7Ri^M<+w0DM}!G|k5us7E6K zg!^Mliwm_D6%-MRqyJA8%dxFq4IG6&VJLqACA%k2j?kc|ay&|oAuUU%HO8ZI~ZB?~Q(&bS@&LfvQ2 zp9@p*w~A}_a7|sk^9G}U_Vb~;X07MV9Kwd~mE{K}ZMA8M8Dy{ftEW&rd`pQXYSTe% z__6S&DNpHDrLaoTAWEXBh>hI0XyZ6~{Qvlx7#V0FS|?Z<@();j^i=cvXmM)c46xB( z`!nQJmy?Rn{tAz~0p>YA%5mmW{-O3Nle;B3@Gtj>J>^z=T|^85qY?(mUjkvSpX%5$ zg#@Iy@Pj7iz-V4YUo-=vIuW zdD>Ss?&q0h(?d(^wm$_*=~h2bjK{k?tsKJPUqY5vjFYxDA{cKpI@T~n9(<@K0g;|| zPmwQ>c^~>%Ilc9P9B>y#noqUn;s;w0a|4b~Ix3SC^!&3pgU)swW#rjk^B9~y^v$uy z4K1@Vu`s--kt0Sh^BD>v{zA*Bxe`QDzU5AOM_JIG?Hs|iTFPulDY{P0i&w}Du0T?h)05D`k;Pka?&9J4 zstP3nG{G1zY)AaGyY*Y`#?dEM8^a5*RWE_EE&*Gr(-xXhKy>PT{Tk6k@v)uauSZkL zuMnvD=ROW{9a&J0i~`Wy$#@6=-RC46$W=(uf#TbCw-UO_rwAG&uq$bez_o0XYp{`rf7bD13?Jb`qh`kE*X;G+q zo8Rsyyr8j~uiOCE_q6BH{lIKjS)}^@U0jmXMo*-9H7T2bqlNt_{vC@WSf9ZSJB0WI z2vc1{a7B6g5N*_uU&Bt}D@TKF*?}5>C^o4SEtjSM6DSX@%1mY}{bG3Rf~m5(TOoO& zz}07<;`)h-l(W+W zw>3%iDuM*qt`g+J+iD$m(Z7icujG4)g;Ha{?akHo7ZCnvMWx!ryo=IurlM;Nv^$Jt z?)Fag1k+OGwX+`vD1iXgCl;(mF8g7T9%I2giC}%v>3~hm~pF+iz6oxs2qgkr7jq!KVsbyxfym%2L zivad!P<8XsST1c3)hkA2dHNJg%A7ShTv-gZvNo! zIGEP#`7N(OLy4P3x3Lm`P-y3@ofc6S-JAxm<6Bm`zAwGVDDc&`3V}?hGdV`)_G@d4 zQoKX@uJ^>UbIkMvhN0V(-(*iS?416D%&f-^*>X#DgHr~A7w?fFO%0;2ygG4ug5v%1 zopR_w#5tA|oAXJ7oq02ohU>mxluHBWF_`SB_5H1zl5OTXIEY+}X$%a1NQz z@?7T1_~tmX`;U-D{7F|uCoas9X%nmFfSfyn>`8wWQJdcc!dKa;lqOo!Uw!_)+A5I2 zK|1cfuXS_yP2GxTwK8?`8AqeBcVv$U0~a16{g6Vp2`Fa7xW{otAo-%_sg-Pf?j;9x`P+v9Q!8vI%H5B+UOX#rH5} z({x{Unzd4lqv||O_Zu##GQDDssNqb99_vFR)wXdfyu~a{#fyu54NtUAb}qECX2mS2 z0?V>7W#Cr1ZekE|3Vw?cxMJg^aKc=>>5e*tE6Tjv6jx0d;dK_c6_snCABrd z@v~!*IMsGH;sSR8i<8RbX&djpSDv4XvftDBY5UydNY`aDp3P9TBGH^scWS|2S+>BX zIJBQeJLERm#`tVnc~0SEK~7d!URLG@^ZxI1b_YfM*M~_x1ick*#2{v`f89kW^2NCu1md_w<|k&4URoALFH z)`Q7u`H=}rKLG^P@uGYRMW4oJdrB$rlNY zSmFQYksH|wevLZ1@98;#Wj;-SgJ;P$Tp+;gb4QL#d$^;H(hP9DQiS6^Fut@&q3LLR z=rj*golgX2;>+E{524DX43$tPdcj4s?2S%l@>C{MKViWR!f9MGdx)IWtQ*t)->bmL z{ne*;NPPqpdj9Dxb*`Ajh9G2C>E_)^`m0x^S?w=~oq32Xcbv+K-}~ON&{clrM5wkY z`1=ZCW;#D7xPyQjnJ2}+vA6RgRfTTQJx#%B9UvQAN#@kHLnqSMlTjR+q)1MlnJ^re zZ01#P6NY&RATJdv2&X8^3H3>>5(x9*J#QT7VpIC z7C5)zde{Cj^2G7|rPy@x_(vRI?b_HsF*pPyyju$|U8dACurI0x$~lf_Aew*Ar9o(r%y?$sG~^dfTfK`%v+`lw3`}AFC_?+!0GwP_ zTH8vepV|-clnc71bzk@HrrSKR`PaZyH2}XiGW9#dWBh_{8{lMoG8PQ&Kgyhdt`#({ zG18uZLmr0#d#$tHO#~Uo?D-1Calj;u#g8(8$K39&6F1mHqs%;1)a=1GG&Vn4$)M%U7ch6Eoy48!EZCWXB~P4B$p| z@Gnb`&+cvdQ`54_3W;;MvhGn4S~mEcZht#9cQC*f6QP!Fkqaw(Z%rNDW^ANv!O1%V zySAVRGPufdE%Mvhc(VwHO*a~ba~Qm5vBiivxw0W}Yu4+hdYHF%h^j0~4^WCZ%%C7s zq*}=ax=G1Ir!3427B8=l8Ru%ds`6;H5EblzS@oCw&Q^+f#jkjUJv;*I$bdSv_yjN5 zVSeb)Ch|04;Z(nWU9(F&1B1HNECtP^hZL71)ZUAv-!drg7_a4(3#{6PEO1p%#G@2K zg{$!*o)Q}NXQMapJPbNxI$%&Ue&eick%dCBnuf|sp!m9if@@7H&)cy3cbd(ROo$U< z_fA2-0y2)ZMWwiajmQ+|-!~lE@HU$u_BwvzukB%b7(6}i4KT8*K)WmyuUC;qV+w6g z`Q@!D{o4%6@U~XGT}reo^Z^gpG1TxfVl`n@^h47Fj^B`v8sk&J5?>PTE1q`k<&+bYm*?nd0U66`BOBhchDxoP3tLXG z+|}KBaNI}j3?O6M>06mB4XHkg+>`32`>Engr#ttp3xIlrX<=Ye?Pp($;5NA zLNaBrXC;3gU*OBj7WY^qXeG&SE?FW)vI*CRQTn%YBWrdI+VBFJR3$VBkYC7%FWDw$ zwl{3`PG^YS_Ml(ed|ZlrwcOrIe2A^S!d%%NS0eMt()oPWLEUaacV#ak$NS9=Y4)=r z9&cABer%?+-diJE)gSgJ@Sh{=z4qAUmr;Jd$9m#_AOl(a(YwdNNCWoPUvYJk_`>4T zi_iC-ypD20yuvrEP>_>0gfolDZnZC+7~>J(#7n#YU4)kiNPA)TFC*b)Cd=-9>)oD~ zmm_0kz3`Zf6aqrkYvh>N;2nFQp_dr=V) z+aOrS?Z>Yg!hp;x7DtZVtyB;Q^ipf!km#?+g|I(362;T#yCOoVlA*Le_i`iTVh=dZ zkW9A+R1q^W`s-RA0ePVK1~VAwOsMeLc-|Q{%^HhgqY zoL0e)r(!G9g;iA8tM}i#yFb0`+_Y~Zp$DZ_{x4UhX^u$_$F5dIVF!12C%q{kz7@w2 z;p1lpDMQTAZp*1YhYdwM@!adFP&fW7+rh~Rl%YVpXWczq{TV!hlZ+w74#(%W$32kc zfgTE_YYUkX{Kv}|qR&WM-U@8+6+CPmwLy}(r4y>t@H&tC7D|7Yx^EfbUfy3Ac9JIe zf8AcnOLgCC3%F00UM?WId6NPdUhz-E%3IJbSl8~vmw#*T-rUN&z;fS&W<|%2nITd* zTjNya(&)%FuoZVgPtilYAkX|0fa%PgBk9FPox+OkWqcb7G9p+v7&^!d0Kxh$&OZMlW?sz#& zVCW_3w=yb6HJ98NJvni1rI4)D@UKP*BtabNNzdF~Dp}&p0?Bz1SX<5LUxbmGQ?P>O zvE8ZJxFEa*N}n8u-VEkGlAJcfU;>_Y&VZj*Cq4cKu^NkL88SW*x9En&os8Xsdk=V- zB)mmrKfH_A@Ib#NTb!PN*&+dg@Ebov5tL7!j{$tCGnQ0r_6+4;%i>+1@OF`3bAT@Q zGW{E_rspf-{2Bdp{mIE?4Yqvx$n>xZMmXMqrNjM?R>d5G%qBYbHQLR2(hTT7g*fuW9tHxH5k-(K25+Y1H zWpT9GA7tiH!TEJs(LKzUJ)skcy@fjUq240XvhW>$+ex2SSX>W1QXncjl-+GQKIcsbyx!DvI|z)#;R zfjVZ2P^zxjR_>QZg${#;(1uq zC`aBDLt35jO7iMOdR72Dn_&0R5f4*-NQ^nxaBgbV&={>YjuWIg^i+KUa*LPRiGWO9*^#S}*el2$ zy(|7hg1gEoA-IoQ&1j|4YCkCIo!C;Kp`h;HvJT#y8}~1Zikw}f3ksN)DUq6 zh4N}}c)kmsPF+tK#}&loRP0=-C~9Pq-O||}? zgHiHou4TPmmpgXSpMHR=MvEGmWP+K!#BSD0$Sw#>;a$VLuA0Y6o&9#{=Ey+bU{H=* zzr9N+^RW+`8gqD(W{q}KN=v)AK5B53ihFk!zoZQf^`!*8@-l03%Eg6-QpAYP92DZ5 z=(6Tg0|DiGm7soD$&?p_%QE1sQ|9Y#$T4c}migyKmaE);=!Y(#Kdvh_eB~BV?jSm3 z4?yC^!skR}g?=~Z{J4$UbNfqEB6pqTDN|kQwPd3I(0_||J`8%I~KNa{JJlmAYsH0~z zb?*ZXr)_tT1lIzA^JSL-H5W9W3Tn%~w!)=fpW?IS}-1THB8 z-pJg7JYAyDgB zh$z>?d%?{7pRV2kIFO+G7me*~Y;0`X+1R#iV>g+Y8{4+6jjfGsZ*1%3`|iE({qLKq zu7jCAr>CpBtE;=u`RNFTi21$|=vBzqZJR*&z!Q$$jr8V+SE6*0F$!#S7jU6R`|7)5 z-WOmsux^hH6TjLMEMF!v1ePtYs6-C`70w%+W9Bh7qI+)MAQElYIU_D~7CneaZtTPP zRfQ0@qy#Nr)$^Nr9?(S)7mXRCJ2qx_H2bNKBd)y%XaQb9t9` z+uv$l5c$0Gx_`lF+7{%iQ7>hgxf0BfYFiPOAHkjj;UPNv!W}$>-hu1*=cQ-%GeqAFm3lbw&v81Iz`eBPof z&Q|5ciJ3yZqO)cvJv#urSW!qeP9$wVI1R^~tt*28$vyi>ZrG&g=;s2zCxnvn-3ing z#)&uEGl4WLyiwz(BL7ZR9YiAc_E1-;(j+oFh*$*9-xhT9Qo|5`OryX8sBzI{oYTQ^G|`55@JQ-7{%2!1Te z&-T5*uMC>op=%0bPV4dg^3)T$uE({Wf|l#s$?uQZTcOwq{fzgiTNjenw;2s@JSnPeE- z*>fcNyzL51E_>@Z*>+Y5G;gZo>p0LxHDt_2hxp*g2L6qcQlhaR92%-qQc}d6{h>O8lPfz* zaHW>}`}RDD<(^7~ z@~|FYbG8YVqfyol-gYyw81YPdn{;7qZ%Ny(02nMmJRnR%0qL1gfc!7r`+&KI}<`1@v6(SJ%9^Z@a>-ewfh(%@<&7%21_ZiQE^l#4z^oC z-WPVv$905KX`#qBj36r$}3=M#l zw#P?Tk~1fg1V%I>G5a~&)esYYUVrGEppatFGZ)IGJTiUH*GAeLXAa0A4>2<76JpDn?8)BM|qP>ZXbB3VS!{z5~ z`I*);7+{e6`62fCp?~#A_NG@G4GjR@)SvGlKnvCZ(Zx0N49MkB;OD;9e#Wh9uEY^7 zxhd>1gN)5i!RMKlM^JXx`VOb%5;3~r1S`1PA=KRLy5}aL6ckud^*T43+``#J6lEB(p(1oBx z8hg>vZw#>FqCo5fzm(2SItQyIz@n~{WMlT*G8B()X~Km_oGVsDVMi+8@k@sjT4i#= zLYgqfb7)Rj%f_oJ#yd4R@)hn%)0p=^L)d1aX@+o$Z25E0Zdn+U6MQNM10oC z`r(?6qP~*HTqMCb$}h{~i&aCCrZD@GDfQzJr=v=-JBhuL2^Nf$z#Ia-FC_y1>h7T| zLeDtcyxT`fksM3G48ZUdbp2EfMh*ysN$)UzWtwNQEy(>Gl* zN>I=7Y8#g(66+t$!jXzXWDCk*>uIqf{UC>KGM`6mr=H21yXK6|16%oKq{vz9u4^od zgC0fw6*OZe3$PXc4u7=x6*TmX^X^59p^?B=eLZmMXhOIB8N)L5aa)9P!hI3}CFO^c zqTN9=yW&=ber<*@Yu5W+~5;gNq2p(fc92=@pfhw+auy2%Jpk_Z|zP{ z*}kNV@*-4yG>kqDQFI8NY@9^PCRSJ$WE1@(nPU-xj6tWQ*QTwBZp)nUa{>hD$l;-Jvpck zwBKMIXg}`IJJ1sd|EwAMH%J@ZT*h9ZQQqBEsu}8e+RgReuPcGqZFMtGp@*~@{?(yW z&Tr$T(u)yW)}`2YJM`AfGvW^8D5Ot9AKa6su1A*CysP z*#UosX2Kca7cp7zF=;E8j}H^;CxKv{uHR`k`akg6V7j0VD!V$%hM#AIbRaYsG!rrY zkh~oJtLDzPh%OZ($T5&-b7e!!kwYA=&G}W4!_UnVZ3{Kyd*VIUbRfIa?qS40I;UNt z-oJ`{Y%F1S&xnSbb!v4Q5;gpYriRLC_#OD!9l_}N)*Rtp)kT*aUKItdR5rI{Zfnmi z<)w5m5;LZymNXNODL;TDe+MN$@F6!KJutl7DbnMn#>AjhK`I!F`#C{8Wad0D{9TxCx zO?W|D%0wZlpKSfJz1p&y^QLD?IFw;u*KK=y~%_Siu`K-BuwQx%j8 zX}{Cg;?1TLix+eA@qHx9JSnnK({BwlDXa(k0dYb(V)O z(4ObQh6@bE~As`_+;>Rn+nFw1<^MMl$5Wxvb#I@y$O0#m7Ok6^N=Hk09!L z(vRnt!HQodMK@7W2q^)e8n_@8mEmRVMf9S>D?T z2P{*$V-G#{n9RoX`^Ra$=f=|!>NB#ZoA@{^2=^}Kv2HYnkC2*2&VRbC4~Kn?bGK(S zc4DV!T}qZk8@zbH4(xH@Z@rm}OkfdPVzk8@lC+G(3tINJQi!9<7td%`h*LddZ}h4N zW>vo|Z^E<1%2cnR7ZkqQuQB|6XCDreax5Agp?26LvP3~4n;3p=`%+bu(AMdpaa9g4 zOpU5VEAa|9=Sk}<^?L0RHO#q~T0^&FfnbEqVajq6Yr!0J5&GV&e8U>mdQO_2a&)WK zlB0O}OE&`mrxdy+>gcnPqpwZ2ShDlSi7H_?#^qYvm zb=tQ-hUfpdKIl3U^gf`7^!j36X#CW=wT>z9EE9&UTZu*UBK{t_P<@AWV`?6tE;?eR zrNNlGpoJG3#DH)xVugA?T}Sl z=I9=lsI^wTt29SF=>i)`T;)zu-e~okhTmU*yZuS~<9UB8FP!V%hrc7t#5c9u0f`Jj z3!fxWJRNM4Zvtk`sQQuSr)d@TQKqb@qxa*df{Z;KB76g6#@Z@8JE2@?2%?Z(t*VhlIPzIn41rmWTFygf6|3e704yTKZ%{{%Tru zbutHu4!&jwl4Q%g>>*;VMnOPligjCP2SS;3+py}tsvbwRU76;DL|n5|i(a}h%qgk5 zK^Kt*Px%qdDcH$f?mWHj(K>BDafzajbUr*%;9+IIO(QPSSH|1;#wrk9TEX!yG}C<`_LmMQ1>RO z)%0e|FmRp!i_tvlokU<*T2Z50@PhR;<7V?}gjV%Rplm~YRi)B{bFL+_r-EjEZt(eX@te zKxinh-Ipm8xfv+Ks}@rmXVh6DHmB_i_!cCE@hF!FGf8dUQ_srSs83pW$K8=u)fm^~ zu%Qa161CVETgy2C6ckdjdO?Ie*@es|$h?-vgm=-~5+>i*BrtA5b?6zxm{pQ2UY#}k zEq_L2*zw4{XQjWUiZ5^6l&r1T*{O=06U8CBWy$s=-IcDJ;P}JSv#xoQ7IRj5L+{q^r>f>cE&JL+9Ow&s= zeu2`IIPd0k-EX7)Rh<7f)&AaoT0rkh1!sIkIlX7GE(*1R(oo)HH($L_vh$CpP43rB z7zaw$VF*rZwn0OZ{SJwu!?NL9{Z&M-Mk4UmE1wIzuegt4<$al87D3|1pI^$7lvoBE~1Uc@ksswDA}DJ!rKdV?)e zYI(>uzF)0BG{MeT5Ty%YU~}-YnC6|CgV!YCOYy&YyF>0(QR8j`UqlG?g72zk39&$&ayzg(1X&J0!=(#OVgg6yYb$1or z6sXsy((hC^Wf3o^_PM#*fSm%raU1bjpyO6J1bN1G8=N3{nl7Fo$L25r-VMGoGfk=v zLfYjp0+hD|s3@w&yeSLCYwJWvo)kxEPgyZzl}O0PItWnwrnfJpv~o1L{_c`AWWqXb zV%~#69VW+v`q?(tk$EYMwS3i5ZG)L)f8tw#=M*{D4K?sq1IiC-i(=uoQ+x_FjTF|) z9B3koxaO%&EP`G9koKJ-1CFGqV*WEWgi(;pf#-CKwNsKnSt-72~{N^ zYrg7XE5fH9Dp}Z%-55>mpVSwjwNbtzB}qR2t{Bz?XGi8|4oK1gT=3UhH40u!^|o2v zz`4Od*&zO@M81BD-8&J6-u*+>XB0XulRumMY7myz1*#oQa=(G|MA_6KHNm65LWJfa ziGrxZeq>o|OQy*m`oe+L1PWwT=ntZ0@F%(m2i5a%f6Vrkewh9UB?g)a+fu={Zw zh#!uZJ8V4Dc^yZbkRtP-IP$DXPlycgfDYm-vHJ2^+)DcTj#Tz`bgg%zg+~rPp1riH zW1U#@PVhmhI~O{C?xV%PAVt;_)MeP`lx$%b#2nn;8Rad;Y62lP5D2nmg}!MSWC+je z6Q!QC>fdg>sKL7Z+Ph4sev&|b{vDWoOXE&TT0KNn# zQI={)Wj25CRv_;R&kKeGDnxLF_|3F| z-fzB@mSuGJSA>q& z8gWY!m3c0A1_?hcfso`X_4RsHPna)xclIc8g?h98Xopw?H^mVI&NB_*B%+MFJh;=I zonix+Gs2N(&H6;L7CzR{E1OQ#her#rY#2@e$iO z*1cgG9;q?Dz8`*txD`nFv-H(>LmzD(~rAHL2-a4Ca5& z5rlWY#4B|HC-FNFU4ohirptndX(W*~X9T1=pO9m3q+9Dj{+SYdAqu#OrAgP?e%%90 zbAD~suG4Wr2IuaSn!Q9K`CiYw0k_~rJ+mti$%+c=U1Y*EXbhyA-9(k2iqUXHjRmn6 zaZc_ud2D}NtC(AS?Aqg?*rJ1A8~2IYU4IIDZ$3N&XY|D#Cd$#8=Q~!Fd8_0G2vk;k ztWlAuctiMhR?JgZ69)-lO=2ZPt>FlCg`^QraKM`Qrj65GNrpF7%zKdF04_84$vK|M z`HSF{S%jeWE>-KZ7zt401mVYtN+z2xFz}|wE+#sbMBb5f&A#iJnn|Gf@g{YvSAF{4 z$2ShRV}&P3QvCHkdz`)jsUJ>FU5cT=yij0v<76Jto4sm12zX?yAv2;E6}L-|NB&yM zj3%ygQ`$}?{%QrU#w=`>9ld-u2~%6vNhwkO$4Bo~>Z5Z58cb>=gUQj4dwICF^mwW5 zO}8f$oM6fj4)$(AnP#xL@s${HO<_vaMb#IG?jNH&N0GVTgSp)CMJt(BLHDwFxQy6w zzUDgjN9FOeTBayP%!DtJrRKdzeXQy*p$Rb4mv6n{SV}B%Ei#7%v-L4)!baX@sO=#C zi&;C!-ZY+=95T~YeB@jPchV5a{SJSw0W-SMU;G#ElEwmE2-dFVzBm|M5;ER`p6L-# z_N$7(==!``^6+rb2PR->9IFM^rTR7C(xJX~YkAZ;T9vJr|6ToN4VXrSFC#10A8~RL zUuCW$K2Oz+ahIi);4M}wAmA*^;E6^*M%wYKG(uFT%l^Fi9*@3XVMyiryusBv)v$u2 zp6J(2q$eCj==M7CPdZUPAd|O`SYQdId2 z^8G$gZ?w79_#D0UP~)PjivbnVS4u&hyiejr0(wk29p1na4aJ+bJ=_r+qrM%8e%Mr} z{Y_?y%r1X=g6o?6MrjAIIkPK~#P_oX3ezg(LQa4r`^@0TNV~}J>Sa8(A>H_+x>G!zFCS>EFtGGx#}W!6)3e{e1RN#fJ5QFEXoT@WuE zPmuQ*8>%&9{^yNpYuv}R?*IzW$k#PaA0FeuEgF0CjRr+TeCiS0bw>^?0wf6%zb;6X)=n6FWe0&pgo!AVQI-WT$<(auGicA_ zkG#!sJa`f>-^^|w>9-&#cqB>lL)S3WM2bxYIjc3?^ERMjs&T=?K?iX(J!nMQ0vGLA zLGn2_R*rV|`mJ=*T?vPhWqPI&eo7&|D`Rl89yamL@K%&N-Db0P0fh1IdWL zWHQ*aD!qgJe4B5V`)vRKmyjp-H+_)paGzBHe+~Tf2VL2j*KAXe>JCbB(GS1ZDfaZ_ z=HR+H$dhB}uwu*9n`{U^f{insHR+m#4U-s6_Snmc`R@9+t=>}mUtN=3suXwW zKC##rVDNWciGBp2>y>h=k40UPj0*ZD0#e4~aP?wWDx*zS43h2{b?v&Wp@u>y(z!d# ze5nC58Jv5CN$^&Emk56}c>sq&GHA*C0XONdaw(_U$o6x=9SHi(ea{RjzgS@e)}#a& zhV9&znep38NdE5n?7?NDt^rTdQj%Z!h7kAm+`Q3`BT`zRsstXL=DHTo(iXNUg;^(1 zrlxs(*nv)7`kIDyEs9*%YYh=v{#F>Rjkq>Oq++`S+-bUDIw*lvwpszZcyNbw*pJ%J zu%Jv>(&%s&l*gZlBms3xC(|kngT&|t+E>70^WtS&VKDyJZkHr%+GIUj(2+;D1!cNy zn731AA)*5Cy69xq#J=y#+H5YwMe&L>@tn#?>=0rR;eRzd*uB@fD{8SK0^xO)oyb~O zBYnc9hkDATH}h5gLs4Z2bqVYUN+jZ(XZuHj%q4>y2RyZ010$7N{C4LDf)tZX4$bc7M8-2&W*&~2)|D#_ZeoG+gY_fTSs_gOa zeSUrRuG??c*=B39Y=k7;5_Fn_B7p~$fkJ79>dF?I>HK=XzDT}}1m3?=;_K~_^b;%x z-{6{Yu0W-7wIDu*6B$>qto&;aT19V;-V_dN`iRsq5VZFgoG+qvl`0 zcZ+{ZS9NU_J-okfri7B%l#{(xnK+X9SrHu;&)~k+8BGKoKGBr)9gN7H9XxIZyKbw_ z28Y2HO)eR@iUsALE-bls(jzlw?s(sAop6#)?w_R?7YHz%&4kz#&&T9-%n}gU_$v85 zFw-$h=UG4p^#Y}^RXsjOk(Z^58v0FvQ=B#ZsQt%L?OfqskkZc2M#kp!R56|FkQ56_ z#I)6?J4^Q1xyk`uqeKP+psL8#uVy+#m_o&KIvg>?awU(|Wyr&vj3xcoSLV6M)x-Uo zg7(EGw`&M1cb8qp@$AcBEyawZ z9dSkF<62glh%N-(rBEy#n@(H8N;HH&Q zwK?T&gy&6H=_`WCPzhsiCtpAztTcBhHAU$eV5JXL*GMJTo!XQe{f^099(yO&bLYtf*x=kd#M`6F+(o6NCz@>bNh=?2Oq1{*e!~34Y+0G5 zDf|L|hkB29dGM$?k#5-7piPqUeT(BObk(cn9T?tp`03Noe`}|qyLurku(czwxszPO zv}E)N6hNx|LCd$n9T4MV4HspBMab{L#yjNvg0yfFXow)JkC1kG6?|_6rZRx9?2&id zo1%)N4SrX@PE}fbb5cGIvIoP-3~4afRTccpTzB+v^g2C*MP%@aX-I?c6qg$C=cpw_ zcZg)0nay6!fykuOZJq874OEErVw==Nd+A8bKZzt>wduOI@% ziFv;c(*c@ltqORfcRTy!2{LGKwDmZ{Vf%am4g7dWSaH2l{-2wnL=vieeBsJfZhfpe zi_~V*nw&{VKXmy&M-lV8m_3hkcbUWzDx*|&eb8*c2IV5y5l@ES=my?kg zC@v!No|{FjvpNp@I!&6-9X7WeIpI)YuqWlXUr9H(?3tuC@P7K$Mv=N9`l$S2|HnO> z&Be;_!&-t~Tw)pp_)~@J+9ZZH=q;CIS~RL~4JzqTK0X=~Htty`#R?IKEMAHD=ZQ#uPE?CcAHD!o!G%?zNISGCnk%@-Ut!PgI$9;B?@4;&%q& z^`vsx6K&qPZ&PL1CfDVDFHr|s2#$11tBP`yW(|$pwRr~zOqn*pAS}jE2`9!qEjqVy zJ!XY4FRuoIn&Bm9<1r-nXov;fPTa&PGqByTKt+s8`j8~R4rtR!B(p?5lh8>j+oS*R zP>XCsl!j;mRZ_&8hWsxd(QRlAW_u_I8hoeWkgt1 zL$xy&84kg1wB{|%*E6$E<{r6N*|k(Ay_E}N*$QI#UxeybE?VV~wX-SoK;v};c}>_l z;|--Br`24P<+A81UDO-eQeCkW zqvAg4@z6B}zug=Ck`=DW*n@vFmX-7UYdqJ=b$Kx{or?mM?^UZzeC`?GuOFA-x0?cgq+$QrkH9-{V30xW6Pzp+G? zTfP(OVpDHtz{hQOZqL={+YwOmCcb6H_E;G+DsE38svvDly6k$dVp|H6wjt*^otrIN zmNJsaqf|V9%u*zuNbQy{TSi2E3s9qAy!1J&_MXCCXS9$k@`ic$+b;|cO1JCYv!yJ4 zg|+-u+2;&KI~wzhFr9!u=TBxpGcyZ*qPC_2n$&lsB{|F5FEx)iFXZt-Q+#YHBvSM1 zFS-liuoC!?fc~~50M6&RORg$La;n|Y7!=rG&7+zN{2w960;r=`)O|F z+2_$cZds<&TF&Xk|DdDG57Sj}t?c|xj4fi)-I(g}pXO{8QctGHEhnN+&wG)p&`_AhCISin=XLr`SdGaI) zsV>fZ&)8M=86Xu060rJjD<#5c4klbV63nr#lfn=Zw65xM0dehtJ;F~ znoNlpc$Br6~Ro#uS1E&tZi!=fXyh-hv!H2 zp}rDHuOaaSjM_b6{My_ZD<6G{OpKPLe4Yo!O zdIsv>n3Rh(&RyzDw#tnnKdQi1emKLG(g_L)CFy>OA1mQ^x>&3BO-YFP3gqA3qQ>RK zguVT8J$vIj*Fo9UV|@0e^%NlK%3k~iZ6Wn02oRRICWYbY zMWp|*dsM3RhUHxdKT|DfP{|sRcO&Iph)K-yo(Q5EDJi4Oe~a$f*+ME;h$^6Y^@fxG z1psv*J@7Hhrv@sAd~>8?;}E)H?$KXx5_yC<-GC-q4?8)OBjPcruBb7{QY>~4yDf^g zYYz<=X)M#j{@==AjJw&x8Hn!Jo&9Yhoy5eSCw>{=SdM)Qfs&#aq0po|=_cf{6ey0~ z8H;cmWN&j6v+K3lY#PdVQk087W{;cV09Ru>@+?g}sY^M#!gQwUVQ(ME41dJY#q;cq|D6X?<`twC>btDyd}v(5b;|oXP>WS< z0Xe=9!+3S{)@GP()-`>2@z}gWCt}h|X@unKXQuzBgvj9S7uF<650rWj7GdqeDhF6| z2ac{r2>%&_PCh5H;k7SzrG?FIm2{<(QZLr`)AmRpaYX~-!v^IxY)qU@`z+TsE--%Z z+}EBj(`1qm;=XfRG`mR?ig8~8cw3!F^*{=y27WnRlOn@}fWg4#lR+SiqcFXp6C0|A z_|4Q2=U#;dfSOTwZ^sNVa4PLoaQ{K(mIYm-`{_%$hnC&w+ccgj?eFBne(=(7a5dNZ z%T4c`S>}hyw8i;MW@S3U<8t3ko3A1~NIH3OYE~?+fD!@2mccn$H>Nf@a9)VTLM&3= z0=wiyT#gDiIKLcp%-p!Z8tN6^DFAu=9d)+A2KtS~nL8cFN>`V1DPU!2^~m!|!~*UbxNPzpafG1X1@kDjs5;gAd`w29i1u&-O=U|QjiSMpuWl%t zW)!n@@unwJqngeEZLFBE%wqF?<;M9<-G3C4z^`GZP9FbdgXnE^!;#}jd#IsU zxKyNPwYIsmMa>2_zqQh6a;_lh63|WOVAp(p8SA};3S6RN)lRc_yer_AK_sN!GGI>i zN1`BH-Qr-iSF0+SQSuC9W6GS~514^hDzp*s;L?z;gKp+$LwuAKGd`|(r!Tg7F z?uXXqMy(iB;h!7y7hKx?B_Ik0iySn;KbOu>426jSX5Mjl;w;Q@P1%nNJm*HD$Jt`i zX!LPlEWebH?h}5kFb~DP(b3BJ`&K2S(67z}8uZ>Ifu}4$!a-~s7l!(%leixw&+4^H z$;i}z;KtF@BQKyrt4DC;YMWW+mK99!8;Ho7#at9Sd~(N96vAZ73I({|SfI!-94_c` zYc}#J6U!e(0)*Co2t=kC_{rt8x4j6dcJAf0E_Dd0s(HKZwX%Uk&2_Xd|HdwJiOTd< zP)XY(3wUw?q1wqx39V8&zcUsuz2{D;+JlpJHRWP{J^Tf4Q$Rz4Y2 zOIGKgaDp?EPhG8!OQszvtkA38m@(91HDBh&Do6STd>A@szZH=ruG>gKB+}r`ApH0+ zmZXD!*HHnigqLULk$X(rJ*{E!8xQXDV3d74brL1<|7_pL{SYKbz%B*Z=BXqJ0+^=c z0*S9Tldj#?I)tDNac%k=n;|uLG6~9yL}M3-S|Ep*kGVl5d7Bk@U@}f&wmYr5u$d|q zh;*j_7tYD;eSNE06^M4DUay25+nh)CV=Ym7_PEp2eptKeGQPBjzqjsk-Xy|PNqxqu zN&lsIXO_`@rH3BCJl-{Ogd#nRzl<#9li+GF$Ys2O$Fu# z37%0?_=2qI11&}2zd{%WR&ACs(`uy73{AWM1R|k763BgB#_wbe__M+Cpg}*_&;9Cb zdQlB7HErs*eazu`%cjRq=rtSlWkTc=xu-TtJT6th7tY5qgNP0ie%PQX6i3`}&FPZ}tMB(4VuN9og7Hh-1atv|hs}H~6 zQ@TcD;b@okIZ1Z47yfBrT|}?Mz)@`h>8wg!AYjt|EW(iBbii0w`qy3jHQB@@5ZE$J z#M}Uy_#!DsIL)Mbb<`JK?y+z`mC!5rd5XY%jHZ299A=R7z7|rvg^j(QzogQzx-;O^ zuOela=6hQHD5%9Bt1KBHqd)v)6Ync6@BZ{!O6jacjEHH;p&PpIanCx1?Z&tQntz^5 zIb*wAn@1zZXD=PNqOLt)>r+Col!eC>Nb!U(f5WJ-AeO&9CSIydPj|6*uf4um;NG>>+3i)h538+2?bNX{proxy zS$Q2*iIOt8p53emTNrj%w+*KPhcZH2HVXDI1pRC<*a518p-hH~N)wLeDb*!T=`Du} zSHdGw3a*5EBFkej>1!VcB{@yqhTj^ieBg*|$L9rZGX8q8+(GE{Q+_DlP5b9`hprUZ zSzhM(ApU^Nw3_H+_#G3^B{2hmhWmi{x(H*~n|-)DcT1oy20lYUsDVxmjBe(oG5+mv zU6NIOKFrwCY_8%rgl_h-%&~e)S*;TeY{*eOm^RFb$_HF6A7+=5;Q-2^uH@yUR*Rj0v!wbHaYrR zn}Fx-?eJ2|YDtcR;QKjnu@US(tcfvnLMB4k@)6F~z&!N0f^jAT&O|1$Eapm-_f$)HsKmEw{?mH*51q%WyF(?IdSj*t>ika1}hWkA8cf&9Ne zq>Yskw{n3A0z%^q3Ig{(>w_SqoTq`}X#K~_|LhO=|LY?bUl0%z2YXjDdshZeJKO)i jbt|@M6d_O$kT?hs5RCt;Vti7HK{_ZU6h_L|Ymom3+4(#i delta 79756 zcmZ^~V{~9mur``ZY-?iMwr$MB*2Fe;Y}+;`n%H(Gw#|v1+`Q-e*1hZexc#H5``KO9 z)zw(ly?SRhLq;`0A}Gm%fun~82kV5FUiDH(Ekzr(!%;b82dM#|9FGrH$Kq+0qVeL0jb#{!&T=e zWi2gKY@$h>t%({C{f>v23xu6+!O?bmYX21Dzp8!pw3=GdTa+u_Pj^{moQek7Y%j*e z4-btukj^GX<>rL6k9$+2uVbZ|-2!PRY7$DZ@=4@*@8Muq*O-rxgiAF9IQ+>^LS`-- z(3HBmk>ENTk}in>di*~Dz{8E7YP^<@wwzG#=iz4Qy`@W?Mu%=zH@oZ4YF&MO_5S8c z?P%sRTxr*?di&dzlM`|F&yFr$?^QR$;ABI?!B0jVC%sn9XG7DE$H5L2QAYzr*45;uq!(aWaGr2KewJtBetjt&66{=fQ!2gi;MTrQ!C+D zxU1fIyJTN2h0DOGckjyW+qs5Fw}T)6f;-br-}U13^zDY}q_9;Jo_I(qa8c(Nrv(84 z%@`e8xAmGMu;1C)WiBH)P#dkE;Y2lmeREe4H9kiQzn^uWHoJ*`qK_5(#Cefzm|7vvU&oeihm%b1$=VHfLQcY%y2*BU{R`$} zl@TAs!??Nj{Y7ZWc>@|e8(D5>%J8=FYYIqCt|jfBCnb^*#e8WABFv^6)MD;KjJ7*YGlJtCz+M6|oaAie5a4Sg4;{zIBl?WQ zIMI=S-zNzJK56!_*-XEQR)HgsdF6?LI~5u&(}_u{vi=?_<|8KDH}>?fLSORwRS-&0 z&ULP)^uiAfZcuZ8?R8F-HYkmdz5e2v%lMx zC1$6%mIxUFmXh7SEY&^-Z#d7IEN5F%Aok=eg(W7i!br^nzM==hPN*1P=BR({`a2}FpefE8L$(o9nV2gsv$(}GTO#_}pAkew zYg0(u6_;njqt}&?$pma@zBEx)a zK@63^!qwdV<&Z&tCAHISk2_EekzkAtJA& zb^&!%WnzJvtqv|dn6LDksNupNBa}pDXnY{NdLi4QU(F-}%qRk{R2pMVu9UR;7F?fO z$(5Zp3)#C->=e>~bUs99`zFDdssx?Jzb8K|lGUM9d*?`g#}CpFf!v(u8DW8IJ?jrU zHIGf)$%wh(@jxGE-OQa5j~)MOEPHfy9?Wi4d64fu6Bpt8>XyeYJVco6|5~f|#$oseDx*1Kl zz9TRA*5qgR&G_;i{VoehY{!4s$H>5kj>(appfS9}KeVdnw{tHdqnaJnG~^ix{eX+_ z(_x&1A_kNrM4h=FB7X?@{9kw{xVc(|*NC)5({9rt3Uc2^1#4=l(^^Xi45we46L(P2 z!7D{zuZ1K`kl;EFN|hpzdw5>(^(OI=c^DWoRN&gzz4R19vC^_o^{x+to__+za#Vi; z#2ewq7e+Fj*a*i*(7GJZS-KwpS8M0;pSx0=EiYr=9yriQc?@I-aS%d9rq7W*= zy=FXI?$mrI?1%H$xmC8%_KTM}QOS~LH7&XRvXT%OfwEGTHu0mp*3 z8K~6|10*RLYDe}z5VvA%p&|=A9~j5v26#lvF@NKm!!|_U+YVm8%O6G^Egx7C<0#R= zb4bXB>i>)8q?ngM38)6zT}o`SCZ(17WBE#OhmlBrGyan|HlEs8o5@B5B~E}ZG^9qL z{TqH);jSS;>b&OBAJ(>5sIj!bf1-hS({?4r)89pY`da2j*@@cl+6ECmB?$5h?R`@bt`4 zWss-b5YGYRqMCc@4dg%OEV#*b=|Euk%MpIZ2I9Puh`%pYkJ;xO^=@b9%alVbt200F zHlsl8c?ctwUfCGV+Ws9w}#D+7N6-BuMP7s%c|&(}Vtmsj~Izb4xfE24{ETQ=t>X z8Y3AaNkZYFWhFvCYo-{Yn;WtWYV=Be#H}p~hW3nzmSgRRhQY|dWF!L7XBg>D6aWD% zKRTh30uu?>?Hr$?Qi|A0-YCt|I>^->a;1A8mk-zWF?0A=eD(1o7tY#5T`7>j*^@Hn zy8t{GY~S+q+strxN9lS0N9?ql8{ripXI(>|w{7DKS{jT}`r)+$m|@Nk_Q48;I2+F) z%vK)P42p9U7|^X z$^192XVNzF!om>4(U9$V3!k|b{ozVkj89>0^(1UMd8G23%-kWHmq^w?;#C2gzG;*h zBCjSYYaUifsrf{DE!|eiZrH6S0=abK%*N;&$ElU;8D0LSTy%C>+BDh?fv#yu$f?zBO+J@2Z z+JejHKV2~EoPNBcd|c+SH}ILe`?+1($>f&bwXz(oIL#_uuKT_Q)aE>FFWNOeLH)Oa z__d{HAizLCg1%PK{|d$%?hr{d{wHw8F-HXhkh+q7P<*>XjjnO~UDYNn%M)B{2#!Qg zMSk~E`BswujU;kjdD~LWH{_Bv3Qrc@t-i4>IP836X+~01tfZ>6e}S2<+DuCu%HY*s zlEP83e`|-qRhWHS{p@K}7*2o%t%?8|&T(V7O0n)>*?AzDHhFX&25I2uePwd!SjfE| zfS=+E#=z2vjL%Tszj?R9?H$7}d~YF=I{6uZNkL2i2HD*Z5@tv}a?c!rQIXUx=d^LU zVne2l`uiwJ^!0T&zunM& zEO|b=T*hy5pp`Fe{aS=l#EfZp&#qMt*hSyIu)i?Iy z%H7s^7n$NsyQ7W$Qp$w)iJAxh2#phc(#rMfMPCb6O;zu;Swu7ik6(CmEx*K4swM3Z zmh#hLFLg0l^=sF`TQdA?h6*w#zvEXoO6$*`PHzkkZpna;iTP$zieOsw}Ih(8x(ujSj(#0-lIR zLIx9wOBCa+!%wqNm#--9@3mga3RkcLS#8u!G9960AC5X4-4o*8-rJg(h!hrME-Lq9 zJEoTt17WWR!SIwrTABF4tM#y)>u2YD9#_x^GJ!;n+LU($c2N2G-l@Yf&?6i;r^=#6 z#7H)pe@i)#e~2A#&Je-Iyqqr?2dn9OE)Gib5IbFLgn-P`g9iC3hpZk4J!_eEttl`4 zU2bx`LJn07!x(Wan9-#|K|DCQw0y;zrCdP%<*lEop8<>cG$Ee?5y2P#wq7>M)<{ukyfnUm8Z%$y8iy3ce?++;ub;Sj}78)2czCAJfH zei0X|?vmHBbc=s%sJC$@*@jfM4&}ZTD4j&hV*-NIa}D3ImB4jXMCKgT@1tCF-Z^qY zZx2705roPBO}ZC!D1u?c#jUQpm_63_ODHgF00TeQcG$k(56+_$D8bco{w~?(FY8$z znGxl++=PMa574N^MOQQonJzXwC_?qIrZOAPE2r@HC21v{tj!<}XzkFU0IJjovR zW98p5hqktLPI3hY?uht@48wTiLw$mF8_ID&NquR|phf;Dq7D}W)TuXvf5A+4jiBTT!XOs%ZsX#AaCTc)8^ zfHPZ()BJvaz&5Q3TB1xsWz3#%C&$0iAyQ_}zR(Gc57a7gwd zly4jbz4yVyGPoajPWdTzmFPcg9@JdeG$B00l>3GU^FYgS`Dn1BL-Tj=LMfKrcyhdh zKo8G_>@m*~8H48;&M4>{ROHDcp3NBB2VFwnQiC7vC#`D`jKI~o^rh(B;Y|5s7NXeW z%Mu(>1anCO)k7)}>2?R?7$^$sL2TLV1%EPq?yR2*gq)qi2$H8(CZc8Vw629`*#w=b z(s{(EvR0zU-I(tmI7kpOJ$HqyBSM8Kn3>k>ldMWg&2-(`OnlpNHcWhFqzc|Rlnh5D zIGw~)$M;uYc-r|ZtoGXf@V#J|d$6e|$ld7evL#vqrRnRd78JTX@(TrKW(*ik8PZOr z)G?g&+>?!~7A4KD8Rhd99|{oSU91%gcbYD9=FPS*RqZ9ym6-zFE^|j4>^{mHcZ1cL zUB@*iURwj%Sz{p7M<$gLx`3G%fPxyz~PP$K{p{_dCNtT$_{Nb7V^b&M_uRDYD=*(;t|)BF%{n-$_3Tu&?Is-mji@{9KVu!c!j@%scr6KxO%?|3<_PGgg| zlX_b1BJBk%g067=Mpj#&`0FI6TkySQ{d~>L3tOv8$VCFYYdyt=_aIG+g18GxGAMRU zc1JTFWn}73bJ-8*awHKJ5c$InS@zAe)2pJR3huqSwutMv{jRucs+YOwsnN!ZS}YHF z-2^Mw-r%&|c>7g}=f&b}piP(ibud-=Uwp*v5ympxq}OTEU}h6i18X^lza7`w$XzDsAvMJ^*;XRnYq9)9 zlgFN#=Ll;W1kKM(dgD4&ni_>y7jb`BG1wwLJp23(^qIueuoBu@0Pu6RjIWGoQxomn zhNQM8cOBlPLPExe`Uq>&rQNs?cbB+g)T?t@7STf7cnziSgiiL7SHXDhKpY8PD7Rwy z1XVnD)7*Ql>DB~je`~%ecRsgCEO!jxq;c@Ki?TXv7fNqEtHoE>`~%1TJVQ6be%9nc zBY=-Ek_VxxI#PrE4cItwEf;80ZeJ1|OoWR=wmL6RI9mq@oP?e}gXlgU&M&=JcLQ)l z9|9yjnVQR_%*_n0QhJU(k)0@q52w6H2zp8*fNmAEJr*GruiFx6WimYIvtn-Ysfo&D zlbqw2v;1uOQ2n)RnP(fZ@^y86f?lvXv+mnMeHD?#oC=IIuy=E1VC(x=%uy>zkImxX zoi97KaU4>z=X8_Z!h7ZT@KIPrj676;x}ds)uk_Y5d}Y7tiq}ug(LGUQbxhX3EyOwI zgRKa!C}9a-SxVbuMR_TXL56YA>nKiUYC+`-30GZ;t0@`8k9R{X-}j~qZcI*9bwN1s z2y^>nQtTEPdyz zP;z@&6T)ro{QGYUU)lKqLEjsGnEW9}c$I5V#!TNCsGl7z)%z50OD>T)Bp-oyzTH7EUD=}bLF~>ZyFTX zyadi-$4;;a-#;X;_ZaL%&VY}(CquM=V@1+*g`hi;b8*eS$xr&d-Xrxg2ncCznFRzEs7={B zPH{22i8fj_>hD8jFCR_N3YB}1EfH$h$K07bvxg2VBULN@yXi}H>_~tm$Hgf4jtd}} zk8)oky+*NP;q4tJT8XH0%W!NV9zQkw!zo4R%D$} zT=1;ASY8DI70ZePnLD{A?doL}VSu$v9U9yLPlBBX2KeY-ND2ki`r5Nu8wN%J?EN0F z&bn*1w?#R(c6+TGu6qX(xk&o1@k~XdM-T+Ia-wF^JpaiTHs@YQ^2A4ycUlKVHhZP9b((g7g* zU@fynH=0dKt&LYa`Vh^d=jmOS>lkz+d4G=)G@IU2Jh1%WCnDS2jH^L^87N6$bN>>+ z2PpVs1(V?iUxmu3g*tZ&N8_5sLgd(SW_MSVR$hLp5@*2vRSS!NwxAI+v}VI7;1kJ! zRmLTh4=#(2D-l5-l29ccBP}XN1{P2}S=C15REbaN?AdV~-SUMJi7QBpMv;T-{+fi{ zKwGeg*?6Nghwe5j)9;l>PReWgFRm0F@6ci-{`{{?a^0 z^rhJXx#{{ZTi7bJ#rOXVK={u9NM-zdPSHTRuhJB{ZX&T87AzZpQ^51TG|vx7CK6lz zZv$L{HsNvVtuF$Ru0WTk^wL+ym&iAvL^8aUaEavwsG_1N2$LkG5|J$T3P+)uP-gc7 z`<8#*2zvyvJ?HU=*oAm&SlsM9)KV?X2bK`9-RG5uY8w}pLmi;Z&I5s~PrpuuZGygF zCXNhGB?4l3{<4^v%zT2y49}L*;%W*)wf}T~HHL-z)!0|>3IDSZ=|3BxzMQll_~oP< z;s0!W_M4eK@7Jx$V!O)ofEq+mX?gs$DSV6gB7A^Ph(4Uy3!Uz4(;PKb+>)4tu69g1EqEjG}zXMzO)I^8Z za9a4*nvDVm%ECit8cbn%03!&=@-f(uYxVX zPZ={vcm`P~K3YGhT?nTtEc(F^ZRGZN|BGPne+#jNWZ_-|y!`Tf5M_|_=!aYWC^o2r z8Y#Nlc?!t+H6Y(IN5zHVxe3vJDtOs8O14pSrYZdZB=Hvl`m;dlfub`gLxs!41{ee3 zw6KGsI2CSJzQJO-N3#|b%yuyVt5 zw>aY&3RqT3qFGv*QU>!uE%?16yd8{o%$|HFB z0Zvvmkr85Vfpgmm1N_9DC}5`t6|r|P=9@sL)K zDNinc)MTj|f&7xXv)+nQE81;!F{rib89jLh08D1+p3(Gb>7thz1OdV>@v*^VTW0m`L7Y5p5sTzs-FZ7+tRAgzAG6RN=A+P zH{##oKf+C!T7bqQJ_OM%mvb`E<*(yTSWLFFSn)I%+oz$7{NWab;`ok_+CqN<6@O(5 zI*>)`rdpw89z++RgpuP3rE!qiuy}>hPL+S8Pkx`>?iIp`iPTXOOI*gWB?v zunGF(;Q&GE(2y`ZddDLD1pIfMXweMQeuD`DlJ5xmzc1ty1$`-jmL35*18p+#_z4dB z)o+nLX_|CA8-rbz*5u5V+96ePW*_e=^?O|9SsH3-UNR5)Hg|>_v^Qb-*a9PeAhNV#UsgEWMUi)%dk(-Fh% zhqYTSEl+J#KiANfZci@n`Uh_vU2ak@F!pvMeWF7vn;R^Fx;nd?8ZG^lXVu-nHPwt!*Iu)BQBKYrFKo|sSDJrr zePCN6*lMQ(Y_K-AbT;sKUR*qy|CDIkJ7+YpJ{@Dl0FG{p8NTk7u?hmt-&i-^-|ZE% z0X@v4ml-|pw}BNKz3dII)yQ18oZ6!%i8-h7=lfeokHx}2`nFS-WBRt|oTg26wJ+(4 z3njig@1qt!tj8(@da6-x8`_IHAD*Ny&b+HzS0f34+?1`{&&Ib+U$tDWso%KQrKRzUPvmS}{lldl zPd}9s`2ElENG{h$))mO!8q{iOR$Tl1{M#rL%`?<_uB69v~Wzhb~nDeYuw5piG_KOlv<5BZl_+@eVFO^`dDb?3MGN1=v&|F z>aAL3Q(Bj8_+u*4@_j#HvLI)r?%eabDJ`}HsKr*JzcCG^MjBQWboc6Bwr$UOC2xM@ z$PHH~c)u;!T>KGV%kZJC_B>eX-Rbi@nAO(%sr^E(@AF5&MdQK!@UFkoG1*Pvb)B;b zfOhi!*iLyV?x@5RUz&5HoNDXj@c<5*PF!PKp41(HdSiUuTST9eYeQXxQ=`BC5FefZ zt`7+gn{JykqkBjRmxmYO_mWajUAuieFv#XH!N`Nu0=Bi*EdD|oQU=II{bLxrRn#Yxmr=|37!JMsy2ag9{R$Jic_s?IS@AJur zlq)+PUT1EVSE22z-9wpUHD(o_hWM-aUHTKa6ttPgblS_09=dupH>YNG1WO0Np=A;u z|3holSxBK3(iL{HcWX;sWsa$Xt|0ad-O>4}&$_q7dAg+zVEfL`^2x4GA?V_1oa#B= zzVMQ++8zkp*#O>GB+VR;O}j^&%_JM$$6YDT&6h1SSGnniv3P3+YnP7&%gc)$)Te79 z0TZc@&&@WUklcp7RT>Pr-7tXHaXLb#cbD-fAnc{gSTbl<~p0-S(pAP<;Kn8S%BuSMGGkpgi<%!qo5qDwg2I%0$0z&>pvo66slp z*kqyEWU<*~E!oDgXR;uI^5DM-6G8vtn+MI;d=_NI5GaxlBJM8zPBcV5}mzmTZ~WGuD1H6JkR4X5YR|FT$e>X{(lL>Y#uX;W9cf z;iHyMbo?Ge%dv!Fd)`T5Em6VJE{n&9=$wdqGQ~vgonXQ?3lU{zb&N?D7iMXQ(=ZDW zW@W|8NsmXHq=PL^(Ul}ccx0g@ZFlNyeFlWRjN#!oagEC)AK4;WY|khVPY(?E1qDuvv9h~K zPm38_yWvjBJ9qUZ@}KQC284Zhob?!0=fYp4`&zs`%|3@N%7cIgV*TI^h_&V{MEC5s ztLdMEj&FCcW@+ev6Vu{&sA&Bix$&IbuS+Ak`%v;|BbdK!Ft>&$9ArhK;KS)M_F3WJ zr4qccpl5i{Cv*WWV6*k077Ie%zru_sL7Gg${P4v{pyFGEJuR6K&5$Fo@v?6HW8E0b ziDKLzlaY4=+-`s*WK3}dDNKO@7C~gRaOHQ^_;(>WnS(e?dBi$8)G$zla`{5HQoYX2 z({|O$5QSz++ejz{U1*Ajlunpv)Q^fBuIug%u7 zo!t?I84DCdVM@{z4)&W1a3kN2`ll&gPI+G{yvG~Op3=i`6F@MJ#s8ADimF84yZGMe z1$&c&=?tK6%>`W6TVI*?Zr9sC^#`OHImGk_)J8Zk^nddhA2M(Z^_A|SI|3xu9Vtzvxkn8m}pHeM%A6;aVRXs7Fy(c4yu+J5OeJl=? z{+1`?dm4;*%+FTZmFD+x(4y){LKGbUEfpUIl7eXV%}>at^IFw1S9&LIaMyf+(R7!n zOLjE%-DWQc>1t6P+dJ}~l{Q@7>f*PuKTSlnHuME$HuQ0p+NC?U-qZl{Wa_s%Z))z* zREgf}Vkz`oye!IE9Ij1rrHqcdWb)hx{aV=@G7lW_m<}Z74AK~L0S(^2Dc+Arl{xzwrbVPuTqTO3ol=c2nIRC&~W_Wnr7 z9q&{gp2nkzA;YG=LbcoBqCH^6TcePbH}Omt;=mT6R9Dd>Vj6||rnxfJRYM%~)dbwu zM)%drn1+)dfWkeUEiUY2E=A|Y(K14ZTGBb6c!5Nw-(-T%B(EpS5m}IC9ik@B0T;eM z#l&?9AG$j=f^&I3Eosl&3k^MI{d8C*lxLRnV0e(w@F7=Q8m+;4s6w0IOZgLX_-7To zCF3F;nY+2(%m$Em*VJ3zpC%u3N(ZZCE|r!-{C8>=P+Y-kPC^r0Z_plt;eMtoB+4aM zTS<+Ruo6U#`w;5GsXi$tbf6N<3nHW|gI7MJ6rUHC2u9mBx;x3Ii+NMx=wSGcp3;#d z{I6Iu9y6G-F7;Y~_PWbnFDSH_vraq(lGaPf{8W3WycWnMs>hHSK< zFOH8Ez#DBKN#(1gBAbSlzNr%VUWzfqRc$|HAuga$Ni}2v!LAT0rbG?zE3-4B8j+Q) zq8z6RD-BeO%<9v^zc=;$)u(lQZ;B8Bs^jjKXvl7~4ehsJLtlRGPc%maJlS@fFKQ|o z@Dydi2FI)B+dI;rdng1)kd%rLAE=eZsp-H1qJzcuYB~`*gT)0(4%B2Ask6j}TE+%i zdj?vG##)m87STZ`aewxdvDdDtvM$`wpI!NABEI;nE1%_Y3^omhA}D-waZcYdrKra$ zrSTF-r%ir{CpxYvRZ%pHv(ydCg}I&1q{e3-#A@siUA)C-8$^bVNl77cx&>K$Isrh# zGB@FewEJDuvxHxI+7)4D4b!qrGM|4=YLyi~;X%&$*ECzqgIuE3v@`lDYjhF+9I?eT zTgZdl-q(lL2f6?Ms!2}OqO&;`@E7Sre{(DxFVbT~yh=o2Nv)!o>W5%Rt!|j=V@QCg zs`$PU9x|BHp*VX>JeX37RQppZvMe65g`y!Pc}u*7A_{f+Q!2GY&$2QYSPASK@(by% z{cog>_X#l~ohBlv@Hm12#3e4DBc0cz*kehjjCw5l0mNv*1|vi;A#zeb%Hc!UMz+<8 zS@y?>(1KY;h^PZ63{2x>dd2;QBK3e@ec40yf(69ojm~w!->;O*LM9CI5d{W1M%?g- z4<-BeAekLeV#7rSj74TAvEetOdjC#hJ8ndUi*OppIkQrbeIYZG*mxUJ#7mQDRL-oB znhO=zy`k7+;9afN=rxHFgmXU`_FL_U%I*j6OA`KqB>`9T?=)X}3+gxh3}7TB7M4X~im8IssxyTOO{7$4&JdvM9R}2* z>GwxsL=+aOY0;!7c+9UD!!DSULXwWOfqZL)0L?c5eKiU7pk@CV?{P>E; z>NS<(@gY%H429zHB?wmx9mHI*RT%h) zB}JBKHL4&m)BgyJq81Gpaa!X(k=ZUqor{6PJo+i#}^^qnYQQ6bhwmEH?&vIpJvj zObQvT!2?u(CXbER=wg;R0wZi1@{HCdWUbedUu-t`K;Iu<$BZDh7m6~cfF-QiNv^I+n2q_7_KX?+VJ~&GHmE{3R${pFMTHP$ zE6V=zro{NA)zN~ora5e z>%gE^?z~we1MSR|yjhVdQZS*)iwFHJEgW97wD@AQwD7(lB$~YduhEt?=wdu7y-Ugq zl7-a+ZP8q}-o26bZDCU$`#3o$#H5p+6IOh8ymUl7nmK={*y$UPmGbto%}SkedY83~W(^Gp1*NBD-2 zOX&lwbQ;)p*cY@fdxOGB63_}bE+mf=(3(9fG{t-Q1ydZ07^&0W!;ab{5pyaP#!e&$ zg`oo(P7fBGazZ-p0G@)&XsDJ$QUnwbe=9T#>!HRW8Np#ifiR?qd#YzEYITcXepGNc z+@yY9=k<G)F~ZrkEGrE|nKvfcmShM_k>0 za?0PVQMfb-w7iNhOdinq(3F_Bq-&YX{&6^)g~ocuw61@WkXmJ&zWR4!u4V?0ucIC&6tJGtA2lWK@* zgCpKUNJ=Yx=0@=NX1!*NX{7e4^kpk`f4w-j?kKL-;U8-UET2(rj>tM|2iZ{?Wr-S@ zq{Ir;2J?ymU9t)>X(XU2X77 zma+}a&$i76h}PkoN%{rBPvly0`USVIwq`Z+%3jy-fP+B`8n|h5VX*zVmatv!oC&{Aq<{`%L9#V#ie&SnIhKI z!^WOv^phVMT^lK0zl*g!k55~v2T~mQT!L3;&+T;AY+9kT+sZBDXe8OC!srjmAYqz{ zpDTqcUdteNhCP4|742QNK>BOHSAj_bSvsaiA@kyTO@+gM* zEjwI_oW3nSel&=<}<*lgZ1|uv7Q$&xQx!?s!7N z_|NBcv*MrB#s;Wv8?pOl#cprp0VvoH9*L`9$6E%rvh`)3vB^s74l!Fme}!;wh>NMt z+Yw2BJ>&?g;S#BQv$2_?tW{|0N|0~M8z#B?k>vd1xkFh;;^L5LMeA+5PJij}z=!tp z=mK!*Jon}6cz}BV_dc7RdFOV#Y0+@msCTJcI9*CzGX3NxUgR!)JsX(T_f7EnH+fKh zTSGZ_`Hy=u)_(a%(NB<2y(KA4Jc578DQ;IDzv(v7j%(FZonS&guQ$AXe4TOEw%+WX zO=kXxXi>N#G^TU*m}gYo&R3kx?||>izfr6P+iv)7yU$NWn4Gpa0>$svzM7qU0JCCk=k}k^ zXB!LkE!WScPb|hR*O+X3jJjyUkrEwgCYN{#j#(8d4`p|@`zh?D*?888$UoHxecnoW z?3H$^1>_?i_1wmECcs(^=0^Jah7SP7L`axp8eOfSWSuL<9oj-m`QdZNM~mpk847nXac@6%xGV=A^pQpyc?vq#-bK*_!Nn!~U4s77AGMc!&l^bJ`)YA;tFl!t*C0y+XC;s=P|b=riql364teg23|f9MU3 zmmP!BM9?c1`bpMWHwo8xl9<%Bzz(UAd}YGd9Syf1j(LF^Fk+4vCQ@`*8cb#=5-mCK zY6tRHM-!cWj1NQYG-w!yksXMEMM0!&bfpeXBzqGni1UZ=8zA#nA%0a?u1vh#N)GzP zif;Um4s=z6B5T@|;xov_C z7wNRS{}6;c)N4CM%@b8->QFLr%?^ubvGw){hbxQ5JlAXybaja4 z7M_8YHMaYjHV#bgsrmK$qW4J+=wqWQ3fBzD7(L@85gAIg*{(F?gv=6RcoL6G zOV!!NdW<-uiK}gAD{@rUauwB&LgvIW`AG0%mxKvEu>$4-5oe&Zt52Kp;3v#T*%^^y z+p+X&ngpxIQdIq#)%(*=?SH5G`g_ILNA+)H9-F#|m;KQb&Fm6rBNVm&R^`&G5Fjn% zZ&$Mdx@ad7RrAC5q#E^832i%4DOnfUtvl4g5B0Hh8F~D_9dF0{EmLLoo5`fQQmZNU z)Cqsw0x4)6dx8KC>*t?LiB2iWw(TmLQ;WTxEi_+qeHU2}a^DWR*Eyvii(UJpMu_s3 zE$qk%KNj!>ORWZH!yaKtYxOjyq{zDF=OpxWln^>O&srNeK*>S{W#I*}f-D+7Pmr@H zT&ljwrYE>tBMsEk2p0#;#7HT;MT6C=B>G0*BlS^{#*qOa?;Jrjm%H@HX) z{vN2p#7TNzFENtK@FjEjsM1@d$(v*#rHHUGA(ThKUQ}+8(ZO`1UOx_oZ?PUtIC|EQ zVux(~8rBxp<}hDAb{w8vT0fkhR?HM1>&6+9c;4;}Lznp{sIkwMmOm#y&hE!EZx=ls z^e1ml^4|fY%@dW2J@H@_Ud>~FF}c=p^Z*#W9N)7qSWgIW+}oF#Y26z`_6Z1xOC z#s)JGnHzu1L~=y;*)k}7%W%U8x|HhA%R8#6$8a%^)2b)E4XpK-w<#BwDX~B&axqk% z{yhr7?0qnupBYv255UV*bMSdpx*xS-Za^B?s4?_&ma3ij_Qsvbm9^(U8GwOeeF*x69_N_B_#;8 z%-xRBc{}0cOfWP9?MJmO_U0A0A$G_FHB8VX6EdcGtoK?>&5C;9k9@I}zl(p!DuhaM zGXz+Gv^UVqaY(fl9@f1=?(DeU{1W38&P>{q{PlhNGMo{J z7?Xl^@t_dg_zl!OG|5?I<$Fkho+n6Y5%DhJ;#^9MOw3bXBLHhO2M4i+c zC?g)&V|Es^hxx6nXlAD+Z#D zkm@M*tuNub706`q z5I*6AQ}ZG36GESEd6RN!E1WbGM-<0`lmZ`CBT}@8S?(x4nQ|4C_hW0{y|6rh%pof; zbij%##?y=jFHhG(>rvhz$CDfFCh_ox_xuu`~9b@$G6{E~f)^1YU4`YzFKd_8^q z;vWJ@=2}G&QoK<4U!$42#<_UshitQso_%7Z3UH@bDk&StY}+1LOpY%Fow zdqkX>W+0$s!!d5yMG_g;pwQ9ubtHy+RPmF~?8|2jPZJIz)6^mjtL z5BtYyYmhyHkGnlYe)E;moUN`}{H4WASzoT?~32dY8k! zO6+~L{G;V9~VutwUy2?`QG|Ydz`OPb?wY8m5R4QFw?V5yF2{q_F=PC&80nH>gh2I1K3 zK|zMq?Pj6?LSU(nK%;C@)fvd(!mz_b8=D9EQuAzZoO;`JJv@waGqK^rAB6>Y}S%MKm@E<2yj#hcATe{&Lk6${4H&+`g4PQU!LaN zGnZNbR~Y(`u4#opUPagy0DpQPFqc{YrA9ZN+mGtw>6{cFz%VB3<6&VKKeLan?s7K_mxaw6@xPk17QL3^Ja?(%`ag9{--+uTEu zvw|nEFg)&g*$eES_J5D49+?~9JNc|DDkapv&BB1VBaC(&=TKG+RMXGGaI`}vN-0P9 zxoJ$hZxHe)X?c1t&VOzVZO2o_`)?2)CNJO)pm7S6#5^hz1}|M^&_RimI%N+%3xl+d z&s#9w)D6-#!>$o}nowgkR)-Y^J)Oy+5Y}i$p%_05`Z*W>KPa6u3o*7g# z1HEm9;Wy9nxHs5WY8@`MrYB4QwC!q*bds|$?B_D?LqRgzTYt@@x2Z5F>QZh~c{MT& z>$-G}JQ*-ds&{jvXs536$Ahjg80`dQikec8(%|y&AOc<25eQG8g+osx<&&h<{LDps z_y!^U8biMmqwG$d9~p+xu`oRBvgCHr?VENrZ6-dOXTtQMMphCk^$x@B4xRck(3hHr zpa?AH@_OkcN`Fcl(b98Qz2TcFjXw-k>i*9s>%MPH<#%W7^6B&0 zBEaUwng4xgT(^30n;sMlz zvhx%*h!x=aweT(o=x%itLGUdWUSy3eNU5vp3aDjXc;RNCdR)Gu7dD0}q%UqqHPqIz z@WMZEe}4tFT@5@pEPQYQa7D+pbU=)|@caA|%Da)4LZ@}?@#n+_G6q=@JO7TXs6;rDtcR4Q1*Q=MT+b{{+5j-BAOHb5F{;Wsja zD^{8}7V(OH+cCPL5nYQ|vEMX|9@Ns+72+BE{(oI0u$(?Cx9~f0FR+5QSNHI3`D9n$ z9=1fZtH)J;iCDk9xlez2T=jqF*VVIWm+StYBmefvx1D#4fuq(VII9#Atoq%w8Nzvh z9PD5XQv!gT*yxaHLk;3t{H{!N#ZDWC#G`(bBxvcpX$kWBzwmn&Lv-#WAyZzE{Jz8x zeSbY-#~qh!q#Gy0apw0Pq9^_-nj>h6jb6DG8vsLK#o*g}v?`^!Y#((C#8noVz`F1| z+d#of!h}NHzuzsE=1>?2ne&A^ILq4kI!RMd`@sOJz~ zv^&@xB*2Q%k+-T9lqmEYUCNd_ORt|fOO@EoA zwMGlS$pSrIrBxKU!}whdiH=uQSu$zW(ZcUfNX1ISx)Hdu9IQm&Id(JyZ_3ekj+QkR z=rUgT1?K3AMrkNq@(a2_dzM-$g~Zc-VK(~A$2%*LhaSJuI*kVz2$|9u`jyv7?D;G! z8SL3FhDL94wWu6&uKOjziLSvrLVqR|UhJKt_lO&)U0}u7<5#hcFvRiu1+(aimF3W@ zjbCpHujmXiHE-b;kAf@q7i8T)E5;^JsBSF+t+nv$B*6opH?5IKrId=zbI{s#3OScm z>|sWwYdP}5p<=Vsb!}A(RlLQXIh!%fn6AcFXh>Zfi<~)i?3qKW&Omi9b${%c)3jQ4 z5RcJkjt~M0!0NCo{5Q8l;63em2aWpZS-%Y>(IQWN)VwQDn5bf7b(6j6H!>-5G(z zj{YohG$OZ3Ku4ZG96a#M|BxMD{Se|k1*Ocu z(dg#9PB=}V?zD8>c?UnmPGssy!~DSrY4Oo;B95Yq?p#YXwq&NNyMdAj4~KgNu+8xD z3*;GIa(Fnff$`gqfq<^H?(=v_iA>7PA?=TYv zTLg1q>WpbivwFlfF3qdwIR!^3N>ib{xj*E%IK_4=QevDVkc$M{71OhvrzCAJiAfWA zVE5vY_irv6?3M`jAzpm5s;x03;S|LMjqP8gLOLfQ-Okm(sJU$GiIc=&2jfYiktJi3 z9(B{H1_~;{R)52jdW$R>d*%v>q7H#Qfv3FC<$W?|%{hz4rU6$f0Jpm5B~us4Ec=qD zcXEF|Ps&TG=E-A~lbxs;glv|qukr{nlr`A%0NDR{#9#D_66o-b>r;$3Ydj_a8y1f_ z)vjWYyNPZe9W$(W-7C^(HL zz45f1Vt?ciTRk}tg)Er_+8+RbnMJ=c70_rWXfyGhJ-VN>GL_+wqXQc{fwIaSDQBN` zj(iX!!BqA4>{I!?rmEz}c%7wO7VMA(Y65mx`V+GQw%?}Ny196b%$EhKY1_Jklb16N-NG6}&kWTYyAu(7Sz`}?Iw#GKoo~kSwV1WtQ2xycY4zTcO zo<6^s&;8&2=lAbhb#7O0PwST-8;+mc(SMg=oUB;bGA1mkp&5hGUy52AZ1+;u2gQT%Hk5j(`jVJUBwMWY!>vR}6$TII?8D!3~C%jKwq{hISlj zr(htaffo~a{X?_9A+lJ!1kz|CAs{RkJA;`t9q&4%YKX-SVWyH><1lN8jgVVX*AfzJ zG$MgiPGIA-qY(*Y-L}xw0tO-yoMSe?6uS&!2^?8cw3=W`#y$nGs;MpHi=)RCGuC#{ z{0#;I030zNX_&wS8+$Ib#5rgvGm9H6d^+N2NyDpYi$PiR?BSLw0yT}W=;nRqjG)kg z2R82?;gUJpAzf?iu*d%Y0h17{7=O5cakpo;aenlipm ztrk#R=DcePTQ&BBY-|nJ166U#U?UJ@T>VITx=<0ma zZ|uZaG8;c*(TnYNB;}Ep%(>5E8Xc?m%1qhR;1^pXGo`dJKi$A=-S`E}kAJLNQA1|I z(*D}Q1qV0Eg_=W-#UO;do?>6od8 zly1gq%1oN&pXOT`Vv~BWAb$ob-Jtf& zwyd29`usk;XToLev{T97&0hDcovtahdnd~*wP~!ss;IcApb6 zk<7HM&V@|Xm;GKzO(auza~CZ$WmA{Fy46NfX5yrABXGth3r!}aW+IvUS|AZJ$zIcB zoLm#h)K;n0Otr~Ys{P%haep)J8dEkkV%T;&k}422X`H^wjMYS{`tzh#GwzDpJRZ$f zx{RB1(l|wRtj;$JDFjHSsLCNgel?9Hoiw92O)`bkeY;d;;#DS%lT2r``9!Ks)WGZcSqAgiz7aJ{^quZk}sR2vV%Zb_8m`$WKtD5b?6QodD`=yKwx~&Z}X_{56 z&h)7vcdj;WE3S^U?NS2D_e}Rwyx_r?relZGioerZ6f=OJH@K%;&GK0Ig6>#{2ixPp zb@*{WF?PSYQEwvO-G6I)Sh8tHSDTtC3CJyn`Fri)I}e&~>YPg8Iq}2BF^=IMh%g2J z`TpRsf#+-iecC-6Y+R;&@&LQ;0ar~=cs!k@c)qI<9&Z~C_5pF+d=#Xlrh~k3wI|cQZ+|?_kLLZsK+2@xA+{s`CE7>B$nvg`cB*X%+2}FpzZUVno0`3ut9NBW`!>5CVnw~zE? z3DTD@NN*qMs}iKIUXb2C($!I!bu@ZGdoJ2@9^-~{YzFSQNt(CCW_+H(P8M{Ish{s$ zkTSvdcWGO^z;6*+bDHuQu_*S(GtoJDBfi_YZ2PO5|FK^Gk!{5S*6Z~LN1u*oi`9BO z8!s_net(u_I>u>=|NdxtCICLjf9~$J^YEbAh5~;vche@b8=_=7zA>g|0cP&bry! z*S}TXf~0l#E`xs~;7$4kZxI3SoAKzivp;@At&`e<^bOk_?(dO~IXOo_o%Tql^Tleu zo=ny8Vm6x2vm9hK;rqR#>@|Of*FXo`cs}TsT|%e0Z11@6tfJWpXhwU-wEYOIv*#n) z)_;|RsH~ay3s*Pi<&UNXB1ZYmXOK0XXF7Hi!o{*z5Lextlz-A(6E)ZvWUCCwqRK2+ z#j{m+0p>2f!T=(=Fv_`S5^rxD=hK7c#tbArNCn+DFibRSztc99if#?~!jog-rTE+5 z!I$c2Hk(b<#cDdAPa2Q0n5fOim^B|`K7W}|NNkZ3AEFwBQ_F}BM=V%c{Sm4msBnZz z+f)ceKrEaWb_D`p3aZPFDZ1DSBzr{*wgQeoR}nYndpIaEOiz04<_1$@x}M;mt?N7x;REfu3UZyPS>|6U)=9yo zSCe#t5u?J++$uoDK+2x)4VAZBMN`lm3}kBQ*dHd@_)|;|xRmMU)&xbp41X;Eg`be* z(ZLdkiKB#;$ORVD4`>!_7|4F6pbU1AD`8d>KWn;gKC&2m=E>|O=sCN`){b*%`@IE+ z7@-@V@REFA14BdQClEw9IxeVi1fVXG#!>tR5cm$QD7nm`f=6Q|s`%$W{$C&nqZ2rL z0yjW}r{DnrZydo0b2ZCiR(~KraScG293aUlVZ_g|Y57=+V>2&y=lXc zdrdce&DJRjp1zNbMDNk6;AsOF87_m)qn|oq`I(@7IHtC>$ZD3s?-68>nt^`C&pzr8 zj{8bMyRsV?jQSvmW7FWo5!wIEQ7oUiKp}~Zaom_jQh`7h;eSn#?${V^Z=$U&7=Sb` z^viltCCfvM5nfNUSP5wYDSDRPQ+2JVGux=J5oH20f)fZ?2eg=Q?@x{c{ENGoIZgZ8 zC(!I8`X;L70W*>I>F+dB8gao9Lazd&$NV*FhqTB%1Miw~vMV07CS14Vz*jDeWp7#E!MA<;@*fgXZ4CF=A-XHummy!cM8jb_6o3;uk+c@Jrj^{PUZv}i}^wNhN?1KO)5TA5uho@&D zbuZ8ur1!kr%0~o{6rhd6CVkQs|F~B+dX5MRgx`R_fX|0c)T#n8IR;J`z6*ayyt>Jneye$Y z*YX&JBtKBrv?)v(FwF%+VKiJ-db*H);HW{hHGifEsYCUQSKIIKj~^Y| z2YbmdU54h|@mvnKMCe*Ohg(+Mnw)o0YEf;SGLtal7)&(JQM|*RYq9_}Oseb&EG-s_ zD1Tv95-ZXenU*CU)EjN1p&kvBc`&@bBNq$aADEPkk9Hwu%xm{#WQWEfi4#Sr-3}aE zU=Tmxy>#X%XoJ!X1X|{M(@vRlnb?^o)N$|AQJsu-#@eqU{O@&8r^Op(2LL>qtB~vQ zkx3Vu}8oHyr-_|nwbaFnsM-)Xw*WG`(r9F5q& zjk00y?#GNpzSE5K5?5!n#P->aL`?S1%!i|Vj)Q$(<^HG+M=Jcd%K1?p!+(zxFu$^a ztSazkQ()9g;WeEN=jmVO0a`1F{zdQB6nafk>Sj~NTPAE^xBUkmhGdGsx%wb(-%zgs zV<@z|ikCY^|2Z>~VHx*uIBUuS-fn8Tejsa}YvK$P;5KdcUZvq5iYIdW_gAqG@%{S7G8buRF5q)>^1 zkxn5K{QA{pkEU}7Np_eqNgWTE8Q^i?D17}&2u6xgA0PMP*NTMrA&&be*Mz^yO?@Pi zKfQjVeETQOIxyw+PP0LUdZYQ;cQJyj9`zQtcyyyB4?;V`l+wl1&VK~#A$^nH9fTQV zhmy2T-(Kd(cP6(2FsSG?F>@p!YP)4dsk{gG`gM#&Z z|JO84G%?6m6|ClB4WY7JpVv|s7D8QEO9(5P3v~v`!{Oa{go$;25X2xn6+DS5#DJi< zh(fW^@TiUWvQ9QSJb!6!O+cD&9TlC+ZRwW@Z! ztiN`QuhL1!VOUcF?%H?OVSj3>Btw?mu{JpP=3FbI zjG>*WANHvq5wr zf%7d_J`OzQAND`Phn-^?_+OXl7>9M_pC25cKKy7qT*UpHVeK|bf%!OiG7W!+bMQ+| z{PduGhSQTh1%ID$I*;-tJP*Iim?k?Mt7LYhiW5?PzDK7hQTCcLr>fZTAcZ~-XdDe|SZl8CWgsrKDg1U7USt+WI`i>Ac55oXpAs+`|@sVjus{y*(I3D8@(tp)4|4(%ow^+*?4rfb)IWVyI z3y7zHiU_^*2Ou;2Ba7fG&qr73>w5~?Sckk6`!--KqG?OeG%SEVOKsj(vtiqV_alG7 zZ;`m=;DN|j)u%x+J6IlDhg?ZtWpXBvry@wyFz+$?l>evlY_>xLi8+xbQ8tN2Gs#7ECB2H|k$QOM4@Czi5gB^xZgFLA`O+4h}3sNG^_JxzbWnrEx{$G4cEP~(i z@DvFyjtLuBZ}K2eP9Lj}8Yo6h$H`N;aG_k#VSngY_$l%=m^tC6>hpQXPMhPJUZryx z7fmQpIAU@*u~;T=53n`(507Swd|b27K=}qUzIZ#B8%6dP?*LQ}LODIla42p2O*&IG z(F6E9Ocvx)D5hG9aE=n!Rd->X4Z(654;}(DxE=(R47l#rA7t;qA&JoyAdocfO?^1% zJAY7tK7qxj{A8QJI-rbaIut4s*tB>($)KFj-fGv&2tZ>N#lcqa%K+r zTVo|WZo@aL;Up_Nb=-D(YP0~6f;F=gwL=10WmM5BsNZ8Hc>2p?>ZZcJ$TAJgTo%wg`;BHkB;@oyh!3!%F99?BmS+ptm08|=p+O|6Bs@) z2@A66lutHBa0fpb@CT?sOnaa#HjtApnpB4JwBzZA@iB0hcr_3)k$wMtOOAUPQGdmZ zdzPWl$L+oR2W%$o7b?jO&0JvHq7=QwxQXrG*d(uTpETcXVFl{+w>FkxxQ7D_^YUOzEWDgz-gmDMcA=-kGqJShSD?A` zIu=N#yo3d>65F8iMBF{s5_gHZN*LgO4$Kbg@E8_Jh;notd!(6G{f&~k5za$>*OpP7 z$0#cyj9>p2b&gEpBpo%A0DoU8yrdXQC#)*^}7uB?6;Wm`RDHxSHZyT z@9`gHtGxaFkKYgApS%|y@rB?acz%ZO;y>r(g+=L&$w%$W@0~;{e1Ag#M6^iwKVq>IA#i;X0Lw4rpHtG zx$t}n52Ypt)RIC(OR6qN4%9z$(e#w|1P(K!$vPUS+L=qRBp4WZa=T;~%jWaNI` z?aPFByfzjJyE-+ACdgQ1*DidpwH?=BE-&g4^H3sjZ-1grA+9Yv94JE)fsS5&1qzd) z`5Kkq{g&6qkGSYe`#W?^KQYv^yr^@C&liy@5-Lz$L{4i5S6`M~)H#M>P92!5`SBiN z#{==-6U-b?(@S2?bl|>^lNyQDhR0T01a=0h&YQGW0@@ANO5P|K_FhfP8G!CG{ht1< z^1>s%Fn^&JCWT9&c>Kzm)(Ul~Y72k|m-zHDL)Wv_aQ=1_z3}m~(D=e)yV#xx@k>@P zZgz0F7$o?=A%Wgk6hN(#;w8-Qs{J~ac%ub9yaY)Eg!uxkh;X2CEToolnl%zp?cRqx zkA#YPnKA?vxr|iqWm1ugRPHkhKc`=jb6}~`7k?~j7dI?2H%V2l2~XG2Ar`2ATBH(S zK#Tl#?p6KXCy9Ec?Rye zXCdM7GoqEgi^+ceOYGHw?pTKhJNN0~543EF7k-_5!Nn-xyr)ykLDMCFF#wmd-I_Z4 z{(lim!3;!uS08Kt-Q;uo2lxW1Z9}QzZ4gBT=EMmFL4fTMyD8X^F$NS~P!Zl&dB#%6?4&jnS@wRt}Uk3=;!1)&#$ zDAPv<7CJ-s3|0(})fFh>%V{oOPNn#ADu2tDQz^cjO7Z2i5&1kDJM!YHXUL8X@HqkP zo>GN5wfch;bdS+*|r#wGPMgX8h_PIIxbVQ@f+m`;ResQiJa zngw|k^c%mtgk#EMfkDpGD)6XddFccfNr8w%E|SiDH;bLgf26&MXd#hHcz=)g4!*i= z3(thB*t*!ISrC14eVcYVj<0Xm!oZ*F+qQ@*bamV2G5=P#nC4#R))ShFXkX>V zCG3H#*gS%15W*BB@m}5D#qG4K*g6Dh5Q22F8Jg_fdHMM=T{{JyzAz3ht!!feefTXo zA7Yu}V0Z0#eHtyS-<8zdy?-!p88?`!mBAQ~noAvNp0uOKvlfK8`$-g(r^j^>4;LHD z-#H#T6%xsb%ZXTk8np!sEJiV1B~->tDvm}`eIdYNHpHj2ejayiaKikqeCiJvYBWfA zTq>E7!M4ZGW9|%}b(fSEDw= zKw5Uh-c(M)Aj0Np@Afj+yS zw`#2BNm$M}+fDlb0?EXv%Br!q>yNb)oEVlQ$%GNDU)&s=O}LK&)v8mi;i`V$4_Mul3g#vJ`YM*UizSu@YasD}j#TVkrMu=n*H(=X zLfX%{%Jp-ur2L#KSwH7W%Fnryl0~Zv^mFp|0O&P8Lw`SKD+n!7>I?Y;b{`uuq&$Jh zXt}Cg<5@9q^GkQb15nBB?)*@fW~5%V`rJM^&1m=ebbueI%?I}TFpNYCkRCL+^WN+n z-o5DE=FPmEV5?>7`ZsBYk;gd=C%q;TolI`--i6ZkvWX1CHeP6~BO9k)en+1EmSrJ{ zXQ|x-Reyvz#pnckOYNbmX5y)n{F)yVxuPEv>8c+Sp~n-L>3TZVqyUT}RSsm*xjq_K z&H)-f|MsC_36Ac8xw#wg*5tBPh7t!hjZsqvPQ)9)Bh40bN`R7HP-YOrllI8aQ_yTx z6k=JcO?u{{Kx@qUzS%CCcHI?rt_{?X1GV*ms(;OhyJDTTWXJPqB9RUc1YQs7;#m?% zXTt0{NQBT6ZEf0~+0(S)AesJ&QHt)OOJ0qKTPiY{-laR;+SWrF6XTbB-vd^0w5Oz;b6o(B^i zR)1JMNH8k37eH5bxN5-NMAz zVdpiQ=QwRCsSGooj$Df|gG#ccgcGv{Mc8%hFC(6ngE=HG%>oWG zj$xn%)^_F|yC@L?&4}L*UeI&KW=~-wIv2*L97T*GNQ858fx=ge+ei(u!V=MS)PIFY zhvB`;9uZME7W)hs#?{eUO?qVOIuAhj6dV7@+$Y!ceO8?^KT!8P(LC?u>?EP%g=nNr z)x_2#nW`hcV+UA;2)+m@h<+HwX=oOGJg7tsdw@&9_?k~@g%#(PQIE<1nY95!5|4jZ~)dinl-dB~Y@2g4|_*JK^ zxZveVyQ&vh`d3gxBGT{-!)@9@ZV8{&bHZ0Js|uZ2jU3_w5jWZXnjbU_xf-Y2yVR_W zp!hftLub@~lU0Vx?q{PjwT=3Zll9MG0sE6z&_e+Qlbg`10lSk&(Kdg-6_H`F!;|Ai z7+oS%Bx&m_zoCby!o%TVLs3T*jG+$O>ljqLe6^u#y&>wr5(V6Cm@*LvvmE>W#T={1 zds(*@k z9pS+-SP=6rM#C^*-E{Q>hM;-}eRpbW&i%fwM<(xnr-3>vx^lz{5>|?it#-3bSGaYB8CXXwF2Xl<|xlv1|)Ar2u$JWGX>G7+0U5>-N#nfvimnd_lat zo@thh2;l{&JZ52aH#m5?3nP<^!#8A7kg6yDq}{O*28@4;p>XMNr~~mEinehci7xmc zC+qqpORAMDsdtkVx|YSjq_is@wj|jwY_}GW)+s{>U#qCB+$ZyN6l!ahYDigaG*`X- zUokZtsGFoa6}a`0*tbB_9cSjK%JT0#?}~+jO~ zA3q|`b0hisfEOpq?C-5_$hZ>gs4><#S>hRKc(Z@}G`yKy8s1Dg4R0n@(Hb6?a&^rv zHs9{iv3xKn)0#%nc!1M7Ec4O8+;p~y^6(H+M%u!%*- z;GO2l?>*KXl=lbCHP##CfzRE-$!GffyfEem#kB}ye%GFsVC)iv46QZUf4F~-G+wZs zT*-f6Wl>2G{OjI!Tv6SHcdXNF%{qFfSNZIn=bycExwCgJefG}f&R)5`>$skaJ9`hV z3p(GLSC=`*W49Hgmhfn^N~w9cE19%OozyyPeOtzui+sjh$T8+ZnlTr0jJc3w%*Ew0 zW>E3_99gp=T0fQ@jajfuH)W4 zCoC3`M}>&iJx-KJu5wKM#jJL|I9bU=z{DA!}>n zo=5IQOB!g3XrZcE`oR(rGX!Jr0Y*Pnxbeb{jrklI8iR4aGrsCkBzti0ws#$!c^-ef z`mjfj?!jfZ!mlTw_xJ^U3u~c^d0s!SbU5m!PAdWeSYwGtllRve1m^1+Nu+usMl9$+ zAwrQC_Ab+z!KiX4FA9pCT7s&@1c^Wy)%k;Q9osr8+J4V87e4D0_^*WkZcU33wp_;V z)q_KodOEsnz;7F=b|6P>HJ^KgG=6`?R?z|j3Sj^*SuOTTVH!4-=8HymJO%-zDcWTo zY(2pPFjz-yM$LH3dr|rn_B%bvhI#&4*F1Lnbl&{sdlrxB?a$R_7NtdTFSS+)>&UxqyE#ZGu!tqcX zN`#NlZ27t6>10*6c&)6Cm=Su6bl&l`hO6swc zoE}RN^Y~(A^cmk*w}Mm&`H+ct zh^eX<5;^@6%_pIdk;;)R=rj5v@i?y~)?|EiP<3>o$o*pA)VL`|(d>6L#G+Jt1}b;U#ol11sPRJv#85#A*{GLL^Vz!o}`BfQfp3hiwImVhx zGuC|7hKG1A$8YlsQojyYmY@%nK;M^E8VylS#@jz2Nt^kZ zba;R5v{(JK8rM;8Ur3usyM0kDrT=1O4`ekuRN* zCnY2*%gA)G7UxLkESRxtFEjFbTxWEi#m6k7v|h-xUA^&zu)ija5n+De;!EISIj!-9 zi@z#;@r8}MF>4mUrLmx+=jNVwyf|?;Lt(X&>q~zB`4!lgi z2$&Gjk5_j7)ux5*DNpU|p@r}+{Dl}!#Gqw$D7^+c&^`+~@ZgJmD`&*jZ22{x1=)Z5 z{&E=P6T=lB1_i|MavEf-23LI|P~)mNQP6j-nGt742i5M!(>YHO9Hg?2E}0mp#LnSi z!(4Qig+95Se1L8SZ8de@5OIUnOP#uiuxU5HMl#OKu8W`{KauW*q|c6|@sK8(4tDLz zD0*>o_s@U)cV3?670_jH?uOkd!wY{9JKR&n-)ZoVt35K;V~SyJsd1wydiG@cJLljl z-p+YKT`e&b{v3G)jhb6j>u@~V<1HqyjA%-$5TY0i(s?70x7gqHEQm^^IXEtL#uR-| zTj=n5ep@~(DV5H*Jd$%A0X~^teKi|ToKKMTa?CAn?ku3M4(@G1{8caB*#Lip++_Qg z%eICrS3Trog}oRmKaO+6nK@C`;DwoMGxNR6G(T}dwlj6F{G3(i;)S2n{7jV@W3@i6 zfQ8;;)COmu%~7zA3x5Jx6A|{xP{_i`D%7paeDY`X1W5;n{BD0sIcpWySkX6m|KwArl&Z2 z^jawJ$##6j+cVwVnx~Srbwo(*79oZBSd>(V3>%8LqLio>#;6i?2W@E4g!gpU++!D# zq4JZ*sBS^o-1p31gVn!hu78Y8yFJ)Cp%DE{I(Y@eb0+g}b}9&rtF3=pt#q8<&uT2! z&uT2)&uT2!>wGNNLTG$}jjM3u5vFr2N7@cPOYUnw4t6GUHCNvuoS8-IcAj2YB+7TS z#d7){+k-6gomvtx1SNCvThmIJW>p3&HJ8}ueFw{R**y%L`IGJFn#X=_VJBk6O=Xw; zaq!E*WZ8uuo$Wdh#EpNJ8Si!845f`00+Jo5$Ch1*1o@9=H+Mhh(orYceGRdmt?d4P z00030|Lk4cZrn(c{S`tF3pXI!lIlJK3-H?Aiw2Bc?^wJ2&}bAWGD{*{BCE-w)!Ov{ z{)NH5%mBMT=P%ieOx~(^%NvtqDIa>KERuPNjEsnk6B(g0Y@~lEg_PUtT~49pSdCy( z*i=a8_hfC+7qrEvY_x?X!sde$hXioSD`jFM<^i(ypdN$JHFC-9l95Z=4~ivS)wA9j zH}}4~$A5D`e`51Dj!3!MWX{Mc?%14np;>ZD(<*l*U7wnBVvTcaRasJct*X8^(@d&J z=UXeGAj}aTB;kKlnH(Uoz<&@o%_Nu~yl?5@`1SSoZ~v>_CDGhJX3wf~w_3)+oxFL| zUGu;egps~~`@ar*nY5bkO4jS~9_ev`$*z5iKhFank&bChJDo-p@8Ci$asieht}I@z zs`G703@UNPy~;(04nohsoTmP~2vM&bmRLVgq55McR3m@94T%YDyDkaZ!sZDGSlyW~ zb!vGm8xq$+|4OpO;*jBh`Pnsibn#5%t$mU8n|8uZ)BxRTe zcvd$r5r==@$XV%qKp?P38*Vpj@bA>aLJ+@A5c^W7*Z@~f-N~=ct*hF_+c$?PsJ4yY z1Jy%PZ6}Ep&x=?kgrSEiN{cNzF>B6K8lZ)}1VF z^d?xkWBVXTZV@=d5}ot?+uyhcnQt_Gb95)q6K!nUwr$(CoouY#IG@*f32@BMN6o|!pw&Y-$yx~l6IO`+RMD@o@Hpw2SCph)i7=~#ota{df~A>%*@Cu#OE zLVO=0ZDyo-kevEDfE}#(hqKff9W~>k8kZJD&s?b#p$_Sbf)`z!U`}kU`eYN zF~OO1H)_l8kAkRf`{T)q1sQO8s@v}WDlu$Xu*fMh(Ht|ztaE4C z>>)7*xk&2i01#fL9~dFUv?Cf3s5Eq8seH7Vr=gfM7$1vTsSWRaIoW)r#7T?M-CO&` zG|Ak-%h_U>yj|$#P)f&dC<0(;Zz0t`e9etKvVj5Pa(1x4%BYn3dI~o|OpYDvm=mkE zac{mWJ}i;Aup0A%eElAEr`_1}_{wP3cTcTe^0DHzvI|APKs@#31 zwmZrs-2pZ!5_3xA3?t^AB1dYjCd(zfbLC1P5M3|mlSwJMJ{7J71GmfMHG#~7h23QhqDahH&r z6&LRK!rDDHx&p=mqY;Y!V~Gpun?%ox)`&#_m2tSCW)pJ2v4TrY`;BEkaiA8Xyw=nO z_sG~zb@$KP1{DB-_`#`0!+$O==z1K|)0{mM`{z_bLm(;OJ;pO@a5K6;3X<{sb{CwG zplkPUAg124-pz#`$5R2}?z1&dy5U}~i_ERftp>4_~0=Ras;8(9kC{dEz<_#X^Mi|%#m$irh_dohmUCb3DFabu#&E5_qF z?q7?j)A>8+EM=|{dLQhE3mH(C|Fqua$qn_%-hxTLfo zu+h&h6QM7p7KUen6KmR)48}R3ojf>@$^1Z83zPsDSw6qcw9n6_CS9zd15pDe13zSy zU)OpLYni$Y&ZG>Wy|cX)NPv0)?_O?stgcTw{P{ZXA75959jb!oiE;M!(cNw&0Xgp%=iEuyqRX9C<}WfZ6XP0y8X?YOPHZJMDf7=-;HSl zQFq-=t3oW}7rNZ(QFo%QDi;s-NB<@liId;+=fxg|N%h}wgt-j|I}bHE%j)HP-(+5D ziN$@K-ek`I5Ckl9jxY#9wz@_B!YjbP+xn1E3I9+7zg#<>-qmW(TBP@gL5~B3TApHT z8p}OQ{X3|p^)mX~S^DVyoP>Ct<9x8CD$wOMPB~R_Ah!*aS<=Dhb}g*JLYCdi)ed)R zFXWcLhk)q1qD-D*h!G*tfKAn<`&JP!@Vie(D+JT73E?9F$LRyuK419YWgl!d{2&*z z$_-z4ALOp5ovf*~K$RSH7B3QD1OleOAtaPL$_flZ6aEVX3<3fr69^cU=;y#Xe;&; z8O@XiKA$s<#W>9T%(?IzHldW@0mPZ5Hxa)fdL!+a$_;oq2)Cq24Z8;A0yYR0%*SzV@2CbRCjWseVBEkro}5%aMAT<6}T z9OHaHpW+outPW?Nx5~+f`bIR2q@xQWYhH@?ec*3afXj^JR&i&=1;^m7L6x3wvX(1^ zjd;0H8e>LU6E3RL*Lj5M&Tj+MYaxNkR(Relk^B5z)Bx!{);?w9rO^&?T`iKfP=Zk6 zBCVN*tNc)o2=z8d9;%8(J>CaxS>zM6DgwSj{KQ|6nOQ4eWizHMAucrb#M(@Se& z&3~8Y^VEXAu`BZVVa3@P3zL;L$55Q*WODuv*45$K*2&V?;t;+Fpv|)4RcKN5Np|Xg zqkof{ESRM0KdjO=+y~+_)BG%MS9Xf5;u1m80g&%#N;D=NE%Xhzc_uS^?8e4EEeQ*f zL^7ZOB~9+XoFi)L%{o;{it5F|69KEZ^r}*+oI2~|W?|*%yNG@5KDS@ADZbvJ4!-LJ zHVElP?BCm8ZYD2sZJSK;~$_;;gPmGWL7 z0GM~}$Nbf?8^fl5Bc8$VQq?)k#Xh2RzfMbR8Ogg4K}Ynricgn(vk5A81@O?fQ70;!rx77&Hne2`3r(%6B37gX{h z<(*tv(a%7nH0B>Xk**|-O7lyEe50Ng6+p8lT2>@MU(3U#;7musWq;UJ#Kcv@sevQq zm?iQ2_Y7?0@%(@bE@r_AMn6aYy^LT6U2~CL+2`$;OpUnBTxCArH{uf`dukDkH^XQI z_#)FEoR?-6+ac0vMs!B?ud*x1J~FF&XppNLcAAz=uAKjXyQIAdAKpsfI+B0$Er3V^ zSaB1+oAHGRGKsR36NTekJUr006P^GTGYjWE{RpffqGh^P8q3lpZkinVq*$qYr)m*2 z0x`P=a~}0HlT)~BXZU^daREoCu?n$T`!0Byu3gk7McN8JJ=u}ugFH7(JBDo^f~EqD1s`E4FExH%iXsVMg2m<-LU;`ivcmmp;& z+h0wQYY1pWu1m?}QCav{r(^<&G_&PuxITVm3-y{^;D6R3c|8F~Qu@O#^-)-uk8QAg z2mZ=8@0-}n3@P3}%}Wa!_B@qx$~vgqwNNBUMhgkO+F)(|5dusgrcUZz`)>pDBK}%% zFHBE{_lB1vp1D?$d10<9$C@F5oB$uaT~~}AwU)?tW>;M3hPJ$nR20*~e|@v-l`jm5 zSq#7B-N`CQ*8#*M8}6$jE&XPGt>^gi^P7;}mHl81Uw$V&|Mz6OG4!2#rD_1bj4R74&vDI#{5PfXJ4og*QO|muYu>>xT2ln9GcVZ%i*@cbSRE z>+{k~<`}Wi@qq$x z74m3N)2Yd=CP*x^d8-( z^eMpDD+U{4{7izp`T~bort`6R%ajhDuB^Rgl>?1BJS%PM9oF&hD(EW<0vjc{>gvwb zaorK+;HimiIE|ci5L;UbcUHY-yAV$c3-&+sntpGPjM0)axu5GOl2whmT}gsDq=AHaGS`03hSh&MhqKs?q4imNnWV=od|%e z@F^dY=;wV<^*Zglg}xmD^>PIW37hUV5-a*6mY7`94-+Aq&&-KqP~QV6OOi{NX)+XK zSBLJGuFG(NN6JcpDQf91D89(U+&b=Cl@UvK-jXti4V7KUcI9xutWe-p4K+eX*(k?hOC zdc5r0nSKB9aqEJWD|U67k~Y~{p+J12==RQG{0M}cY8Mou|DG(hQJ-pC1|;#@ulS&G zA1tX&42`w@354uy7#Q~F*K+uf_0-V$Bz5$*CcrDSAHh`~-PBWqlK!FyBLV>^nC|2D znCdNi*B5*46dRd>#EcIN*#zGk3pV45n9YW6l&UM8L@iU5W716*h#lh8uV!jpikRu+ zd;T^%?x;wlf%lPJXwd*m=2W~e!a0Qf&TI3kL6!hHG(wfT3am)g(@!k4=B08^(&Bs%5AfI;-=LyJR~3^MZ&^`8jR^uolDm4HdPV@**3! z;42=Zs7$%mBE79eFMc0&NoCh#npi-3Z&K!^ILwaJ_$|@-y=sRKd{E|e|HnM>HWsxA zNEQZTiK1)m6?FC0<986zWS_fptxT5)@TzWv1Qa$)Tc}^`SOrv5q1A;;O%xMX`c{h} z#n!r9Dw&WaV=6`gK%FR75V--2G)-)D{GBsAPM*Xp5o(Q0gg}+VEdRZJY%wO#v8|zb zsoxY`dZSI=tsp|`9pl%IC$Kb_Z{1UWyEqWF+^lXBKy0*h#MvaoG~r+gj2A6xHXGj` z8>R*q`!5+azBW#nBWMj~KMz?GHOF9vti7``ET|$wo6+tV0Gtsns(07l|Mr4Uf-%a{ zmB|rnsFPg`1Q7`+g_vqnn6x+ZnF6an3F0QyBKZ4aLh^8Ht927B5aY~i*nw79it+)< zX(j(^hZ!!ZYk8e!xN&2h#7b%`U&(sY%dIMhP`4%j?8 z78EHF&6MMJ$`^|A7&~0ErRY-kd8Qq~h*E<`C)wR`Lq}F2hzmAooBcYl?AEM#{Cys3 z`vu%+vVI1YrY#fj5Nhmpa2T{J?Z&@>dI!IB4bXJ}O}AV@PpcM$_vai6fCjG4FU;A9 z4E|Tts+KT?n6l2%e|?x48YEKpo)$#6?a5}Ji%fIW((W>~5cBb_j>_2-97}AtLaWly zkSp68&CHyXBmyOyEsCVCL~!T`e7MIy8Sh|U<;>}#*BTcUDPfS99qTZ`s+j2gZfh$B5`sRT^10m#9w2Xgq zG4864y89Nmzjh>M{(gn5;iNM|r1x6};-=r?nMo+7JWE5t@689o)%*N*lWo{gH4v+v zGkuHaMD3&(lf=By>L?(r&h`THXrlG>GVj;tC~4WvK((N;%}xqYsA<<`h{&2HEOM9Fc8C zSvwDuQcxarz6J*$pRik)Ur#g2R(;j_Qs-MuC^#$^x=10MLtf}6%sg|vfQqBHYKV~m za=eq?BP{~0WiF^VcI1uDN@y|*0oJlffJkUv-P8)K{V>8HM+?(4ticjY`fmLnY2XMRU(7x!_Q+8|`?`#9^g7GmKz(gdUe=(F! zrB~!LX_D}ZUuT0sUbPRdknoXlrp+uErZsSGdK}(lUIz)76_FE&uj&kUG(O~KXAV3j5=9&*QWsC!<+ovZa*LV0n30LVk-6W;IE+lb;n2{3_ zhR)Tp{|Lt2Qa(LE{YaUfWYhEq*K8%oC9Vhr^x1Yu?xfdR2o!OVXUHnFiTYF)`2133urr;fQC322v4= zMDo5!=M&i8@AgslX@^+G*`G5#E{S6(;qcJJHHNLr`FDXLlfeTZL_jWd7}nQc)XW^R zv0xDxZ+;st0+w1+g)jW&`2FoP%+&ZuTh#I1$JYQ^>pu;Z0ry?LqhIni_|k>ZNdy~vMt94 zGOGbTsmp~@h3(RqXo-rYk|4fT?XYDo{@l0EtFX5hYy}y|4*j|N8lx*WR2_)>)i!p4 zyQ~&|GgQ=DU~|iD*@Eg0?>r^4RA3ueUxBE-v1`eH+q4*^N=J)bU;E##?~vJRyBqF5 zFt2fU^0#;$rYS6IYxVgQb@-1I-R(Qw9XHl?i42VNU}n z#wHzxIbKji%7=OjM2nM|u-g7iZ6&pyk9h{>2cR{u zgPsf(Aww-Ap23D12QO33v)6Nym_Ug^<;M44qSQ2gKCkTbeu~1Iv$eH__VxmJ@55!} z&B?FO$mKGaK1Vs3Zd|0J}u$GL}b>i zwp`rYn3`i4+Ua@xG*WccaUy6FFPpI0iKldbo@YDsMY>k4H?t(|B!ZR+ZdIZf=ZZmBT}-p2S|cz5Ie3&quDpY+WL zRD&rIuLh;u!11Br(vucQI-G#avJTm4LI(8VTYx!03Y)tUh*c%XF9syPsI{@xVu<0oc6J1~-~a$99Qf?DBTXR7XXVn6EqLC^oV^PUBNFS;`5 zlM}S5axqRdnfJ+Bqe~=j1d|cflkQJyBTckAroMT)0^+sQkU#{USLkMQB%&7s3_2y! z#l#yr5?LVij69?Ahv5HJ=Tf z+X4Ccx<~$UyKqB7k4%^vy&_4Rh}MPPvNf}pXCVW6vo;^$mhVN#bRQ=Ur^5+``@NJ2 zx(yNHqMqm#%Lyj;mj114h;%F{W!R?GP%v)X{=fj(n6@}u{B8?1qG(~TxIqP?%e>{l zrw9dJ@qGzBek~#SlX!s&6UauLnKReLKLAbpSn8a&y11x}-(bT#**nZ%S;Qp%Is;2n zZKeIOz9U7X8a4;Gv=*2=Pt&(XjkGsHjKJ8_?-#r%ddkIhDdAC8tf#o{2s3Unh%CUz z{Y-9QtKYMr&)dgaDd7%?MpSc!mJRPsINN(5pKJ{e(ag{|Lf`wqTuh@l`eTa|PX2DF zwjnJ_AN0S1-vtHm)ysUuI*EP{+<*_w%Y_O?6K2fn@#j1euYODI6erPM>vd!B@u~t9 zu4fr`VP9Zp)Tc;(J%2S`6^cIV!m^ljK|y>0S$SQ@xK)*JgX@;R{<2EegG{q%CZ)wF zC9Fy?M1X7p3{U%I^pNHUcsg1*Q04 z?^}W*%IFKaH?!Xm`$ddA+I`dWl=yh6UObRJ62HtD7ctgkydy zbXY!Qr+q;=N;5E_Kq3WNG69ZPiwUZ5U#)Zu?Z!a<$R;E0%2u=kX3Jh6a1h<>S9sgb zYe7-rV+fAL5W_XcPVH!2bua1jyG$|7g;+Sj1;s~0!0xtC? zJsS&;VAD#ECf-)w9lAA(7}D>HsW^+!8?NOr5J0(H*d*=dV6cpR_&~~TnQF-{QQmJa zPx>Cc5R9RJl9l(gdW?q=#2Yw`7wM@fULoabx)}zyL#ki+b*O6LS1$HIN5efa7J^M@ zWZG{%jYrqJnre%pY5{2bD6el3qjU!7$S-?zE2iA^uLY;)9*e;R9e3$P+jPZa_Sv;FZA+%?KVnd zC%3I}u!u8gZQ(XRaAr_)V#KnrrvZPM+tw><)W(re`UxU2n*c&gmo_w}=>{pNgh079 z(652Vx{ILb)talc0)3{z06Ry%uw)zV@Qj0ch2k8emSb< zApSMYHz2ZcO%1teU1Rg)yORWu3Qosu~h=mogX^UwSl;<{;^Ji5oMP2+<@ z=-?_Z!c~hE$~Q5r_fa(M`*no@qK2S_H#<+Ai`)rW=lqko1_lWsD#5~UA^SjizW1K* z(=|w>EJ^8rL{I0H;s}YYGo`SRzMQzT3!YbpPq&W#RC269^TAB94%4m4S0iD072{0$ z)cgIW=nP;|LBfEUP%g-vz+og_zoV4MA;T>25eDxbtBY|Y*N{wiJS zLcLp-*gtFoww%0mHu3~lIXR%l4$$~3P%;bg9MqchLJ$)LuTzR z_s6`Ng~y2HM045!J@dD@)se!76R~nrQmCdhCWN2B5p7$-o zvyMknTEnN~Hr^^Ii1H40^j4 z5FyaWls+0Em%-5|YEWp3_pEdJtTIz}KZK*xp{(vOJ~7`(d3*I6C^ySCz-!Hia&Llx z176chels^8H}nYNTegM2x0!^o=Ayd?&5ro5y$C49(pE~J*~^eseZGG zvk$#2uZs`ZzA!Ruq#AsecRgFK9DJZZ)>Bnn)igmxup0I1M)yhr_64c^lq4H2?y)I0 zCotp`GvewaL8s@1*DJ^ufW19V?VwWb+XA4-SOAeKWofMq!L$3>zX#~~w%dlU?PeYH zPAPMkv=n*!>rGMN&7b%qNms@#m;p3qV1pw?Jyo^^S8_~%N9HkTg~ zk#I*eX=Ki)YKuzMPs--ngS@TqcLv==pDu&tYqJ;#<{}}c4o$cNK#&H~qAN(9)2Qi! z+(*s1s6&yYECWJFJh+NYd$F7}QY+tHB}g{Qc?#xWV|%VI5LC6(GBo)E!5*VqOy+!< zp1r@pSed`5@OkU2t#eQeQx7e2nZ3H%!Y8)5Dp26rkQQ>`s~X-DAI^o8cGUhb%Nq@W zY585CLW|zoh3(E7z<)>5PK+*qI%l%$v*$SrTc4x$(xa3dDIU%tV@71B`dU7R0gD%} z`;e0`j^e6#Q2~=#T-0|6uKry-k1*10c@k9}K4}QswO9tDaoU9DMycM?%ee=NC01{gSA3yH76Xw#d9DfDimr6u20|(T+cV8(j}? z7|Qd=!8`0Q)ER)57aO3*O0Xc0tKdV8m&N5yD9DXScfB-t-31)Q$jPkocozV ziQDBoe4dPEw?Q&xFF5hQ2E#(-0g~y~SH3kY4oudNso-d17iQB{y-Nb_>tbfQB8jRp zC^bm*CYDTDfbXRq-}eMLUg-g`#=&}qL;_7oh8BQoZ*Mwi>94>><|PEy`;D?8WfLN| zRf_#zM)Oi{-8IAJk)hBR+2J)OtFFFZiMr26uVR-Gw=$hFD}iU|?!*V|Xxk#kFlk5n%&&2G@W( z4Pgq=5=c$399a3bn;6%4am=F6EJSUjHd6H>)!@qK99ZrDyI%p*^@tm@fXGPlr>q~; zpCx|G1f$s)ws-~9n&<<)vH+PZpsv6oSQ&6?MJyJJ-FJu@R~NP3vjfF(P9L{TX}VcZ zeM|rteJ}t_CN6A!=j*-pJE+>u!*X=gSClY7$SY8Y?Xg_Hwg9|1HR9rod3?%%Q8jM! z+U3?i_^nfKVa^R}Dd>2+QR4Rz@S15@Looxm_fXzUB(l-b*8RSU9z1-nMHr}y^*pM3D4P(v$)%WJJ|daUWI7q zTb<*gxl0iB#OpZEu{2l2(mQR*toa(R5Czunr_j+3#)o&k=$_u~moFZwSL^4`=06PU zkDtFWme}9F*2Uc-dwLm2x-51KH!2QK-+9TG5#GMEG|TU%d*yZr-<4+1LgfL?brMYH zg5qj1W`jci)G?pF$PJ+G0qc+N%!Ikpvia`<8k%P2ylWa5nSy~B_6A)lRU)Ygs7$kn zV{tK$MS_?^N2lZTN8|GLE^L@KKluM_GtI=fKqr~gaz0^R->dJsm$IdH>>$sm>hCMG zKO4Uu{$N=~cX*E@RIo|0Qz{8lkZgWJO|75jDePq z$7fQJ5SuR%TROl|%YZ-xY@hQdaVelQ0*=ZSouC>J7g z?7AOK$M0U2E;njRj9Ll4tghLLD);U`S%^w#Gro9kOV`J{9~b#;W+fINb$FRLP%h9a zi#@rWvHQ2BZ=hzndauU(x)LG7Hr&NE4Hus4(oG*ZvR;rQ|YwcwGncjk4-&1{1z zyLSd)m=S6T;QF}gu+FVylfHa7d#D`ZFz1vmPpb~@WXXpMC;?jmug811=6HnBK9b&Z zQ6BFZ5K8=Gc8*0o&*KVY!ex>~P}=#G^?u&A7Lf@yBR=or%7;0ues@dO?3geufh=hy z*)j*)LR=CRYkR=ADj`erGRkSqg9B>>n_mZ57A9Q5_Ir1ETs+_~gSREsjLGD}nZT?? z8|0{jyr_sjV$>?pb$uqoNUhk&ha)|klf?<7q}wThn1%c^S$d{*!>Td}eA(`n4;ke@ za%mLCuDs&5N^rh?b%dJ*zf29g7M-;Z4HlSqBTns)qA(qS(sh~?kM`5) z^Sp6M(5ZH~(3M2zLWYG_kOj1;kzyZ};fmr0l!k?1sH2>TgvqgL==uhbDanL;n;j)j zQ^vS5=CF=0~FtP|Bh*3hY5Opfyg+rjEr>gS}H0vuMEY{dw1`28`}W3UN|u6l0)?0()q|(GRv{(LV=dhL)|76;3?=urXeY%H7UWk#CfAx`Yg5-f07aD&4`Zwjip-X`ZMp{_y7TMwt`7=TT^ZI zY1QAj9Tbyk;PggF_tBQ@Lq9%`^Sxh=GBge5y?!P;h|4oQVUmucEnomlv-6ZeF#(SP z20y(ok45@rVG&YJ`1%WAQ_M&m1nBOna}E;(Sp!x|+RsuOS+Pd$Mra;j`~Jz$x=sJW zj3q{Vo&iQaTSND@)~Ukc23C1N>gDd^8x@|(zupLG&$KHsWJsbQ^Ipe(M!mqXZ7WY1 z;@xPDL;Wm>}F(l>#L%o zq~+c{8JtFN7JBhUx{4D~Za{0C>6*NZ=qTuJK|&@s$GzGEWU$PNrz|y5o`2Ia7beFZ zRvgC2i*~N%ZQKX)t!EyhBV!$lr-&lf$@ZiAM!Z9EAuh@8FE9bMYDalxJmmQT8zE0G za{7ImSif)ey7S5KYuj?F5qMIH&m-o>aU7wwAWu9BFQ-fw&X(`+rVktK%4vt467(xb zMZ=7t>_H>@@|0>LIIu+|N)_Ujgb+#^oJPa_G+@dC5{@RxMHNg= z(PmcypZ0zw{Ci{#+Dqivt57#$0Yw#F?&V5V_ZF*CjZXff7uyn3ta-`~yaSX#<<)(QD? z!!qe`;vmTq*M}G#upGeLEe=$6Im1$h5Hv2WrT`r}82b`u>1AZHs?v8h(?1R|f6O{A zof$S=yuXH*g}4vvq>ksf@$gp!c&Uo^{AVn!a!sX@DFq>2|1|d3&<8`*n)Z>!bRNWv zD0yPXM{Awc!H^%QEQv~upK=po2>z`?Ej_&AGo4+gz|leQk>+jgCbX6DA<7j{VH1!@3nAb|*Mxgut%??-C8cy% zC*m@hRpGKh^ddk9LQSRbGF+BmL&4O~G66uyk(Ja<6CgNFg&AUv?&{&8TES*s@n(U; zCGk-|X8hU}SogDev8J@g^)+d_yrPaTxtmc-VMa7v{U}(+Z62u7?jc=1gJd^OQ?AgW z&ZGW1i;M75L$Y1+3<<0KW}yZ0&;DDxzEJq9+5=uDb67|w!i@0Ry<1ua>``LwLk$qN zuMk<}ZxM`Yg5OIvo{s|n+4H~Yu@WOjc-?cA8uu7_7DphXFSm-zx8GWFm3#>X<)eSJI+GolXfG^Vm%zSn*>WesnhXBTwzlYdh-b&Cg zue2h+l)?|0JE&5{}6@c*$R{JwP$Pf?**!jm=iAgi%JXGmOfm^|VcR|W`BsJmy{ zy}L`)H10`&)8&?j)76zKBPM&}E?{b;v{&J>trGWk8KDeD85{mbd7t>2dCL}xMKHXeFz)Q~M5(ST zrvGj;b4XO?tQ3B^-HZmWrD${{&4q%wcw73^p>|y7oKN<8GT4ECQu8vI}@O7F0%T{8MkLnG&lFe9A8z4~8hC?yk)kZK7rr?)X z+KWM}an;pRXZ{nQ--1)l9GI_7w2Q(&zO|+(Hh)Z85`PoEMHREOH|V6!7m=XH!xP8ewrIgWTvW zYbKFC`@TJ`7~mp++2T5YL@Z0$#pt@#NB*euIWQ3FII+N+PN(nb#Y(5mIz6lLB1)Q4 zPV%6>n#daqS_=}jn5>yun}pE|MIyC?6`yfyR-DzM<)$Ij7V1^53~s|4_a*wiXNxYm zPD3TE*_={OsUmHkb0;s_bdi_pTdb@P7DtwJTk- z_P+7&3~(89??%w#?sDH^1EwDThkJ=8rPy@8)`mx3Ga9o_QisSV+n8xqFz6YA9ds>dBOG=wlVuSnx??GPWDZg@XR=V#Hu@WD!9} zal-yARTc$IyH%Iw&2v_?o6>}FqEEKr*m+Wq70@1i+4yg(|M+RF(H~EihImcr_XsQ1 zmX;qn8s>iF`1LB)U8GRDT}|WirpbP|uYm&O>IJf^>iC2Z`;h!u zo!Sh)gX#?IiXvcssY60<&xEyJ-MtW}4UgTOgOwHb2wX#2oEaI2mkedS(A^^g8Fw9T z3@`}c0d^gdZ=~+kD4aof)*URTqgV_*QUTta#epbQK6?N+OUbUGe&}R?MPQm)4KQFJ?`glBMEP|mc0nw{Hx#OzUc$ss4x{2*Uw=rhw-?j@(=_uXtP)y>{ z=MfCrYg)gNF#pc*-HJbf0~nX|*IfU@IDqaiPVRIhQ<4Lz@k`KNiV8lHu^g*sNyIsF znfZ!td?6lx^R}TpqJx}?aJ0mJk2vTQ$+8q<$K-ZKY)g}P28Ge>qWfR#YYBfJ9O~T_ zerqcKorMxSJ3AM)Hp<<9KZ1msC~+G=(+sO2obEC%x-Ca$6Mqi#iZ{y5jVD_4!~rkZ zlO#jPhhI2dzj2ARYN;T)BU7$XykIw<%uA%suNGtE z75RLjq5ca)a-&?FO_$Q6*Ju_#4n_M@dL14gIJ;@J{)T{R47b3C1_26LdTAtNK!!)P zJqSF5_7wPAkkbRX=gO&Ma%)}bJ%*g$6zgx+k)QLGq0($0yV14cmul^qhq?d z$ifoCheNa{VeE2gque15zrtBbEqi3qZeU`(Du+qBJckMShmmV@n6x97+R~vY)aAzy=VnT( zX^_E5+MSno4d@KIcz}{klgWP!p=RY1}s`sK{wOe^(=Iq=O!G8&>`vMMRG2fnS-{Ug~=3={98h=E5rIsk5VlYS} z04h73pR8br1Vky{6~wZdm}jClIq7k(bRCS@iAqdjwjC>(hX##AH-8LUzcsl|{^6<0 zPBw*U{U1Mag<$Vmej2=gw^cmGX55wh#zktw)%C%Zd3|<$Im|P&Ykn2Sd{{jks8`PM z&U@2}pLGh1i(giOFAZ~eh0eYOm*@v$0Eo>U>Xw$2Nq&nVydc8Z8ze@Cr_TjO<`+j4q2r zn-M`8IVELKTrrf$IO{62=e9m4015*W#++{I*IuyrC^#JtC0Ed+7xDQw7O&SZMig8$ z6JLx@lsj~DSLPbqz!G5TZ6bx1<2kKP$r@p$Jx9~)yJG~`xlVKD7p_}2NP|Q(7&qkJ zvo!hcOeW?`+UTl8A6xSz9t<|qjE+=7MOc>^hF<{}q#tv$)L6-^hUtknWIFI#NI=zNoLC8vRLP|cW-<$9xiUdQfm(v@S5;k5z&LtolGin=(4 zd50Gd9+cl$|095aE{fYe&>JPHFvx$Un%p3QFhdhBpf|1JvKiHuB_BIEHO?!^~xprTt_;r~1(k zQwGx)ziF@A&Jlq%J^paIThTz~00qjGZNgbfX0ai;co$R2X+_evuZ*MA0#BjA^z!}|BoP=aBXWX$u} zWdp>lj>YYt9|v1wn4n z_PKTuBxQt6(^T8vnQyYtCYPo-!5t~~h3G^nb_U$kXwbu_n6X`RjZk_)MwWEMH5_rJ zEvY)B9Dof~!`hd{{;6J1j}8px_s%!e3DXbcJwRXKiuyg#K}KTg0QF>sW;@G;K7N37 zv9404cfzAsw_}H=am`V<5Ba%K; zI}H$uL;9$l7W(Z?ie(IYPf&e+?l&1LPXeNZp3CQj+z`KaP_C~jH8iu`S}rk>KsD@; zN!I)XaSf}Q^e+N6X7G7X%t!2(!osoSGk$&{di6q!tp3Gqn*V3^d`+l1T^c! zGayZQU)aZ3CeIE?odCH1O&s->!MRM(2n8^!Tl>&PI{Mxs+RMOvx2rvYoosT>g)04J zVdMI@n(JS1KrQ&4;0AhhGWdAn!SY{3b&Mdd7m}mZwf77Al=Q(#1+@*S*ulvG_5TBy z`bI@FHNpe=y83Exp3fz5ez}pUVwEJOw%`3$p+{1xZu^tw2~d(n@geJYnePrjLsqv~ zaCLwN{uH?Bs<4uMH~{4k#QV0XfzJ+AyQNLY4rqS7(!2tjutR1J8=hLLpbBH8$`uM} z;V;k@n%PnV6_yn?G_3mU2CC_F1jVb`%D&Tyz}K%~9OX~(3cpAXE4d}no?S>6MOIrF z7ehBxKCDpkjE>N~0c@7up`VBM3t>d{-6w;o=fXbEZXy=)EUytNa7t82jVG;v#dqg= z>^;o(mz`nBDn;(#@0YnZFh>kZCa2M)G(*jS&Kf^D48(@4Y5+!Rq#e; z4wO+1R8<=on8h$@Y{--R_U%C9*rWgDn}sN^=Q%Sr{~Szm)~EtIXcVjyS^*q3IB=3o z(&W)eWxNI5OLC$i3iB$5_`8h+c1_7xoD|$VgZ{KmF9Mq>E-v?xFArVd5y?`Fr+UGT?;Bu&!BYM#oARi0oIEdNw#w$=D9P}Wk=^`n*X2uC3!raGv zT<;XBJJPfk!$|GBPAzTXDSmM7of>U^NJ(@R9K&kG@ zE>d*+JZROCK?BnyMKB=O6M-)r7p8tZ?iW_GGMX^$mKd3)p4qRMPKzgF&rb+C+m`Ms zDK>IC92P~YAJO8lM8JmZR-B_jWR#LvPQK~LQcnh+8!!m$o8&zj-iUg0j&`w@^pvFHZnsU`5!&zHVScW^bkDS*H0%;-$=^D$xJOD&NK~gL?PdI@fm={gtQTrHe&UxHP0W~t`%Xay9DQtf;h0?e ziSQV0{cC^f67U+we#}(#9Oh@`P5q}l^^d??5Ww9A4LNUi(keNXsyTp`YIl~1ecU~- zkmeLZACho|FomS%+w@ZB+jI)nA3olsmp0y{Kh^}`L#>P5X*RF9cFS+vA zzFR?J+^ww1w*1pemb`;f7cSK!{Luo^cK!Ov4#P-eaUvws{Nag)hSOHXO~7}KRU(+89Yog^0ObE=KEl|63$-RtD<8egN>{f7 zxcQ0{5ItJB3KKYalPLqz4n5Pm!iB>9^MnFzk&os9by^R;zy+JpqqxH+FoF)}%-bR} zn0s?cG#-%S=t_XY9E&#sy_gJ*RH+-H`ZkZ}Wzq5b-`*fAvBr&@p|;5tIkHvXdhI3g zPcG*i0HnKo&|-&y1=b~FGPTPbnwI@rMR589OV}=P91oJv6m1HJ@G8=Lyex<~kB6GO z@-tp%>%VasAHqjNg1(Q5aVN{1Y9_WYU33rIS61j57m`QeR8f&fCeD0cczf|vt zp)DpE8?~pF7Hwbz5?i?uqe2Z5D|}WGdTv3<*2wB3@QW(oS-&DoxskLu{LTWg6y7-A z0IVNWJ`arpR_+=Pe?1+dB%58KO6Pvqu2&v-jkW#O{fUC@ZhMDlQ)T zVzlfQ#c0`$(m}GTg^lobonbP1hQ+}VA@4c{O9IR^Us#%+j~m%fDBfUL{a+gKbX2bB z3Z5En+b;Epg*FZSoy}`tUB}mbd)QhQfShEEdzzO|ns8az149Hw3gGosnGAvJ#==G% z?$zo?#r(mH(dI6Y43aem2G}_yvnnY*iAYH6&*bWwyB9I= zVho$N(dE3heqzQ*6S9RmV*7~3>W+>^>1iSd)uts&|&O_(kt>#1eh5*=)6Q#Fns|YC=Ke&tbf|XsJ=1mGOvh zLi{X;<@D|8Z0)JLJV_=Tg<1@=_=DuMLV%Dwdf4o*^-a@R30J26xQcM;W^sh6wIjXs zbkX0x7qR`r^u3sXJ44$Jkc#u%0OM(Fa@=H1R;Nz+Q8X<*{}QAx7K3+(mzN;jpE0{y zCNUJ>!cCd->~#4EV!7)LrsM;M}0NChEjVrv{^o4belf=2k`Yky> z2st8b&D^MAlxM_#wPpa+fe!0nABREM?2NjH1=8$}TFvi(J@w;~Kc{Usj$W?ShBXrB z)DZ)D$WgPu<^Nl$2?-)IbpPS!yOjw-4s?Ue=ONvvyu%ecL#SJl56DIt;~gQ70THN3 zfxlJDxn!LXISzYt;`B4`vovTQWd}-Ccs3uPjPXUWk~Y{b0TOUCT(vpT%;p;5YwFK1 z(BS=>iMm0xMB^KR7AVf}fIIRl4hXj3rSA_2Y_m|`WoYC5`T!dE1#|2GT=*}8R?L@k zSh`T;;yTHI{dxyGFF+AQ^mJnHZEEt|DG&iT3h#E^E|BQx$res^s%#@f6n>IJZlMxOaR5eML0ue`_-w%{N^jd>u{KLv6riW!1R~F`-l6%=z--kgrt-d-_#^l7D4)&VB;mBM z2-D-eyRBGkT&fTu#}=vcj?A6u&zRs_?o`M^P5Z)`6InK5>oK;uq+hB7Bqq8-6m2-8Ze z&41^rnx=Bz0%={BAcBHw^ z#B8OHKV_Ay4HYlP&J1CK*Qc^XmUA5giX_MAgSX4rzoj?+gzs8bMdVav&p`gxIpYHN|AUgIfeQQFV_ z!es7<1>kJUMiF<^MSbr7b4AbfUWOxLb;&g~+M;ELS6rfP@2M|b#^TzT7e;a}vex*I zwMP#UMEE0e>Jnc@s9C{IXE6GmQb}zX9J}BpOLX@EhOX!*yTkViAu$?fBuE4JBXS-) z;+Wx%6hU5WWB5ETF+M*ki=txmbHdqWhzTDSMdJ04OG}A0U2rJPM}0S)DTs?fNr&9C8rM7Z z0QaOK(-b+XJ|4c+BpsM~s+x4>o-`g$JJ^Rm7SEp8y&~;tBJlQR&NQDX!OyYd?ar~& zsqrI+|8ec>wX68lciOT{RR*vy%Ay2s#?h9o3iV*-fSBq}JAQQ%zf+0leLp)Z{KIgATF> zJK_4}`IV0#z9ffKREBt)+yUyEeO``5pR&&#e+41G1N1gMX`uU1P{De)xw2Eq50ZEL zg`1WYeCw$Wc@3Ta9loenLJRB2`NcR2VE$vSBF3JU?}hWKy5YI1dWqW0H#KAk?^puK zZdexhJuS~~ww1j)28i2cJ#Yc0u#=z4Q|u zY<5912~c^UU221+RgB4YU`UjP{AoPi^YeXB;VPtw_l)Ne0xB!N9q`1EE@_tCp)T}f ztJ};|7kpPN2g#`{b-pDTd>`sr7Q|^#*|0-KIm9*T(Ql_(Vu%5R9aVV4x?L-Baj1bX zUyv(Qh2E45uW&rj_V4M;Z{yvY$G2IscHZ&bUsBcGG%Z~L=it}3J8y&lZ`ftg{SU;L zm;MHXh%HYvfId_BIEGkAyu%u?gh>yY zTXXbjr@NAi-4MA(w(9HNf~mD_ln*w$Ynw~+l(Lp;EUGkehkA^N4Ym<#N`T$JUM^yX zdI9Z3n3ssnlCv&_1QJ08zt5a+Vb%&I#i3;7OZv|%pqTPivhF4T=sGZc_KpPvVo;ir=y*LibpRSa*1rYY@~G^j|C7&;>*c(BD_z zJCj8~E)$nRtxkUz?6gw&7&o8GDQC8uflY2We2RMhst`@Gp z$a#6bBLl*>mXTN#>h$08xOmUn2ch&l-Zdhd{=emFmAQX#ChFT;EMALRfT$a-^uvA? zrM|_D-WH?tI!0H+P^4}r6O!C(k`x&El!qtI0LYYLkv-bOO?uth{1Sgww!3NJTiXi| z^v>O$ToL}HB&U5DiH3N?pMlb+?q=fj&GuV^bC!89v^@DM2wp(i3$=|3HQ>cH{aYjM zJnds$J=e3jjihUr;A&_vK~P-Q^k#U@kh^^1>lFRvzVagQK9nEA zF{!T1OF}{wT{X^O=RX~6Y_nx&3lA~%4RGwYXc&PYO;_6RzX`%$;Gp;XsOM@tAx)K4 z<(@8k?1FmVan;o1T;l_q+~&4c`)!|PGKl4?C-eJ&9vtY)>)8XlRMBWc@y)30b@? z#;Wuih_+x_r$2}{eW=iolQ^!R|93E7I!0MSY6T6`Q1GdJc!j!udh{QKGB-ODZU9QR zFhv?oa{oyprbOZM&MPJ)F{Wn`yCk%-gks8_fYIepol-K|Vsq;OTr760D53(98tW5% z6&7lQ3ttafmD&Z}F6B*Dqx7?&K`+5pPxgYt069MTEUF?X?|2F+yFPfJ@z#_lf!&lV zbz5fi!}T2Ny(<~wD5ZqK4iUhz6>z|4KhIzi=0#}lySK9O^{24L1m<#x6_AovwI5Xw z^b^e?cOOe_M(@r!N--G3W{6-YFIjM=k64<0qtM`g17w+Mq=_9e(_F#gNky#L)+Xd% z(mQ#p%J*^0k8#@g2#SE94uv}9wKCLS-4nKPoUo@+tiWBd87vq8N&Wtd0T2jlxk352&9nAPsJ!tEok7`?F%pWaOk4-tLK`Tbi%coP8fGa(Kydd0#ovED`X~a}c zPUGTbi^`fS%xy4+VpH(TRgRF3VG;Mbte?87AMR))`2Oa7Q~ zyjhP4W#&Udi@iqX*w%MGWXv;az)_fLp=7yNAYd!82AeR6<@1)BDEmoJ*6WQ{8sDRXoH$yB0e8(uDbV4 zNMJJ%zt-s6PJ*WUtDyNR;bV9RYndYoEkPVYX-%~k!lsobYy*-nN%&*Ul$3o z4vX6}oKlhKxcGkS2PgzOC-(ww-i_B~vtn0=%v2QGK{G*ObN;Oga3oZYAyZbl#hCHa zVQD6BH2rI<6A`ki33v0)Zli6)z=SiX)pYVe@5RzUHFPlyCOP%X3VKq!?JqQ*r)qEzDIff=A%!*$DbaeR?}g&o zaG7*bEWlZ%)l;H|tdtYonX2`{*p(d`b;1VENRFNUgV*#DkAH4_0y z9*hz;zz>|wRJ{IC*drto}PKE%^srUv}#y{4QR*1n$MajE^<=h!#w z$`82=;@>Vv-e}7KFi*Sb zT7Q(tLzWtZylvgHt?~zBg?xs&;GmJ%uWLBm@XR6JpHgmH`(^_SUDIKw z{n05KwDDop@`wgu3mrCt*K3Ine}8(yChj`_b$`@6&Fk2{uj_FR2S(qEvo{A!{8I#W z&vT9483LacFv2lj?Tx8;1izb&uQp8YsY}%#QC*qgxqf{Q6gSsLygQ^6#=6U=AxW>=7k~+rTYM*yhg?ezh%Kx!<#@b zQ1)9&dk$p9kT2$vp-hC{L6dt`&mAJ3-OaKQjsn! zp=bUk4UM@R6jD-!>O*@W@SRQmYtQz-VR$6ton3dek60t@cej*Z$?f%aoYq!>i={zJ zO{ZPkJLG}Wt{NKoH#Cp*v(2IQ-5xyGeS1`ESwlLTtY~5Xa0F|Pr9pXdO6XjfS7FxH z+oov1e;e6;-b}Mz@B#=k3sn=Ip}Ck>3}(r~l&`D2ehq1K0MB}n)m2ucU}7#kuIGe_N9&!!iZ z096%lj?9bM<)vR8(`B-%cx~U`3&M-b0?QJM&mV^@H_a?e$AHJq;Il1!VY;1mQeoXh zsy6}YS@f~+E;+@AptL-?w~7-AyJcbRWr4MMn)|+=KQy^Td`>xPG!LoXy`}{eYMZ2= zgUTYx44IM?aHsYlN{^d|Im`_d2}dDr1Bi6#nhc=w!Wpf(bRg)KC2ru5PBS^0hF}HW zMVOL`0v(G4@YbTFe>k%Z{(!ylSjuAy+p0djTDsWu*AH7s6a1q>@xpME_3~aNSPcb}8VGHR;vNoz%1OpO zRjKX!W4mTM^0*A6`n(Lerjq>-EmnZk)%1N~?)QYSN77f2#lnmW!B+bMPe zj95NUlv)O{`vV3R1N9~vm{i$9Y76ZNgV!<1gj5|Iry@G+J!m3-EwfM`?GFR!PcgZV z1S%oM{CA(uB0@g(Z0bjztY|Xb4Enx&_lq__m}3!yJCrg}NKaQrf(fs{nx*O!T|aV~ zM(Z(B5~s^mVRby268>TFEWGlcLcAU+=F)t&9=an(;?q;N0^4jvuTbxf-H+N!37i@u z!%DTV>lG_J@8w6`nne(NG+YmifE-&OI)I^mro#(-`b}RC`ROWQRmnX=ze9&SuBk78 zn_9)vTHh-Fd>z72F!_oL5&b z4N(Ox=i*EGtZ^}D^e3hRsv^AJIiVgChabqG7%%bAR(_O>LJ6>QqdhFJ+2f1p&d z7cxdVpUETNbfa9Jh>4k>#xd4<<0EgadE%Za4@1A&>&cp|`*oq7nEeEiZaV?visgBA z3{bm$|1iOpL7QZZ_8eKnZ_8IGl#zIMq72Lf6CZ7{RKe8X#XwnA-$uz2BHf}rHjD8g zO5LVBkeuI-9D(sTzUJIWMcZru{nqKkH8_fEbmTNZj|XOn@ra|$0&~DB3rq6;_??k7 zUg=NwK2sF_^x>(6dKI`g1`G_2N(`Ad#p9uJ1+8lejYFKW%EudH)oY=J^`KZbrE!1m zEZ;W~>AW77t!BLr0mh>7Y4z4kLfbWfaR9WZ1# z&BShY>dKY`5?|ltos^vS)mSZ% z($Rs3Hh)&A*O204&k&s5_G%gR5pkA>B`l6HsGuDe9Vb%)G=h}^s&2?kU|9iY6t#F} z8@Y&Lxgk3+Mv@)Y4#$dMWI%nYb{Wd$T!#{Z2ErOP+P~WvV;ca-luXlGQg*vtpfiA3^DA*X2TBw*1TKx}^8ucz1 zvfq_b4bWobPV*Ousj~vRMJ?NHqk~*=KW_)2#a646_&bw28&wfh@TYk7;P>PPxqp@){MzdTyIR+?TsPP(pK=86=IDvHk^-5X9?U_%`Q%j*PoUy)HR!eHX~E z;*+SNt5_{)-_Ofy-xuzet=_fQxw%p5Fa-Qk@v3oQNY{VQrMX!f@oG>Xs`x$%nDVVF z)gEO2CBs0o)@CpmCDfh=wISS)9Yq%m%LeQjPV$o1R|X!3;1_^E?nI>eOvdxag)yFScMht-Y z5Eyd1rPdwS6Jxl&JcRoNjWgr_I}GH`*aK=o0VUU>a(bPs_EhS!hs#gDN}#|m(@|&j z$^w#1zG&PJ?VSOzgERyUm*dJO3Oi-1e^hYh~c#J+_ zT9`wS6GzfJAo0A{#@cld`AiDR2`B&zhFaA<(n>E+zan)sBbSLuzMHp+Q5x`=mWd&F zOPY~C9>j1$2NboOp6G$wyz!A%{^zgl_*DY_U&veR8o)NwodRCGNKYDj)`=*1GLU|D zHyyMjbrZh)ImxnaZ?~cK3}Bi`hh^Z4hj-x`6(k}bQFc;aH!dYCUAr9QnxF%F?SG9w zWG}c%6p}!3w(lh7rVS%Roc@IQu8`aZMlz;N?!Msxwx3i-w~B*vTajMwQZ?z^;l0oF zTNx!>tiJ7CnP1=5nxI?DtOeMFtIrcP@vOkfpsmaplZgQ>?M2X*zV76a9tQh?gmPB< zljplj|JD}~?v4qtKYhFfvD~I{%(A*{I}dKYW+V%5-KVutba4~cC>6Kg?x_7u(^2p9 z`l_oyb+BmA1Y-NQe+`|V6&{{5-m}wz7d#zYV?#tP@myPRz4ce@?KMD;eLl$E*zUmy zX9z?pDaUy!i>xt{Sgf9u4?bSmO&WH|XCt?|mJ!*5{^#mP8qe)^rF9hiG&vkoTR$Nb)_EHR; z{5u$CQTZL*e3C}+sRV$%->EQJzfGZ@L}gNuFyX9{he7Ij%B6lC?YirmdLE5nF`*5? zJwq8Bpq*BCcCu`bTR>ewDKfm&KR)2Tjw<;@p-mZ<%z&yi1aA!0yG~slVGZmF@81D- zD;_2E9C6Owjuy{~Pi^oyxF0p%Qzqp+E%XbkJN&rT2=mRET@cW%VtBGV2KT8Em8)AW zzwoFg3E`XTS=G~Uo}F*$cdEwT#_`oj8NIdZUfeT_NmlH%8JPaf@+Rwrj+Gb^P70u~ z6z`~NylTW0Cbw8O*^;s5@_Oi;|C(Ks?-jnzSdm5hAkghLDWL9rbNfx%G(I#28 zpf=z(`2{{oDF{fE*YM>~!7PmSt(FjRcH24~A)gR9R~I`>9rrC*kZw(ElTT1!9aA_H znKWpV--lq`M-i2MYK9kv^$hJ3gB4q6SOKwXOA$Q#x7>|m0Y@r0?8WRv~D>Zk9S9J+wwdtZrKOpQU(ul+VX}Zz44Atq6bcB(h`VseGxkbQ&AX{l( z800#R8;l8f^_6(mG>;cK>d8%dxL471dAO6Cuy9fTJ!N31CRVu;oeTw5FqbX-0_kUk z#eH(@VJ|=tygS*Bd!<+8Ij_d^GC~9|agF3P0Ot7G#5_=dRWf8TA8OS<3kHmdc4Vs3 zF$7Evm<=q5m+@~e#IkTbRCqMd7If7RvzV3AZ!X3D=Rpb5 zP8~EClm}KR^zjWx9d_)-2eEL}gx1vxGv6;oP2a@M6{ZBk2Xd71_SCsJe-qAMLJ~ws z3z>2segZ3u)p2}@7Y)Z16!gCyP7oxj&IA1mW zodW>5m)Fpdp4*DV1YZYN|1cA4`FVoUKk@Glyo1&~b2Xe>yp?8M7;UV}C?Uam@1_Kf zNtm|Qx~vdWc}4J~zp|q>k9Mq#GUIJE`^IHw8F`sMK-Ck2mrMR$(=wkRAu@l|NCT_x z`@$C;zWxm3>3?b2zhQg7Y}waZE%4k7@E!qTYJ3P_G{wbe>d|Y0tlviU&N(Y|{w+d! zP79S4aB5ysJxF&e>K0ElQfZoHX;z*vH@b!1kL^i+H&9D#XagZnMHAYtiwYRy!*C&I zMPKW?{7Mf^i5TuBvSU>*s3LcUtT+g|a9-~&muFz(W8glpC}bJ@7n@I_C*|^8Dt7=# z3hIm?lp5wMkmW0tMCdXko<>`lPv_OJJwtfdbp5Ej?vljcuRNR=hI+87bwB0ABW`?f z1Y`V2e;GRn$f*w}F!LK+7Gg3z%+op`f~tXzK&=&(-kN z{FT+4V2V;d-FVFhS!?BH9?Q^pVFL~rMY?Oy;xR<=Q6!Q&q{zPs$D%2p#2loFN=nAJ zTILK2Al}<5jxdk`3PAi?@pLgDnz-OSmG(7kbKKI%R07!SiP4P-xfm3SW}0{y#QAuP zOHt$R!*-X78z1s_-GrwRsA3;R{5<;vymGZh*Q35kcL>8TGFlXLo$D$R?yrCntrzJi z`lOJ@+0_~dVqH|^|(@T)AC-< zWm`reDAoxE#Pk8Mzl9R0e^Y&APb65ONEoh3h2PfAzN~TTug=FJa`BaTC3J@Pf;$J( z8J6s|qpHgE|KDOQ_L7nlG!9fN-E&|J1MY-{4Zqy>rS83G_AFPk|h=ZO8e z4n1?j5z@a=nsXDel9&oXRXT9V6Q>^=XN2)ch#-Mg_4)5agsj*L>ZVsb{Y_dmk23Hx z7Hwuqbmx<%Y*IjHux1QpBdPo4o74rev8$Y0-ZU&xxmgqCdcG^D+DjKoP84s378+Ki zX~osD{lfc8;D|j+NCLo>*zSq@CF)8I&1%X^W;l2tIvqzq)7IB+>&{T^>y%GziZnfe zdb2N)B-h3%J?UY}jupG*P(-=)Hl;$>=gYOV`VWZKP$seK?`__JoGlXQ9h9_dhSL^* zSUa`)6*F=|Pe~3<^&9PhDOclX`2Fta;eh@jh28~9YAmO@)J_2B^{9(hvI#Y~3e4g_ z)u^3k7RcrA9O*GEiP@QbC2&shblAIer1gd5Hv|P23qhm;R)VB5-6qe~l>4Hfj|aB4 z>yBWonCJlZp->vSzfOC^0P_&b*I3jS=}FHrE)#GadB>JA__iLJsJY#<7EoKIQs@S2 z<+NSrGONJ+&vQU5xFGuGdHXe%^*SuwVgGR&6oN!Kb&Rdaas^Bzh1Te!lt0s0Aq0_q6Bfgpa#=nVG>DEskm4Y@+<{J(R zn^yJ9>D;XAIKRG5ZJJhtQPif(id2Ir;z%coNjhSSoF|GLQ|%1#5Nr7G!{#{) zER&BU23f}YCala$1+C^oL8RKg3b8H!UQa#r%W5blmt&RO;T3_LDW35@ZBZ<5CjVWI zrj$%jrX^0Jq$f@<{OCWTaKcRwtrc*cOX3!Y&muQu)6Gi$VM6b5%q;|rPn)`k_UV$B zOr@3_OD#B(TAXLdyT#N}BT3~s30|(bfU?g}LWFim;P2yjypf0FSw_$xw2Ko6`!akN z$CcFDM*?HIh+ud_1}Ez<};zxQWphm;~CP)u@9$_{c(T5Ct27u8Hx=s2KyMi&%OX{MzrI7 zCiWtY-Fv?vQI_ig$yeu_ab$ujd7GZn2mDDs7D=Xz4;9FtOq;RGIFv12d(OmdN(g7^ zVW`?wm1;qxjuuVr1mhCsO~~?+`CN{B`$;vH|7-11#P%be|MBO{GG|_oXDs0&_U%~z zxPc33nuHIJw*)fBwBCW8kL1-|tMYb(&H(b1(cBT^jm^GlPCves&1%oJ=(E3He8Qz3 zMl$u}eD1pJRthPmSeiLLBb;}Z97vJJ-V4_GwhqcXs`yah~7m({=~=#-Mj5Jd#m~v?8|$KIKmYF2g!^GkUU6 z4JsE_VSY;gq!XCDsKkwRU8+C62WZan^OH&5k>!L=30dY*`V>*YpvzA5wRJ18zVVXY z0=VeV2-DadQa9H~I-z#S( zT;0)8Biqjs74|a5n3hcN4O0th@7ft`F7>HdN~0l(v&sQ-%tkfe<2(q6*}s_i#W8w{ z2#kTVf8?cp_22JcF(V|WhGX&!PVNIJbc$458u?CBb2Z|aej40?cxPmB5r2MN6xBBj zM|156ND;;G8KyGb3HeY6;q5d35sBKi#C#Za^L5lZtN=UgB7y5u?sKa>+1PNe3@sme zxv;&Po79Q;W2_Xe`q?HNScr>XjqYLqgU5@ zcddXQ)R^12)^2w@wZBrNPc9u7uN*$Q9wDjF^L`ZcHmsc_-B9|;H}-OFd3SJ4yXLI0 zME;1>Vv)jYiDb9_7!c>VvULf-sAJ9sQ+7if&cK()oh;&TE<9)2ffuA0u&zyV;Si1z zU>?pJL*UvA_OH7qdFU4~u}Ffap5NUF*}qXRD1nM%Vj3>QXURKGAM%bu9kg!hJ4(um z>vlb0b;i;0+UynAf!yR7e0oT=3Xy7h$f}9cZ9d?XMsamwADAZJq6i1v>m-??s0vo3 zky1{Kk40@Stj@b!xk2ww5%|w_BjZD)>*bV!{w&Wfu%AdN7s$1DmDzi}OM6w4@{Z0^ zgmhKBmzM}ojRIObD{qGT&JX-6vR~5N_kzsCD|}(YP;t#-V3nTxFS`;;9edxny!5s% znkl=aUeySu$v_jl`%WDY5_W2$vR`jS0C}|H^k+Y4nZy@t>-EcB_Fc|_w*nFaJRX9A zWpu7C*QgC`XwZ7wwF?=is1O%=3M?~u!XD22V&E29L!1i>#SHh|ESEF*-5}0Z2C2-+ zX4bvp&Yakka8InA}Obhp?j?)VLuOKHe zhaLn(%A;D?`mJVntta7JLLsXx{_M=#tp`4wOCUM)>WIqY7Ylwu`3;)zhms~>^xCc| zXflPcnoSEwD`)?X5rsPa%=j1xgtKC2=TjsV(fcQ$cq!(}56tg^$;c0HELj`^ zn(TLdore5nEyEiC4sK`6tA}hjpp%3%jAC>FD2qaFn2z_G({MF8gGv_1Wi##sZ|<_4 zVVs9^MG$@ohNv{W2t}BmPi{bvhdfnXlWY58><#n=dz*93lQU9;1@ijrm|xWv3X%!` zA{NWBHqo>a>7~lfhdCPxHvqQzmDK%T^LI3)m||1kGwwG4e*GJ5YbSex`Xf1WarGCy z&Ff#1XsFa4nBfUL5vORX^J_cpJ7NX9yt)`(oC|NrXOz}OVnW(>@8lBVJ54+WIuxMb z`~~fpZA4ed&|4uapSnFtJQf{Bf*Osq_PaYu*Z$Xt(J2@!*HL!}{%baW`>|_6um%DA zpHBGlgv|k1+`Se^7+65cF;%@NymHav#!jNmU|B32YpCe}6~=eRvGBdVp7l#jnP zZ(Uy=DV@ceG#3VWj5&fWA=U(WZ>+cGB+r=^Iqak0!cG3KkmdHRQB~)-9)Co=87$gA zXkI^H$)y&~D zxl^DiFPcZalb6}><>$n&pj58rTU!N~_tBZ=sy||0twc6JkO$w}&m`9}CUh8G%h##W zn@<;nrv?THso0R$*m$@2h1+LCV`g~*@T0r{$AoL#)!oMlIvxi8?MluPNhDQl-Z)fb z@u=sK$(nJR&Fb^kj4!FlQl_X3DU$Rt8j&D-H}YhTq}G+v&73Qd`emn123+pP=hB>U zOzE_I@!kyaRqT9GI5CJcCXt09IV?)pP7N>6C3&@g$s#lN6a~4h-I+%>IGdAoJum}6 z*IeAos(CGGpY-yB(Kc^nACI}G)QCqwV2u?TZorFUA^?+_SwA5xzhOfFnedEqp&$^) zDB^{uAa1XMj50DFWlua!$hl!A@e$*p_upejr$+U6-lkBn*}g-FKqZUx;{FueN? z*)nu>5g*MPE|f?ZxCEB8_xamiK0PU5d$Bio|M4Q5Q1ckC=T)wm{cWq$`x=KY49pLU z%`+51@$}{70)O&^={zFFmPf6dBe44m-X`+f(dBWs(r0jgY_A(KR^)rvf|=Ve--LEx zCUqrBzxZV=qocb@x#azrrk>gGS4XKR!*3{Q=OWW(G}8@!{oTS5rGtKq1P%^>PNN|^ z;*#-YJcu!c${VV=Du?HhNSlis4~YRwx45y+!jTA6go9(36H5Wc3K`F>uknb}ttoGx2 zX0j?>UQSdJ+d7b_8cx=hFgKa(EvEeGsVweZj@=cC&C{En}rZj{4NYmxb5k#m_&-q1eR>q^9a^%9h0Q@^;A1Ia0J;hex`Tol z7d=+)_J{!V@GQIjx}Abz*0FEkuK}yz#t(*4C~%{{0aFNEugKXF+*+?J`x>XeP5- z>q+6=+gN?!0s;Ad z^@S_00EJs)7A{0X;U{+9dcwWWY@`(wn@22CH^lrJ|fT#!6EKk$_Gp5%78@?;cN$XwS* zP>{rSDEv9CyzxHbA;j|QzJW|gCQEqI)io_ZBV2>JZ2 zIKG7Ex^r*afzb8XVacw#9V(+wph1FM31##kiy8Grhks!NBOBYy+t!~`ZO-jX_8wBE zfrtI%hxd0$YCl3^h(sp6NXW{<6i+h5I4;O~u&=9@CKt@u60MvFl zs4~XYmE|ZfT_ax)j{)M=(g#a%_})+B)8A;R&1`pcEpZzpk)P04 z4bZ2161DHX7e=+4KK#&2e4W50@4~Td zY;0>|+qP}nnb@{A=0+QD>})%A3rnm#ji{+Q|NbNXqhL5yRX zT{jlCf!J`*f6v}i|2mJYR_@&D+QB7BWTF+iLVo16}R`jjqVC60PG`x2edaH#< zVgwC%?kxsnI9n~PqCk=6jI^|OKV^pQdsj8od!*y=tavQe)Nyvoi@Z7W-*k8Z)Zk~_ zMvb;#wV}KAJD;V6WoOnV;=(lU(U?6vN3{5cQYN6(!f-?<(*o&l5T#-yYudzM zR8m@CR0T8^AqrVmN9jP)Wir(EebvfJ`kpd7(>r4KX4<|`_A9(FycJDPNLNDG_!cmo ztboUJs=xAd0!62{MHglFyjzaPD-eq3n&J=->9z8|v@L9Ojc&`n+Z!!{U}Iww6))o1 z7yAyC!}2=j1<-P4q4md6yixtp|Nd*XETYo9^xnqdB@r}@iac7dzRi9jsixvy-|sEW z|1Q{2@Yg54B7${6q!ww23!256S0+!f!}mMF{3SsH761-YEwVG1+2O2j_jowRs9y`6 z&K-=sMo~?xwV?qNvnb?vIJ^#h7)sh-svsC1e==ONNSaHUSHdA6(g1|#) zJ@R&q(7Amwzfv{@g}1@R#m>fVg@XP3*1I6HF^Fi4YKxpGu<5K=@#s@$idpj3>Q&h0 zyL(_1IUo?!0(xbcH;qo!FE$b6Z+^(fHy!rtPncY{6h!1i*>V%&s!xkQwgBu8S^uR& zg<4U`rmF{Q%}|#w;&XYX$tIxpkm8^U+(OUq4HX%&lHG3_EUX@pOiY$#W0*H8r4M~lQO!!h99rjl@QUe8R%hL7MLXQ!JXAnniF%P-`Ivw{>Uju z0#n1dJ6;}s4cuN24!3*1b9_e*Rw4d=BC=-5Aje{Yl|c$2NMDR^7-a8%w;gB8*GzOU z=CVU1_&YD{Su54yXe!W#>;1AM7JX=~h~fy@^*{j)LS;vV)G!aWJjT_TRIv?# zG8rj_$6fRuH9&nw9qeuRYq}EN$F8$)l;qXE%*mlC68szOpN!rmbnG$QV>Qzctlj8k z=?#-Y5s&273h}yc+*ot*TBoge_JH>Gl{PJpU-}7BereHwWo2aVcs;Klm`ef1w%3Kl zrEj^Z@&$75eKF*SWx{AEKjnOfbt79zbikU6rDL>%hP~S2(ci7JEAnu}zcST2^sIY} zfp|Pk3fDu!3=wiqtt9wO0o5mEUGX4Q5y+LBwbH2i-+=x(;K z?K25{*bsfRi?YFcdR;x>lK=?noVtfGxNt%_AnI6&E8jTd>f61m^8Yut$hp6D!mdQ} zk>NHLAp(AdEUEg>mPN$72bNW#gz_2n7SHJ^6fHBE9oy(s@6>jSF638HkI%J_-eOVk0Am110p?gGi2N z4oQjNS5a{WTX$jQzFMjix-4OdOGcT4x{Fiv;NcpQpO?l?eif7Zxr8S?k+jCVq>_fI z4?6nsxt97MXYUJ?aXhJRItc4u#GL48hYBc{!R<@cUTk!Bw{xC_?wY_R84%JGiXfe+ z4fCo0k{Nuu2lvcj%mM=hX$Ef#+M%5hI75Bt2Gu-ly=?g`j+ERU?n2|`{S2K@gSrFD z$6Q1joPI$5P0~)GfU#BytI@GtJ}X<%;pj+eOrLZpsWAuKB2$}VKMbOm`;v85J=N}+ z4;p-GJ!Q3-wZtp^PMJ79ej0QMbVk*blO3JU|1O0Pn`!wq;lcjA+B0V#+4>&CCNP26 zw|L~bu839}->0hZ`}8YkMXeZi7gJr{(kX3ytde<2MI$+zar9!;wjiL&9JYv{DE`=I!uhlac>&w}*a5o9W_tCZ=Z z1eY@>p8p_gWY4*hAr}U*lV2_ASv-+eu$%S+vzj8Ts0>#;jI^02 zMJr8~T%+_hQoZfFi8`Z*9)Cai&UbFeyo)CQ%V`vKO#Gd=l}ypB(`2c&7!vh@U&}VZ z3(q9~U4Y=vwMMGpMfM>n?)33cMZV_U%=FK=hU{ErjTDYC8y5*}`M*kK1a-<-Q=D!H zwA!cX=&{N<7t!le*eK99f5I%E&H6s{PFlLGVv*V+im0#X!ppwf1lWGlB=Y%ebAA&C zUJirCGmwF;9q?AvV6@+9@KfxjEyS=Td+?b*@8D&4w_EOVnr(Al|0qP6+^z=XU0_ug zblrL_?0qZty9u7Lw>gAFI}g|#R_*okdy3%D$?ABHeKo-PM0=j@n_1$=CvUeO-Mhbt8vbrGm8coJiZu}Rw|6He5-#@qq zaWchY=Trq_u(bvS)#@wDA6?+7CCv8-3{pZWar z-QMyr%`-P-_&8+#7keZRokw%I`bKsiHx>re*8(38n|%95(Xsg`t^)CAOJVtX9t4#X z_>j4h0b=$xW+{0_FnQh;W~qNS#^CVdg*QJWUz#hyv}wL~-gMwgHW^>))rJ;>{g}!$mNE&}S&g zpq&`)4bl`IveD71PhG&ni_K%gu(yGQX1l!$Gtde~jytaQ$fZ;Pr)BOmk)BH2qb@BZ z{JtaagvE(-sCD)Y%zG~NhK5h-`8TiirMj?`W6$q@MH+iH zQ7$)fja{>LSs3$EZZ|f^qe(U2(Lo^b*pIu^#`(X^m;c-+R26T9gIAAZT2^qe46Vt> zY=qI}JDkUwYResRWwgJ}9d8RfbXjx^s4kOVP`2)DnT(GF{9EiV?vs*xD9GyVJ+xpu znI3J&6I4odm*ErMh+ohhAN^6{JX*;Fqc-g9`3c2-yq_%1tY=LARCHU+42s8I=g$e? z+2#FXNG~`m!yuS*M;z;tThV;!Z`6OeT3z3BOI?rFG=G`!_z zHp_sk%c+4?&m4S&oq!@3? z!(a~MC2xmPvAnWJ)s?96DG6YV^Lu?SC+r z_w>Qa{x+{;UegCfeHg$kbnb?C5w9X@dh{JbAG4z6ZZaG`bBy@FLTUx;U_kA!-Q5xr4ns!c9mN_86?Tvd6Ety?6ep zkg_U<9cKkTV_k_Kbo$KTd!RuWe1@1aVW4Kf@}zL^#XdN0zzX2Ziu$90kh#7(m7eRb zo%CJgmvBq#v1M);{DW;tU4Q*B+2zEcLJk;x*I)i-*s3pKFJ$=`lvz^1>Vvo70Ge=}L^ z95*9#=c8*e{tkf0R)0fX8d)8D5wVLhW+;Rgqw(;kM*aAZ_c<6Zqv7TuJ(0>!5su&! z@)3mz`S67%>Qgu;dDC9Ad)MaSRlhktl+MEY2x|$ zC48e5JHxPfh%4ijWshJh@4r--g9Kfj3fFYSc;9eX^^O@)n zvVi^kYTY^;^?P1?W8dWu&p>#7N3FJ6d*v&G!)lGfXSUPM1lYh$Y$`1)Ysq}u=|8!{}x==1?D>tFOL;>4Y5#@6pY)2%ovvBox926=}24kC>EFYae*2r6(f_{G$HF+M;uKx`1r|n30KYg?R1RCV% z4weMa+*ew&3~Sm?-gBOLsWgnrta5+ADl%=7;VIHb2rOsv<;zR)T!3pwC8OyoX7ee5bNLt{Z{=2WE7wp#>EKu(qa)Bsv{kS+7QXN4_ z7bHiYSN^_ShcVU=h0RCPnYDJTJST9Mhj#C+CZou?@(zDdOLlzV_kGH24M>W8Rd|=p zksTKAR6Q#2!p?D--VY3bN;slSSOHTXCIN-ejw=dZd+*#p`qlw;C5cj-vk=mj51(TQ$LSL_7Ga1uEqv7yqj{*}%xWc&!k|VA`Mu8e9ua9)XYw?s%-pvNN5z z)o-xFo5n26XOgouheW)=nd36=k=-q5T01!*((u04{WIj{o$!Yna<^^X80R?Lc} zGRl>7HE9ux{T0fwp)58UMSu2StA^E~h>W?6WQe%blig5pPMvL0P94P;?tjUDkb)ls zSo~8(N&lyU@-Ae$`&-CX@Uxutglps??S*u}7;H&yuitv3(}$8Y-g zyq?Hie^ z-5l%E;3{OsVDb+UjOzwT5gCH{T|;ijfZP~r6+d5`yIRgu3}~~hUy%}viDYDPHN(zv zUVVT`efrTlIFJ~Rsj7u)c%aom@5>N=yvg-eSiUi3D){tRUqnxU@EF(a{!Vnnx=h5+ zg16>ZRNkXX4c40z8APS*Yx1&oQcbcSuDUDniN_)&_ZNvCcrJNjR0jn}^2|GcszK>N zbW8plUn_5rWwOx8Q%Q8TN2(m3%;_BxYp(@Yf;a5?eF0H%f1ONZPto|%em*X$v#EZ+ z-{21EbuL&3o_BGBJ7--n+B9x?>6vpqQO|)5XlyKOswOd>x06+`t^EAy&ISF_tQlf; zAB`y!Opb!PhjCH zR0U!xd?`IWB-3QaM%Q=5K2|0RHE&(oewB75WJsu%F2tNTXlLvteKbq>rK0Q=bs5>r-$4X_2F-HSf|20%-|MjOMkd>MNIjv=_sp3l?O zj>S3wGYCvBO`?mE3wTKRkik|M0y=!XQFgn#kl`qiqx`T~H08s+>UPp|xmx0Lxpu-Y z{Prby=hd?7bK1Fvo-jRT@MQHy*KgRdxx{ghqJ^iI!+rXN>wkY0LhubirCub}Pj&X1 zfFwp!u|z5~^ipYg0kJ;*ap=+rnOD+#3+gA~A>c^{%w7pa&JDKIm{K->)dy5+ z+;1tP6(!Ea{=GrL!4M>bG(dveJL1`oovGE8+7+KP(*lo9@!;!S-AjhA=mlWY{=TIo zaBn+#)lwP>5OKmyMWO2kwBJzLDZ-I&CJ_MuXjF9@!?^H%L5G|ddsF>EGd5j)oo`)@;9j@qf4&)vk8!!%&3$BPxUe0S}7sz4z$50+iq9HI=rlNQEgo#RyweYj&pvbotv-`}O*Zv57 z`*Wwd8jLKHU**mOaXK~rg5sjoCxbc0qYI==E4&ZpuS#`>k;?)*KPl;pi99?oJ>n9$g+1JgU*@+5}=*wUB)2D)ifp5LxA`UQ`8K+T3V&#_#K_1q=;B!d&DZo3o3pl zD6o1I47#IpUzaj@TZpGp?B1*+rpis5L@Tn~~xj&7lhi9M19SM=OZE zn_3!h=nz8aS>pEGe&E2Q#)H4~v0=TtNoTR!>KrB2)Zj~VSM2#|Ve-OJtVs;GMW<42 z0{yHSN@NufH{lSri*ELw_a&K(#>$|!J~tk62zyn+E!A+$CY$%wY5PJyIVKc45nrK) zx`vda;7zuhv$`Zo8zD~Q)o=V?H28Z%-T0k$q$p7#ci(T59B?`BV>;tDP7*PTbUW^z z4^Cw*pW44EHR4x5_+?wg9_e|3KDc#>03-`#!lts+qp{N8)GC_wc>*kzRiZVsmL15S zTqp~8owAX0A8>>GjmDt(2_i!{M8OplI4iOX@v*{UVj;>vg5%1dmcwvtp^qQ}LOUb; z9hD9GH9|wBH{bV@y@u}l@`KY}G9&vTEln(}VWuwOrsD7OjP7@+rBCy2YIeU`HQSD0 z$Tv_3aX~#JRlj92^*V-I{tCJR8SW|mT_ieb=O4`KrPvR&BoByZvHy%EjN1nu01p=_X2hm-phg-C+R!N$ZsXiIcowbhrb%u6!}?amb(%zq~d0 z;n#;3&jdm>IoVK9LiBO-o#42}0ocm4@h|Qo8gvsh=?gm#1#^hvUB z@}R9lZ0C)_IiXgXAXC!ds!YB^^u7w=?d-<=_-A<>6DQIvfAu9Tx42{A4{%V6aLGI- z2(7N7hGTMGGh0_ALLIc@)VzSp3sMy(p9Ww~AnW>)2z$!H)CpA{#Tm zwSh%hs8wfMH*0^Z9^Hj;)q)w{+U@6KK_3}qA2HjJRQj`Q0VHdC4R95iz#N^iIKr8b zgO7{c0%N>pCS%H#44xKEc^>#2h(1PEL=kHeryR4f)uZ*aqi!m-Ocg?tF-mV~?f{Qz zKEDu)Gg8~C_G!(er?s1EZ%b+poIz!UVt#SOr2$#^x1hp?{;w-X)>ho|w`N$!xI#JS z54C1RsaBTx3$q4fSpX5I6vnoT&j2anjWAeq=)9!~>R6K3mm4=Dx3R}}UL%{}!XQfS zW;bD0)MgQ~L)7o=y`{C4iEq=RuM`vc<{2sB8tKt5%+iwS6DWsd??hv>(*S2dos!#0 zlmjcKU>**CBFNBm$(^b;39T-gsr^tCytqBs( z;s~l{dAb;VgI2{I>~QgYKnqLfLQ55g@hqsdbc&nBr^@&8;0N7>rjN~beq1Y9Te6I5<29nMQB8Nwd4xbKx=tLGoYVz_jDb-mGwS1_yxzTD6hGVRx_0IuZ zLMj{)40tNI5QHgp`7t%~Lkol^W8{xa74V%AR`<12fSw2kl(0-tdb`6yy2Ly9B=cM+ zNI1@I02*<}hvir>@)Uc$F)*hoYCTyu!R$<<|42q zorhIdB>|->;{`*@)o8lGWiJDbq0f_F8)N3WsH*CVL#Im~hG~uI$BEDn3H1t^WligL z4bmth0JmJCLFK9Z38VynDQ)kMq###Bpxy*&;D+bbshua)Mnkng7xN;=`Ol^A@?7Vd zKjyC*vdEcoMsNQM(l-su*QM_&6*17`O?*GUF@8(@L5vIte_Kl8mg!!APk-IBT?Ev0 zg`l>Wdqj*$@*_R23Qpzgu5vYFdT~A?(Eu&nC`X2fXxB{|oa`FDBc&GO|6~*q{lXvx za?%r0T`HxROi6#o&SCTY39K1%@d|6qBlk%F^9_ZGG%rKyl7 zhio3bhPCNkE!a!g4>jB7f#31o#h7p3dLY-%{x!YVQD|xAXCG%xcCB@^(r`5?2RwI| z9OWRJqk&@C#z=qqsU!yaP1moZ{G=1UuW+9Ofr7926~J6*DvGF6Pw*YJl6iG3cj@X) z@y8;Jr>pd~10&PgBt=+9TZt2=pu+aws}{m^LjtnhQ|L;6Rskz?NNYP_iwM*F2#I9N zI7)+mI*Fu(0Z7>qK$}`<9cvXpH7`ajOdWaORGvXNi^U(`1c5iU zE;cffgALSFBB+reF{*nW7MHbKi&6%FLK2C?0uwk>Zx>6sRLb z43GGJqW332=4vsN8pEs^a7 zpaE~putxs3@vQvM3tp_dxK$L%Uhj~V15_xw!dIqBuwgp4sH>t{wYj2dY0pUf7qvN^ z(*#(fOllphi2R@D6X_SLR$6za^>Jt{Oz63&d)-_(yTA^e5F&+SvE85f@?)O+f2P0ED)-2we=^}m#^>QRn>+A@r`IICDj2S<*QunqIa=xOr>3!7Wg=a|EG`}*GbZx?rE zk|%}uA(;%!U=kNSZN>wFub0x}KE}K=?>lKs&;k4|Ajix9WS`b?H`TWh9OPbDEC-p+Sl|Rn{3SQGrAX;~vS|H;gqqNUUQIY%u zO>NYt{sh%SCQx_82QSDC72i0CTypfUZ{Kx(=nu;}JN!IGSz^*toMd_BN&%Ck>d}}B z?*)KNEKSA2CVLHUpxY$Ln2$S;Q`!dtUV<-@)l^E4IJ~*(*D{vl6h(p>8vX2#qm^?8 zg?%o88H1cTt{phJ*1jr^B+o&4asp>`Y00%cEkm#c3x=qoxPH_>L^7+$e-)3+aadDZ z`25@>y;jQDztM)a442SbE2nc(%dVtQOpXG7Y~(lEhj$}!ml_ed|MX1PL9mDuzNZ)U z_7WD_+E$S}bfM&Q&;CQ4?n-lcYklN(MMK;5P)P2ovfx2HgnwpLk^%*#K!O4Q74 zc+@gEmysOK34I90zx^1x)Jqplzk$nT15?dZXfNDDDNP+qDMr=SE6+G5r?eCat?CWz zz9&;v4lohI%(KA`uiti+;D6Hv_bJy7=ABI;!@Q*IAJ2+WV&g+>+PCyX!E`h3!`h7(1Mh~Cs=8|M6 zNqk7$#I)FbZ&rAWs;5=6G)RYyP~iJ#`P+)!AdniRx}ezrv4b^v(Q#L|)sd9gn)eSni=r zs8wEI%n0N4Db?`ouIrhiEopOEa*~efvs8^WMr9bhqi!QcuhjL6b;NWLxCW|GP-s2X zVv~jjvy7?~_S;27UkG5Qu6kh&LWw-l2g`{}&znX-C>5<6ofN1m(R*yKh3}Ryt=IwM z{m(66`^dC&BD+Nn0s=X1M@`;jYv zJ=hq_W_}#tc>0&Nq<<#Ca*|*M|hh&gj5C*(1Hhc1d{{JXBgoq$hWx^G}hnwZ@=@ z{imK1Eo&C~a6cmr@Kd}1Y^BVbKaJy!ti;rKY_KN85OSv|%q<+nzk0cS5Mmg?NmwqY(DJ@Jf6O~vv6mzMPD1fly?#aGlzu|c=?Mk9f(z>mXU{u&6A!R7XYLo-|0{%HKiDgh@k;09rC~9!Ik5b1j~jf z5fTbu^SK6PC!T1en8-=^+dYJs$kK|V@`i_+nRBOasYxqYFLmk4(IZDZXW?LOA(#*r zT^sJ$Q3W(pmO_ij6I850whpzHQl~pWzP>TmO6Fc^VcUrl4rToV3_ZDfL&7M!n=cs1 z21oS7A6=Lzgrz`%nBLakt7`j>)6c&ReS_B=weTt7tUZ{JqNDSA%^ju=LnwZQNQ7 zStE8!ciSvN2EWhU=;q44{>2Pr0}q@|imaKhemccOh-~aynB6^g%-8g*`ppn1mVzb2 z@SFSzO6I`d0x+tuD=SDvczX7d!`{k%1d?Fr1S4ILt=OG$5O4Uq*Z`sWwRQ~ZsH&iX z%)j6=9xuU_XxQYSDM7^aMq((;dN6ZNe@4!vc3Mh7l%cu{5(4+L*Nv|qzgVj(2=& zs+9W#OSbz=(+@1s-V#A!?DOe8(s8R}7Ul7>9aHq70_lgR$&4w@RWFv^V%Lc`8a ztlLZ|-J4IFdAYUHrQJIAM4E#{h~&mndfb3e6(0r)(9$spw-DuS9Gdj7kD9Qa7UDCj zZD=D_eg1n0q?MZ&C5TG?&TkYlNCwK!l*RdfOK_;cw|Gj(LIIR1`H;k&F8p`fjSgbu z-s#qM<9$d?Z!XB6X%W3uf(MYpS#z#niEu57-Y6XNsJ*`b{8@~&4EcWN9bUBe(R2Z` zDvGE_;h2XEh*ZD^r^*zbO=XncCZU3rs{H=wFZij|xH))OA4Y7fVv$>1s**X~QN1>C z1nFyMHO!}~@waDdC6%u~vy&Z`4Kihhb2b$Dkbi4}@M-hd7M7>!@8z3E69V z2U5y6-HUxFsKk$`Bu9HX4>8@;Hk7jic%4C&!&bb z88~z@G-a=p!H(#Daa7bI8TtkW-*>MlBv!Wl!;>-}@rEmUQZ-QDD}2APaRGc+!R*KW z*de#lKbK<$qMM0f8-FolK}Jd%C>bCfUC4*uwm^pO z6MVw;lZDp$-RXh97Z{Mza1q1 z#$tbI4%20R9R;IpJ(vh%0s%r)3gt$ELDPHwbJAk+l*84SB2e_F2Dq89`sl2R6RlR- zFAdZeHE!CdK=gj;Ye3Cp!N$*KWF_!rl;J~r1rRr3zP0u2A z+>9q|Lq=JKo-nNf#DM?X=j@Jeo^H8e@%zOf`Yhzgvp+gbYX_hIWV;{=9q@r}6F7H(t-6ut>}j;q_3j3UK)PBZO>Ef?@r|l ze4JmLm%2zw0qDaSUM5;B@o*X~Op$Rts(4q&KkZX6?Tcj~>kIVjYH?EM#N$^yDy1gi ze^6Bn-N?k_=h985J>&B}852P0e!f;!tvh(Ox%A5}>gq2eL<0B!*`Z~fLiMhtc&AdV z4O2`3oHa&2&D)_YV)X)Nf<7MiKf#n_p<&KH*dU=Xus}hk_mM%AWWgaYKww~CK%}F@ zTJzIDgF(T+v<&hLP`3Xn`dOg(8SWXN)c>^#GC)=St4w8n4Wnd&(*A#z*8j1-(i)Qg zXIT8d%6}(e&HA57zAVxI4i{&E%KTTk$^zwt{d%kpJu`jIY6f#QC=1blOnzPIX-IGo zkkGG=i!dPn@70zOm<@{kU+3&>P<+t4jFxOrs{cA!x59SZV1a-Xx`2Yf{l7jSxEXKR zptyYhnfbqq@IPw+|Npy5Gynv|)X~As+`)~}%ic~&78DEvc0 M6%a{5IeK$Ey%!b zCQ3P--e3P|V>MM4xnDi05(F~xnC#)S& zxDqFa>s=KAhGNVHViJkuH>h~s*kippc^u!Je&@R?n+(Vvj+bKV5fkDID!%A=6Ld~5?vB2BcPDt2Ak1Z`^6h_BL_(23!z1)i4? zuGpoL1=c69fm*x}6TKJEZ%OWLRyc%i)f{i)!wUwf9qj>WhCS~NCv%{bXrB5gZmk=X z;kiheNdz#RQGu%?w`uaMgJ{b(iCwIWQD})xw7s(Po2*{-x;*tzSQog1R4Ayg0%wL{ zwiRV=xzgEF3y^V@!^;}>J2k_^?C3e=BoI``Cx?e#68G)1n3S&*MOt%_rPY*4fUX%!9^Ms+GX?jWungujq7ZREzdVrOvC3nP0u3awn9CNPU z)N8Z~Cf_Oi9+u9QgII()7>iulZyP_jw|0iC0#WIJV0ezU-3QU{Y2zJK|8-2Qr;`kG)&4FgTF7!9vbw29(j zpaXb3NYqzv;q2>KquLTn!3oC@;KEdq&7RlRlGg#|xMs&7Uer!(_BtXt)dwCLz<8IL z1GGCBK?Hu@>xQ~gzf1_`9+opo1q&IozrHv-)h6l3XL0DO~ z90?jyUW#4p2{O;o?5^Xb8O&&PS$081-vTaPUyq?leUbPK+lax-@JD>{%-HI!qo+62 zMmpoywgvHeeSL-51@U6T#}4-&Z(lp!*i^ znU+*FOy(Gz)pg=C9I*sOCvbi)cxj;;2|Ll@HvZm-7{+^=b3T1;IEBg-{u z;?ONZf4wxovHB$&rSYl~z)RK3Z2(Asg_KTYK;vZeswJ4b#J(NXuu<@U688P(O zdl&IyO%g3&IO@X42Q^|~?3G-2LMmpaqK;&7OHdOi?PId8D?0l5AGG%~>+BDx|7>JQ zYByD{cHE+0ev<%YQgYFw6r=(7r*ZDgYIg(mfBuorQ1!Xrpwc}Nn0A&4=L6VaSeq7s zyJ6^Sys*VtBlg-65Vt1T^~ny$X2Fu1djUG*VcwC~HlTDZpp%TDD~7dexc)RBDhc@8oSyT{BnB;^ zf>?)}XsPeb0)*jNJTsABmge*s)HE$}3Fq+Xz)>;V)PxGw-CWCXreAD5!l62JoISVu z&^%=ZW|T7<-2CeiNM2MLAgsn>U1gQtDkuNU>h@PIoKmywsplw${{hsSR~xZd_p2go z6oFx|tUu@-ijnwB!IIPM5yI>qgIkW$S8sb^G-ZrNS)^FH+k>Y}UBXE7KHg4H>0v`0 ziib6S4wL61nkHZ>7awPk6ChRhz^*k)Z*?#Rx&g3(1U?RsnK8Vvfj+$mW}#LNzE(Z* z_Shz_-x|93?hm^T48b_BgA~$~S%A^fWblCDKsZ^_kRPEjVdTv1EnTgMSecoV3Yf?M z$2z(WTWU!DyN1RQoMar9Gt&YKGz&X$Y9fVfD2V8Yw27%2@;V|&cefcCrasq)+|N8} zcLA;PE0#Sjc@7M*I->Vu7d9WSPdC%&r)4HD+x~xl6~MG;bkgwk^CT-J6My@6>wdkO z-`DdT=xdG%D!$|iY}s*W?q2utqg}iMygjUXqwB`nsG-t^!M|78Hz0&2}u#z1`b3*HLaCm`mvK%QMWIiC2kRdJuu|}VA#Acj2Pli5qf~lA{kI5ZXUY-6P?)oOo(N`gOUW`>K;Fb8=XS5 z1f|FFN~bFjiXJTkv{Hs{KH^n3IIMs0irRZ#E-c5LX-vA+IMVJItkr@u+=nFJrc5>S zJGwI0u1$2lrLwdls@^7fQijhCD1N`GK?u4K{KSqZIH5|Va<(b(H?5XHBg^cXP)ID+ zmquN%Y}FolU>jPmkXSTe1v$0I5`istl9_3aI1pI)_ibRP=^sig;on26t#5Qaf+Ar! zfus4GN)4Mz6jqMC4YB2`hBh_iEeDl{vo}vNQ zgNulrS#PB4{f@vAs?(!m3ZrlX$T?Hp>HcgCf@RPya)e=-|NBm9q4 z_b;Tc@u%Q7x<)Uhur9zmBa1DpauS( zR3u<#7Ku-Q0`{!B5Uq)^xw*5gef2CmSs&SAhi$pA!rNO##I|jK2ul-G;qckKM{qX~zaB%ro9x=Y zSAQZ_U01qJH!-@?Y^7Mf#y%TvF6-Ckq-u&o+0Tiy#k&VdzH{z84*m24hnkor(Y3>k zLfb9Dj{A~07CiM|gu3vXGn<+Ctnx6Z=)Iciu}k?bpL{mv>jel7oqbRy{`#4l7_G+Wr_6!uEkNbbpK_N3oNq?-#pqI zJT3)lXvo$vldY1lAj_szbpN=_qL|fOdD!(Dk*&n^-XZjcPdZ9MSx3^e#aeH_t;wvY z+-tJRHqI=@FH8%8)qwlc23#By9oyerY3rhZ3&)D{2XRT<0oMv_3LG|s3OfgGi7_Yo zHFt1*p~4bS2h2@=ZA-QmcDJS}8;&Sf1zlJ5c(U%Qwg}gdzApfRcR;`;`Ei+7K+46YgC3J*m1NP(JSe-IJ0ygh5 zC2O=!p3^QV(A2B(+PJWupf2J4i?=-qq?6NOMU!pE-@Nq~5y!nHe@v%_mIrWzt#O^K z_VEILn}FDk0nE4kJ`cn;4@5-|%QNIC{rnxmYUvkzoWKB1f;F5!eXffvKzF7Mk+UHD z%)*;$e0MhmS2q-WxXrnNud4_EOP;P1?doou87c})9gRO{GwJwy`uVd`eSWa9KcB9F z7A0B(MHXw2=kq5Af`0VMa&(Kz%;@EMaKX$(2Gnq)i9^-)qI8FJunM@-io@(!9s=w- zRVPbqWe~S55SglH+rMHiRp)YV*f(Cuy(5ov|H7$vN;8oU+53(gY0X}1a_30skQm`E zPOK&AZK}y$bt(}mdpJDBgX~_WT2sfJ6q~CrBs!E^h+l&jOo?ZNAIuT{QW`ke&HL+` zk!j*HWRtr6T?4D_ytQxQ`^MSgtNjVj(p~iOUx!cB12ha63kw@p+8q)MW?D8EFcc^= zCwtPpJ1Jm9Ti#()0;%Ux6X~o5(%Z663M2?P0%a2dYOWc=8_FBWX5Gz*x8m|&x}K$< zfRZMv!UBV)VCy74oi)qJnmkGU@yn^j+sm(g^XoaYW_LA8kR_!;@0{gP%u8KKL+$={ z%Kv_3?C5=KUfZD)$Tr4^$tqE^f7du~(V?!1rb)^9nNQ80 zA1xQ*mLDBaJ#>`m6dksR#`u7~p zy~tK+=#;qTe0neIiQ;m4RR|8pr5EIQiFuXS*(M&*N?L6sbBrY(5r!6#(&?MW0-)go zYz4DtefPRoptrE)v)yNXyYH&^Gj?_Q^mG-$uChP7Y)gU<^LmJk9ZHmd9b~uLi!N^Ul0jzMmO4n;-q zX1R^%5=jVlbyy0Y!&|;XkT$^IdxM{_j5?#ddn$@RD9vzKlyuha3Z^~I6A&rN1*3DA z&8yXY<9uh5Bwjo8ly0?@DaV#`NHP`r(2#G+N|hJU$_G!zVgLpruI^w}=xtNYg}gES zM0+*?EYd@Sm--7#L#e&g5g!CYfvs`qc`*G7r>8}Bq(wFZ=H+d{QqXVA<~}IQW5+z% zFQ_Ji@i*%ab*hlhTl&`)3_u^y`vnQy=i~YIh~<=EUv%1hQvU+#U#`MjNBr^eb@ROc z){EP7Y{!^#)9HFa?vAdKDMC@5@w&$u_r%zNsRAvZSRD_?_P}H&N%z@qHm_&-M_+Hg z;SnN?7X@QcsXdrGW*y!Z{R7_4=>$|#9A7vzY?t>bw?Fw+2p!iP4KT+-DX?eEBY<>< zNe%9FFB%W5XjOm5_1Lk+&1`!JtlP5%@Y^87?*H9Gasui$?a`Sy%=Ft#=dE`ui3qPf z7k?&q_#HC~#(hKmHxyUuXZuw2ZAV6i7yED0sLU+j=<$UC($b~v1>Q!Xu(x1{9HNnU zOSuPVDF;P5(E=vr8Nf7-g;|1XgeSn34LzjRYd;5r&cWG6?e<)HaXcG$dv7W*m-(wy zJoYiGA@Y(u$6(f@3VoeE*0F5xbem^4qejkjo_#ILRYho}MSBK%H$-PIunn6ni- z7w0Y#6=r#M@4C*@t?wbx&x6z*)y+4@R?~N1ff&Qfcsg-FZ<<;G7hZ0N^C1GN8Bev9g)g8gcI@`4NVg4J(^dwNIpTW_d$5r+NLOKM($6gS^bjrAvv7uZrWtv{j|b3Yjy!Oxf* zG9n})j_|Pjm|I=q@zBuPWKkXxVrteBg19>I&Tsr1{E>HD`riO!`Uh4-OhgVQHZaT_ zTx>Ar_GbTjhGAu4<^JFA`S=+BWArR+O#dcZ$^T6@XebD(2%3NO&@lh%p{;D&0&|Lk zf}c-ct{Ey2o!iOuv09~MGTR<4POh)ClGQ$&I;#}x0Q>|$p7b2IZB>1+|J6emHae@d z)AZyLX!Q9T`WmpmF6lqs-XC8+4te{%_tB@KeKZ+vW6z5*E|N(Qm7FK zqq=%%rO?91TGk>YmIn9SXwf=6j|bVmWrRgweE_e`HV9RSba+mViMEKd&7L-taM}%+ zy31zQlTKZ&3#RTVRuos2(fW*9T}Ebz7S9oJ)o#-|9)*_M?pL(N(0%niQyc8r`o2!K z%U%dqR)9z?QrSh;6=a`Z&M$YKpUT##W0!O!E&(2 zO&wh2B;binADv)iCIz3P(xOs7DH6WkpRYUnc@e&@c$w4%M_Op*`Qj8vJ@|fzC}%RE zAd0#x6&xB4SB=nor!%oPZ`?WuS~qFEJ0&|=O@MqxXRAued(Av(M+y@W=5a{L`p7() z26l3g3XZ+?WP7A;@CDIoiFh>r!^I8OUCdG4sYf=oVv;z83G&(3ta@secQ zb^yYrMpp1E&OYk$@l<`K^5lSinOgJQ@Jr?IjX}EE(dbERMaz{gFz7ig18~kEltGX! z`j1UUM#iyO!dakhZ*1L8+yIvuq)$z<;Gcksdzu8WwI%D|)0DgGFYY=F$UJqnC+HsZ znB`&lI8v23QZ@1kEr+N)092SLXNboRIzY`=#19S1d~PZ&rJ;3c``YDxO6!HGHZja~ z-606inYfuRkkyrbuSBF)X$uN$2bWFQF1}yR&-?xP!O>XKBIFU~y>b||T+FD_mO!eP zm?g1IwxA65?RbPiZ_N2l3X+T$nf=s~LqK(K)}3il7@PPVHX;T)P&wf5W+EKaE6#w45!wK%4=6R(#wo#I;u5~3}STX0}tT#4u8vyPmx+Lm~;cOk)$ZE?CaJDpzNOv|tZ*33Zy@>#S zMyq5L^8i#L@`nEQ1kv(Ti;Vd@-0>UBQ^VAT@e7G92<{WubrN`e#)W1qy}THW6xl{B zI1@IwlDih~*HrroM+Z(^Gd_ z+n49=_Wfb9YpGgrkz*aS&Xs$qaeO%h@3|+>a!6A2ur$z!)L*goN3du`=b%yzI$%=# zUAPxk9JIY7y%%7HP1dzRFu+;drk0n?_o5IQW6YV!EbBYjM2dgu>3;QkCpKcUF^#ff zm-VPQoDO?(gT0UTMd`$EtT!8-;X&dox^-mACe#_H^PrIe*JGahUTck-1+$~cXV(w@ z;DJ9K$1ssuQC0;9>X{K|T)V%eNt4XP=O4BMrp4~tGo9#i^|1U)uK)*67Ksld!}T&H z%Din>X(-M#WQu+SgrnOe@V{CMHP&bn%xiLo+ejRgrOjUWrrp@qyg>!ATS%|HONdOx zO#Xxc%g*yK68cj|&eFjTm*;gI!bqwy>uzP0_D~c#fDz|3G`P8RHphj2RYU)Xc4D`b zEZv+8vM%s4M!D-@6bMBQP2bA@jMQVxgH1;B-wsAoY}>iZduQxf(xpKB={7I5D29H# zv@c!RDb2v)3{pK_24dLK8+9Oz`~ebc9X|P2^rNTovBE%8Ftc&6{&(uLvi^q#|0DHT zSvk`7cYrAYha5Agap;lVsH)}tx5Mr4ms683|EAX_q)@8RY8X(Z6$}{N@RyqV{ z`=7u28A|?JgwHSGUulA8E+22v6x?jkqebfE5PWc+eGJfpiLC4cErT%B^nR;PP?@z_ z?-V0|6P#4ns!)yUGYjz{ay2P4!=F~4G;v@FY>pJF>2y;N{o}_fuEQ2=96{u*LXeFtNh=Eah^;u$dbJLRjqp7dT1ySk zgFX1Kzz~h;l2#d|s)8j@n=~blkdAY)vGO&*`igq#pV`CB;eK7ybohgSynCLcL|dkCcT63|zRQ3Mffs}M1O zTEw#zJN7P!6zmq78Ued>PPB~1UZr{H0mMvPw2t zB$ALaAts4WYDE~8SRO#_?p4d)iXi@5zZfJ`#(sa4#(!>~;K?gT9_IIvy1oox2WKR} z=MzRRllwfrBaYt795IgG7%oKD(DnToH_J9&EJvDkI>2i+F~wczOLk5aXKIl%M?VMn>yEri2LuE4_re zB5=Jr6wly9yS-5>w^2{&fYD@|g$-6&Z3tFqHzC7?&)q*--X5lm^~kpXWaKiZg_=&6 zb)|@JBx*mVteBWO!7h=$*=cY=bXw5?MP)@!SA$OKd=zyEY&^SmGQN_ME%b{M^4`%b zX>IvpKK;OG`6g#^`NO&A<3`fpiQyG>M4JsF>%s+26624_5|EN`hwx$#^=utnz;B_9oKu+Jx0q|HdGYfKE%HM zx%;hGk)STT|0#$!FE0d3W?{?8l=3V4RXa;TJH0Lm^awks&%&rs?(i%oDrY!S8^dpz z+h>ZM*d2iapbW>0V{chh@WYLgf9OmpEQi^l^f~j)M(m!bwj2upWj?M1Io5NVUkPbz z80zqO;i&~E$*Vd&-~T=lpUaEO2HLst6{8G6qS`KtvUG(N?0Z|hzowph-2g?JmPr=` zKGy~mp~i>20=1|tqQB9fMbW}b<>)ROy3dd`mqM~}hn(4A#mY|VVZzfgQ^hZZKHe{T z8NK>>*o6o5T>mK8PqA#0YH-f2*axS%oU1!oYoRNnNLV$Ph73eBaw@|Fwt(5usD3{6 zuwKpNp3iiFL@J{B73-m4b$b3Y?l^ZfB#g}CE&3M(PIU$S{(b*r{}b;2x&PU?nE#su zY-#tPV3SUWZ9p8~5G_Nm}3c>bysx>5SVe(w2J)|pHj zQ)Y!CYDA`y%w=5kAzri!uMxn(dHi<2{dFEUX8Y5)@tIN~cPxXM0Xc3OKsW3vuxsc4 zCGgQ+abJ`5RmS<_SGN4s^|%|ic|ARKQ6^gI-t|?G5CAxB%lf*eu+k#~ZeM@M`*nGx9BJec5GWAtHj@aWV2_dLld1&5$OLOZ)Cu7em&4rR z-OT{chO#IjrX~HETgcR*UXR^vr4cG{oW{3xGOo&gXx9P@9m9zu2M8R{2Ilc}^Bkb- z#>td6X_9-I7Zl%GN4>-Xlnxt)UqB5HUj{15@nlzgcORIyNiz`Cso$uvZ?s^78b7|C zN6@RLyC3JCxHvlh?hKRcwuQ1WL)>aql6aB`s$e!L>%$VnvHKa*1SFr9V9j`C+ld5% ztG$kZ)RENTA$1f(`v4`3l#Sxjz80Pa!OX*6>mglh19M6-kqXgw+#X?zgq^G+obXz5l4>`P$?x+`?DYVF42s-x^KDj;l>l{L zq!C`Mh+A{Af-w8y;{EC7YzW>CRnnvKJIA0r!}zk07{6AS0Oy!akf!CITmk_=`=u+=rR0Ke_!b8=W+$2i7fmfe;3aq>6btHJ4h$_6 z1lw-S9bts$S@r#-Gdi%KPG=0LOTScqqPT39w|2ACa*P##squ_|L#g~A(<&i9(F(JO zt`oCwk|7Gy8j94OY{&4zORT_0JzCY2PK%7F$l`A=NU#(aJX~m!@!eVXMW#^#9?m}> z35Kg~h)VY$Lb?`8-MEE#Zth{t9E@AAA{Sy3>Ft_&EXH4c*m(E0nR3!czbgd=;6;3_=#8@QzT@(-V&kUsaF#XbMqD4EK-*fU9$YAxKZ13n#U)B zk>_F9PQ+cEDL_GhGUR`b$0Q(8ss+*EO*VzUp!CZ;Cg@ z$MFs+T2V_U{Eo9$`rCK^g%rz}@c`V1T20J2Ys{)6e!A`H$>XjghS-7W=cyjiSj<8o z;qa2EeAgp03<)ne+EO!7^YPvU72OjY1}E#jG?i9;O`sc3ME4vBaXu5_yoG<9S|1DNbSsNg%mP&9%&6Cra1g6*vN`)LtYsVfBx~+y z8-&8&Kk1>}J;|i%dk9@Z7hfrx^&@L^EkO&(TiPi~rPJ(j>+NkfwMeM>it;~R9Wsgl zjbH6^;WlNIwh979@N{|CEZg+eyt~dec4QiUgN>#yQE)qJYr55cgXonV2dI8^lbBUx zqCA}8^@93_AD}o+eNK~Ai4qm-CfW%Y5Np$Fz=R>eJgSrZ65oj>O)yOy@jVWNTckgh z2WFQ%i?q%_x5h6-uSqA?pjbK#korvnaQvmx<;b`8-$e$Jw9 z41GsC6Ar(ylX?feYcID+l5b)gOo~-T!HX97jU&q*gHwK>Q}t)T9Fhs5f0K;yA5#5? zWE|Z8!)e%ASpVN9i=E}4Z3<=D#sV-bV4T;799S60&3GONIP>2w1J#m4@jFM&?H{i3 zHg$E=hs#dLBabCgd0U+R`IFHk+Yok8w=D1H@BicYe%-OwvtIX2B}Tj=k{SxGrHKHe z8M^xTb#eNS%q%0{c5;9Fd4GQ1`!{uXHT`@m{l0eh_zj5unYq>I+Du8Z+66>7>t`H1 z*S1^h0T;IWtwB-7nlL8MiNFN+(f2xl?X{?=y(07h2fplaZf+u09bRA9Id=U#y?T0g z{@MmP)d-UR%skV?pTo`ffJT3x*WOHQv2!!oY-PrGyoSfpYa4~4lhClZrk&|ctoX9O z9;;^Oj|-_`iwi+#uYIcz2nHC_XR$PF9ROh@xCykS)Hu*wQecI+)8TTbbwFg-!=?saPg?0rH%mr{H|VltpYzgo*i2;|>dH?J z>#f?L;}r~~s9a#kHR)q?rt4dyc#636w`QDjtFE_5S0ArYwESts4ge^~87gpwe}pfl z#m(+Y-4C7ndV2`?edF(P=H=${{u7*`Y?+hQQ)jB+d40BEMjo!xaQn&c~;Z)F1ST_xO)gs9Cn1@P6+{XstMaf>K;8;IUT-H?LnB> z_3_xhVk6(BeDx7=&i?MqR;crL*N?%g6XkP0k}fq{7LlY2oCA#9Ng8|iBjqOcYSOgo zlOw!tQXeoLH3if>(|Ytmg73uU6+~0n$a5j%CvmGa|MrMsMf~v%d?lOe2j(y8@H!ZK zTwXJGP2jMRd14%n?dA6eKcc7~sfaaRKJ_ppxP>feKqEv-b|1XsK6eC;#dcp^kX!dV z6|V5zYS{Hg0tRe)M9Q|~%te)7eOY>oXD|5U+3kp}bwjI5_XAr-5%v|0EN~$9dFcz? z12<6PsV!y1(FQN5w-E|r2)0Og$DbCEJvieD=JdfN& zc#(yRfcPww`l|%z+E5YEgr{~-AhQ%Z@L$IelOvM`=kQ$fn&ZVZgeCGw%V(lt5W35R zs_`~yM2rZb{B3VFE~FV(JvH{Xr3%JvAp>WLra5F*unv~UCrtRwp2;0cc-3vmPb74hXx0FBPE8Z+}2bMquchBSvv3nu6DmY1OX) zo|qsPa}IYpRk=v1?|y=Q+>RL*ol1{1J@dOB$hh-<2Q~DsE3S8@NSJp$5ttB4<}2YR z2r|%aYa#-f{2a@-lymHE&8+fvXSuKHUudkU0;c7x?3L0p-WWgw8-8?z-opted!vX` zh7ADS+PF+cY_2aW2!*!YTv+0@#RqXczfw&0X>uJ~B1drf2vJ&II?)Yjc;VRu43^hh zEn}lQ(V0zl496pmsYyj*GHzu8rB)+sdKcYQG;|A5_S|UltH|vS;mkP73&J^z!4_@C$FsBjwT%~cgM$>ywmlrjlzjO;vvEyHUx zty2y$)v@a05DR?21C_S>zFG{fxFT$0+Ip?j>jWa-aX*- zYZ3oO_V(kHg6>PB89PZOW-4D%a9@5A{LD9Pu58TM=NZaklwLMtfIcxn9u}#_6d5Ii zOktZnSBnHbH$>}Hq??B-Rj-GZstl3E+i>;O=#AsmajicB_EaBFiroTn{12ZW2!~ab z5e7j_qSgleLfiyj$zZE}hxb!=xD8+$@u}O~;PbsK0CZ}bc?#j0LE!yRyDFKrYFaR< zF$2|F$g36}m9HdTssiqSFqY`6y7&b>2N^kuj{5`=HOLfgqW`rfz9M$#8}N|LEmxY6 zgn)aiQ}E97Za{f2P1;HkE?dhonEU;bBK!btYa8j~_fF3FH*0a&S=j!=S}s=Z z|F%YU?z99hU{p|cZuWm$BVg11f0l*@;{8y2QCseT;GH#r0%(3rnX*m)=?t+|lF1{B zYgV98E=6V?5fEqp+17_$)QwDEygolUzFybp^zG7pmurpKjAqGzF0BZeSO4(sF#3OY zdh0iHU06JxA0OX&zo1)I9Ui8oeXO<}Kb(94PCo2L>>XA&XAr*e2r)0e1|40^wN!Q0 zuWu`}aw-GVKf=jXZHK_DEYiET0ea0JBjGLtM908$uWO+Q3@c|rO%}HPK0l7H51(Nt z04_YlxUr$r-Fxqs^P{k`EyuYW+?@{OooPnd`g&7Zi~IpcF1Dbc4W3hhYb+crsf(t@c=c!X|jHA%70i=ys&%UV~@a&1!tY zXUCCu7SYhIj6yjiO-aXRLGxjNtk}T;0p)TAq5)f>y_ZJD&F}a^yxAEb{cj)wBgkld zSsw^GpRyPfd^o}6_=#v-odrtBy(xsvgR&r5hw*R9mm*6rh2?4X9o4WK?c_x5{7-P& zxf}BX2@^@#Qigp95up*2RQe zGiSM~^(cE-EGFI&BLs)B;_DNBpRo8pw7>nPRaKvcx2BIl$XueBXds8ddGG#UP*Z?N zQ4qIDtAV!UULglk=(Y|>8^1r(73VPHhMPe=geav4T~%*!qR~a?7U0lIuErEG#u&RS z-^oFSoP zJw37rYq6Az32z*}93jWw7q}#^vD#@S%f%fQfL^U6T?*{f!Ef27^v^#q$H2w`JdmZfEpxw+vK6a!_}*{kSZa1S zRyjXzz8znl-q$|H)BMG_xGNYSaUJZhDPedMbd|HV-h{i3&SxR31Q_EK2SH1Q+Ool* zmk~034e5a;dhhmi;^s%i9Ek@fwrw*D<^?dh_dDk5u@WW~0t3 ziwsue7#!TIl^9Mw1L_*hxZ4J0wP6a)dV>ily9Gji!Pts_%Wh*?7t|@sOo!@ca0LcT z123yw`FSgS?)poq*p&*vs(^n;VrK+gCxh6Wz*X5G#$>s((-3ifdG_KNyHFfX`C1Qj zq;sH)#HROL0Kk=p&}TT26G@oFi1C0pZ_sOQR#G%^1T_no@-9ZSjUHg2HI{urJS34SKat_ZSf~4X@jB2%)Z^Czb>3)&(lr>dxp4?0P2kAK=LYS+ z#?U56aMcY40=5jO7lQnO*@F+Ih;`HJ2MAjJi zyT{&{<$ny^oGh`wD}BL&Zrug_8$=BMfaX6S;$Y_bZxH=sfd8-baU|t4kpp_PW&btH z>;2Cx&+zDacVrkJZQR$2Ehr@{yx7w{?Q(A9DVt$LT+u zh*8;%eH@(2=jYc$_ibgiFV1~$q^KF=p>(XW$z4H>gCjqRFIk4Y(*m|QzHUuFudkk; zckj5=m5W)kaW90qfY!~dw2#|Mxvia>3k`(toE=+tk1P=pbz(jD7oINewP-Q-mwNPA z9G>4Q@m#;(pKrEHJ2pSD(3f5i$7XNy^7q0I&tFemKc9I01$_BvNfZ*uaM@BiB0JTV z{@T#G7ZSmyfK0*=n+KkvP$tTlOH&0}l4di#g4~V>@E!i>0yZK+p$j(+Zw=sT+dp34 z3AfJoug_<{Rh(D6e@%6>VWw>)2EV0HF~~bKT#L~t6yrv*;g=pUDl);eYcSmjp$JlA zsr=^8&5||GM$TN6Qh3w=(gA{gn*=Qh!I03RYEojnGhxhL`nJqgFAk)xCGXze*3__y zybaa_au+(j0^kE6xYfDr26cm3>!g!PWTbC7mBX7fSQTu3mSM^=yvj022;bz`gx!}; zx)}iBQ(7ck!f#xaGb?0%wqC>P4AXZAJxTCedc@vPQW#SR^lNI$7G%Ag^OEtvM+gS& zz`kOLeJD|@h+##M!qFs3o0s5ZEUqIL!#ls*!zTCJK3We>!433X+I z);H#0T1T>t$TP_Y=%CwzVlKd6dWL|hZk3mQ0rqdHd7x^HDh zgEw8_6r!9bZbEx_>^r#)4K?ch0v4H%xnxPN!Ett$RNy&k=pypOobvdm7Pj-A>1#&> zTT$mX?6`CkP_3h^S-@)a+LK@}7R z2FPFwvJ)|c*A7bQCeR6yb30~+#;+Vm9H5I34=$Ntw`BT#ViSy-Tv{>{^)tKoCZX1G zfQ{BZ_zFf#W9h&+-tXDP`6-)H zoqWnEuFw9-?0hjsj6zaT8m*H7Cdb-V6LZsxebM#4)ps4JGrc*=#d`NvQBswhnV}io zNSwF!WEC5kL_e)3*gfWv$CH4RG)ypSCDUlXU}MT69q@@| zTfxJr4%N4By~&xa7^+|xTw)Xon|}j;YaD)^jfm|>QP2#L>eP~9bw)4GITJ~EL+Ti6 z9v~Zac&;>=&_3gjc3#YtnoQ=L-iIGh&9J?m_f-2tDP59TLSHf_<3q?nyuRwK)N~bc zmnX`1EtF%`UYugcVtg@-MFl*D36M+YNvV@*D%=Fw579-*#eyg~njoszr@kPcj|h{D zw6@LHx#9~2AAV746vdW8Emtrj5Yu}3kG zoD7ra;xZ@m};8{V3Xiz&2cl*T^Wi+&$ z-jG~UVtU*bRV4!%Rl97ituL?`w*1#d*7X???h&A%b(mMB+!~S6oHuR3l2|;vXdO>fd@yIp-#Fq)`{;oqMLjrDP~SvrG7sTX8+K#W@{W3NXS6TnHc_o?iFI zt@lxPs>1!7N=zKw|3{BFnE!_!adQ5DMs=KAOlibDz)S#v@u3bxBdoQof1#)u;$7*< zcz(?~h)Y@@((b31jJ&2;`{IO*3FEoe%-Pe^a~!*drr_1mrNz(J+XwJ|Sh3c#6szpppI!_V)p^Uq;{5+`Su=;Q3`0rWCMV!XEZCB`z(zBb4Dy3}JX6GTH7u_uU-Q`C@v1vb%2D33X2 z#kFPe!b0clBdUdh+Qwc2e~mMBvEhXqj5wNs2T_d$Q<0V)1u?Nn5s!fvA&Kfi130wu zVRa$eDn-+{SA!gwO<%b=Z7QX@BXjKHx#8ME8=6MAud=G9(gjX_3==1vs9jf584!B} z-S7C#I0k=m(_;SMwb^sxC6r6_z_x%*(^<3p%6}THg+(&OU+K5EdDGM z!cdA6i_qlB(`EB#YfNT>hI|6<065?9=sUdu0XoUH3PB?zZL}7{$hl6DGDaby+qTt7cE`4D+qSKa zZQC8){LgvMd2iLdU-zoowRhE8Yy9RMb3BtS*f3O7$a%nhy=qlLFnV3hem!RuK;u|| zrT43u3YO(R2|byrHM+W2eueT>vkA}NWuV3 z8Lu<0m*jQvkn#Q$%W!BDjw6Z}ErhY9gN4l!4}T$mKV4!qjNCF{ocB4y`WLr^nP%yE zO?)hwm#wi^Lyrz-ZtXRiiyqJe0B6>=q+hQGb>;J6P>A?YdB~Pwl!$=8?Pf z!(LP6S9jg>yf~04cWdz^<>TbL_@-D=xNPYITfswM*e0)4;%X8(vqgPzz_C?syOlpH zB!M>EH0o=o@hO(LSt)*aMr5PO@t6nph6%Lhc7V5<98PNi2rlku0jGRsCMOi@%tW4| z`o$%E@K8iUrBf76$6fZE#NY7XxG-s(DuPHO2o$9w9LXvaVj&{x+Xu$6cXLdZ#U7g* z%1o$XVIt9d#=KE=e$b&IKz-)C{&C1Y3)LaG%@7=(vslPxe>!@TTOKq3(VUCYnQa-I zRE!BeB9oJsw8#v-Umr@BowAE1uPr{E(qpb1l9Qd_Y|NUNQl22tTCs@ITri#Uc|j^g zUG^wtHrNmWshyyEIx1JIKy@IRJo}IiOr%P>&;?SaKh6W64NEBnp!&Ci&H4OrB8uC^ z={RgJl;Q8oTbv6Oqp|OPh#zeNw&4h(ThoCA+uLCEiK_tv2IWX*yVkPr$*l0FDCE`q zY0OBW?xTEW!q0+)r**P#o-453$0{l}ft-h;C_%_Spd<|14K;}<94FEZ}1 ziAb|)Fm%y7;47Ag3yLyuMAIWL0_R??&9=&wkIS8V?CTYJKoZdn7ziPVq@zi&tb<%& znFvM6rg0}%FsGeD4qF1Q&YmoI=$(bWA;U>qa-${EQ+|G`?=@}5AAMAvr=FebH-#0| z3rH@Xw8K~8e(wnQ*(Yb&!%wi8lm75D9*5ROX}n*iAW@9CbOgDDw8(}mi<6@#;5*rA z386W&k?CV>D($NPH>)txg}nA#u(0}Yn8LZ;cRky3)d@2A83}`r574zzhk(6gOmMUt z#^7M+aLKzmr|r}h-}j!^V!w{0ogChlr)P6Nl^y9X1N3iD@!)yl|L#`)DD3|fiJTnl z|F=lw2SEkl%!};#iBF(je#D}^p!Wq)mVU}C|0g|)HLlh&# zh`yqzOLYASZ0)2TO0F-3b&xUcCO55%+{1Z=;He4U%^sV3_<8-9f(ON`yK!@Y0xAbII;ia{e!vR5n0JeGSIu>vhdw28EwzgwO9sQad zF}&5eU*hzm#n*f4TyCn-AjQc_kWdxM?!RA*RCesPBUXuw05{1p)e=9m`nM+iY0>{BMvDd*>AoS_yJB`&w-aqwIh}2yO(r-x2kEJON*w zFY~eu*S?g2iN1LI1pIsgx%@pBMAcx}E@OUlLP|(DAR$gevtj;9o-|n=`wi;S&tI)N zMa+sbw*KnaZ{#CsSJF$bx!7a}8#_1p46D!k``&suzW~Y-E&iD3i8ifxI^_GK6;UMo z;H4Stf!3@jclJmc6H(XrWpSsU&*-x80lAO+z=_{~a(%jwT5ZG~)4(TbgU=qF^MiOH zSk2eqxbf4=>s|2FPAEE?26N9`*IWfiOYf#}5@Ec6WE(2~tt+7y-!Qk>Mp7n*3nCZ= zMnJ5a5(8X5J71gJavbm2?84bUGO%8J07STb3=RfoI=4F0&+2j5rQ=Pc%noZ*lQ~6j zrDjcIxr&lb3dM~7l?bA zo?9)GgsT3lW`gI}(zv_`U&K~lxn1E9pa>X1#Nw}^PPEdDwpmX-o(|hj^gg5E=>{QW z+T_#jckQ1J6fW(f)?L3S{oO>U+QzGgMcPEE7P363*Et`HV#?_ZK{<8$$=gP$xf}%7 z6sF+K51h*6ivEX~Q7W zTs01ng{wlheO}(P3#nJr-|oXt_s{BAK0@a3CbRKfeH3=(#QfW!bU&jpG6=#q=%$-@ zz0a=U(1gvJkPG*Y`-|pbO|}s|s3XAoZ|7U+#G-BqlQ&HNE32YmXZ^*xAAdEL-4qw; zqDU05-Z5^5PCkcv)!}Jq+@y2kRBd*p&EF`-^LhG5{gQfn5Di}Sm0j6gj8W7#(168F z^ZL%hFM9o%U-#Jb7NV-q6k3ox=YBOoSC!cDY=PMGnp^HeVG304_}{Jxbi)8DYncdC z+%;=73z`N4{G+Jzy!>>mcel@Fs8Tm*ozHp4CjHCmDp|wOF&X3DfKF_=g>{buWxs(o zUHr`|oAAMwaB&IulTn7*7mXR&O2l2f+{wGc>w3JFOe=;lRr=wBwsNvg(pK8&4pQnt z(((8P>!Qv;RIlfJRaa-A8A!l?Uh!Vy7B8>U7a$RcV<5*|*T^-V+nfe&916n>jS_VQ zWEA3hV+v8r_(VKj=i^KdXYk>SygEk8uHy~+a9XO2WnFmv8h9j)U}Mgsp)ZsBaTNNS zjHhI_EB2&p#HDs(oNW^mn{qT{$4^t9;){g0?bg%PfT0ze$LlcUTh7%I*4%!tE_=^3 zSXbuO7NqQ|vgF~be-rgRD5SaEkOT%SZ(k{*kg$3Mzc&llH)JY$>AoOmLU2+Qf2QdX zI60X9M`YMISyKDbVKGzT+CiW|xLE&7U8;Z7<&YiDH@BAYM_t?`r5osopmy8au0UX2 zH-LO8|1nz9Pa7w0$v0ZQzZ8>gm`o;F@JiAjWQG)s4Gxlu#HZns^X&n6b@%iDynElA zGW(~ey*JkPb_NfueLJbcOR4+KTRqm)R7|1Pt_ImOH;q8iiCF8p+dtdZw`%xWYl+U5yQztFNaHmVu7coodM z;(z^3*)n)AWn++>#c3%37^y_Vzu=8385uycTJ+z)b*)e?lnP6?b!WCxxR^qL#G!#b zH}N9_C1FcRsJ}yTPGz-w$H$jdpnTPMvn-d zz9rP|MpHjqXMuSjaeu=@3j;TgtRI&V{K+BTqN~a~loLzRwqlR~6b}<)h|0o()ERSx zGQTQTApPK0?q8+Vwm2XzCt2c;S-wUyBt%X046NHmM)^$0PKd_16jSEV)Z#xsx^=2h z{XP!$Le?Y@?9>(0X%hKrWtF(~km&`?dZ*Y(P}YWjNn=unlK;zZrE-ppw#!L^&oYnM zP9OMVx(*ztk$?gLSmt=c2P}Ve>=DQ7-b(J$PwWpz9~ItM>+nBgL5ErAE^h}UVq>)Q zoKCXG;C{=tO58+%8v3S$5XIw&oWmWPb?HM6B}}+$AM_~z7g1B<8bDPKHdt18`8M~S zqk%=MOBFTas`s+V#R&FP;t3_Z76|uc|0%r>mLd zTq@?;dx}J;;x%k-U8uj!HN5AYH zM{{$wL!u!njc_skSrUdfiK~epnPt^ERc6-B1&}O|ECrDiRM_xLMp(9q%{Qy6KG28% z{dvR~$QbUaE`^%3uVbr-y9JOO+~{HEx?Du^P9J&Ljj4=h*9=&DLN-4$<}b4-L;v;e z(93QBCXgrf*6Mp}8kG$Gpi0Lo3J_Yok>^ITI{oH*r530;0O13Dyi zKwM0h>kTDs+L{dJZxk~3ROhK)(e~w7(|nGbyF0#Zi_hBNmp%0uDDyeK=GpOh{ke1h7`*M-IZp_irYBUfw5how+V>#+~ z-l|nHVOH3)-rOM~ysCba%eR@mb%bmcs9P;0WNGAJVzykD>Et|mg^!-440_Elz@F!2 z_f|Ka^#oO%bJRE>0$=AIz`VzOB0AQ0H~v>$1JA|!zmmhwmZ?6_ z?ryK%4=1&L+ZN4c1Hf_a=gGJ+kJHdAf)BoIb9c2pdyQ~e%ZrL*T?jd)sHVR}z?1E} zTf??If7mXiKk7O{ht>QN3>>KgP=7fu^AqVtp4lNd!$|Lus)1mj_;rQ+BXenm)l0f)MN2G%3(JBJr*mk@hg5%?GRcR7i zo|rN@Wja8L0OMf@>s2PB^~@`}(V+F_UwNqh`N+eL%(>lWOmU2{HOFT3sCMk3JOZnB zj1&cb4qJrw9AJ*U!acP~MLC)x8#%-hGNK5*@h_5~*!jir(R!h{k}S@GsWo~0aTT5% zcOhMftKF=WOcrR`7#GSl-%iy+By&>QxnZqhK5!Mw1e%?4s+;B7%$v`_VglC%+AQAb zC>-Hzx4~4?6C@LEd0bjN{Z(Db!|J@tcC`YD)Ca4@5+F=z>h}rT{aw}?Hw|7M6`mlt zut|5d-xslVG&w}?L(RZBO=CG;h-XYJ>flPUpB}#|p@9(QZ0q+u_7-66+l#lzuX*th zTb#GxVVeFYh3BEr8d_=aw|UN=_YlnhM^LxCs$h2Q1>ezXk<1$1n&$c+>IJ9-G@Ek1 z@th#4DgaON10odT3{7=32sMD#K9PL6_@*;0&XNFWgaQ=^4-|QSi%((*2nn#r$vi{eh@DD2~zvOU=j>q zDIn45@Tf_W9tvv#URo*06TdMo!v^Y%?H6p!g0Va`EdBwcgk1q;y?E`aS{lr7m$D5L z3!57}oNLO=At@KvJS1nOT6#j`P?lY`o3XAlhJy(;s_!^Hk- zGC;GIR^)*=lHW@$(jgs^oZ1eE$!&35aPZ|wTxc9*B3HvC96%w6;qhe4EpLUoDe46z;$Z`1Ejh?yW5kxLX`EC62w#Kt9ibMWz2MfA>%{gP#H;rhZF?zvj}*Et@{4SNLI>cie~?y z6AZ+DSuUJbbP27YyA9qIh*5d3od8UVgq`C_#N!$hYM3iH)Aq>A zq&>v4s!wwYXC=uEsc0ydlkL%6{Kdtqtm-dKcb%KWdiHf7aP+7RFt(XzJZD^LRQ29> zXlFEMR0fpce<~*&i$R}~XmZ4b1q1M&b=j7TqgwO$=HLa2NLgaDPwMy)lkyu?K#;zk zLHFjDi1dvB{ueJB{$O?j1)xtfBax|LCOdNYnliCCnL61^R!-rHpNfN^ZFdHTuDG!9 zoxa#?89GHXZa?xVpzdKh){717B80mO>#DJ2 z(bP-4U%!T|o;-w0R;{KO<#)xjP6E9WiYbY%7oRADYPd5ot>%ceFrc!qR1c~BP74#G zn8cvPYYp38S-!pj+EROUI?XhNt-w?oO5AyaDoV;6nnb!}IV=&+X7J|%ZGkDd=eS%i zud*AM0^)kM%ynxtF$IUBMX^i@1X%=d=L4l=3J?iQKy<77kq>$g6ymr;s_=}5t8OG@ zW}0$1FrxVF*b!j^fNNo7ZP}DZM)AX0#ET1sZqw`1;4$4qJ0ls4XE{h@q`r1A?=?t1}AE^oiXAW2U>)UJ^S) zo#qJ+=AMHzWXuPbl2|I;Ea?QAl4|NE+rd^#PU{jhWdpJ)E!o7iDF-HlQcl473z<&>b7+W6q-jOSm?Q{_G$lESx?uQ zr53qw^?OD&=R;{dVGq_)Jh7sr*TB`W*9&1c(g zozdccMdkC&;9%*C$I6NZgU8MdtQ)|kaqETfp!Xni`q}+}ZQ_L*t+h6?at1vwV&mQB zC`moK;Xmg7)zz_t5`pBsaPmYYgjY7q1a&QSv&NS0`PcQ=?c3)8hxVrCHxL?RWq5b}!!-*Yz@OMtjA1gL~uF&!jQ}-PH;E zny-&1r;jIJSW`ix3chNrC0EW- zgF-aFSY_|n$}-N~Oil~B>A#2C^Ra=z6=vUBf#0*6rJbtwQI+5p1^Jl=nX`xSSg06s566iUzLU@e_U7VG0FfNLV91dUM49HpAta$(S1jYG zle*#vBre0Z4S<}v&JlQ)=rgV0E2r0-^R?e2%^!?Ea zvHL&f8HE@tCqxLwKqlT+)_q?=d9d`xsIi8-h78LLY44=2Vi+Pw3wnaql7C? zTg*HGn_p$C^8aB5tLdQ&_)2(}-TiUUM^o$)Q9+;C1(0a)+UlwqEnJ4)MCNKZ3{GxZ z(r6rm!-}LCGHg?e%%=XnRzQ--^7wE*vxp|FZTyv^0YxNBd-}~cQY$>b^ii{i-N)wX z(w)>4EmG-;dvL_ei+R+T*XO({oi6ep6&{i- zuL7eE2S7UE2ri$67p6ve*b57JX~9y6++R3_7qIVNA0k5iQ|mg>7#7bH2@IwBD>oL~&(cANTd+CUH>=dB zD1fzs>X=H}gr;PA1!0a6HO(>Ub3Q`F zqk@%EWcV*rk_Qa7HK1I$l?pbA5Q_?H1}K~M-w~KvXJ|6f=aOboE8+EXa$BhwIPU46 zZpCL1js%$g$9*m;A8_=d4N+~9OT}%w%m6(Xy;VgP0$yBR{kk5auWU&b@eSD*tgl(T z`*qv}rXU{yUetU0_VsCHspk*`CvZ?Cb= zqjj7B?02b3;@$&m?%htDTpLw_<>($|=~C%lb74o4mnUfAE!Bk=@SYdqDJ8ey6M-G) zazOxcWv+mf_PEmiK( zn$v^H9Rq3rMWVk08sm?a{qH0j2NTEtt*CxTaQ~nAYF6g|I2{!K$^87d=>G)@B1Chp z!6AYoGEjB>$^2~n$L26(O*N07T%IoYG12kH6KIu8tT@C##!^NOZ{v7f%)z+K0k!lK zgBOE8oS+rkA5PFemOwaXMX_U~hh3(J{2l^(jb5KzzFK$IbK{rUud|1PtD~dg_vzRT zxSE>fjpI?kqeq)Tb*+Yeyf2~{fvvl5qMjyImsd=YH?f*vm~?{$G4pVBmI*4JMqRHFz`f&=FS0c^F}gFXsSRmbJ@mxQ(j4 zi;Eucd^u31a$}?OGj&wsJncVj z(5KP&8{$9R?nmxl{je$jN-cTM))#A2Pptq>m6Tx-mi0xI{?q9C%Hd$uRMMldWdOFF zqLT<3i$z=oP;4}5s?vri!&_f5(oUsEJUY4@^rsz%l^Ybv@FdiD=KL|IDAhqznRsQA z(Z7=EyDksQlJg!oqJ!ITG~~`&(aD9JBbvkLznPSwV@w1bF{naD@$%P}Qo{_QcYy!` zCB-cMkwqGi_KYjayF*rypbAKtOmUsq{w@t%6cOA?%q+qfZ?y>6qj;TySCsJ}$kTm~ zT|(T;Z_zPJb&ji z!X3QsPf={VjmOEg7u398NR)vi;KVda&O)`|(H-s$e>y{fkxmlXMNa8Omg2qPsM(v- zF>0DuGq>dhZCG-Y10$r!C-dSJ39JAmB4)e=KWT;E&9c9QJq1ZQ>mSR*&EJX|joX>S zEZQ3bE7j39-851(ERLjmKz9W&=0){R^sO)gR`rH~_#mJOZyxPpz6*@lzJ@*Tazn*CRi@CR?d(Eg!V!Ju#kpAv%8xl+0f1^Q{3 z8)j;MinBH!dQz=a*Tpp8Y@}*dSl0CJnT)Jvpi6o!q+Q`%4bDcJsE}$z*Lw< zBm#s<*%aRy(;=!cf~l3~(=!fOeekY})UQHebb^9pWn;*d=er}}cV)_yxSb)%o3%qa z(!cy>aN*#5Lvi9T)5<^soNM$!sR(0IW`snXHaBuu15hvGki0TL650%u(4nkJr2m#5 zm>kajJ3nqK7^5~J1v9Co~Ifq4M7lpGRJN;wa(T3>8RuQx-C$ zK(v9N7?R4z?^_>{iy1o(tMt{h5UIkL)@mvH$cz$?DL1(I1k4NYsO}-FY6t}iF3f;$Y9e z{q52E`(f*LI$vpJg(LJ1kokJ@wzV{UrBJ~eeYIiWKH{(Zdx@$r zW_L*n-0>B+R;pKYa1MV;&DZUiBQx(qez7WR>0+uXLRpT>db!y|U5MG%FJGa*zN zZ!4ykN72(RHX+deg5e3_dBt2J^{zmK81$=7)mzRPvTHGqcNPT%aNhWfja7PdR`Mb* zOX*`G;7H>&d!9l)^F|z+?BL%{>yAFYL#^Lx2mFM+%A9xV8{JZfE3sMUX;n1gU2Dj8 z9w_kbC*ye!WF$_8C!r00M^crEFI(qExyUhBx61nkgV885vM(k&if?L=V;)W6<|1C9 z=uY%#NNjAZhr&xDV6o|t)#BfBP1kY3io2&Q;;-r&2LO#|e;7#j3|NejU}~z^nz5j- zq87m=MOoFb*)q2jNlBqg`Ph`E%JQ7dJ&-Pi;{viF-TCY6*1-)SLC+k_cMqTg;OXs^ z=5{5jhpu%)hhMj#27FQ}4yO1F<`-S2!ngk*IYVp%^(zb)z!R*b6@5CNSH&gBAr0k; zNfh&EkCP}E&miC3Kz$=!y;t34=tBsfz7G6BG;?JmL7XM&uB3C>d@LBrr5lD5sh#(b z*%qVs*BVhaK!QTAx7d5DUvz{`-+%>mi!5$Y zG(P?Sh1fd@u)W72{Fgc<+w)u4`iX=HMH`OfwPm9XWNDBzX6SS*6}qz!2efmXS>+l20hSG%S5ZDahI;*>$B zba=rbZ?>qUSYRmMgm088=imces?M~Je8~?Fuu(xeK9T4A>Ar2N5*pL0GEaB#Bug>X zt;-i=96ngns7AkJ4^1549@}7ad4N=LiDs(GDNgC~f`c^{DxEfrW5k`B-Gz1@IYO*Q zbcg_nxt8OP+l+f&5uPM4ND^O_Jss0i^e~6s;+DbNgpu6T6Sbe?D@GL+H=hE`(mDVO z7`ezn8;1i~CTLwCk-AYheQcIA7bRI^Dh_vHD^Goqm4Bbkk$Qj&R*K!o^!JdFN(5Em zz*CuJp%<@RSmI)`5gAf7vdRUS7;bF>+7DI@=7^FF!U%)HwBc{fz>3eQ8jq1WTb5UI zejXW0sZYo%(FPG!V6j=UP4=KwZxvDm7$@?Ox;nXk|N9ACd@gos*%zaNZ-m&1i9?z4 z<3V1Z%AK#>dMn(>ELBxSOUkF^(zPY?hc`mY9_-6%*&Y0&S0_nd4>l1qUI6AC2IDxK ztZOihFJ*18Ghnr>D!?}6&XJxy+@I3*&XH#D2#~bE$Sfh^*<*WC<}h+r-1ubs`>G)K^dlt1hl zNU=+yWuB$Pwo4$3C0*nich*5AKX@dQdVXx$%hHXP7jOS57fJrij3i;OJJW1G$%qQ#;WYUk2cK2TbT5Z*QNkYY`dJ zM0R%gcDjG}ynkx=`2Kr+WX>fmacmlR)Y~?!j*QL7>6b-4$)SrhWq?r;PJ$*>gsY_HE_0npCe`J2lY1TC* zZ_)L5ekyYH$E4;h=2?7h#~dMkN#I;8z$*3f#`0kejlPnB-Vx}1zqlh-s*rV9pW$hf zX@3CurD`d!W3=Kvm?^stU#BougS z@jvEZ#h{AK?clwq-;#F_U==97;?=%?{(Q)dq%zAHy0y*BmB|r!yMHKEHE)hy1loiK z<|zE7|856Sw25V483eQZcg6mMKsFo0uoq-W<#jZkb#1v3X#G(MAjO&Z($iHJP(#C> zzM2HecD&hk;Kdgg8S7cGw=ZLN(pTM83)xnect6%W{&o?PXu;gnNv}n*R%0NOqk`S% zlqnkst)rSsUz-y}f10f}svsrZ_iQaM&C$)5n50j(hNVx+Y>SmD&75)ocuc$F1*FiY z{Jd2(y-Q+-V*YHZv)I^&Q$w1khco5td{T{(4I{p0)bNmmct@Gp$E?b#YWgSg4$d4{ zyjl=Z*A5E%^+hR77UI!SO=J7GlQ`ilrn-IufK)-pvw?AT=z%`--v~D?vj_jXYx{G^ z_&-%EGZQmQs$Mi4CiMSdo3pYe_EnPunzeTvHrRjMKm%e!2hfsR4yryV!^!(P5b$NX zK7t57ESrU9$%Pr2`m5d@d?_gmw<22)kw2R8N2E8Moj3eBgVy?=%^hoa2K>Bue!t6G zYB!b$(JM8lgDT-p+)TX8GH<=R3_kDep1RI=%QLS^|Fh|Gbujd2)8)m}^u;qERPP5@ z(b{PV>=$#RvC)}~UKe&$kg~MZd2Y@?GgHwS+G?|X%V)!BlEOog)^%h;oQq)%$+Cld?A#6LEn`t!B z!luv_(m5Ed#I%D?Rv2pGt~UTrZUyM+)m4hlT<0{yy36&~I2P%J=?r?v0xhGU#=(x^ zYeMVeA10f22D8~2$;ppC4WR29fs2o(+N}}rHdxfoAFj;VM>UtK~)d6Fsjf>ahZT#yqgJHw3Vk}_;n>?&!;ltPVQS%&b_On zAi-3m*7cX9BX81#QueU?6I{MIizxI@254;xQa{`bH12%fzks;5(;H}VnL+GW=_6APQd2Rt8cI%>f;ma z$Tkx}*5IPUzX0`M%Pb$XV|?KMxaG)K8!>0L>GZ2ekHK9W*(+<|c`eg=pUvSu#5KDL zWrx7IW3ytgAOyi^v2*5Eej8X)M-*@8avCfjdsU>WFWHYEiSbh=iThs^<3EWgfHW|o)Uz`S0QPZKtdcYJdqJgR{GiO~yi4{83R{SH3lkKnj7<+KKlzNeD0u>RJEiC0w5D zo`d5hTQc~vA-nY*u@+zF89>503pNr^``l`iCZi#&aBV}A}v(>k1-^Z z_NJdgszuIPCDzNPdzrL6aFcbsN-^`Xrv6Vka_YUb?Cax3D1DdiRDF1bQhBf^ibaVS z?4S}#ISUbwd?9wvobj~@HxvTgUri|PF-U0(2g9`~ZRk}6!%kL~HKbat6spxdq?#(4JqU#!h zr)~jh6#EX)O5UqfLucNp{Zf^ZW|D}1Niw!`FY1c0=S;@yKj}&=*LcT&ZH5hfn4Ox9 z6E|8UfA+r#8ahI+h*~Fw?vjOy-d0_Z&m^x}W$=Nx7d{E4+xFXIbIK`$9!i?`dTl0^ zK19M|hkLHd>U%ixAta9aM@-G4xZtDQn8X6W`rFc9tS+~D#Ljx8LZ0oqYA(CHd9l~$ z4ifwE6OxKjI0JaxPB&Rj!Dtfv|DY-#43>Mn##9?7krCsSK`)f3iq)4$QS`5+%>dOo zE@xgQA0p%JpVI>U8iYX`i39hQRBF16oI8Y!(Y-T8YA!L81BoSWA823C&gi1xf|~}Q zO3TVI6pMElWY9#3`-^rq7xbiS(SyN%rx^$-0cF+Cy@$IVlBZni(75 zK9K%wT~S&OJrMl6r`5up=p1$ynokb%H*_ws+dzOc7f4JwG$_!x0S~{omM{pjCFPqR z49CYipxr!=;JeWO>EV-e6A^XUY1Pm8cynUTpbATaqZGHtl%2&t(3BZ^G%KX^y{kEd)TTO zcQ*TVy8+`0=>`>^Hax3r2!yt7r)Ck(F> zM=HEl7}MfiW8b#4}<|(H0hh+LEF$(iCJw zC_l0PY^slfTzPBr`n&D-Kj}V?_rb59ZswT=w*vuTj<;U=%!H;vo4jVr06?I+Of!cS zcL8-EKuk_-v&IP=-q-FO7;qy*N>l^b?#7lqGp*0pvyIcwW1l(P0Sv0_wdBz_I8O;E zBRfatT>Q?pGJ#n_ytya*mzOzu(Mwgoff%}z%c61?K}~Czg{4g?qFtd-A(s%N$INPN zxw*a9<{?b1dP;mvNe7<|3#fY2XLXej8+JARincU(MI<$9XW)NPr zciMGW%(WC=g0|&TR$x+Z64~m8K%SbPbSzmsh15_Ib7L*Q*YIj zg6cFR_&lRBgt{u*3UEij9hRu3xA8P9w#gg7SVASMrYmgp)32Iagl7fb_n%288et7j zZmyLu&JtvT1t3!{TZU3zhpPJk=YE>Nlu=)(*f!widL zQ=!SwV+(UBFvkC>C!ExS8zBKU8Du1&_M?vy!y9>YnW12L12`DbE-;N| zvv_KvO1>g5{w&BLipAi_A}}-6c7!M`vv=_vd1_Qf#VbC^A};)EqX9ou#d0c1Eh%Bm z%k9mb`L#!RuxaD#tjan{zNOrPw*mI-pJ3NHQR0=sjjvIzZX+>#xdfkA_Rdcawfl1WpC~QKxCia*8hFnX65>yu{$QlpCJj0 zG+;5nwDv~K&jI`Y?2)kM>Vjp&xD})SSsj!}KNnhnDBhS!F-CFb9{96H0$VwO+*@*7 zo-hLFPV5G{b1NrIeO3HFQzR*?gNdSz&|^nGb| zN1afE&hNbB^ZoVpZSd3E_rdvPum`0T(7NIKV)iq8@7~<4!xes)ed}gY(aEti-LFRU zbGmMJEI{YU7li!-&kxd*^18Xb-EGk9QK?~zvYQ}TCxx+Sru5s0@m}bUV+Eaf%G+4W zyw72^;sC4wsunuQ@A&Yj%I!bs9avh8@zDw-8#ylf1wtuo4GzQlcoFmPn0o$Gfa=bv z0J=IuJEs#t`oqC`t}X><-SJS1w>jp}yeSEl-N7;AZn|U8)tpNxZ1VO5q@NI&NzkC8 zIhZtE+3WF0^SYoIXwyD6_p7|CRe*mCsNyXI9Ob?@HkGXk^_2^yxuj8N9jTbPtJP`d zF)fQrYP=vR#|uAVsJMm;`XU)dGgqhyN-k2fbTi%2yi zgWO;xeL};}O1}H-ip9EL)QV~tD19%MakTEkEMT{!%qY7o%slqFTGX#!KR>{xZ1)}6 z$Nq{%^>&d-*@$J^YLmKA^|t$T0c=IF|3$wjK<7P*^x(v}Xuwo#Nl6U~5N^sU32Qy7 zo1y$-rJtq9hWBqDUUVmL^S}iWQubU#xhtY}qy2BNmNnU8e$zDCdh%ey7Pf4Uy^kG= zV5xqF_|}d9Uaybmmv{tWtiouk!su-8!NXu_?5s$E@(xUyZ>rR=Y;RS3Gkl4&@YaB~ zWtbZ}EeZic){w7=cKA930PH%qKf}ea?h8SZK8MF1(EVEpXr{#r>{=B5q_1$R~Aa(T?77AU_FsRAs0A=<$8mif`P6QzXRZ4S9MGn`0mUR+4I5$7p9yNhgpA-5btAuZm-yeshgi7PY_wau^8$XI7HD(<_P~ z0Msn28eZ;JLc-;xrYiBx|53g5VV>G=4O{BB+p0|KW_3GUrr7;q5oB( zQhK+#u|5}+4NB!c^v6dZLAW*+2rHRf_4W4Q_48rb4BAH&J^fHD5SM~1!XL5!635-y zThfu;GH9LKb;C|s2w9Nk*V0$-U#GGRVe)OEjfB?Db9Po81kA}}2LC@~ol|tAjk>L4 zqhs5)ZM$RJHY@4aPCB-2cWhf7+qO>ry~bK=pL1@jMqShx_0_96=kwN$qu=Ec30F~5 z$gP3A7o4>Z^Z|N2vrkSLA4MH;s9Ify8lRVD#Oev33=b#bI%Q2SsO}J4Kij{+omN23 zPK1WXT|k~*$j%?-Gl$hT`f(jsi7w2BeBEeRvAi{pd_2Xa=cy$0fIq8-a3W?4(802{ z-spZ;{8)h8_~sSfArgCeJl!8bD{pE@2TBB4$~<_CHI0thBnD;HOjshj-BiYaU>TV` z{InLN!&p)8LJeuzZG=8859RVZ=BRORUdU?oR0+l5Z-yoA7&h01!RiB}LLigdY~lD`JL;F#L7 z3h$2u@{*u^`>1;JLh}xa-0=dxG=;|KHa94jKMhoJTp5bSIC~*e8((_Rp((V^1$kZf z(paoBx1M98v{Q_=1F=W^KD$lG2O_P{jGCk5AwZU)(mX>=aOhNLg%bDq89;oqx3jD+ z@6JnP5wJT&85L@sSqZH1zC`cA|GE@V!7(Pn5go^TTeSDOJ{&+?Rya$2nNY_BJPcdq z>@tSki(~iea)JIHX=>bJ_9P3$v$NeuQ+5wg#E2m74B?=govjSQip+)Z&kcV`Mm(RIdd`zeVam?~|YkwPz zlfKv36*Rx0$!eedNm%%uf;W(XI(>5K#fAHICiZL$zX9bM@HMyrv zpQsB`U$PACD*psOCkZ(Gr-r81FNs3YU! zYI^W>&+Nt=7(9uvypJ$t%E(annOBFw@8ge$&VtLb*vHl9&icoLljn`&-F&nE`1nJ! zFW|QyVoT(Mogw&Yi(;}oMn}bNe%(L%b^rVGO_zy%_=T{eCWkG>ZeuBFT(cVh2qD%p!8)c)wN zALz8;Bo_LP(BB<<2TCMb<;)BNS?_^;2O>oz_qZe!7W5qSLNW8;_hpzVv%}>;Bw$b- zrmObYqW~X0-CuR%#P>$V+~vdNfgsq;+5Nd9cGARgp_)6=Iy{C@Cjf5)BcuKZFm--n zrxI5u2!c$yaNkxu4KK7SnE572*D1{iCwg$TuEclp-a?Kf)X6%`OQs?PkU*K zlG=5SAJySPfz=x83i0PR*0Fixk|F%(zj{r8xoR7*3{Oepnp>%=c~=2YLF_2GE+6(oSPNXJENp-7Lu8!^=Q9}Kx!&z zq^oGXanqdS5?tRF7GBsXAiGWR;v--I$1yYAy(YhAJwPf!yyGp2Dlr$D8Sq3gPT0@( z4-ax*A|alX0>-G0an=a|cm?sGqa~6a5OvTGEu*OogqCRYmHzTZAv&@L$s$f8iS)TJt@C2{XU za5j%8UsCwe{6m#9N>t%mv4qWxRn1|d`jWX+IiLY5R_7hO1j9n5?Vnt$mv5V}&^wyf zl?ftl-Z>mbx(CV0DqqnGpVvE24Da*T-r~b9vqnOzx2(Tl;@01JOmeIFWn`d_9RE&S zG{2CD>LBPgay0}9;M0~7pF$Wc+hLes%EWKzWdcF|lEdEhxKWkP%qP6kcVakhV=>7K zX|I_xmlHWk<`!2tx+D}V0?BgtL@M`cgQoSERb@UVdOC4o&s^~7!^(;W2VsZ&yF&4h zj%%gIXChekH*etLfbsC`nW-$eCKr2mjyIKS$#A6B%Go%Qo^m7xeQ|pXj(n*p=t)j{~O7Dm6S<`eQDEw7_rj zRPz0Vd#|EzTK7aXsm$%U^dHnQA)C>;iKzyb=}sHb@4+M^V`Fc=<88i1Ve!+n;iU^X zxit7b8N4(wa4h)#<=O0RetB_t5-F#B72x$Tt*-pH+xva*~P$R#?pGot*fU)k$po zN-4~IHmQ3_9@*|!cJ-C*>yZE%1MFL-)@^e?nHy$_Xzh60_XdtFRLv={(rBYNJV|a0 z0|6KQ@{K?aZ(Lgii;fa_izEu94s}bA$1PBg_taagoA>Mn5W!GjWPYvWA6G z=on$fIU+xt$^ba74%T@ewc=u@Gqv-}%q`Me`}c8x(OP)tX?Jkqc}ZNw9i#Be7fG?7 zeA(P$8sxZCL&F{UJW6kW0IQfE-}ZRA887HOhoL*IAW?%qzcS*=W|gz56<{z@D;fJe z5OrV7E&GvErsC5-P0rB|w(dPy*XMG*HMA*@DW2Vwj{*Kzk~sM=2wP?Q^k` zoKJ9$BzGU&C#^|6<3;b>xS&)kK3%MUBa=ptQdl2il$)Ds8jfmi@k6#rzCJifYyJ0* z5uBVW?iYZc&*$^nOfeDB97VK>+sMKEECzEH=4DVqVWD-JhdSjteXKz6K+rLfl%T@E zoZ7YqSu~I_!2##QBzDV36P%z%3VcZfgBk=k-qeTo3c+;N=9s**u>h*W>n4=rsYF14 z*HG%|5Y9JE)4Yu`yUUyJ%;N;anCM8Df-&25OjoOW~U?>6@+y zT(In={c%l47sAc`ejo=uhCra#A|TbDEfJFEAn7mhd81xy`~hA9&(!=M4_n$ z!vcsuJP)R4=bnW$?k^bO2_rHxm3oQW$%hruknb}Y(CT|+5mCx)7bt&KQL+iwFycdL z(A^StQ%BY$vvd2Z507$3)vZ`j^+llklL=B=aHu-W;fkzK^pIN?UG>*Al8HnrjY7(H z;Kw~z!hnm1sEnhM7_}O>5pKnayDHvPni>FaJRdfwH2FZxz06UHb?bn9R@qDx6dr@V zJ+jRr`!Omf9G^05JGU{zYbnrTeD|du!o=k#<&)-hEz){#|EfyJFzRiwGVcvBWd}GV96^~E{Ue;1 zjo%WG!84{@(qNSbu)nZ(u1Sd5@D88>3Y;Lvu6mnG@%jdnN5|~~R`L3UNeDO%+X|OD zQt`U(_z)%=6r8{t8piIQ_dJ<|i9jXF#T?mLj1sXNF^%a8l}xD}hEO;N%r#>a)tvW!6_M|H7=pD#c#-ROMLn z_{toyF5^rii?QN&=I`tT-&Bn0j@N@h37~W~|J4n!3y|#T(9)SOdxT2Lnlu;h_giea zHe!v6aBon_yo|Gfc1aar0YDmuv~7hIR8z&^j*Ajr$iBM{&fY?_g0KO*^*1=1e7EYG zhM3BU{WuYkgL!r1iP(9)g})94PBlJZ+{m5f^mGF(^3);84nY^c7K`rs`?u5H>)6lq z;5GB0g=pySB9lIXY6v=_r%mQ9x9F#Cc1I-#n(#gmBMXtR^S*~I#_$n#N>(Kfcm%|a zm~)G<&m1_9Ch*%Pzn*p7Vqk{5)^CNH04~E+!?yZjH)IO!)<1QXk(Gn}-$C0ILLxoQo_=d0%xUUV?{B371HlzycehE#)B0czmT6KVHS=(0 zYBr1h(DeMqH%PXjL@c<&t{i|cJRR#kelYoVf!GnHRxw%ai3t!W2^}=+zJ|HwOJ4nC z?*AYrOgD$`wP*((9P%Lf3 zP8VgfeJfdp7$MD4{hevMRY@+`O`m5z39-FwBek3NgM_%ovnYizcpFdeqZzQ=V3ZW( z#tfY$0V5Gy{SX*5AX3|no|f_0a>uUTKe30rismfmBn$YZwX>WEsw@$*?9WzfaOd;J z@N*Nu`>}X(KR?5Iv{~#rRV){etQjLlK4-?xq&$>LC3j9`+f@i6!*-0uAQG(gOs$s? zOa>>ph*U^d}%Ng(1W z5Yq@Kj{5+u>|&7;N)G#y@a3#=DRPsukTI_*)fw^io?o*ZU>IOINk?-ii4FxA6k)Kf zAT}J}xZ+g`i25<^e81%Di>N8xeLHlzX~GUI7Gh301QnQbmdr}?t6=0%(BkX)<@7^> z{wN`WuB|xB%pE zG3DU+h3&3t)07F*-+sxJSII1i+Dzl1CN&zuGp1Nnl_aRsXMPu5lwn#-HHPlBkd;~v zqje7W83&OtA2h)KG?594R$ppro^ac!>43Gt!@cd}(QlUHed&M(m7 zNc?JHQsD~dD2#m62rh%+MOTbf=kvl?8&exD3^P*Ia*2yV(}l>eNgx?!ov4H;R*bBM zt<$y6RiTz2{I%d19Q{Jwc|&&Caf2nzhH#01lGC5f;rL`86^w}Y4fe}T>N@vcJXV;X zE|v)o{0!$n`WZA{6)kyDGgNycwCZMmQxDbl2PK7;iQRxZEFJ7{2Fw&KKh8a5;1cg~ zjE_0hF_?lNwV!UpHXeBSM%gcLSqU?jL(JLujI^rmhNJ=XM1_3$20wx&e`Y9_VYVv* zCYfmrO>(x16YlYjj@!2{p~m4gO|hT zlvGKq#tKlkG|c45E>A9{q|t65tG~~AF}o=5?M z{|`T53l*`Kd$)R}5!+t#98eRBA{NHhH$EZfPZhr{g2&?GPR-t4YV6au ztF`9a)k@>$+yK~c7Z~cE@A!7L8gq_uOnhr8Tih2_t*H$bzm51T=RIz+{wCT(HzUl@ z=~BG>jJ?X#b#>5OdCbmJ;v640iJCIL+C&+UZT7$%XjsPKp5$Wz6H zsq;udbZhI+w6xOAg(jvbqwUi!1OlNJl8xF8eAbb3cx1Xj)2q7Tz$4}72L4X%!1~Zo zGszaV`TJ>MK$=m)x0#5raj4XaZBAL0p+WRRK%*L?&O}5s%)-L2wLnsNP|1_1oaWqu zJ3ZQ+KmbVHXmZu>HtiS2rCb}b0VHV9rr#v9b?bwYsAlelCRO_W zVEEFRIQNnMgeP<$L`NoEdWj4n`GStZSRFi%oWdH}aH zr5@nYq^8m&$graJLTTrw*%x4v5$F9?5s_8&4p~4dcZ+p{SA{JGrN01l%@Nu0jb(!? zLtpfb5hh|~8w>u)@v5FMduk}YHV4r_V$A4_8BluOBnII0!AOzX9QH{zk*m2Ph}R~= z@lXuC#{E-VQO*=2acR^^h_OWs+adaIp~hh|%FKuE zDl;NA6Px|-HZ_Dmq7y@$#`RoV?2CJbU5r@Xb#n>&+t@k?>-n;T&r=Y^xSPp;22sotA zv>pir)aGT#jU)t?)b=_p{J<(ONSha;Rn9eHs;&}0lBmQ0RI;5hqN%1o`FfagAB zYi7$5`1Ad)iS{Hs1+VT`dPy&O%FEJS0yj6BWLe>z?-eB~eCdcR)rl3kQKI`WW!eRm z({|Za8E*reseC_IP3jd)EL1z9!oqdGwL?G=R>Fw?TK;h{{%1&G=i*F_sfEXY{kQIy z>z}gbMh398{`8S7x=y#|o3J^@hekHmOv^;0+l~-QQ`)Bzl*`BCY zkc`?@aOL`6u*XPDSKo|K8jBnLa_}#rVeQlQ3HOPi|C%+$ek)g0tz+ zjBi;2I)OT5=jrbC^25jX)#c%J_uw+rH;oydvxwcJQQd#*SHx-95p8xf&~w(*PTg&)I)Pef#bSll!{y`a`37v|EEjS;zwiy7pz`hg*+H`MbP`WA=91p+JB z0pL0!OhOt9s99@|=hF(oQ`>b&4Wr7&T2Z1AL=t1o;to5iln9Qs)tMGoR>M{-jVVft zkEmuW&%YQ!X=L2Fo;P)j=LHV)gibtq_xPBTVe5!7`8?}dVE^4+egcG0+g)WgHh+Jt zC_zEe;vO*0)eVL819lUW=d=%uG_Jx(9dN=V8yCYA_e_;6dQVxL@|-TU+y;j^X`raCw7M<=5%g>i6XE0eIoy z<@bAi_FyK}p0bFrlVz$hMq{6cFJI*S-lEP>AmcY_@wN<`;XbPm2MX^S*PIaWbZ%a& zW)DLSZ_ydRDZ)tmljPc?RB0AM4^_joSE3ubXJez2P;gvhw^0jXA@5hJ;tX7lJZlKg zQu6XU;6F}r9BViTeuV`=f1ci?0!Yxs#neG!n0~;8goQM|>PPDSupHMKSIp*vqD%5_ zUbvwImpn#`4e{vIXc#f#6iSvKxXctdZtK6!JJR2|8hKX=op{MxW0%4!aZe~7(+)1? zY!^m=(tS}4K(d?T|uNq%32OvWn@G+T< z%i;_Tn6?@T$Bz^?ZE)@&pvuuJrG!lFFVQB47hMcrPGTEnWsAuF338PizdO!y_6vQ{ z&s%+7hA$By%jIbNP8qF>pVgbT&8zxRodb1&)jp^H>GWWP1)?dvl1&PN< zBy8Bz70XXt7}sx;7r5C^zSS@3m69=k4XYxH2oOG3!A+=jNd1GLVh$yv zv2EwTn#)pk*4()%9t}4dS6)HcH|W<~&WdB1*cdLP-CS@Vd<)iPeM8`qj}&c0g??G` zC3EkI6g3Hgw^(dB0@gmIP3qXEQUqQR8V&W@v+=8n%@Ty5e-o=TsYWZ*poicX8LwxS z{fyrgEHX;HbeifB;DAZ6;?pu`0ri)7{i}cGpa{=C&w{w1oFPajTrzZhU%@Qql=&uG z+gBXgd5|uh%%$-CNxRmmFohUMImQ#=yVbo!8*bO#g8ec-0JAW$)Dlv8(8Jcm$JWot zkw^>ce6y*`t0>CR7OHeg*M$%F4XdY<&(GIAZC01N>&x+v$yE)Ct<%zupXeDB<_##T z^W2H$*{jumpV3QZ^?th?+NPCgiN@GCl@*vxg}lH;IXy|XpMGMrk#3nYbn2r1N)R&*PEbLD0X|!4a+&qOR1For`R{H_-%| zge^;8;k$glu7_9W9Eaw6Q|0j;5_B86!6@R1y9Px~T=C~i@eH0V0%Pj4pQhZlJ&%D)at9+Qp zr+)622E|D0<<=Z&Z=-Z=N5l3!mI^$4;qG@FU&!W`lgsF4HELywhR%8w3a+XG{Hazr z3Z2xvwe>~tQhp`As_o+-+x0%j2jE<-qMBEFrd@M4C{J&lyfI7pa%7R@*wECn;VGU? z=v6}KfXNFZ>Du>u2|A4zrrzP5ezr0L&qw3lAJMd!0lB9{cGIw_9FLiDK^^p=qCQ*u zgJZG327aDTymjjxreT8!Bv?^E#cdoOh{vhtHDPlkxHTp>m~ub-osW}kTM3GdY1t{yuHPvmH-W)x)QK&1sCg`nK+W~~ZIl>C)a>p4{d4Dj z*tyQTOaDVPOgKq+DHxnm7hLS1?`{6$wB;&Np@Jma)%jaD_5j}7fo=hsLA{-{1 z3$q*udHWuAN)+rJQPxY`^$D&da11RKrJ}3f>aiO#i)2YH!cdbIDx&R#-U(wiO{FYp zOe*esP=tIHX!zE%VX+Lj=sgmu<^XV)G0OJ^^F2rRkpAm|GkQ50bKJ4B&= zp|r}|^O{t~?-&fug{34?rrt%)OmJcxo-`J8F7duj6BZmJ6`xrp+b|^BDz<5bNHnVo z#E|r?Aw`-MLiC8nMQlD%irPBTnX)L7Syx0o|K3FyOvcf}(UF106$?6|BFW@C;2!RC zYZ#V*3d27ANKh%c3G1pIKNFDS2KrlzA$edfUu}g46Fp}zh-N-Hjh&jFLU`r%+VxND zJpN6f1^p6be<1ewhZQ;;+JX4hf~`2%o_FLAOz*F(&5SmcEB@{e!~=m&z^nIh@|;`+ zgsODEH*TbLH~F{%z21COLyf5P>aYGP9xpi*xC$^CBgN%Tba!hfUsS-sI=Yw-QHaxi zSj~D5Cn^V2L7oSayxJabJ>?;*?iEis2_eT`vV|6sIbAr7$5I3qwKRX_>4~r+?C+=c z;xhvrCM&aWf)dR|o<&j-GpDm%(z0h_<&(Lz8^38ZGg@R`_cae&wtON}A08=aLAc^c z9*%K0>4-Vm0a}H$N@f7MDj915sd+)Wz2hf1y5RR@e#j^1w^jC01x-(y1RoN!1S z(#?5)iKk&%is>)`rNw+x+yuy*u%;)>P)#Fg%eBd7STlpxZjV8}?JL;@OR$DiNYvw3 z@AQT<4f};3NXs!a2uke&bL@GRLRZzcyU2MBQ=1t50qfi`*uMdW+o7f9Q!5H36>_Gv zY1w%OVZ4r8BR|Kywx4`c*1dyRt+2v8lxKj18Rtq*%v9lMbwTlQljMug{mU{!{saJ* zrq*6)h0dZT4*{)D9Ynj|<4d9)w>~Vuxmgygr}x@*8vcyy^WKtfrZZ|yT(TAh!Oe^I zzQqtP8FN!4>WBvr1Wx_b*w+FM1d@mf=HBk@9nrPQ|2f~}Rq5)STLkMKtXUHCL5Ib& zMlE{DTzEs)kO!UZQ)MsL_!&0bxc*MKENp*$ z@FSEI(se5Hqz!8FlMww6Wj#jhwR6hD5KIZq^aP21Rx}1+4vxwFcd*;Ei7~DJ14ruL zE<(Qrn={F|eC74Lftjl3fh{7;vi5B|2!WL95xg|Hs=)Ai)qtI=216O8o3I!Vs_5*U zlF{RKRhTYMvZH>>4yChi-V%I?TYz($K#(B)vn)9$DNK=Jo37Oe5ZjQAOLFFdMqY%A=pyf-bk>q%Y^+F`0axiMo0 zxiUMAkomCzBXoHUTdzLis&l&)bx=1ABq$iT2$OdJ7z|(HuGbO3-3W56#WJ+0pDx4G z%%CwCf!+1^vaWp32#L0?iP$CUN8Z2<2vS-bliZJ=tlYUkD?~489@MEw@omFob^WoT z_j}z3DF$u5Zy=mzE)E-2cH_!oilAm)o~L@>(S1H6A))9g<-h4?d&-^g^VRvf4K(R3 zR7Fz()zjsY(+oNlMqV($k|?zcNEp10acx@&$9IueEwO0PXKVL8obW<}%F_OVEA`S~ zV~ftW)cp*UjMeGSOr9Js_Yv|Hp`-KqdZJW8PQQn2Y$NskNPF)yG4VZB1#f^==}ZnN z#$#!W>9q1cUhAHlbnoSE1du6b{r*+H6U`annf|H9|1RBJjI96BE3z?h{yRbHf0b?~ zPPXr%n*>m#^?xCkj`*>A7zwYe*8c@S{Bdunq@Pacro1e)-pw#Nd(50qpOITiQq}ZN zRunHwJ*DZ*^ku%EpC{m(e^}J&*Rb`k>a7}_SP!R%&OZRixpM3F)#tsy_Bh>roxcEV zQ8|8nzFeh_k4JL6up+JlQ0rV;h= zud>@0CGFViVN7ca9$FjmiGC$*pD;f5h?R4$OUv81*NxRp9s;)J_l{!SM>Dg*r&Qa2 z&ZgMg0xQFB=K@pF+`QIWtk*J9av1BtLg!wIktLT7=(SflXrm*W%2$&yvkW$#-d~z=HBR_MsBDw($2HD1&Z3D+mhDl+(Kf zrr;0GL6k9yN|RWeb$mIy$6aKZl&}&z{s6pZP22FYt$kR9H`hjNe^21G;R5Cg`Gk|%6Z}Yke8l#fUkOZA;2Rtpf z2p!3?I^!7!N#V2K6ZhRb5oulw$a^J+w*ik{@P$w8CpTV(m{#3fqTbH$yDah$Fop z7tPA1m@C^1eZd@@=B!Hz{|+|pn@!mo;OjLuplm?_S36JB+Ms^QTunWG!HsMdZWmon zE*V$)R7U!AVG~Pq4xBIAhB|hr%mT|wLk>_jZ?9UBh7UQ?8-Ea$uaxRT4wwDNpLe=v&H2{y&Tg$X3ii&_q%FgY z5Q$yeY?O`DZ_+#&WZcdXj3x50#RLaCYU_2deI{EeAx|Z4(uBGPJNF=$819{*mK%^i za=8K(c@l@9YOF0?nFd;)uz5zvJ3_LUq41L zhp26dFr_0%H#{+yI-3oPDFYT5QwgY%yiJ5VAs3<*P7Xm^Brf2)6}t}Aq`TIN67$zf zS#dK{h$N|MSj=m3piN#sIKa0_NMn;Pd0Tlrc7P%KCJCbx z!>ZfiRX{20~qNt*cQ3Jwfm+p0NK0VyqeZTH!Gy&#P^!rN} zwTSD!lu_yo0ct4~$?)MAj;vN`zc-0|^I_)<(p@G*eT$}wI)XtFb~>)ydDqq@CGaUj za+}UP-jOu1?prN<@#7fc#%~OQXh~B>)`B88C%XewvgKcfdl9+9R*A>m#_r9TZrX0b z#jzO@k$S2f^yaCor3GeJ3;`dmFDbt^QG-b zwJ9}!c-mcZe__JMJvS^#c%ZXHev`9NDp)1fkq305AUjns8yvxqdt?iQF*(MF4b66FrMiwaPqE_Dh)^18#=1f0%bTLgp(bU2lHf!5o z?$l3ya`-iKj_&MHXajV2E%_ zWx}JISmN^w5_$3%yZCrQAJ=t5bLcL>>s`)Xvx8gCB*e(s0-}S0(lCx5I~3@Z=U^VHbh6DLOw_x z*zK#w)17^nKekL3V%3!Qf)uL{%^W|PT+B11&_*YzE|{UUNbg|apU*TA?~SusK^q8` zQ$& z{_Z6Hy#!!pC0Q@X|s(C^}|RaBV)Tp`S?k>N{Ltz^=G;++gqxFd&ibu#6jrd zdF(G3YAN+#_43LMyxAoQUmA*m)e0KZVdzbh7tpiVvzOROFH@!ujMl~YC9>5+T zpshFkE965^RkLIFwYYUHL|Dnum!m`wM=L&0+)|6KT40)exgmD+`t)(f@Wtm&!CF%) zrKxzXBgWRf)^=$>sf4a-g4|xyoc3)kMw7kKbFzL^vs$uIwn@3C0E8U|z<$*mfnOc~ z3AhGIjIdg%vXDFLw53>O*NPSY0>EJNhlV^cPl>`CEt>oUBCg3raHHCGN(M&-Gl@%R%SmUqfn~|}2y3-$eTaJ|!2|zpfa*KP% zcW%#?#j9b<*2a~)zTfc6<2QokSj=uJDfmtmD}f;K{he7NoWmjL*H7(C@GA7H1)UmH zwZ8^~KZYO{1fR)r(bYT>uC#FZF1<53{%%L=*KC<5V7zgY*(b+whh6S2ICovrCLexD zc8SaAq_j)^pmGhCzof`H+6DY^j3-KZ)IC%A?E%um_36M{>kU-E@XHBEo&+x>Vk%(p zWo!wS4YE0<*(`c~xo2UoVNkIPgc5p!vCG87YGw9(VOI9BQwnWr+6ct&KC-3sGS2d*}e z&~iI4JXd+Cti-aWKFXWH*sVI_rJLsi-@J%v$tI}sL(LaXXGO~9E+0xitLXYZA$<3o zM?f|*QaCM$dAZ`L9S2~dPBFgT`}XAJ#_`F;xsmEtb)&_z(nilKS`N6Npd+OyE_y_4 zOoQut-cXE>Xyt-8j(I?g&ugBDy1+CVimF^mD9$rHYNa+iOb;Y0@PIP7l_(1)rTzn(Im(-n8Q?)`PDy}f zq?XE^$foNgt_PTc>(q^BOklP%!G35yJCqf7k0ovWbXuPsCl z-jHsX3os1+s0p_ymftoU1Y62NugM)Tq-gs5P-?NjxhUYa?Wf-g9EQc7Mv%*}oBc3a zi8AuZFjpWa?==739_2p7Izq4%M3^HqL=(p|wF83acqc|b;k?AJ05CE}a7byD?`=d6 zwE)but&cgV=K1ct&EU9|K;S~_p>iI7%;{Z~rMrbrluAfG>eEu#*jds5)=#A}CSL9_ zU`h0mY;u*DODL=eFQ?Vkn=CR#ddMxxSC$K9+DutfXjq%bbM0KhrGT@-O})Tfy1C8P zb~p-4K&$MWo`=qQ&%ivtUn{P!j;HS2$xZ)(<=%DOGsa$N4($J&RKNdp|E&=ECfKm0 zZkZ$e$4|k=k~rH>_Kl*_{ywRq`fYvtDYWx$Gusd`1KrfMv7uP2@(_y z-@UnXzZ|UZ&KD1rRJ-NmWXI%O{)x}$=JWGy|GM}2X50hZz75|$I5^gQ>jS^!V>2!; zhNN5$f*1m+?5-jz0;vR1TM(D~!hnQ4fcv0*o!`Epx^|nox4@Z*KzvR{i6}n|X5R5P zEF6I#g`bP6Nspv|WsO1#;!YD^=@m);EJl7Rzn(aO#dj5V+f&9+&*SrL7SAgGJ7VMr3$OtPW|C>m(lfSv6S zf1=}6w;U!PUhQp|$ouLbO>~$juMsXp4LS#ZtsfweDj18~u9qN<3`*Lgn_bLcRF(?! z#VU~$p`e=nkSS#(DaOIfsn@d3=?2fmFIcqM4m~PU%Zo=rD0;D6}7yOY6HOSEE#x5buX_z=Mzz)eb*Kj^;x$&mQ-N zcgyUy&zS3gYdU&+|^W){mx0 z$K4D~=HP~Ph-c@nHe9$21I;|)8%+y7AJPFKSi|ZYJ#0>`;s{MaRh-MNnfmK;oQ}LP z{x0S${0D|qvOL5d=Kd*RVgA!Q^I@oSXk}If=EzoSlDlkl&TOA-FLImqejUE^3wyk} z#=Oh^DhY*Lv3L$eb1HC7HwajC3izyKLk1K!!6l6MQrDkiI}zo`$_rae>xV=)q$#j$FlFH zzyjQaRUVA)(v!)$^C?p_S@WfHOjFd)btYM5n7E(g5B$2D;vCpyXd%Qv6Nt9X*tTbB zr!i564ws==_`1aw^iu4Y1ttLZ+ffU@f?!T%&OIB{S>{Plf7yCRIBmYY=IqUXOA2)r zX`$JK(oo~if-$(%pZA1}>6FHZGe9;sjCI}f`5F3FR1XWw?-n0rF7|G5VlNlKJJOs=Dgr8$~pSi_mTOBpn$aIq{Eqf80ZD(syb>6Yg=Z>1$;+!tT zPOFi3BS_{Fe(8|e8{{btL0+i`&k8Kr@*8>Ki@RnITxqVxMKR1=@$4rhQ2pBRy%{U^ zAy`$Dc#IQyFYUED9~%Ib#l=q2D4~*aWNucZlIXc^F)KP?JWj}#2_noxHtgQ%tmBh51RdiZOjsh&8jWU~@w~5{L zfHY&|&REF+?mQtmHoanmyLU(}g_j!LA@yaVen2A;Y+G|=@x`lYmD?Q{N zT{AV08;GT-{f^B>PQ(Ykw(RW2fcO#u-Zxx56zqX^x@*5ExY_J^j@UQT7d8NgV~Y=0 z;NG5a6YUFl39g;~pW>DZZHoX4#>BzMo?4xO@E@fR8|!zS+t~yr2Sku?{4-Io?$gEL zCtv}f&J)EKdY^MvwbOp|d-8y`v|8{H})eNd$@TQ}@ubX&JmFO$#WR!z|SC%mNM z;pAcddvp@wZI6i0;?q%qBJXDto7NaUHjwxI~{OsPgi|CwWMV4?t&xpAMtz6 zWww4zP0gk~UH~__#USqv3wN@!;mqjQQ@u&kju;pprxoxIG%1!uZ9`P}prIn`P@E za^PR}10kaSG<6#xFZBQwTaQ4FH}qPKTV)|))HJ#yP6CQ{B79ocTG!ZK4~djI4;?+*14Rij{m-m_ccDV50tpLM zDHGy?LHXos3$AUq^)_nzOd3y+>%4NHeOhWfUCDCQqatNV1VyfqhjFg#3Dbezw{@VR zsPusO3jH6p-Z8q;cH7pjR8X;PI~7|M+qNsVIb++lZQHhO+s?_m&UyFRt$p9$`Fpl8 z?x&C5`vvfYSkpaaZZ$j}r;8L#PPXx&0oI{c?Ag_7KLFwB36{7C1mX&KW&!z=mb3=2 zX?e<$20WfMsF}p0G$@N*G*S?k)iIus$NgqrSt5Qe$ooDnq8s?-q>u}5@9mZ_Qi9`? zz@_K+{aNOA;#y3(W^<SkZB5gpv8yxC!z4Fe^D#1y z8X4O6BM3NJ`1xSsDr|MiFt))ZA#0KdT8akiX^f-oGb`@oiQWd>`a?CErs3c5i<4CM z*P}}|m5^5@A+v4QqK2x|TX+EtzqM z4+Xpo@E`81*5hPHt=?w6xW^X?wd}_3JAvS{Ca!7Ke(yXG4WbfU~_BQ3&a?;jM@ZwT?t}e z25H8Am6_*qR6u_a_G)Dc#*zMw`EfoFt|cFltA(-3YJ{f1VcbL)D7KE)?XZ9M0S%y^ zd5&tdCG~Sam%fPeonKwzXoy0+c%f5Uo{z3YuB8MuJ6WkD)wR3f2}CYr0oSvedt(V_ zvEs@BTO`zJs|YKq4NH1^o|l-4ML6{x(cUK!bxXmIMxj-R&4MP>!aUMzioyEFZ&w5pfDIHz5L0g$kjdc`hy1}W zD$0!zd!(Q2laG(8iGdjF)S4FHgR2=YCHXXNLgzAhE{{Kai`H#Vl?9Yb*JwjwV)dND z5uT}gxRXsan-A-z{Jd${3k{%2^y61Cphl+*Wgsu5E3~;}p!9_Sw&Hlv`WIIm^ zbwX$j{i9Ge3RNdiXRfF!)M!1v?dpBJ01C(9oR+&jFd4L~&1ugSTcnCkx2evx>n$?Q zZMn#PIV#FJEZe+wHY1I?ax8ncn(tE^5=iY65gO*lhq<~nH6ZYZ{Nimm$vl`|B+-3K zX*>qmfvq9XA5by$Hwi0t{;TE9RD%3u@Sq27we{{88}gzUs!|KKFLPMk$4}gJU5`4D?~*KrU!klU))zg;PQx^8Hb1=8@*_34Q&!!^!=CIHip~By(>MHjiKJ7R?j5V#ymF716F70}X z(!sT&rKSC6KN;CLHmo%06aupPKFsVpD4}=I;OoxP&U0Zg_?Izi! znm}gc#h)E~wK(U`m_TZN-C0t6S>kSgb*WVDNxA7&XXgGmW6x?B&;Xjg-6d~DY0@Nl zC5B;yv>$TcZnb^jpC3asj+O?bmlD*CP?KjJNAS@j4u zujg$~Yt*EC9j|oxA~!WXgA{lW26LzjJ^p^iOsU*Dk$`K=7(dSy--Q4ydIRhY$4y!t6yYhWSSmP^77U1E}#k!2Xp z^P8nvSa=IZ&t5Ayh0PhG){Qxm(hOXnaTS6hoHH zGV&yBmEh`R#9w;zQHMEwJ0oPmk_`>>{_6iOCj^ofDV@|ggU&>Ai><=uhGlkgfPW7t7B9X26w^fCYZH93ZgJ> zzfwIWzg;|a*nXUyLzcMgXy$DusWp@*DL>9*=c`{5gzU1yq6)RP#(Un?Ion7Yk`52= z>;FcKe4N?MWnEs)xgIMbTC$wwob#Z9d|N6R?d=|HvDr8{S?o-Wk zIZvV@)?NEgQpQ21j>rxA`+Z%{Px9ytpb}n>JZ=(O8y4-DT3an?r{*it{&I&8%(skK z9B?GG=>Ke~c3P?}&a6-GCl0jOcY~uS`38((!DML*@Uvy$tfuX4& z(X~tKBOyd`pC2^^bhyKB{N?d!$Le7%YUQrs;|voo<}=neX^O z!R4|=SV!FyT=R$c?uNnWi^+xCmbSfpG!3EkzZe0Qd9fdLzWUD&$Gpkdxgc1!QCiFp zl!Cxi;7K9D~` zz&rvBbD1UT>kZN~&B&d0>7YI|{{0iO$6SELYfD{wzCE0W= zNl{1LAZpc9_<+?qU=uYejP}%!O3nLp{m0v>a60s#)rzL}!tKARnqYr7K7b^=xsv-(2njn)s)Vy5Y9r zhmXo{et5z_@6hN-e6;}r5Upj#PoT0eBBSa)qO&1r#3~Md!3?iN34opE<{>&`Xc{3n zBYHc$%TSj)$JHrMtVhI37M! zbNeXv_{3ryQHQk-6cGA`W)!;K-h)i8-4XnI?^d7VISo`%X(wX(0{U$bRIz_ShN4Ea z6-hL>6wfr8B}u(y2EyM&2H*b{F`UNY@k|Cp)ELF(NDFMmc$}7TRKZE++kSP)(;5}E zGGs74k+k-8{qYY)8W&L)l&PAeV_PG$LJ%(+MT8e}S%z{NVEaSVA`%R1c1OwQhq$7P z(36csNW^zthDP-EbqQko^`43@(w=2J%%ef->O?CrBtyV#faQ`kcdvz2iQI{Gv)h8A zfZn9Jm<~^(z4nYMg_T;r^>Q=IvB32#V9rz-7f9xG~*g) z2@V!V^{?+wL=x2r2jD#8$>e-_W4lGAvSj8c&+C+nB#kmahcq#WLh|Z zK9a)j(n!P^WNiu>z~&qSA@mryEq`Aq5k*{!UICvvcTY=s`FT54bPcig4lz&8_Ie6C z-k`~i*w*;4Z`~a8{$HAz;$L@v2o-bX$cI_zP6Nc108UFvocTLaCK68RWt7pO|R*)La%11lP5wB;hWm*o;gC5Gnc1pjO0K4%*y;9%6&!3n$`C_4!n9m260otzn{cy z*8BsHe=5uL$D5RSIxHKF-=GL$m-u@kd%k@#5|gB2;+~^}5yz@eo=@(itu%~Kycydz z@N5E_x!-NGl&V<5x)|b8)|VNmf^U&2!b(1QHmSYd=-=9x_2w52$2K;0?%ED+PZti4 zEdMH(0nFCg!@Kt0gd979QE&6aRvy~U&F~l)Rvs>9l`0v$96xMp+O*rf@GpLuFAhCz zU0U&MHTjAmrAw;V%z(4F@K3rRN&1}4D*iPnr@$*+-CW1*^lS|()qx%Ad)wPN%WB>P zu$R@Ric16>Q`@VUJ2osfN#KoRLL$XilrXs?0d7nq7_EfH<}AurQn*1s`?cQY74W^Z zE{nU1E21K82Bx_D%R`jAbh^%|vtMs7dB>k0`);o0;y1Ei!g9yQvZYqSCY#;(B*Y8t zjO?{AdL46PL!BtvqX(-ECF^G_p5^W&&ZTUYQ?wh(p<3YJNZoFai^-S;K$HYdUNJEh z0MvRLVkraFwjF&(0zM&+bXJOcZ3*ZL*_UD#cLVUg_y|2Hwr;frq^TAqlaCkjH*~ld zASFU3snW%vLrcNRP&(&pS>O@_cC5Qu5nMw1q2oB(h6?kvq3bvnam39;#$o=tz10=q z0^3#E%OogNP4NWL-F_q0n%u*yMn!sf0QOOXW;%*RNz^;dvGJ#5_g)a1tv$xxb>bFQ zQycjik&^QhCoS=mkRJpn?un9_^Pp;s2DyHk5~qT1VOt;W&2O(Khs0L??1K&Q;YUg; z)fbqRn>!r6uQtsqQ@?>ZI6y{m9La2c#sX=gtZwBIi!M9sCs>a)=>F08l>o zs-|3!nUR1Jidp5RFE2}R{csOTv51ziB zrR7u7_Hz%hSvFqi@sKQ&;|cEhz({yS1RatF_%Cj4n-F>EdQ($Yt&Rw=!hoKo(-G~S z9ju<%f$r%T7ckIC6fdzSvPL(;Ga$W3Lw-acV9A(Qa(xiPxT<1Br5SQoIUh6f?}QCC z?uk3aYUV0>H=zcRwtxJb!CzrG{UG;lT{&-eZEB;7ON_KN4c|>uX zpH+EJ@eQ!IBcxVj)%`R!SI?o1*Y3>CYpA@HQQD-un6(l6dtF?w{V|8|Mvf()tz}^!9J|`#oOy#k}-1> zg};opQ){`1uE{ExZtW;DzkY4sf5WK9oZKyKQnR`)t-Ang@SPMT#8WCQwF{bAjlq(( zL&(F-llRu)p{W*<^^ z!4u22-}fL8arqiAg$w9FX>l(Hp94oC^%KDHIV(3AQmv-^`C5roC}My;)py)!YdaEdx$8WOBq*4o=Vw zp-3_+GS(u(@O%=&E`4XRk*^xXZ>lzdvIOD$dS9ZSGzxyzc~RSEKt}z=zbZ&q+Pmf)i8fryiC660Lk}NGvm~Ep-g{Dz80IMJ0o^seUU^0 z3m1|nmmQ|cnv61Cg`Hfxx`wTwKaT}g`#|$_tex80cPZ8&;jw>$q>sqXd)5jwKwC&p zD>lA?tA-SYEMy-TPmAHy<$YX!Uun{gyL(WCak&m%Ldde(NC$9Y5E_HWb66KFwgy)? zq)5aosd_f*VMV{1Jx20U(eF5d)p?_e>tiWmJ>v*tjlYNl+6Olm6;=FtkA=)R(Mi|K zV?HZ1NAJ_wnU?vJZ+u?Yf7xiQOq^ zhGD+uas0zg{lA_MPcBW*7jNgTaFx&lxtMus18IM^`d)jqn0a8+q41(GG3tkCBatO? zpD5y7?Tv!KIEx2~-pfjly~VZe@YMM7i{Lr-HD~AH-(H4VHO(}Zzr-n&u|<+(xAP`F zsgdW9_uEC`btfuVN$ud#>&W$&7xAVnH)eCYyOMl7H>HXQ8%raqr~Uk56r4EyVvy^y(5!anPz8et_{lgk~SgD%=|?- zCSJfOdwOGq2wi@!B+tbZVsNiPEC{0zH*6CgmdeVd!pLMFKc+0yq?*#$KUpRpmW6dY{IZWf!MUIWUb3SaDv*V+C#^%C zay&-N#kT@?ltM=v%at6?MdVNs$f_9`ZPB*U&dh|JYVy~>#_QzTaI78*kaAly`${*{ z4a|eEg)%XIl>vCHX3Hb*Xyr-aNab1zU+p}HhjxWw5kTU=AfkX9w{Ds<<(M(?x%oJR zrk*F^^{w(ti0f<`CVLW6GKBGvFVFESfR?6yb7+?azd5vzI(84AdpA{aRePc24HGc5 zdlt*;apku!K4KfG;W!quYWiXP${+_l*)3RZP4o&z>wu=8zY@^T;H$h5RintAkW0Mb z36az-aN-!iN{fX9;_Jze1MAyz3`E_HF;37ALh;2kKHw*&J*Z9jML(5)f+66=vQ=iv zrznqTJiMnu*Sdvn!c@zwI@$W(tU}Dk)qpGcr(R3CXFoqmmaN}A&Y6{|?v&~KBw9pj zufRW`Hvw2_GMr>Fep}DVhJaQ0Jw(u&B2#%k&`23$NS@6gY%+nAaf+t_^{<RX|G%%G@zawL={*vuLGcTq-hW4QNM{y8wCtELeH((=&o(AC6B@sT19&UO5I& zvDjJ4UKzs*1VUCVqm-y(eDVeWL|C1LiUI@ikwTI42O2DLe@obtT#lL|Hxq8JEFxW5 zV@Qmiv6AvkKIpV#X8LS9b~gLAb`V_T^JX6DR)wM&gThl`WV2U@561!Xn(4a!Sn3UICk zQF~G-xmjhNj2@Er3P9j5qy}ziQCn}CbG%|Z{6rY9WNYo|twqi&fO=)O|Mcv^F@I0n zfAxPx7Ph4BSh)WlrrDX8|DD8Ed;hXE@Q`7v5aB_hY0cWYe{L-P!9(?=OO>b-t<_)+ z`(|q}&XY89#qW~_#0bWxFsApVFbb5W*LIQk+HBLzpqeatFAnb-f8hXfK5Zs@S!zC$T9!O>EO?x|-d`7y-fxO-~oq z5y?c1*J7NqdyB(M1ntA~kD3&D3lVi77`AQz@9)bzXlfv^DIg z)*g4i^UjeTY3>3JG~ESfU)G)az6IJEXd*d11LS7;46@bk&(FWko?i}2d1099urnkd zgVMIU=<4>h2xX6KAk;2eah)bYcOWh*duY{+8fy(`|pC;kj*v+B;7*SyLn+tA*eGteYmVWZ0+oKm4p zW13MYSO{|kk6hiCd_@KsEOq4~f%68yCRhE;Vd}4VAp4hpfe<~#ujo2TCMOi=Nop$6 z9FAJr5=hO#i?#kbOLA^Q_Tw9RI z&0t!&yL6gg%2Vvx7;?|4Hk2ODAfnh+(r$}B{>kgp^=LXd-0Z-NxmrZEP7&ryA(S{P-jS zsfA$b?fb+w)*?uQG0#b?*aRyja4`mp8BQ(Ev{D3aeNLvZ(q*OUGf6lA9-+o#;QWBL z-l%<2=dWJ_o>PE*SMK)c%*XYS(nXg`CEP=ID_dQj+jN~wHr_saISj0+36Gb|owr~X zTy-}k-*Wx7xXu=g%_0stF__6b@LtwOoq72^8Oeu^HJ7Tx*-_z9aiKrO#>SlVxppRT zTZQ=bX>2M-U}@Za5ORmVsRdhYM6{7Vr27}(PnNcxQ?p%)+OW2qmeUCWPK1UXdD&sL zBh&C|0YJwk_U8Y*w&|I_hxfmOor#I%e{z#7bd3Ms+~oI<%>Ps#{I54R)4nA^YH6n! z%;0q6L3BXC`CxQV^sl=A1{)NcDig(NqKxTOl_hwT99z#Elmr5Ivj!4)c>pck9$u(t zaA^ZNKS2!fq4W?Klk$eO9J#-x9CtEYG?EU-Zy<>a8<+MMeh=PNC+|8J5AAo|5DPEB z8nj&b%rZhCYi~opLuWr$@?g9FQ(g0-{15!w8p%&pRlmYA0e>8mVN*k>wuR5L+;v(W z<-tbQrl6~*DZ}%mz8f27E|0f3ud7UsykU$4le`5&g#<07HIi>nO)Z2W<1Y&PR@UwA zY4D9c(#@bVSfHEvw1>F|fZ^xk*v%DSu`?Zdb(N56yu{O?85r(`!b-{%xP(oV)t`xm zm_4k=mH6**Q(nxqyMzHKIrWj>bh?m3YSiu%NsJ=Am|1{?ER%EK;qM@+=7)u1MM#f* zMG;}vZBu`2zgU-s<2?`efO{Rh&yH7vFRxU@KK5d}G}FYmrCU9A`&HP9hB^*_D%n9- zP>}T#D~vi%haeNYVgG0w%IWY-EVfGj1P2{j7s`Q{*gL^$euF*-f!iTw;e2AEm*^jT z$pkUoq#ucipxO%1pva8f!;m5o;ju!E{+g3Jr1d?``ahEr$FYB>-7#C`sA=l^+~#DT zFPU1fyc8@HEjM;e<9NO?QKoGJW=|lZjCYOeY9e`$l2oyHN9|QW)BGDy41y#bIK}Fk zt~p1iM;+`iS0QAS3MS&23<-fnlI>tv^iN+kgeevXHvE_&NuyB)ozb)4J#pQw7kOrC z2gn<%3(TJnY&ZJO;-~XFjOVxzb2G-ygJa!T;<6cHcA!tOqUGJ0MI=@MXZ~Ktz2VJl zN&4(sj3#l$c7nFZZA`?pSP5kY;}pJBFHrgNIr>EqSy0glX_SA&*2s|XQ=|k)nSy#G zK|~chdHyJ;mHq(wjcht1E{8)HZpa*%EE!7apgE_2=a&FO!Oflt5x-E2UOYMkl_2-R z0HeBLHoy{o)u_xX zK0246o;l}0p?nZuSfbbB0*tE)F;9u7%2jtGKX*!EA9viw6;K=UDz7gZ5G%}rEXYP7 ziUy#}F{zmFGSZAY?4FqKJZH zT7@$q)!6F$oX4sF&^YHYy8HeW;%5hU=RfmD>FD&aBY+tZ_ZIg2>H0UQ5UC>$#0q6r z8iUBWo)$J)KeNFjgD1@(V#-P@V7YnW+ib?P3jWMPikLuTP4ZW z^sqyn#KKehMVEf+1`S$S6r;5cyth(mA?;lDB;l4ibcbH5l7N%-0Lz|iWh#4OJGB^m z6^0>t&o26w?FtO$^o@$M_@WH~wC?fINlFZ5#-}3yav=!mhp!)QhJNGprU1yLUcW*E z>hbJt#rUYOq0}q2_%ybZL22&X5F&}vlJ=xj-n@{%fRFfBlVZAoQDDDE<-c>4k&Yp0 z^9t^N0tzhj->hd+z;}=7x-fL-w>xH91Mb!)^E-Q94Oe36{X@z;J19Fy=)=T!S*!_m z_46$jTdXa$0Cx?99D10uj)DDM)Qfni)PJ{XVd3@q_EPU_O`^uNL+eAXm_I>WCEypi zfj43Q{hLkK>vu%KRcTK{*?T|q^{Wfp{%)ih9uu)|b7nCOPc#72j z#1aNkvP0K`%nx+)!b=q$?ccnVwkkJ?L)zYgK92s$P(AE@Rs*=sc|Rhv(xRrmZ86dD zCfE6(-^IPSbEovM%oGjE5s=&QN-*1G4Pa0xJUEvk1uq%dz+S7j)=;=yUVHOa&(5QJ zlbFce*MRl4C>fczqqfUvH)IH%lG!W+AaD7Pd`Y4akOYW_jA=J=YWswQ041Vc>`XY@ z)_E1Hb(KyABkbqXi-uMFWpGXozwX83;2$WLCdJ{u!qL_v&db5Hc9#R%!+!HGeOvEe zL$uA%>Zh*q+PpeEnPG$Qo|5JYCO-mhx!tMxy8*$~ak9S<%r1g@-HWmCXArQHx$qBx zsz`r0S330VM_=O(uwFD#_`;V%oN~c1%^}NJtxt<`{@OOh+r;_g!gWqJ!!Aso(u_n{!yo1|#Ek#=#)4jM+!@en5oaVzICnTe z!vgRX{^Y>~-U;rt3YP&h(wGi82fhmR35N2^8jWot+MrO<`R5Si(=!VAI%GIC(ED>= z#^S+)dR6gRGvh272OA?p6Xv93j~)yD;h-j}>6#$n$2o0>m~*jnU|Q12PGxC@`R93# zJ7nN?LUo>?@*Sp_97UMSKk^d^#?loFvhZI<{~gv2(~Qv{BV=x>!HgUrLuACq(pAo7 zR+RtI0CYM3BR#DcEz3*&3S92b$@(9A9TY+^+rE?K;*EL>`kgqbZE<&>{g=_a0SCYp zG~X_iaePzuBe>Gv+$_sC3@%ad09JLEjIRfCk+Ab8SRLgKy?WU%Y9A z+umj0xiDh)Bn|z>fM?}xk_ETZbqsryD{Q5D)cUZEOj3Ng;zu(m$LnBf26&>1VSmnP z$#RbK<#sbKM1Z!C#U@nRO(-~8RC)rK_u`Toyf_{jOMiwP3PKb34K5~!ruz!aeM;!6 zqP2Ek7hEJV`sfL2OE!}01VUGEF}T>|6?$a&ZkBd7-f61rU@Cb3EX;y3XUIHiE4+v# zZTmq~ThbUadOLzKr5^%mqJI_roTesyltYzE7+Yo=ee#}5ju;Xj!@6;$a@@Qu~Zm4^u%Q4Ixh!C}? z5G#gBjAmmU(STI+!$HF}D$_(894T`6m2(}2Gf*}N7B|wN7g*}LT5Rj@VEH9M3qYx4 zRU$5OpxF3*B(F}q<=+*mb}S8uBJU85Q8FO4V@vvmDrWr>_T!-N25m7GathcUxYJ<@ z#@?9cxIbqo%_DwalpB#lfQTh|f$H-wP(SVs_!~n$n-Sc@Ms=o|K#IqB=fYywoy+YH zml@_fa@aZ$Hja*?a0lJQK!O$UF?{m!id@tQ#FV486XGN~!jyHPE5ZnvwEL`OpfS%n zso>BYzpq$l1D@+gm;z_BX%SA;XSfV~Q6b-Gl`kr2)0*6G!MREtK~bjMfN3B=E;(^3 ziEtvV4J>8X!6k=vFtfy2N}&-E+n&ulA&34$G%UM!EyM0iwm)0XnZjpY=T6&4-X1=;o1Hk-$2c9#PgOO61>EjtO-2oO`g1sF>F zWX{(EY$5R`9g`@T>sr}6L|<7k)R<&6)tBw;@AB@#fgW3@oaS&f=0Ke`oaRTIo%N*{ zcfTW8ObNwN8qfHT0UDOZsy7McN2g;NVq+>NsLaXc7>tMH)%k!m$_Cq@BC{a z>u8KSm#TVckFYH6F#C_9GMW2}%e<7h$)*;hi8|9_94UAah?Z$5#6djRiZTR!#=XHu zU@2m^qAm*WP^yU6%1QJoKaqda|1W+s-G8wQEDQ|v|8ML4SE*-Vh>IR00W_$qh3yX` zcwOnpZ8w1~8SdxAXPe5Zl!T+9wr_&*QhS+j1v#e}Y-A2Rc0HlR$WxL8M5Y)SA5$ho zhQEDVEG8XZr#8wS5AI~Fv%YR#?w>afE_KN48H^3i6oeeC2s8BKI(0VJKQ7YdhT34A zJ5E2}-glCotS_&0Je^)Wm0yiJx;r-Y0J`Xnu~2`)3pUGH z5(+l&2HPkK1QYxMV9d=4I;U@C93l-JX$Ee*t?1}P&L zN8v|cey%+EOReSx!VKO<&=2;Hb zs+tqgV%hf8gZ$OFg#--09T~J()NQ|Ajgzvoh&P-+pSSq>@g5Ot-`H3Y9A5V%UiI);n+|XL3*ec(u0Y?X+rcQ!{+U5D zt>DLM=%?*NuVR#bDP$~2k1;*AZF(Ui4H0}E>}0L8j!h&;!t-6=R%T9g2l7@pHnWJ& zHn>lQB~r^{oR>S5S3>VTY(GO_< zf-fm zE5JjE5ab2Qx@pQj1A?*qgEBmIZxD;8MEDs{!qB~a#c~QR&pFgo(UxfO{^4e-6%iE8e~o!w^O9d2IPE*^}) z*%~evkhsoH@mjnYD2+Z%1&?G_O5l5FD3y;{48jm&)m@eDtvLh7ARjjmZD4ZpzJRHw z28XfG_=9(DxA$W&`7fMsHYm^(_=YP*J_e*;h#ALl{6p=Cy0i=E3Ek+h68q9*43up3 zj^y3IEub{`NOn!Kq!mGi8XopW5~6=QI+3UpiP?s&?oeYy*7DOcMss2EqGqS&jQr@V zc{sQXv>OP<0$-hva;V-t-eaktdm4H&hkNziB%A|fV)^qJgeip^9K13%?$5!yL8qcy z5h3OpK>XpU<|`Li!Io03BiDYOqgu_jQ9w8E6L6QHMfyxGmKUdA=53w08P<;T{@bWo zqP7jK{d|G3S7rZ-aNw?XDaekGNnuFnwH!rUn#)VyMQf;mnGTh}PqDK`g1FyDmW`EvkGF zXdrP%1XZ_Hy_HSrXpCPXXPyb(p;)G} z9;1&myt4pN(#tv8p(g*2Z*I zGsY|o@;th4*-ZnE>9Ob;=HU);q$C|s*!T_FM=fU9d56>|fv)|9yeQgi5k>=K356;~ zidQWNw%av^56|QxBz3d8w8O}1^}}St$>kXC<8k+mt*MCWj`_f#+S5>6W&mX&1anD0 z0pUm+dI<)n+TbPSQ$N0nrP?KK!Y8nL(5B;pFfUi~>A>?0i5Vs|^MD@3Mpo`@7@;*D zjf6<8z$R#@&U%m_>50lxmI5Mt)?eA&j1Y9Rx;<|Q7rGHo0jDL=7H--&y16YbBVAl5u9-k-ij$w zuI`0V!I)+<+84~3KZ6j`EIKFAX~n~XRt!Y+ui49e5-`&mHOIF0&iI_5>@POI#4Y8< z0>UXg8aR=uDd|YD(9$F}9U`byUgWCD)*wS&hJ z`JU&t5SMq&JbI@QDgldR8H*}m&PED58GoNP<+}|72dvtSJ*3&yFVY$;z z@oWC;xl^RMEmQ3g(^se$>lRH!rK%D5{G${Y?69G(MmW|aDW-&Gs>@9iABHN2D{^3M zACGGeX7%j*T1WOB4TYr8Z|gb+;DVx@=zLig6B)KfYiSX3*8utWvIu`5vp$%YdmfHy zhZ#G=f_>sjFyglPzV=F)K`vE3F%w#L>lPlZv%3vG^KSM{E8I-6z4v_nESR%}@k|np zUphc2?W!a(;X-FxlMK-UU@<|_6 zUg{#IZ7#t{u7F=7SF;X?L&__k$>w@EcohBwCB;`Xl-5EMXj{vml*(D{p#3d$Ekl>5 z9Q8tsTHLI4Ty*_-X;$u9p5i8p&6kZTbLNBjz%r?@arIyahFhs5KV&&NmhC}j^@~No*oKTTd;%(7>6Ii-vc&s8_mL_hl?)Hx0p4KiV#$JO22&}B106~X( z?``cH=T|HVf-ecz0~=|(hlWt_0~>wHe=6H4RV%?!6*{}-$^K;A*k4+RCC;KsM7?F1sEig{B|#oPn>8g`2}T)u(L z7slCrmKdh{qRN>T%!#ZszOJ)gI-X_KMBN&*cpi4(t)Wx#uCqRY_G36n1IQ$spK(ML zL38mLoN^h8;SD`qED#sD6?}MlCKZwMp*=%5(Jq}?K7@O8$)sc;fem^C0I@{KSKhE@ zc+Mi5$`tfSFo?VbFW}JJ=YmVo8qZ1ql?oDq2K_16vqV@3L^9T|0eKCSeJ@^@^Z8v6 z`1uT`($UubaqF^GwLZxySvpH%gqpN7ZOP1TQIS>}o+gVGK4&0niV5Yhq@-rh_%rwu z62-4XUZJ+1D#8H!Q=hH|Kwi$FtSZR}Z5J#0CS(2CbHLNy_P$cFG{G^%rnJgbev2-_ zT^A~Q`@uhrjj?SI3`6K0Y?`+&%(^M@Q&H4!@NyvLF`Yg*C4_lQf5H_?wOd*E?sHX} z!bsPL-EAwmF|kY;oe<=ABdM%#7Ep1`wQJXpun2mpU!m$YioZ&g0j2bi*qx^Xp)L;J zVB46BnVG!j4x5rZ21?a;bKmi0J56dfKO14-BVzu!==-2!(rIsvRmcQtCT2>bfzp1+ zzGb#+zLI{*AsEAeQRqJyvUSRX@|tmw)U`|{(^Rd})(n((50lHa%VM!KcgMsR+#0kn z8LBzNeWUdTVGPya05J_pxza$5WhHS&(QGQ75kGlfJA5#tW$jYB0)33wd@1G-Pt+jB z!EprwnhitaEYP&Gw$^dozCR1+EMo4s3|PxB&iw;xsr!1p1oo_F)KYu>zCaA0f;MTe z;4x~pcZU2>a;NdWQ9JgIlFO%2^m3~C3-K{-1$4wt(^Vj`0JnZ2-FW^ydw#Z!9lRPu z!s52X>1izaWhD3wJ_K?|1I%LOeY!%ua+V>XY$Tk<90XLPBIX+{&pqM`dRSAv1OhR`Y5x2KWs!W)>1(xu1^7t5Jon&52mQkaL8|Onc$PCk^ zxhh#s1b*=e+t@H#%`}0{fHRx`MGtjq-s32k=EET$5dD20H$io+u^6iD8oU;{5u|nl zcVMV_?ve%|w_*9Kim~d=PT_qWLo;KOtFwGT6x%^B2#w51dEegQq2?tx6?{k9m-;8B zCh}A0yj@{iS7R_D*<`sGWqtj1rEHm2+?n7~rQ-)}0?jI%?W~ofMwr4xg1tgAfAf_F z5=Y|zDB+{#8i2OBsKo|mr~|vJSg7V$VB@_SOm31F1kKIzOTy{I-A?M4%2M(V-$W^p zEY0;Vy79+lpKT#gDMr{hZ9pi^*<9=68h{;D=H_>hL3xE>dsYP7xU#)zK)QtqOkdlGUD|8BxLwSxF;~O z;l-TH^;?wBX9SIuWihQxT9lk-hO!q&u z%L;W3>-|R9?}B>0?RJ!6mH7cQd~mwGoBN;0Y?i?HdNVI6r zpGq4YZF16%rywFfjDF~XRDiwD7b~xO#(bTUZRx0xOua-c(VW>7`$0L+#Qm604!UF z3?GBuV;gyQrj4APK;De$XXS|OWAUcY?%uXfc94oCR9+Yt<4)Y`3!q1E8>n9mLrv6? z)7y+@nDjb**C#g!Il^uKhq2=hgz|rP&bs3c*&|zoa1)WyAPpH&k)lwjtVr|HR8pz< zL`2#pQ5h{oyA%=?Wu#PE)VC;&-}Aoj9jBq+AAP^)KI7TX=NX^(y{^jlKjW6~T2Q?G zZQbdZ*P<1qkqz6mg6^A-etzYZ#h{v3y513Qjnii@;$|M*9kg8Jc#fx^HS@M-A#e8P zbhE72O`~&7HY}|9{AuvXA6nwm4t=g_zL->%-gv+y!uDFD%2Jv4s>^d)EC-w9Ee@O& zyT7JTNyBCODyj0Uspn+JFfaSxIOMYYVQUApIpU6YIqW~(Y$s88`*9cdt4!pOg)QQw zgNnu?TJ|Blnu3et_dHp1HGG?H$GRQuov(Ovp0Y0Q%SpU(C!(kI$phczrXLP2-oTA1 zzI5}*`j745P9tvEwil!y+FhVlWv(!nQ8!ZjbouO6Ed8P7+sg|E&C$`(W*!J>sNY{V zseocO>qkq?_n#3L-rL#!v@@R;IC}kqn1c&5G8zt8{QS_e{AbIn6&il}S65muop_LZ z&B|F}C{JX>`Y6q@;wMz*h#WgN+eLR|=z(XP3l}JncUD@wbl##<`rIOKa^r5jbxiqf z!d#%p1N#4zK;@)3rD*P5Q_<^m*80|5QpG&W1 zUAtU$b=tv->P?5=+|XHaM?rVG?)1Gz`q34kOILVh2dx+7P4z`vRvfQzX$w13ANKXC z#?WEiMPea?PfYxAdSS7_1mABPZNt=JPfpn5T0Yz5`{|sL?aWCd8eF4Jw#9i&OOS57 z@?2E>kX47i=J4?g#(HI6rzHAYFX~=0W#&@7p*P#-)vhvmV?EdEs*&-c_3m5=LtVQC zOTG;w*Dzc*yY4dH70g>S{K|s0F3%Rr><9@h*4JO{q^FwP{chOxvO}@Qwm6&}*Z8ja zKcBQ}-Q>|1PwO2xEFC&D>q=O+FCtwxk?I-rW6$Er(KD^1GbZemv!6O)L6WUvu-59Ok2UHOm`^t>RBQk8tl-p#HK~ril-GIO zBt5;O6p53C+e|h_RUrdG z%9AMtJySUszE}sIIGJKMs3cx*&EcE7y;7VXmLIRU?|mZDH!{D=`0HcWA+2xDsapFC zR)1C^ui|oUwqI1tM#etNscIAI@6>rWUSwSGeG^$BrVz0HS`^31#G&9~YeKOj_hdKy zYuJ+S-=7(n&(o+Hd4ZB78(Z|^YUt9a{S4kg-Hl1nxj!bRG}0a~l$_+Ew6|2sv)SsU zdeQv_5*v&>H7_lsHH+xKCT~Bsvk|S8j;w5;ljIts6!LHEZTz@eG9pwx`CQqHb@WL) z=V(5&Xf5BH7Hv&myXZ>F`Q69vn>MhEgPd138f#I`e<~1jJ2QlJT+&TaWXGh|n!?If z-e;bl&z=S1v-ga@VRyoK{KV-6NuC9>H~Gqy4j*xno#v9z;dwpiLgmVnEjJuAOOn-h zW**pF8l+=myt__?I@7)8VH5*?7xZ?a!&dRTp7L*o@Wvaj-R8gl@~ZE=XWf~Z+<3~Qt@5weUj^U^B1}j zy2`ZCH?A2?+7&kEaX_SF(aXEbdB>dhFCG;v5t?aWVDQlF$(hcZ<>iApq5nCB>z^EM znS55+t@Bml%8lpj6wEG2_)j-j5^>NhShiF8xKBsn?zn|&%wf0gbuF7^W+2~j`IKFR z$-bMqYhS3nn8;gfxvn-farl!(ub-5RoB3tvhtt*txg}AN28@x(0qeI_mrf7f`MDsN z8beQiJ9cfJFRMFh&dm8fw?1@lDcoVXjAAx=cVLa`y7&18UCS>%Jh#QS)@`bps`p%@MDN?F6O59AVq{BVnHR?LmXEly@=DYmW@cWA z>O;Hi!VjO#!mH;v=ht6mOj#q6RsB**@AiusuEE*S3I9qhVRMJF*J&<^6VNpn`B7_po7F zMBc{PL#{E>GJ7gF7?Z<0)~(Pyx-;&&rITS(mtp?OLy?6i${Xf~U8Q|dPf|Wp;WNop zuUo9Xgyh1Tv$OkoZT?2n7pHwHG>U0E$m6!0cT>2yv~f6B^ql_I`>f@;inpBi9V~s2 zbu+iJw9V&!cymBTjHkhU$&@*t7tfwQ()akzSDf_=A53Xl;iyzIYiEn)tf_9_SD*0= zFm#9*`EJH&7fmyJ@21x5^45_<&}5m9>v%iceinVTucVaxz@sd4wuPXp#bmMjein$! z{==Jp;Y<9@zgK;yhwELJTlwOIigq}u;%)mm(x^ck{WvigTVDL-#jInKck7jYYTIxKQt|g%P48mEOOIW&p2BNyYx`XFjq_vKxBN2~ z8bp&sSC6lK($IeA=G5$?=elg3@0{v5Hs)C90k89CdV-?QH!RPRu{*zv_p{;pp^NiA zb@g2Dk=fdH@rOFkZpDxP?r#^_xKq6H^wYY)(4n3^krIXDn`t0LX3v&;XkId8h(#X;_*B#Yc{vdTHHk6b^iOe&%7J8Jz4O|!GZ&GIn67e7BD+Z zVwk+9lzC>@{%Fr7d0{Ebq_SO&W(}fIFW&QVUP@8!%m$nXBxk%Tbb%G(29C?1!P1o7gFHd_dyuxc8F>8lW zT=FPMgLQYetr|Q+VNMET&7u)!pDSg|cRe(SLm8iCZ?kFc?wgN>zc^l>ym->eCTDVe zWkEpILD^IAOw_xikB|3%iHHcWi9C>gM5EfkHL2--1*PYXrpE5dR;gr>9j&Uf$_>A1 zDE!G>3BWm}xpkCFk80 zbG-XRZ8BM|_~fzaQ<}TSOd+4yt{T@Pxj8$(?m<D-gMgD&YLRF zlip{)>&^3V209Y+auiQ)jJU$~WBQ&lKBn=rga>Z{J@;;++**$%!LRFNt%}T|=gK8s z`l?!*G~)UxNyBFU*H3gGWNd2Z*oHA4>m1mACG=q7j3Y+HwJ+j=Z_K({sFGKIVD+^@ z|Lq=gq2jE{{t@MMd#a1u+(u=RM``Pb{0vUgpUqS8Y%9$9K_N4CZQUGsh`Zm)eMmK9 z#_s#lk6#Wsb^67vweq8tdv*r7#z|f_BE`>Iw|%Lm)QtPj7-GkKd?%#ow0&DYZ1d5H z=v7f#;)KapB5s8{ucwYTYH2fBKG#S-dSjHM`P54f7A$L&42)vlnZA0k?m^nx`Odb@ ziF@`8{j>mnPqBscy2rI%Od%@P_kLFeHy~vH(^ICll@Gdnt{j@tY%^Z&K-6({b;izm zrzP^f=h+MN44L`7ZygPn&ynPHSF7gUzn8G_7-hJKhPR2>1-mWF>~&hzw`c8X@L4*B zH`7%wXV2B;N*Si}&Q4+Lm1o!H=~tusx?N9}e9TO(DK&NHrP4e#hnH(Uec1g*>A(34 zUO)f%EcI4JXXqL42-J1O>B_#L!TBRsKS)s3>vFXY7N0o7BzUu=!la6c>q=)<+{pBn zXKl}}EIuYFRp&bH_~s!t_J<0FJ$>-v`WD5@w%6}Azp;zWmz!ogS^A@fjqVBf!SRaM zZx1Xjin_3))p^*x&xp5G%Ves9^-65xmAST)Zg%QX{6uA4<}O)y>51VFNxAg0%B6e9 zR^=<1+)>>-D{$_TLGqIv7c8*aIBwhJn{zgo>SsLLVz|MR7pv~Na4YFR{_&)3fTIisdCfojCrzdCL#iJ@NTHGHE5LJvMUwCwrtup~lHqyI&cET;XlpH7Ch=mcsKD zu}YD;`O{{3_`LeGu=LB`5{`MtQo|g_)=%FbU3jo&N&EwIwpv|I!-Y-QhtWgx+CE7o ztvCA5^kt2yeWg-^o63RsPsSZlGJ{v`N{;E+uF*U;Bhr6-l*#3?$}T;nMURH)RVL4$ z_SyaU-YCPIVAtAZ7tAB~+Vb4rjg6Z3$uPEN{-U5k3(V@?jWV2FcEPplo$`oe9r+iV zPmY>#MuK5*Ao^pm*z~|v5qm;^jtwx|Dy^#ibnX3)4`IhO97|nYRvoB_Sui+QoNjR7 zMT35VPLuz2`1_f$&ct!F6YIamYuy?2aq-!oA=mfV%3obvy4tUF)o|S~F<)I?Iy)dQ zH)8t4{pxw?)pKpS_RAzz&t2wHIm}>kOi$T?ll5)q@}k$=wwQHf*F3}G>94b`Z*VrH zTYK#@y8U9KtcZVQ#F8^68^>*55Ij3BQ^$Q9WBuMGH?G_c(7v^5&iL_{FNJiC@=lb0 ze(b&!?fvK~>rEDOo+|S!5+85Aw0ZiT_`B|#mxksxw{w#ZDX$#W z9#d^?wun14?yP$ct7^&VdDk))6fBuA?uPTM@2%li%#ZJH2`@dK{Z%Yk&1RJI&1V6v zC4LJu?Y-@1WG8(YmQ)a1|6Nn3>BuCrd&)Ch_tYKUey#9qiT*5a8z?j{MCs=oEax<} zT!q7F*L&5EUO~m(r*?(!Sl*f`J+wf_w?;jD%4N-H%G+amwfu7Fs%q6!A7_jhdMs>h zYJIe!&AaFtZSJ{C3qJ3t+Op-rwkW-c#kY}j*x&-o9>ZXhSt7HSEq?O2n|@+hn#97Z z)v23@oUc`x_g#*$W#KX}ZdhB&+^J`u)TzIT@ofZy;OalB@diMiC}s+_nv1uh@qXOxH6eTa*=W^!|6Y{brL=Izo)BM-Xxlox+oe#WZo zaO|=q-n~1^t+KAneX&5cUe`r;9^`)W56_;eSDrl)1QEH{r zU)*S-M2B)O>+6<5J8i>m4`TZtt<4mF7#1Vuc4-uo=AdK0ha~3q(RYl4 zmtVo5v||?Na!9^@>6?jB=Dg#r-sf~uX6l96x-F0cXZ&xq)5UX}}(6x{8ljoTfoM$>6x!gU}@xiyJ@k`$a$H$z1b>M^Du?49I zqL;7^)m+V?OL;9Zm{$G9#iKp_s`rv}YOnL3R<#rz$gSaAX_KR6WEPE{5WIbEnu@vn z*4(j9BWdWxx-k~{>OY-R_SX8moJl%;J9g*PbH^v>8O%^n`@k%|y4|blwdkA;>oiPr zBiDRpo{f6$>bP2jjS03;Ypf_;Kg04cFv;fG%b?QO7hsvW$wqOxiiF5E^DK z^cx$pwbp)pW7YBKX02lbuPJSMsVO?8@IJRZf71B-Z{D1@t*kJuC4K(ccp+rZ#pQ8} zzq~--JI~d;>$*^XY|Xt-jbx2cVm-!Jer9Pcw*S85XUKyV&+si-O+UIO`&{Vh_;$j4 zF>i5rsm=G}PmcBU%xRc#$-eUa;_Dmy4n!tX$1W-6#hv3tbCxuoh zv#&K{o1D)as+>F-O%9hx*4`+6X553sDcNcYQf@D18^jdqt1t4an(B4S_|P}Sq$H!- zBWoga?hZ0~cvy)Y+B9l~U${x0Z2R(cGdr`~quIO!?>5bM5eAK-M&I0yoVs_ozJw8z zlj-f|aGD7zk(e(urwF)CE{ZmVSz+~+oVxBPwBBp=(i8C?=Kk5@ka zS<pVCdJK8O= zJLq_txm9}QX{Q2rT`RiNr3tKO2ISmVNzKYON`y+ude!BrdNo!RqLJ~;WuPP zwdvd=|H-dQbW>~fT4y?~CU9fg;L*&XQetRBF#O2m@&z}TQ$CHY(jV8dVg2~c%8_qF z&xgsaUf?CSe5?4d&RgQqiHCVFPw&3jbmQFF83kv2+!yVPd*B|L^X|qO|Bh375B4pp zWg4oSp5~C9J)-b-q0z^aN@eCBqK;NiIp(nA!ORl@z8h#ecf-Rk7s`u#))vhhDJ7Aj z_enHu;;Ok@yaI>GCpdq&lz2LQN?XNVPO(^E!>&PrO%H9X%vyU^8K#b{m#U}d^LTCN z>&nrfjz@KPtN<4T4AE{YN7no1S74t z3l+|q8{QDLb&^z>Qg>N7M|HtgqqN$bn-5FozAV`lIbmABekwVjQQAL{ds5os=8swT z(p8dUa#?8=N%^yHJwNYRadqmgFAw53Oy>=2uZan#Yi7HAmelP0OpV(Wb!6cMyN4RK z%?VD{Nsc#K=7loV#&N7hvl+8TpSe{%zQAtEs$I1O6J=ISRakA>;M(1}3Eo>Da&WtS zU600BTa@r*l+21DF(M)R^7k^ix}V1Gur3R1ReERhl)L*wb|_PZ9BY@j zeVmsT=(F)HZ+Fm8n;o>{1``b!leorEWo0e&WU8v{?==iAR2b)c+Urc?UZrDqcRk)Ju9A@+aPPt9k}=<(QFeb~ zhfiXgGUnI~RodvSckjm2OUJk846ke~7^LBwpP^7d`aE&HckK-Cd9Kcz$=czu-J1`M zpPX5IZ@=Orp7p)Jqc@L-+%ukVQX>6LxP1D2ttP)8-Q8kdKYE^j`S9b*hX(Vw6+bc# z&wR(3Wa{m0yl{+RVx;(@aO)GH`i`4D((`?fwk@4l6nra1C+o}@t-1fze;~)sG(4G` z`s}FtyH%e(mP}OCf0kvqBW~mU$@&@co0KX~#jX*%9VBrlaFI9f#aOCGsQBI1#^5>H zH_MNG2^u@%LH+Cq{j()5%Ev?V3d!^4wH}eqb8Vf*q^XKlZMvnoOJ;NW0&q$J69^9LhaZeqTb*zBJJ}n zDO*nM>*=RwY5sIokGKBl-r?Sx^-Gu?KHkG90ejSVws>DjuCp?y1TRLN6 z=z?oSLGf#+7;)Qg?5kg&88di&iJsHKu{_6%9v%n3K2{SiEYM%Js_aLs^%9pMEw?%P z;=wB;GBu))Urj_!!`xYE*4>>hV=pZo^RYWCOtdP)!TFnAcJU%=;?y}6w>zGZlcuk` zvtYcKd8_B0XT#qt9BtNJzVqU(F+)#Wj~JOT`9?@lyTzf(6-h~TZ$2)!a@Sp`75X;t zN6I%|MBI*G(}**92ipp>)@Id|U5hFC5LB`{G~LnF_f({ltk;Cavd-GJlh<3BNOnHa zsI59@y^?!MYs+hI=j){%)bV3aZwp0ZLa#E?oQyfToNIFzKdJn%+c31Cv3;4FWk%-I z4;c-0k{9p&u$)qoBDtdO(Zpv?{wKVvD9ds`hnkRPlFSOO|d{nxPSo=&$Q$smT{?pE>RR z!lGLf8Viq7pJd-zcQ^4&AoGOD%Cfs1$zILmJbCi1`O5>Iz7n~>isQarwYl?+#h%!t zIaBv|wg;ZP?ssTLfk;lx&>hwn<})nc ztXI4>B&;f}q3FyogIv@TXcaT>+L4H8--P2q2?ul|9(PX2xtQANwPDOmlJCW)pEAMY zja!HsA;fz8PAtTMLN}=_Em*(bh_|#r@jpmLJb3=7vbEB(;qBF)w(CW1=bn__ z(Q3VThb;{Qu6dlfdDiU2F;eL9gQq5QPuy!u zIl{KmTG83LEIre|d{(2P+wyWhMUruPPeAMPms)np_Mdp3?=H^xxuLGH(f&JU*HLBC z2fI|pqmb>Ha34$7qJFh=f=KynuTyJ=&y@BHjd2)ck|4SNS~5vjqeJ!m=Dh`y2d^@` zzAEZ?#l4@BsHa%^qN#gJTeg?TR_=Er4aY5=ZZ&Ato-%Wj8RX;c^L8AR8?tioIFqM- z*DqG*26VlCer^u@(9<{-3gvZ->Jyd3xmlCG8^nh_AJ#hmmh}5rP5)EVVsGc2Jj%XZ z@K|>qr%|ipgrkG>%)*?PMQ@qrL#m~40>hcD2 zw5N@~mux*U;*H)KjVS@!9_$pAt(v3uRuusbeAx@smj2azL#FR?VO7j$eL zyqlZhEt7F;;~=Z=9G2tlFNxi5-l0j7Eu!CA0@W5+PmcL|+SP^jNux_O_uhK{v?qSK z<1L#e7mZk^puG6e?)e6*=kXr3Y`9_=XJ6%`y`y^Gm2}Is5ri?0EbiK@C*U5?9cdsxt0_r42kmPnP+v>4) zxK2($Q&eY#w&B`gnt_YC7iW#6rEV0>EmWS=P`diFL9n{FqILZ$lkai0^11fBM;mU` zI9*OUqj;wC}}vPq3}0XB1z`NL!&6CD!&e;EGf0 zl7`%z?L*7MyeHEW$oCU?A0M`)o)@hnTt{$i z;fc$jK#ztE?i*E#ii%E$7Rb(<8j!GAdZwpO(81?951LDqn%34F@J?JC?tWVPf!64f zw|Z~J9=)d<QgTI`#>Ulli^5o)8l~^1HHW2s3M-$O_;`1D#kD0f zc?+*^JVZ9!IDOo<$fpQ2XbWertRC`vNe07b)eX7ij)n}tVx=dA)zF@laxYLSK zH*=pc@sj#-TPKHWUx>eNqHX8buGm7YSvum59EdUmMYPB^`eZI>0T{jlcIfc>I`)HEl z(Kc=A+Q&wbUpX_lF$YgPQl&^Zjy!#$a*~W=Ro0W-`|#_sE7V%PJ+)iPbT!&doI7Lo!^oe3sq@PAjh;1PeaNYAA=c{#73`g}YviZ0ZxfS(o_$=K z5*Qj(y(X~TFjYEm`=TI+b@FXC%gM*?8Mm_19@_6|_A$te2G}baq7V8S0bviI+3{NnF-w+1-kVHZos% zg^qYWKGPpvMNVIoXNH>Ej5v3o}`<*wmc#zmXot6z=xbDJ6&Tz#fCeoK1WyIRMU zwu)D8UpY*Zt6goii@VeOUUo`|oAY4vePd_pagR@2d+lyp#?E~%mv6rvRvbHe!=`fC zV>?>U)G+vrVQC?_rOV)0S@ylV!O~Ljz0gxwmhdW0_|5C$ z<6}iGQAI2{DBMj%3E8;GNmC~VEu6b(o;KHtjkT_dFS?0nNr|wTsM%LUk!%gGSazHz zA}?ZLjjy+uA+k%7Zryj>F|3%#JYB?khr?FsV)Y;q8X0Mnh)_kiOr&W>Rz?%%iBL$E z@ETARG%JBjDUO{dvcQaPDfrz02K+*|HHsKdRzNo{k+qQl5=j)XDvOLMU_!<&f zMM5(skfqV>OJoYNGb5{`*ffz0V@vF%a2{0iDdAoVL*|4B25EDxEwMw`gKB5j#|&$D zB`JnK$qI<$qY#-ca%_kQ;H_CAq9kI)LS>i8hRCl(WGlrAYxQ9}pcAVB`3x%(rC9Nd zNFqtZii1s)CorfwD{|S`3Om|=!2tic@n13E3NYwp!iRGrISzEIUM6rMXh80}1x%>> zCX%3Jh5e%WuUlCcFZwQ$DT2mj2y>*+k$iw;Z1o3@Sp9(`)_jgw_i<#b2sv0)&0269 zoXh44y$i(Jn$Mv(>f{}Y)&dR*@z@$ATao084{4BNNg~$5jGClLK4NVB2S(vH%zvTI zn$IZfK5g;RA|I6&v9?4cQ?inXwG|;M2UBvAlJy_>V{KjBYDykM61B9ju;`W78YSyL zu*TXNRevDMD+-#seZ~Td`&grGPM)qV17Gzr$C_)2CVv9$0F4}i&W|T=BEvAM`T*j? z`4BOIoR6a*%n6T4An+cWfLJG}xXr7~86k{H?BJS+*>E)vGBixSNmczX+hW zt|lj7#B%W+z+l#_A@31E#b3$vK7%RtTu(kt8UoXPV*`9gXb1}HA*)LAU4i9}z30`6 z!CZR=Ygk$^1eCL_7=3OAzUQk&=5BI|GW_|QV!(Gh0@@Xd{W8cyF@7+F`B9ij4z|ZO z`PGQvJIsIe(+&6zlXm#*P^z$);6)0mgjZRTl@zce={stE2K(J3~k`81-8)Ki3z^RivO7fpRM_y z+1MQH3Mb6rz-I>kdoFxt@;^IUVvG5ctgyxSXR5iAHMWBIL&)R7N`bs=ZDCgU!bR9_ z3>0{kJWA1$*vKO&Iy@$7PEa&9#6-zeWObh7!iC|BqJ61cYc4Jm0L8@xfqlT2VIOd# z!#-e)_P{>i%)vh39Dxs(IOf;~TqCd#7)ID+OTo_g5#^!n2mU)?cC@)C)f|1T1)ZI9 zjcoIGBpK-0HL~sB$C+sE^}mjj9+8=-{W^K%-`6wHf*WMJzl=w=!1@n2{<^;96^zH; z{OdR{nSs9C{OkViA7K5;TLZ5r-Tv!(eE)T~$@YK2A0MYy3_QN|AL9yl240_bhwLyA ze671=$AQKV{$qT2<-qGt|6|;yYM}K|e@Bgp##awS8WUCjV|?1Zf!8j!0UVdF}}L?uj_HsqSOsMzU?35$`1x!zvmz01`h{bpF80A-$aj-cJ|T0TRYa1 zb&zByMGkGdMyCHoiLjj%tf}Us!6OGPTokR%Vi1QPij|{k4zv=tT-OG&j5y&oQ5XCF zSWw$QmQ}!gve4&3H_f6~jF~a?1WUBt$5o{M&C9q(;3W~2qUlcm$AX`YWLf#& zcxlB&q#Cm3K&)Kfgh>rQ5K;E7{{s@OnJgp1wm_QAWQ)ISj2}cP&7g9w%~AuZ96nQ{ zg-jQ>#LsOsgDyQFcVK~oIC1%&Qmll@!uhX>FUoC6nI$uu$>@{-Edftl*`444`xN&7cb?>qy#@gKkQKzHnE z|6(drSJ?2`mRvj_5~c&P&;fJ_k1ZOWlV#*_Rtqn*wm>bde^o+kp&mn+=hk>40T0i^-lrpMX+ zA1hYAB-0g$h*KCncx9#B%>mh?z!hQ-hsD925wb}8KVWWtg=qnSWa8a_E^rWN%=C}{ zoXbS%?QoQ^epM8XCHxNX01A&gaP8ONbvT5{hxyA4$ZmYWA#4Huntcy6eaHW?!0ZjS zfS{aK{(mee#1`N$74<+r+W(IQwr^npk*uNcp|by^0i30f9Qm&z@<5Nr{(C7J|Bg(T zARc=6K-ZW3dxfHfnw#h1Q=r3ys6A-vRzjojb-)t<1GdQ4%~Sh4XWPE9?lT4#P?GdwoD8|{OiD(QIA;B7lDTF%~f&{rOX3YGm!RYW9NQD_pbElA~Xgnvz z)z^Gt0R9*L!p2|f0!%i_?IvrXpy0t?X!=L;P*?tz9_Fq=arhp1nA9I8_EkTatUi#q zO$tCV5vdz$MlB!7JXY_;V(FXvQb{}A`jJL;<)r; zh9~I#F|)*$d|~h>3Lp_U2_W%VE>t^VT4Bqy(1Fk7nF1h!wSNF&jm_3YD^YjekSx7g)G#`)|S5wEC~)$?inFXg+Pak2X|J zxGW1Zpxn>gEg%Z=223|_qRa&d5r6UJN2qcCy*Y&Z(t60oOm_dxSq!cjR#5u;?(E`E zJ>-KV5*MwK5LGHZ`JJpv60w9cUqV!|_~{R_I*DY74;3nin!yN@7@1BIwSd1E#9!GW z_+QZX##X%`iw+@)aIJ~I)Wz_>AOykocM}&ihcU}y_-iZ%wVDjyK9R)dz{!WLm!sl; z5jboZD#cD{BO35&u#2(JBiu z1$llQO`B!GFQjR+(6%R1O3307ERx+RDuHG^q}ZZuI#hLZ^bw#ot)4O;og5;efbKsA zbjKYLlSkoCD8n$WXID{7P_q-&h-85nxl|?evXP>Vql6TiC`w5Dlf+=Op^-8IDPcr& z4@k))(UyKwjH+b^qs2{pj8Rq-#SCTd5!Iqu5Xpo#plWG}ezZ`uP&&4>`5i@^Y#{*N z;Y%F`z#a1dcu4~cSAC>NAh!n;TTDk9p2Dj7ddd#e;Xsoiv$>!s4S+h!0(C}!0HT`# z?kZC$b>#LGkZjJBlt8ZC(lY4Da{)qPZ4@?Ir7ER{w0tOZbmWpANKqt~Y?6eR6Vr6aj#lwlB=oM0VuWg*QA`oWNfi2mqJqXh z$M~2oDk0K~3sHu}a0v(obfqx9nVU!{V0?=(U|E`hL*Q_^W?XGH3;yeeHOI^+__8dB zP8Uu^iwmgPkapM`(@iv{9k8vEqiLsx0$)c8_zE3BlvM@z^s*HtKb28JhhOu_$0qbC zwZ#q@Hrj!)24g|*;(i=XE~Y4>)h|F5aOJr40_X;d&_t`&h)Pn4jGo|gI?%@a4>rSW zmCS&43(hxHhJ-9S@|KSP*#dex!2j`Rnpb+i*3s}Lr^K&183iiq`xT9HvWz>9M^Xw*8%KV)4^9Sq0Mj=XP~kvpyH~p1uFh475I4s zRDgzm2P$5_0H^{9g%4#iSZ0=d39%SVaK?QiA}SO(0|GRu%BbT#aH`KJ98^Tbk@7`~ z0%&jYbSW(yZ%oysr1_D2V_;)q2vnK8lM#`%)l!TzV2x3?g{L z33t>6k69-|CE!To0SDFGZ7#-?WMwfs^dnVjF#7Z#unICrdCBR2D5~wM`d6qB)P_4a*k}9Ya8^=5Z zjfHbTXfHd=G$bUI(7hkMawbwhjd$DbfwVL|09i^AoG zT#78ZNfuDZ#zRXX3D!Ue~C>c)P*B#eV{If8$@+b zqy&uP>cYXZ9RY&4A-tEMsv%bjoItoMV*d^ccSVk+pqEUHF9T4D(}PnQDF$BsWj%Q? za+9H|(}?<1Ke)3jq{Pv|M^rf^Aqfm2BuNy$gy!{88d)Kuh!#upDOF(*nKpkaemwv@ z*#uwId77dGf~ls$u|Uw=&(UBsV>;o!kfsRJvWJPod5-zuKY+#vCvi~caVbSqEQyhh zd#*o9nV=A-oe>Ep(kdm8GWU0$FN~IuM|UZ(BcE*H^Mi0S*ii%c6)1oy$IA9nP1MDI zr<$==Tpq2ZQFT&1rKDvT{imT$ACPg6G+=~j$*;pHdt_A6YbwCN`9;))g=Ao-2pJmg zvoP}bZFo3v-09Lv$U|x%Dsa^y9Rz+%#rFRj|ERDn&LwRK|D>s^DYHNwF}E+|Usx!N zOqChIwB$f0N<@TpAy!;20n6ZU7%2mIL^Mh$$^lGaT?b=v z*9G6&f=v*1N)bD#3d&53a=vz__p!`!tecrJai9P<3=Z zWW&Es1+f96fx~dc0g^l^I$TMpij$Q_x5TK@m|mEsu9jAm=3j2m21&8d-r*2tn##l8 z{*xs1Fx7^6Mkrrgl!hW~L@7pqHed#8pfC-oJY0W(iW=NtnX)7z$b8ah4fWebhHk~DOQ#4VDs)zEF zK?87g6x?tl;ust>?*At#1IdK>5Xq2W6C54?R!KEHEWwf^8&#M}_(%SQwqBZb&Wfs_ z&uTDE0K;oTf}Jp6*d~#iL2<_D!tsrJia&q@s&H_47DH13L&Lq~@6h`2-tqj5Wel>-->{U<=muIkeG&maQ=-tezb@YuEb*W#{P`nGzc7Lt}LW@ zTD{EZcZT7(0yAWh^eu`MicpYMLMK%K$N=mR1O-Tr4eSUQ(u+2-Vj%E4PaB8o5VdgfvJO7MxysGsZz*I3v^bEi^0akBDfpa=YGf#4Wj}h zV7P_RpEqk+4gRr%PJ;>#69vcsCyEU~)BHWC5;Xo*M?PYh!voO}*y4=qEQQ1Jtr2wu zp+~eaba@mrjPRZ#QRYRks*l4!apsxvJ%B8;U-k*6U2sxd1=*VdZWwQ6ej7Ffmsey0 z!?;WQZMmEn;7T>-W1z%h61M;`?1+RB$FpD-;F)Di|F_bBJAp^-9RL z(-?$pi_@7zsagOC&>e^G(*qK`Hyo4TpXDM2^a`RLB2n&cJmxYxXyEp4$5{iZ~jln?`W`MW^gQ|(gY0Q54qa})*0Cj^`W&lN4?`7{v zC=9W}*w1&B5XIx$2Q3W5+7wi1+p{1c!}&opYX!stSM5=Uzl;oolF2hg`HqBW9|-0E zW9^YcC4~a=OI8MDMH~zPO!@mKj<6ZwI5GCgA*rEsX8)alwGL?8TPn5_i3K5#gauIR zHiIgOoGqXXj%hn^l|T^~f=Fg`CA7%m7p`J{D0QZ&j0TfoWoE@^DwE4F#cORaiY2qY=w1JGpRvA6 z)WL|%rt8bFprwK>6b$2-W?!bLA#D%PTUk3Gl`wYU{E*4ALJd2l)zL*Of)#XWp;QG> zT1mABDmx{>(&uA{;C}NrNG>o3&kjFW6HZR@kCX|#G!x;up_C0l8C|#*4t7ilC033$xwTIV+*1fwGvnpG?MBd>^|^iF6iq>SkXEH zF8cgU0KI7(6X9vxD?5R7X-6PBi?gR%qV3Vt;mGX;aQCx4?6=qf1WIsMIPJo?d%*#U z|I!XbKqkxlb-3Cb@(tawy~6o*91l)K9SPykXu^pZAst0#Z(hdi&C9?vhJb18z=V$R z4-Iz&-2sl639Mio9|?wWY#hwSk2eTX`ZOPS&TyAfMTt&SZOp^UGJhT3_8bn!uNcp<)ylsN z6I($Qp}9(eg=9j(Po#|IV~*-Z10ONsAN6OPLOK?OH~{P2gH1YQ~_bo~Zk?K}h&3MXL%g@AhXW9T)+ zO%l*ZJhmZ_Os}JdiIEDBn5nw+X+%!$R2guLk=;@%=m_>Lexmaqc_QSFdw68z0fJ4O z3{DJZ;%@*j|Bfep%@`7Ykt>BsAP)tcWH(??xraci!pRq=X~|i1Xd-&{A|#YQ@}+vi z6Drd4y*{n?uu2z(`}0U6O#1sd~qDs>X(V_ndiX;iyE zXa(w-24#(VQz*mG(dkrKV*l^wplCw(>9>E958z1i<)0~ZsAUQHH`K8OP}uDY+843@ zm+XYkJ=GZ?PR;4C9&%(!Wa&dVe-|PVF`ogk`5$x^x+oYJOlQlcL&@=gAF!VQ(T|OI z;F0$OI`!9P!D(;dLzVo4%fSvu@YH)vz`mKY09nlH^dMCeB1O>HnBXg1Puo8OGB^Tm zzjFeQMIg1o`a6mkZWwVi{&Q4(Z3bho;~#NQ|0RLhRToD*;a@MV^|1w7@4Ig(lg>xeu-;3II6bx6}>k=1NI z{wR1hp%u_w#&r7BY=AW?P;ff1gp&x&W+U~%PgMm9_#+(k^R=#X8&J|63^PC_BzpIE zLGGH65#E?hM23HImyi(f(;B$Kga!2?@P9{tQH~ruCm^H)*h2&F-^i+B!;#%G#xgK0 z8bs-7nxTe$8o1dhZe?sW_e0noTw{sA6#V+M;|&I822AlUIYt>o|6e1k%h;!_5y z$wCY+5OZ)o>hD<@#TCv z6y$6i)+=ZBFZ{*Zx;I1l1S9V-(2~9#PcD>HM5OsJihGusp~UEL{5lX2hxejJEWm1B z!X8G5FqF9fg3EhWq6%p6Lf8kRh|a(5OsFdh`HzrRMLF0g<_6Hzh+Z7F(zHn0NGlvX z{j~_pE+WAB2=%*!McZNmjNTItyAYx!E+X?`fN1?qC6$p`1Rn|w>iNAvq_AlD&7aZ* zglPNaYHH(8FgEF*2;-N0Zp6 z-tst;h(xgOpuW0!9(9NfuKE~=-g^QtpYH?tR*sDlcfzqxM7oQ8!W(|jpx}pMEFxX% zQ;7JzG!-;tG4LBNz3@rPA1kUY#e(JhXHJnL8(hM+7=c)XTR!|HvI`}&5$`{^Z15$9 z?-09cFm`Wl!crg> zcj|pqxMf2fz9L>!N`wVPt7LFAn_>X~-ri&V#rCCGy=e`LxLPcy%%~UZu+PV@#x<0o{ z=TQSu^*XpExS0nLYM)0HUqzir%)`A%`YPy%{e^uj?{?|K?RdXs1DPB0Rbx@?Q%IumOaLvkGTH%LdpzW&_peZ+wtq^>!Ip z2yUb)q1OqpA9iVw&L&zM{gm6{4HSm9u^?cTP6V8?H~;a>zynq`af@#8CQP%ifPhUr z7vJY3wAX?km2elQp{@;IEmF-K%!3q%!A`n0+9RtsB&llov2BG1Fd}> zIM@MoO^Qz)I5RfUz?q6gE_@t*b^Jg9M!1D3q#C@9KoB1XpLiPxko7ciA^e$1LPdHs6o56B^-$y$e4w~~}V)UCbj6mHB2k%AnH!5xj-0`o8#%CLzjUm!yi?V?4l z>I3)+%1i+1Eyd;$ocjYfoMQYcVEI-!>jw0GR5n2uNDoz?0SqN}z}A@imt_lI!^147 z>IFpuDQxf6YT>j=Si$fXOb9lQkK(T}0))AQaIv%r0yOud;sVVUZoXhJll`fxAaBMb zF$k>L!U>QtM7;O1;xQ3eE762IOMDUFJSk6rN<6yPOAzySyc7hVVO@Xbz+9hbhIhe4bxF;z$F7BjS1LyE_64!n_pYcwCCjGC9V}@|z>rx;ToSui70CrpGuSW-)X^GrZ z0m;t@OrIc{xMwHORX7K+baBltK#?$W;eL!TQsgv%IT%S9(BW~`Un1FFCBQo}2I&Bi zaBlswC!jYXOa@vb7(e*P{2~D?2?SOIsPsTPQpp!6*KX3#W)ao5z;a#c!vRo-P z!FAm4;FS`DP?pXhKV2+{P#66Q*jH}gKdR0 znj}=w%gnyPvTC@liZ{&etI|}F-`-yK6KM*ehrrM_F?+N?6MAaAeJ}+#D&am7c#kNW z4QiSKO)=0}Ec1Gm)3=w<@yOq+U=-X_`8Ek^U-z?N9wDawJL;^b*`lgi8Mwd6MtG`} zzXzt`{)8=ji;K zYX)Znmjsd0L6}9DjBt*I@f&X@koH4NhiwYRQLqmVvrYT^dj~*hJ#8G4H~?|GZ;s$> z{0kMh;^VT$`zH2B0XRX0aCeALMfNPX2&;LZmkQwy5kUnR>IEp^6!6315J1MrK*5Ko zbP>Q_whj>5dI%c*I7=iBQyqotP<*s^ABI^82L?bJ+QbBI7}{{3dJUwcrw;dWUpPnN zVF(-hA&H3;m}`j`8JMyi z0dlkV!D;imZi)g^tdac*m~r|9xOzgGL>ok4Vz>Yj8=yw#l*{J|TAvHpfo0*E7_R`D z_yN0UOlYETg9g*Y=Aq!c(~J0&(b@dB(BYL)g6SkyYChc8oN=Px{umjUrsJ)c6S;un z04CPkx`E?*98f*;WUr8gTQ7Vee^&$LoRrT8M`sIPo9i<%{OKiS3~@eT!iEThSsZ|N zURXgNAfZYPACP}Bs7hr4s0v}r{Q=>&=X4Tc>__=9>f+tC^7tud!yoDe4e4R=D^2GxKEk@kZn)SUv{`H1z?Ac1IG)PR+7#W0KDfZ$Da zSZ@jgh9|?iCA}OFZXyvJzy!!BhsyYu(|z3T2Mc2YxTmVe5M%|N28I3$8>qkrJc`uT zPAvki>J(8pP!|>MzyL;gK7FTz@Afe0cp|2Erk7H|`@wJ;a3#hfhZ7KRojOB^TANNh zugl$UY-i)l>#z32)|dh@H|EV7TfiQLnt$cxUyGK3|sw8nWcaxT+zT~ zq4)y8%MCkT@IxI3MwF{i-dh=2f2O4wJgrRJ?cs9Z@u=RrJ?!545}PP6;vP^?<74-p z`0%m~ewB)E1=u{I2ZPJc)s9KoeH4v}q& zK7mBSKTb-K&Jn)+C^*siv;c!RC}0!V$jx%tnLtiB8^e&pyPPtmfIM!H9Kr2tLjN%D zig5tHiWiH|s)yD)5q}9Nz|0Z7F9km_TNj#i<1bO^5Y6Bmyu^jr=g6i0*CqJJqd^(0 zpj-sca;Y7oxnHz{gMqD0~|MBh;_mUySB4{;Isk7#E_UeiJ+R*&l~{}d_JOkRQL$TYz}0c^6il@h_y_44s?ncm z53hlO^M^z5Ab8S!zOu-0gkyRD0(je@_Y>g3yQlaZ00O4pPezy+ut#pNJ7@Q60b4i| zwE_+E7A7r6KRY2jTNGQ79sl!-}!xeJLFojPco?4I}!A3ZR!kGmoF8q4F+QU9LLbV+} zg{b8r#B<^JoIk+f(mES^cpcdqW~4fI}LpR`r9a`huAK}GgprY9#YVacB(XA z6;bs)@S$fr0X*h$|0FSKPH#Q}TjBYL%M;j&I6H`^XZkRTtQJ#251;g5^aoaZH-c^T zG{GJi$#mhnU40@=ege;l-EV}AaFG%jMIW4&R;YdiHub_0&S(T8ZEXTLFCK#pgX&Od z{cKoZi1@1%?$-k@f0HPMBWSgtvL|5DAy;5S?7$Hr;0z^h@=2VLF+|huQ;on=6K061 zcyA!XA+ky0{FMcJ+}O&$(*y5+>Ti#D_Awu$9BZCoP)MW1>?FW}vp7)fAU%X;drdP{ z3+wjCaD-DIm|FE8T=rkyOf~->Vb>iWWzqaU390uy&)o%*5JC$bLI}Aem*glZh!g<< z3!-!tEGR`(1RE$SHUtzFQH0nFDqwpR6;Kedg8JH}Bfbhq5d}r~eP?#}xqXrxzkmEl zp1s|f+1c5do#uGY(v}Aja2M|Yo^!wTrDOMLPJsl;6gF)nI#V3vb##ZmA8USQ9pcDNAQ4@!A~TeOx22-6u2cZmBK}b*uIlGUBtlqJ zU!AD1GA$rrwelpey5}bp>z=VOp@kwzMG`4*C||VXK`R>)YR8hqwj85O->cduMivf0 z7{%b%6PpNYx63GIuo}iq-Ucb!_5dQ2M(+l3SjKP_ZxvXEA$+N>_#S%X_q*{HddD6~ z`mC@SVWzHBPMR!#3Et1vJrR9>XbcbUao^I3ia5=BpHU?86kR z_T!N7={A#rFl6w4MB~g)f#+w@Ud&P(HB=t|)0bz3rIiFe1jkd-Q0XDXY9*#7Ofbz| zlh{x^ypJ(t2)=0UPZ!zyeYuW#lSM?R5uU;J9Z2?xMf;&=h7aIwCN#53O!XU6%JYc8 z)ybI!N( z39C4Ysd)Z(O=(lTmXxj#oZZskAhB3uD+NUW3^~G^+KrQNP;zH*bNCR3*0RDXX%Q4cVY=I{7T?~z_~auu$?mkwh{U5oB`4uR2>tW&QD1z`BHc;X<&^5x=T zVczHb6#eKd*8L2#ZNpKQ9Ok_Na%?Hbn|8tKw~pd6{E8fGsP!=VMW^5 z0E)(WXfo-YlqO>TagCqpE7JI}>!jsTMO1O%DDGnvaM5_hgCE&dH0)1byFj=$N@Zfz zBhcGEJf<-*JtnlVRp~GthDKp9r9X37h4}V3j{f#P!JbTG)4c>Rqo;MupLig>?_U=k z^M>Qo-bJS7jRr7vPKDEmP{yWsW9~*Yi^aAnQjtSAYSnSD`0*1`0tQRW&^E%2RsBhS zOMv{2;iJ{$zf8rm#hN;Xd@^RIN z%TB~t16$cg>^K2D#{Yw(8iO-CA)=93hmR`PSI8uJMIktJy{x>BmprSGVQ#?OywcUZTSPC#5X6q=$dMf z;tvk3yD;7buGVGPo2rzNg?4rl2FaVyV|`(rv|%Xs@e6%tN_Z?Uwa(?qa3GCO0I?(y zyz3AjVjWKQSx3Oc#6LxvmpFbC6DjN^1!se>Z-lrjkMxLMTr=KA6@qljh0eQAxFsuO zM$X$cwX9L8A^FW z*CU14Eq4@#ffAVqq>K$5@nZl>SQe>JEqqp`yw_UT77{Z(*9(i5$83zwNyQ$;M8YQ_ zmC6m(bND*V#lcHG#(`hVUbFR1d5l08hcRSSY#>@le)Sk;bT0md_q%m~k>LGb8n6%_ zRU7`oARfM&Ij7qMpA4&F__t&tFsx5d@4m-QgLt7m$h#V)dsfg8-UE-RreuC@8i@Aa z238v}`mKaO5Pp6yWSq;HwiO1z+*B(+;Qfk6XNs)3xq%CW=TwkdT9N9yTf~fXCsVXb zj{y$tA*vOMs_(%QRcRPSkq33krBv6=C8~X>o96GR0q|Hu5i$8BsGt%@Ta=mWm5J|ipKE^SvKu2aTu8hM5RoDtCey7A!6;1{ zVw0uBH$7Z9{gMb=Vh@SBXUEy1(|oAxF)87b2up_e27-l*9jeWv4FL;Nyv90wTvuj; z-T%lq9lNJUgAnq!It%jm=f*hOa&t^qPDunQG_zoe^lM^u6`^|*D#V5+R;6w5BC31L zN+=KqdqKUss3|UoKbivi&1II?e**_+RYN?Z+|&$!S2nWzw!tZQ&3PiBQvA~l#Ca?S z130ax#Pr?pGAjBKRRzd;+L(=zB#-=_3${e0J&}-~8ui|UIwxm}hfYDWkS`qQsPwvT zpd4yc zDiKxLzzfFwoHichOw9o$p$#<=V;)I}h{_@-8QGL5`6_`yHaY#PSaf|4PU~)+Ug>~ z=Zarnx3(0ho7;nBhqSRSjt3<+`#r-klV7`@NraRU+l2k3> zRC`R$N3sr*Tcxh8P%5hdX5~w%0+oj1R6fhMa=l_f??ofAGY1JQKNF<9A!f)HZ3suI zOes(sBH9*$+RP4z3Lpv>7GfJ1d=E3b+ac2Oaee+nWQ+~GH0ziVQF7mpX|`GZ@1iWDAzFubAQV)l#bC~vvLMZEG zHrK$ybwT#n$0Q(O>!y=59VJGGoWLM>F{AF(lA>b6ovK76(Z>zLD|OA@QhCtmtDBrw zir3x_C7{K)Qj4p11WQdrjtIHp7_RqwBN*cq>lSL(l*(Kyu+CnnB`qV0+Wt~A){c*T zg?Q#x_?uVINtS$O8gh#6b06|KFmNq!1G}Vlb&XDFSWarfrIDatEE^K2FYXJU9yaop1_ikatpvjE`yr>QhVk*ek*>Y}KQ zKz?0BL^=%)toQMeVK>-K6h=WmY@C{ z?hd0P_dKY$OG;3jltsR;rxf|Cmj#=_0FJu?D!cn3O@|EiA2Z>y_S0{;p@TRy25$XX zeTW{!XZq(Hhg;lJpVgOpS}Dzu9C%4z6vrd3%N z-fy1?rpxLN$tZOhGgJ$=Muv5^INrqs&>Ic-1_qE!YqKyIXE3Q2Y=3>!=L=NhmT^AshP)Qdd#7b`+4S#UNB^PMMf-Hprw_G_=`LQQZOW2OM6u z^K1Ym&zWhuC=9EHLlM7+$yZBEC@du^e8RX|LEphvd$IkAge1rGxhNzn8$$~28|Wfw z+6xAf0tLJ?#j(MP7XoJ77*G@woz%vn|2a`|8gD_2T!q+l4i4SXL9tir3o*;J2IdYV z?udb2iZ)8b_Q7C;-dE$ExWGbXHxD_%;ym2jV9j!|@*GfP_z)bmwHHCY*P6U9ogk_hS4K0( zK&O*c=2nbDxdW;gH~sG3`R=A%*8VDiE~Hw3K)eLYy5-8_#!@ zCsR5zn|8v}0P_g=RE!w{xIZfe+^{Y)ShpBKCGtYrv@DgkC-JoFIajT<=x=TaV5#;X zLtZfL=&NLD{GVa~{GW)M2FvTc;cQu={G4%_mx{IRti}t8IP{1Po&+zU6h6x0*?7+{ zDpQ59#kwAF=hVMQPJwE#=2oGTHsy~ly$G|&f}whvr>(Xi59c#29wvY5*Ij3&yb#l- zBfGp%eQfCcsE!p}kini11f76EEigsp$drT@5Xxmby8*5yr12n$x2Q~7Ss+27cz=XN zK72>qIm+?_C=LQXGAahh?A#iOuk@3EX(Q43=UCk{N7R6ZA}NU(sL1%Zk@BbF>5;rz z{}YIG{A{G9%7+>B5xqx&!xmj^HRpqE)>%+;lG8u08}0JBS>TOX5a~E?`LeMzyHgg9 z!P0bizaFCx@2Wls0eI;fyS4Zr9X^he7@>qjt+{lD1n{6L3vpw>)HBB6#G9E>nx|bw z7S%5B^7qmuxX9{fSlttmxLr~p@-DT`6!n{0$mS=(^X8=%RXO3p4<%#eomVbajJ13S z#8^F6j_o7*jDvntdo{6coHd+h7e^zwRIk3wDm5nGcNtGUW;I@nuDD!HUat%xj4LzJ zGR29@<>)@*#w+Tc{*Np4^zY6`Cc&~RJ<~TI&(p{CfV<<-@f!BbtE>S8Lm%j5YRGii3GVxYj!L_B=T^cA)D=IS`9>kDaE=kUc zM^TNcZYh$D%;+{LE>p^sED_BvbsEy(b%zoWyjxalMUrT&pTA0At+>!a1$U2Vg?0f+ z?yBcyQa$f9S@NBO;>2#@1BGS5TwwXr#3+`Y`B)2MELlfhJsIQZZVH&L3_|ns&+z!r z;2K=;gQi+Zj;Y&AB&{+XU{o3rA5DVxA@4#nTZgIISFD@>DcpV1X))9yyG(G%+gVfi znn2Ywj|8RLHxW<2XwMS3&MJ@>q#5L9?&HOik#w;Y6ETL3%*BzvCF>BDwXZvM%jCx`!1ludq;0NW+G`$sNc--Y6n&H#*N`@MO zS*katmW%JFVS-z4#7d-d_-ib*^3Bvf@n}pFcMB~0w+J4>4@JbH+=q$QeVCeOmANho z91$u&kar2l!G$E4L1koq5T$6*d{s4)DMNd({0Ua;=K6%tl`8p&{?CmD6rqQ4xelE! zZ?}NnL%Q7v%Xu&1Vdj6+2|5a614MDJB$r#L|4^nLfkpMQR8E3iOg$q$#2q5X$QRhV zZ&DMRh6_#Hc|9K44-QC9lGU)EzXcf4OCJUsqig~WBvr*E?97$9a`hQArC9Kr z>OBU|d=)!<<$>gY=yWSUr*j%8GsDs_2E5coe4YUhIm#SSN=v^PYBDqEOiwmH-)bdY z?yF|RnlWuza)J2$TG&nV?+2s5eyh6P{ARQpCM32=Ez??+SUVHH7=D`-!QL=y{#5C& zFMhtwD#0J396$DU>k{h!l~xZ)t2=Lpmr)HLKFjKl|L4`4-+;Le&%z^f9czj!?*J0} z@36}BPyIcy()2r{D{ZbuMd4$2Vv=ch;dzYxUlIAjFCXw}W4QKS(rn@hJn#opb5#9Z z;&8`oZ0)^wsDmu>XG2qo!k9%7MbrW4(b;%$t_#$YvvJ68`xj471@}Z3GBiWbktN2U zKVWs;L1TEABc{nAcNtm-VYTv3$mD14#XyCXVG$5k9BsMo{^(-HO@oPOX8<*K<@s?Q zc6ROp2!tA|={-P~lDn{)|1Qi%cU)a4S`q2>z=22n2jz<_xzFkXA~9Or3@h(`s{{J_ zcw`PU1R(@qI65u-0EjG6GGopNC5{liaGr~jshmeq;&8KW_hFQzV2uS`oZ=&BB=j2O zVa~lU&ts$IW{&_ZswKUCZWI77O&QY()LWwGoapji8EfLp)ta*(#88Q^=?5WvIiY0J z1MYIBA4K6R;#NYU786JcTkmo%wqnI2unL|exMHE|i|8a2#H!4}epft%(PVFmg$wZX z1&FxnUi`u+M8@SF-2v`J^v@E>R4HcgBgU8nh@)E4Up?e*xT#?i0oX}DVL@~`FLEqh z(%u9CUMC_-0+{v(mU9U}{6+aKZ_meau}EM=Tqg>UC7;W;Gcj}J-Driu^7;&MOLAHh ze%>A$Dno*ht~rf@tfkRxAtjvY@^g#$m&unAIv?_w(f_%R-x2rm8w;Zr1Hj#ZQVSeO z9Wi6xh%Wpv`}8(EOfJhwY0tM9-IM{Sr$rGRg%&OnQx{se_SF#_I1A~m0bzydFCa@N zVciGkCzmBCc^E`t??l9mE2Fi@XC4pVdG$nJZXhDv8XnmQNZG^jq_B$JspsC0sX1G} z!y<@8GwKhk(~TkST?7Uh@+i)pTv%3(l2cQd9DBxhKk9D2={6yFNb9+H2}Ju>iy_+O z=9{5v7^ATaig&hA^eairjjB|^a)#ZqwP_G(^_Dex}fy=u@tf_YKHY2<+oaGxg?wb0zi?wN|VGNFU7pl+gmxfNGCt$|og)uP@G3_ut z8F%-}cpMMQa{p>f#)r3HG2**44vv$?Qs;eme%(zJ~p(LRjRRG$N-(<;CE(4ji zmIR<&eEVo(ebM*HC^m+Kk=V%V7F)sg){&S)HLN*e;}gWwF(C9sBp9?~GvC9Go%gm2 zptQ&I2@rA1Q!B;l21qn0f7%7mH1kXV#mp7pud?U#O62Cu(h>4BNy5-@GT`rs(-R5RsRiS(bpflb^-LEsri{ z+^v{M=_{P$V?7%shj%%RCcHAQS&4!p&89x1&s-f0gFqHm!Vsy`;yK8Y{AHjH2eM#I z=;vpM@s9>Nb}dHh4P6Nz!7TY@QBfapZTpC8@kHEcJy4N#jF!)(Dp_t8fFry)NTBis zU?jz0&F`Fvw)#1IH&1PIiq(ziAQ{|wxVP|c6}y7I(6e5~DONKYmo%*?6Rv0{C~1^r zJIMhX#vo>3dgd%#iwE?{FJg!^lO!>unIzq#CtqSS#|}o!bRwFb^W`VR{4Aqjm)BT5 z8%18Qx-!*q%itgCnO4LNBJUq2^a8`VI?KL;cCvOAv0oII3Q_T~6%dcDs0ly+OWk6uxyLL7MokB(GB(if3_N)k;4 z??IYe{kkTa|h#TIt9u{yF1yDB85@P8)WM+MC<)D%1 zn01H-`0ESg;lBEoH7y>wVR5UGG1+~!^^Tli#e_7!2)~UJ_wrhRJ82CbVmI!#ieQZ= zWs3>T=n~ljFt@L>&^#8_`A>)^I@rp|rk=voPBfc-#;YN7WIa~r zA&NP3BR&K-;KwaK0|uL$pmQsFK@Wo@-tvBGc|DZ06U$x&IewiCv_kJY9r;g#KL;sC zZ?fQangcpqOw$v5@fgk%EqmX}6}N8$?B~A+*lU0%%^0R*+B~4p@M<+5v^4f$F&rq*76es0gwP z_x5WaVurQtY+D@t5QKQ{aVJeQ+XPHWOw0MHdTA0RMZ%?W_I@0w??1tBh|TeewD~8( zyPw@zeDD!|MOcZ$AL+xM2TqZ_NOyj$ej{3a0uR`V*YVcAV{>v?Ed2&M{Lcxr%RGyw zjZQFk6B_=`SqoO*@ChLMVw2NaT=y}q13GU$88pQ-nU@ObbmUVUa)__`;>ORc{i4fp zEBM9@pIZas#MFpbI|U1jm=KIYtq`ihowiG_VZ5!SR2n|>zNGGA#)PCalJxUdq_#n| zPRiKg;7Ykr(VkI_u})xg?2;WU*kK1g&T@)^qqFj z@BNI9*Ko5eNU-I(HXp_a1fouZ0{t{vT%ZFmMAa`)Hpl%87Nv6=s0ljJi~GbkoEYa9 z&32=raBP}M%J7NiPKkf-(|cuvo47Qy?hK}hp}$~=Tv^8^;SpXDjk9=&a2#|pmS!W& z14fJp6OJoc5Be1^w=NrunT0+3{#T^AL?Z`iR^Y?kZ)`F2H!wlh{{it>2;m;qA=t9H ze7l1#KG8Q$g-?a}tJ1D7Cj91do{`P$#RqMu?gVrX{*Ix*r+HS$2n->FwDB*3)i|0r z?~fve_S$zq-AFoMwGR~3SU{s@iCG75T)ze+bX@CZZ~X*Rj2UMGnDdVSm_dgvzZ11% z2Q*s0F#n!|FJ&@0@C{vfOs5523Kw{WMnQgk|?i|B|0+^lI$kH zbaJbN@&K;v;*fq~Lj{7ZM{x^++@3sTLY<`vM@fHk#HF`Uf&pqp@ZO@wZs zU4}q|HrCS)9Jj*w4Y2|K#9HF*e_QS9gh1^18@`v<{>Amo1TgBhxCB7Yjx@3Jm_C^y z`LtR?JmU?1JxJN?Uv(%NBk{zFVZ6rD7XlaPwN?j(?irUcS_d<-j2feGfGKm^-*t8OHGA^p&cI+zVnD6u|WLK2DS zBbJNAu2tBKA*Z59csW)hG4Cz}vfNzH#lpy~Wh}M?z&qpOY%NT{C_7F-`#uzB=NhSj zE*=x(k$bl0)M+*YcxWwM>_`Sl0!bPV0)KxsB=q#an`)E8$sii!NH{kvQiGUvh6-t~$@FnMl9%HG%YqevQ> zQm6!GJ1OzMX1WU*GFC1`6qrYC(q9O~SZm#n{ys1l=5>Uu`NFXg+=}Z7?p#CD121GH zACBBhE%JNH!OI7#?u4t|Bg|r8-qi9Xj}| z-9Ro~ky`@%ba;Om{l9#F&4GRcy#@bP7>A0)a}98s6=;Tlr@3W;kOnSjH9m9wi!U;w zpw;+Z{WyerpY$kb^LG0y(M}i3D`|!pR{TRf$YPHWK3W{o8N7J!fo}C_x(l9ZA`*C$ zs9apLEO-5y-zN_OX+COb=li1`9VR)yRQDjj4VrbpYd02WwnN#9F#SXE51z2`5A|YG z7!l8B*?r|P;@ZAdjchy@JebNAH+{tMasBZw@ZUyu*LZ*#*qhQ=+>>p0<)47nxgmP% z#`L3UaK&_fAT>oi-q;?%TrL_nu`dwo?oAyfauR}pv|@B1E>+&(a4~Y7#Gxj3E72(% zx?hypD(Uq+u&@PC%4uf%jT|$5;JHoq?JaP=@WP1mWpoV05J1n(f>T6QOWdqi<q zUD0LQJklj%JGF2*)l?xvxFUcaHN=|P9gL2z_%n`mrI_@#ohp)=+YJ$+X_NhujD<}G zW|VCdMOXZZAF{3YQcDE@`mB2d!RLSY;X!B)JS*Q$Kn%XQvrOOkVpQ29tEveNI@roi zHM-wQOncLqng8Myw|85N;VY`p2vK1kVSmC$^j$7w*fdIn&Ta?xx~L_DLhV&ZsL?9( zd{|`*Ac>8;Y9tSdgi>e}jz6v`7Hxi=la9m8e6X~AVxVnNq%a>as#eq`MfpX#TrH@l z9}_VH*`mE$fqD=Z4&G*>j}mlbxRK1~tq5yZP*tWN&I|{yut4N*#ff^eHGa)V8zC;B z9N!y=BT5sO(g+}pTC0YRX>bvkocpc!7=o1mh#zG`bv!Clm!pVAbi`MdJcUNc4S(kj znoHZ^y9C6;GX#i@_8OXJfHl34A8l7RR4ymt;djS77}%(d;>AKE-2n*FB}4NvPw{^6 zQ~#->8!X9O8aYF@_kl zO@`JC9LmHP+i57S2!mTVgoE3x9}L@w=cO>9xdUy#=+Y7YVawu<62cL8ir7$M2N3vh z=nEJD`%3I?^y_l?7}HXV3zeNCHs>H1_NG!j*Y;99*P(h)yX%+P?fphv44|PnjgDeU znLUC690rJH5&c`h(FFA+?Mty{6{#dUx+sY0w6Og!tE(Q2g_?+jZgzQ{)8E()`5SF2 zK`c6^ep6Qm1VDoW7yM#c7qy>$BRYkE)P!k*Dv_*PȩCCk3hG!F{lF=q8?NjC*6 z7%q4rROHs(VG$dzdU{d@{K@VhZ<8+QSw`l)>fkN8L{SLLr}LSBJlilW%K-x8V+4v2 zupAz|rVqk{-|VjOG2%Zw_=qjTadj=KB2Hm!jDQUcRPhK4d*ZuX$~ZMxiui|igIypq z%TKo&Aqr2% zQ=Ph|KYpfK(=T;?cgJ}LXM6)wZ+&mbg35@E5Pst>^`JL@40dp7uPAyRIv`MqO8l16 zcX?+U0UEr+tW_UAE9URHP;TemE~tiCtvDbPgwoexp*9P^74tH2=CjYlM$+9^Ck9Nr z!aiXCzj~a8Cs4pmn?)k07o_~Cv#=w~k*0qN@E|a#Lfrp@;}^aA5X!W$X<&Fr2RBug zIO7I%;FQd7I+chsxRCD2elF>1d|!AJ6|t_P&vpMCd2(4|Y+pq=@pNCsSfH0qSH}PG zc1bmo%#TlLd`z&JUVY92*t^aMwnnqEI;1HWO`Ah-=MBVTQtmklg>=;yTyq+maN@;w zsSU-0{S};kBg2_^T%U1H5&nlpN$89x1%s$f#nb^fF=8T$1yQiD z=6BZM*A29Ln93*pkW&MmI9pyk-9bdx`Y6@H87$ewrClBHE84L`D-D}*n%lQXhtwlthZ@Wu8)bJCn=8?Y-Ruj`S0d*%j2o?K zYkITP-hz$MEk0AM0@iY8O?#~dBe6^C-h1MF;)gN5;xu6Ek)23vsDgTX;~jC1c=v{+ zX6SurI=$42@gN9CHuc>}u^E%?On+TVDuS>}Yy@pdY>l$WH4;%2kV364ECMq0f)Ov* zRE6nZ-(v+6Ly?kvcpFDM>9-cT&WA!(MF(buRG8@+)4{IzKlf^r$_ss!cQOB;dOw7u z)yhMWu5JxR*YCJRx;8GT!pf((ARjTtm6y=&kn^|5bkY&K!m!L1rBiVM&A8BRDx=!T ztVEw`;%hc5e;*B-UH#mnSRo!3W}zO%nH`(ol}xqQv<)VT4EG5Ec^d>$Mr zSf0Vh@_0Q(FKh)1;)wBxgO=9v-za)LVjN)OKwG+9C@YWyRoP{?{Ze;bqx=(oj!a^D z(-&8`aM%3Kfi1np;yb;biZNICIyWY&NDTr}o;d`h-dnVYxlDlpUdLdh-}g=ED8B8G zmL}qjRScVkpkV9pn#&awu)#gwWz7hm{)}E$p3g`zB|~q+RZ%2sd}rh8$tz=i=T;=y zSE}XF3eWhxSaFT7vFLnNltRWwf}J(t1LF(|wG#y!6B^x08>A~0LIT6b0dbED0e@92 z4km?o!M_zN(~CHoTFE`-7jgVs`zFB0_=kF~k|h`LZ~cND$>A5mu@f$xc=44!AHIk# z<6#GJ!Cw5!U^f6wD{#+%m-7)KuylM);Ir}Ug98HA$BRa>$f0Ub!zU66#@l|&xHQxr zUjSh}`l^Hg>ZM>1-C1bgAs3;LAQ8F_-G>o-Y?C}+6|h`kZN+axrY%q-h2;S zw5xNW`+iCz>zoqrXR3UmBYI7QhVkXqq~A}mlWo%yr&&AU7SxgTa6es!qVLyEg0X$^ z6+Sd?xB$VH6@$V$O(-&TcE<)2M+hfJr`%xF__+(>TBQfO~yFU%d7i%Zt^&oYM zU0!=NF=Gmz?dx4@N8}1KUORa;ob!xG#Kmk55q~5^r5=I|g)YU#_|y&-JwkVi&v3OtB~IwJW?qFK5{6 za=B%xcu5?%B*8E4yg~1?D!sz{AZ`BTivu8`J9uGU2`Mt5@BVsh!hsY zNLABed{o{9{f(R#PEpNuAcBeV@pECpwz*DTRt|ce82m;%4g|IJ2e?MR0IlHR>2?>n zA;71RIQuSW-|cU-b3Hsrn24uu1Us#t0pk*z<2SGv94fXMuT0 zf49wGKo31Q6tK$^?#3E98^wO4-YGHIKvE>fP zt5&yZ!q;3!5k74(7)Z>FNq8`j8$<@FwH$i=p@{&VUa-}vxEi?xRHd*P9-pI*yHF@U z;^y{1?vx1M4R&@5@FNorY7D9d5ee!4+`vodAT6eXNoU;wLX(mpO761#R5yg1IzMq2 z(4lu(x%7(L(z5+@U1)<1DnQDe(xqUFA$LM>vTWNv5Pev7Q{2vsJ2CI(*>&IO5jV#^zdLFjq6H2Xk0!=k3yaNQ|ci9Mx?n;@VRFGV zy$45m%QeVUVLt#SxG6bLWM$%hpEp-wfEROvjnGH&Mm&d)8j#`_x6Hv1@EMBO7#j)? z%5BNW(7fHw2DR90^~eKs-GG-cmmyIw@=C0{g@V^X;?ogwMeje?3S7^m_bY(nD}}U$FssQi~swzY2+hNAbp%y}<5X*WzN{ z0yq>lEt0R(z}iUPEmjod)S&ww1YsCq(@0SiRDiM1C$tEZ86@l$rjG#rw;9z?H(ptw zAO&UE@6>f6+gI(97qEk%pP3y*+Cmp!IA{cOs%J^C1!!4PH&hs{^PmvNDmYKtjLLES~m@Jrej zvrh*^i>SH|-CdkzSQ;upR=_kb5KSdGlDg&NiUzem=i(}PgFegSZ)9u}b76CxV%>0~ zxHudZO`pJ_Ik`kLlaZ8;@yx|5_5f!80^FJ$^tb)d*!vAu);1M>&C!dGKk06Wk>E{p zlPE&T=%C202DrQ(W*DJ&>p8o7@G}@#@T}d)3`8QLaD>vyr?3)H)U34yiXw%CJT7NE zWq0xyo6&`m9#*l=sRmH&ee3^ZOnB6pUiAbN%lQC17UL5k^dkxop(c|jo_06I^yw)= z6#NLI>=_!ugfOad$!*BjVv{|46Q8xa7#&O*Np=fmuD}eE2FATbG(hp?ED?A%3WIrg zm@z;ItvcbbQmodX(8fT1uudGnj>>PfRf-+wGKw>%5+ zcK>p7OIHD*C_sZmQf70kpmcb| z^Wtq6|5jFzj4wa>A7!)zicJq$F}z!&68npxZvsb>HXi2~eGMccbBX)JXNt7fQ;;*e ziDKY*F~##pYp?zpEi=Mm@cQK3AjH0@{=o6{D%wgMdL7RV7wnSH;~9X$lu2+rj)!X) zp^cWTyp{gC6eAdC$|gJjzmtHVWo?ZCurzX?{qrU$A^|gZ7=ZD^>uqlVJGuh{W=nUF zh(TMp8pmVI&&g26u{M#wUxP=xD3fBe$zO?pa<5VH0PD8?Jn_ZKCyeX zU1?^!;O{`Mx47YLm`IPV#a+)HvpP{z0OGWPn&e@-36zlU{&ITt?vFUucF?HY*ZGB; z;kV0Ni?MX_2$aFY@qRJ)O>`Zu90(nM<{FmGILp$8Mq|~i@h4z6)-K*lT8_6%` z{Q_^UmhJGAkjeQG}x3i^DQ}Fem!>K0*xNXm?WNHskNW zO!Io88Wh_t6E|osFr99S--r+^yCEHT)F!)2y<(hYHdbES zhDlsw<|u!$Y4`pHO=Q!n2MAcsgeBziFjCpz0Ut>kd4f?1G!EPUn!ZX7Giq;XF(c0V z7;@%+p8$O3Fry<14NK7rUVUXw5> zi=EA%K%CjMC*mo_jJIg7;*jwSlPox1?L9BZSd@)<440qArBYnTFKb0 zsw8N_13%MjWJbRLmV&{!x{iO2uQk~y882*LXV@b0$`X^WMRxA4&!k9gaUO~hU;4Q> zLJ#wiOcFak$9ej4vp)A8iv_`BgMj(PTU>@Qnvl4=GUf}M``fu#nFdmGS>W!pD)2fxQ|V@BXs$UfXqJN}1j@GreI`udr> zgs_03#KvOAcYsV1)u=4286qC<$Q&2)_zxJyC$+|UI8@vP*`lFURE74Z|7zlz@umP= zN)gxT4po98IzQX0NQ2&ZUijFwNdGAp0+>Q zWlB0IUU~6HZ|WyNWD$`|39tSiuqO}^DUSD6Qb=gKfzo4P51!hA(X0lT@sTX1?}786 z>L(Wo)3JmFSsUE`J+#5+XbhuZlt6Y-XtfnEU9|%ziM1}%$AwuA4FwmSv(1iZ@dvuh zq}GpHx7nVG1{%eSwqv@kTTh3g>o*8XKi0Uf0iQ=0u3eA{<+j*;11~B>FunT*UL2O3 zLidH6RToBufG?r(Oxdo5lypi&kLewdi{EX>Ql9g$eMt)9N->l6O2Kh4d$&^J;^ zYf-=^c{fNyn-Py%SnGD%y=8{`jNQZ;y8t^~9-?>`ZuPnEBsi98j?H%?r)Ish$DYm^ zQ=;r=d!OjrJ0bW+(Ox|1Mt>w$|6)HZ1{{N%|OvEHH zbDup!9NcMH2u)_UM9cm5Q1R?-h!N|1z`k9iu7+8C;D9}aibc^NR@86%-R@v4x#xEn z`-O+C+v|<2#82-; zM8+HK@I;IP1K!G&tO{84in1zwAT5Y6{s5z;J8@Z7{7QN0gC5;S=AN(w`gYHLdH zJon^LH8K8PAgWWqf(JjdQmG0KRjO+Aw}Mhs{tXZ}$HRlPej`QV^9p%ne~@gfAXANwz`I0I^M@5GpI(_%D7X*#;r9#5U|6 z_>%rC&qNVyRQr4}vn2`+?LAQkbUH>#>^KRI&)b7vlBg8R{zNDu7wU3GJMHU*Ax%yl zvD>zH%XF1!qYZDZ>QMy$$0i38jP>`;r!XJw1=Y6UE!z7BTC&}E%I=Ia$A9?50&`7` zLkAmjm)Aq*Q~CGn#|P>!_ycHr|NKmPT7B_gVy(Y#+>Y&>QV$&I9ltN#Nnda=W+$mk zSXB;$3WjGf#e~pm@DKIoLhn5#`aO-_drG8!n3Dqy1OHI(aCrU1KNPAZ;>&mk3CtAl zMbnF|4Nxj}aZ#{|NJ;>hEVFwh;8d~9zWHP9_5}Js8w7Nb%#z}qFMg#F)#L4s^-8F7 zJ;^t8rASJ|DTqy{doub}j(fq+uB#C^!YXGIc24V3UfaIhA* zj|LG#fGCF5u84vnYaPU8ey5@ME#Oe)eNeNjnDL|E64Qc?*<}zgF&QTvwsXa?AbxR+ zABeNVt4>_BHV6Sn+Mu6AI#E1eYJ-Fer}1Bx=1_TgEMFm;X(!fqIyk1*XF_~OeXM_N z13G(@_Eci3el#TqOU9?WS)DV!^ zsrU~a0*OXDDdJY_ObsMLjH&3`bj6t@$6N&vq3S3nI447Gwx{r0NqAviN|tEa03Yda z$jS5IY)(o~#3W?}qS?D3?}tkfemvsllx6|^ttPXU%bK2JcdNHme~B3?r3gEE6~uC| zD^C5shA!dElo=*mx%hAmY7sWM&JV$hy+f@wTG4oV(|oeu*Pcdjy|#te3Q0iIRl+0l zQ+2*Sd>8dm0PiGiTa=E{nIQ&k4hDna!hC2UQm%v%St2G8%|0tYg+%5oqgMlD2TZSY z#PZG|Y)sNoi!aIt0NBcqM9SsFZ1=32FX{?s?x}oD7ABG>$;`1)_-gUOc{YzU!%zmv zOphUuwq2XlSj=gJ&+_1!AzYF(vBMnVw4VmWT+S6NVq7*H+5cvN`Q%wN!_6dx(F(v- zi#Vz)xtTtT<{LYK#{s$v8{@MAua;a9+#>wf#!=wvlq$JmToX9O+qA?~d`3&nCx2Rs zUDN)im?x)&<2Tcr6&+B>i>d`&PeT+PYA-b-&&d^sn&K?q+~l+*(Bg<2qGyV^EdW?f z*ny+Mcn~AFxP)`F<{B>JeL%t`=C#CX*EPp#D_S_IMwe$TBDpdv%Gyv^)r)N~ks^R$ z%F{v|>@0HhLbWK3YDQ@jF~unw5@RVwe|if5l#~-2ed-s^ctGp`f6B6HKpRNvm=-9L zcKO5N#UvDWK(!W_tooQ0mgE=f>l-d#S08h8wg4p+emKz*6LPJ3xC71G7nr6|Ns#+n z5i1xXj9cwdFtu(PELJ{&Qnfj)B}F{#`lQq@7wq_+O(Vb&D1_jVbo!ca%n{Bat_=>x z?6wdNw9idVUj)c6pH=z|!|Np8aK)Oxk%FJm&RyEWX?$Tk*bY=K>i{Iy<~qrBEv@S^ zQy*b2<(t(;sZah=(>cMX5_{sRx!4mbrSN<^&6B2gf>;GrxU)oBd#9_syY6l8RGKj( zN(2$#iJT4qGnflzkX&c_AZRLfz&@6%5EUD9QKXLX3k`zkUz0}=Gk(SskD4FM)=?Cl zortzKZSng_-5l&dP00_uT%{eXK~`8%M;EUeM}U(B7kAWnrRM=J59N7F8L#K^fY-yF z6kaIUV>ob1!Xj8Nt&wP#ulLV%D5zumR6bPCeg$+&a-Ebqmf^F+z0=?95F5RErG=$p zO$Zpho3B_aU_R6njhEeq=!sUHHCjtL1FhPe%4ii90IE+5F_YXbGk}Yx;>^MyLoQx* zNeQ;hLWLN^hr+CV5Z$?4!PVe;bc&6dRjPAbASKw%5LfKv`^_B&MBHHMg(3}+nWrKt z9bbx2nSOAFUr+=pF-WG9!eCaaPdL`jTo}eUiN5)`PUv$+;`7DsVzs0(rr1&sIeO>Q zm{@D^&T=@|dEwKcuPrwcl2mgpuY?v3JASwyI8lRwN(d}2r1BbnZQ(eM=`~Q?R#Xah z{jdy(@o6%H&%6ZSx^icgDhi0}OC3MG8gqVPb*W~d#${k3&))ONV8md8Wr>lMTKv}` zYU-i6y2y(-LuqF8T|$6B#OWfBeO4FP_R(Xuyo+-wjpC%i+^%~4C%fuVykwVd4&r7^ z#-J%WiB;VoKAvj{P--b~6rCN36h&_qv`lvIl~UK!SSsDBbWyn$eqlQ}nEII-6x?=mVD$+ef6{*kIsFM|rQss_q*TXi zmF3_qqEwfiMnV++p%g@H1OCDL3Rf-OB1-j(w@AA$rGd!rNk9@Ist{`BfU{|3Vn@@OA;7qz#@xOxVCMcr zk&nmY(V_1ldXM)7Y`oiMHEl(Sx&1+jYtI5D-WuRi!ZdnlLDmne`;kb}qy;{lrIObl z&j5AI%TMXg_Xlb2Ig3bx#D!XW>sIPU0pavuhIqdxxBxn@IwMrtSRAZ$0-eK1RB#Q; zk`TJXL?x51;fJ6*ZAC5Y56)ZL-zA@6KoB+@KiYl(KAR$D89abVB{)%NA~WL?#K-?K zNE6r$w^BPl_p$_(uPjAz{mryZOkLAfQ`F@sVX9&bzYZ5BI5ZH%*#32m8f|LQWshOf zJBI}F#0%fp?Szwqtg9cAe2y4Opu}2(Q~S7<@fU;e>M~*=#IPrI z2SveGap&l*o%8^h;LkCrtv}}+2dO0KsQ-WP9Oo8TA#1n8Uw8MpdSvhdU{dcqJlXRg z-fwXpj$4dXMeuxQ7>$LGiWV7iF&0^UzOhJSQ8vUumrBHbPC-D{YeV!=#!};rRn}i{ znpL_F^{ldnIwtQO>LC10q0(54z0kQtoT`G%e*7Y1i5$^%7>?z4aR}`0HOzsYK)+zT zHViw>isi$dR>B$u4UzY?(71IK(O2A!c-Af#$vuRN+_WAPqkzVZr80i)NYFJn#X)pZ z4ZfvT%3|bj56GPR{>yNugPE_O=48;vj)4BU=R!co=0J?ivC1UakUrcdJVR`_7~o2T zYRmU!Qxcmmyq}s_jEEv--g*YLIaU75F&M{4nPveU#vN}s6fn7Ee6l(hre&b$$E?Op zzaJTWy7d@g?)SZm;QD_MRyQvYW(Uq#7h$t>nSy{27B624pKHp+ASI3>w$aq%&28i$ zn*WY-F*XSUj3-j7=#$stGn3bhGcxgIYhdXmF1~fFM)*RouqE*H7_iOhF?NjyB+XKJ zwJCd`5~1F2cA(5m(m>~CE?L4)_UDXM0AP&4*cgM>bKRv=4x){e@!?l$jLrJbWpH}4 z-q-zd0LU@NWi`KdJ{#rKmR}a@=k)#z7OB1x<+O=pe$xn1&}JewndwX%th2$esmVZ)T>e69`xa;%UxFke@4%YI8x}v z0~LMu zOpG4wv}>zx*fQH)8bhNu>*;5+mxkg4wPGpixkj!ugCowe?M6JvhoIK1NV_)c0tJP1vF+0KSf z(CwuSHM~s6GLafyCS5|%yrG7d=|~Y$!^?Dl5FWAc53Qg_#PSKSWXM6N7Z4M#L_p-o z&4}l{=~^t#J8n9D00`G7YkUjR!nP6oBy9p^W|oO$sxG4QSor90QBgVS8zwtle9@ko z!s(gZNPIjw%AY;2ajMMVLQDdl=_;20>Dc1iYe3DxPx#T;MfF_QJE2+M^@c!RQa7Kw zu2(x6R6We~4@%uIS%*dIZ8>~rZh4_^F?+lrOQ4&8huV3P)4$bB%0PT~d5W6@u zE&Rsw6Ui1X0#-<{$i<91(^!nXMBA=&iCJSYeReqaeJ{a83KVE=JZE4wB?Pqn1td6$ zzjPY?fQprrnTaF>9Y=$8oa$}@BTk)mUYqKKAvm}_FU7}*!W)3)57Q-5Jf!U zM#v|Hj&XGn6#O>%)r~GXV!%zccq)q0uA3lRl5fFm46|XSNSIYbTiY4X`dK=g78Zu+ zT|s|!1f@=w9;#TI;#Pda<+d9HUVIBSTVi2aGlT^{YR26f#bWxcPNm=UDq-;`8o?Pr z~B4M`MKuaQk^#eIE(!5(IiZ@A3J?Z%BV&M^)+58%Lo#^SkY;9u_2Uhgh=s?~2jVREg-%L9@eao=6=o7bKN z5j{=hEZlv4*d59v8Zk3UP9*=E9o4XEqoX1gmqo z?E+3YE{JxNpsIWm?-q5T>6Sx7%r6DZ{d<2DO+z#&VlSTwH0^s`G!02z15L^wnSUP+ zs6X^L&T4PqsW=2N@Xv&i254nq(_Mf|#oXBw|LBxA$<~OL-7)>?-!yxHt&}3W~P0Bo> z(BioD{#+!XnLZ%7uvj)5D%L-H>eUy)IZh_3Sw)=)29jdNfAB(iYC#l5lNdmeAMCGR zfK6geHDbDmhy?spe~*ayd#>--d{LA{HIG(B23qB+2!V)n)i8$C3kYd7&|Lf5ZTyis z;AgV2B{>#b6q{^16cFTMYqH6sz(&e5(==3c%exvG{tqtH+hrP62Hn6MY!}}7h{D{{ z*&P?a!fccl+PN5CCEZN3km$yhoz^_;RGB&-J}g*@sFBAP;T;6`Lq)pkDo+$~4L`Bv zUF=!z!;tib)vAC4Q96p}AI4kRzY85%mc7m+;~INFgqi^LTIz0*nWw?CmW!88!Z&`? zVtrIi>�Oj>uc}7zRqgV0Hl_w9}|S-eZp{^gu;6{Ms%7E{5Aaic79|Y6&!y_KQ!4 zi#GVM!JPL0=HpRZYO-6o*cyjm>eWkJTujkLL`TsLxUvBnS14Ot?c!oa*by!$c$6)A zRpTm>%w)#YF$N^$Lft{!-DbuKeTH1R5oXrD^)8l( z;&eTPJS!5|Mk8GNLLvmOYnJ&|>k^jn)Kr`b)ZY69(4Z|7uRj43mawBzg!P|{u2D;b z3-n=cB=$drU&`B}jz##4avgx<)v=L7uXz3T0D$<4&wkFOt8^WCl#ahwB1Zq&C-okh zc`6D!9ae400Ki$#y4z@)Y_yG>M1ABLAR4T89KZLo*cVA?BJO?0>0x@J>1W*c>*}W! zCug_~(~&l-qg}v`R0r+Pr{P7Y14It9{`(OasMkM(^LoKk05Qfr?u%&u8PbhQ`_9ME>5&KY32T@eccalZ#7r{-uy=Jf=q;wBZ zVdr~eDeDjWB&egdM=&)GQP97 z1`kJ@?22B%2AR|9M8H)FqXz$0Tm_#f{-J0J{}BGc5-cYNjDUYAeGmSzZLcZIgIwQ+ zfWRBaG+%cawVbySYmEs8lbu-ThRg9JF{Eu)alc^kLG&J7UMLtn!{I@lgF9J3MGs91nv zUS!U_kE1>B4M}A?7%uSGEsD;Ira`egPJ?nf`IAN4|KK4cil1Nn^c`wpUGpET{^Xl@ zaUmqL&7MF&QtmXu&x&%yh~Lq(u+v)_U-LF}@nth`;M+iB#ydDo?f%0)KfR}ZvHa^4 zUwpVgtlNcpWcU6@$+4Q~t}6(jEwhdV#Bk(8Ws3LT!f-GZp=*ZjDf)D-R>+}Jgp7rc;$M~Q6g=cJkiY-CkG+p-K2U5jXsbViasAWHV!1OXRl7JFDBrNBB$BMs3vOUOQhYLoSQ1SH{dZR zf!*MixJ^>tLF35%bNtN%1*SJqVF=;GhUCnj>or*Thd%?AP-4lZs{4@7vxcGUFS@ePdf2Hk*f%eVE61^6F&*cm3M#2V~NMF~c_|YZaV}t^G zXx@!P?q+$MYyEml3$Xa*pWrLQ>AkgyHW>vvxeN7Tl%9b7<3Po8K5|)6dsEmFG43op z@UA5my&cj z)Y8ikdu3wXc4YH~x45*c`JJ`$<(p&uoMSH@{Y){I$BdOsoKc`l4WQDE(m#MA$NnXJ z9t(je%T>J#J1*t3)3BUXpbdG-oK)&~qD8>&myV>hNKsUqMnU_0yuPD; z{;-JqVy=`9l!PxRZ~@g>ytqo;otILM#%aH%J~H$-{q_Q2W`2fir0@&u_UX&x-UQke*FfpY4dz#A}7U8PAkP!S}~Uuoj(53K|fgxVrBD|jYto?_$#NF z{{&^Mi^v_HjHK_coHBI`Jk}$Y+xlV<5h4F|BGFmCA8p&Y(D0$@a{gcrTvFizZ976a zhtdo^8QL*);<8e){l88Ry&$T+P?_-Mo1o1;{sZ1kZ~vOS*me2W(c zXn^9fZ}Fc{YGR&Pc1CIoG2}bN1pWdeP7>6^5IlOk;cqX}j^p$%+KO?5euPgi%E17Y zOHnlx2fmdo=*U1wzJ=u+d7>aOHC2|%7!TCtvwRT}5(q|nb2DAohD20a{pq+q>)eXaO$$QCBxsA6eN*czY z2fZE7<6Pak4d;p!c7H(~%Q1S#w&A7g_>Z`O4sL>LBgRJhbioCzvF-R2&^u_>J4XXCNCX!|Q(1R=Q)l!8ifv)_=@poTx9IG&odaFxQ=GeMCbfy^@{_ zfgs9|mMm`UkWSpf|B0%nQ2KB9@0z9Rf)fPHmG7tGdRlRvOH@kDw^W|F8KmC-4}e*yuzPtq8 zM#g&WF;J)5pIAAj=EGt^mw*GtY#VPP^MQj*qzXIo)}s7KQK^atgdWR6fs|vZ;nDx; zOceYd(}Dh`A7LTfS;wQl>EQFQI*Rz4Iu!JO?wdhK8`k_xElzg!rHF3F0TwiGG#@tE z0TLFiBykfR1ERD~wLWtk){%eJul?R%Qza@yn9Y_X4l9bdulvq^v6CB&v z5B!_YIr=#v12?40q2rHeT@e0_)FDLhVe=I^B(e`5ODVMG_0Ox0h z|DKHXnY)a9`42u%YMxM^o@Q{9G3k=8j(|Se1g40eLiFH3QCs?};srQ-VdmoBY@w68 zg8rc`wUBG0qbf2{URmls7+v^>RvUN%B-hxmu#XcSEG`VYRf~%iuLRP?(jRUAf-!zA z9gS*(`Xr)G0J>O>b?kT?2DVkNh{t$lDu5Yy^Gh&Cl5$|ACk^^v5E*qn}u84C{i*+ zY;143;K}dmWt{<-VYaNMEmf7u!QHtvUl=k{0 z7_dyu4T+K*$yt+=aNk{MVe2H(4G*yz0T?mm?YVCfi*v`cCJ; zo9)}(G%V(7j6Vnc?zR3u#@4xyVC=MOVdd8ky7Vx$QQ8)w$K&*wkDcM@^}yFM4Ol)v zyDQ-{vLj7uKs8}QyH|ZoC}pjY&c_?T?#|28^@2vguV+CvJ6{NZmt!u-1UV-Y)^kSS z|0RgJbm*k_!p2TL31Q!Pmp@%{R~J#RKee5h(KKttcb?V z2GX0!dz#b4pD*{?@Wz5Hn6I29Hrsh<-l;j!sJ0?UA-}_qNY9U^B(a1?y_F2{8||kQ zI@%e8H(!h=%&c%oSJeoMN$JQ8{39E~C7gzwRd8ZEUrB8s7B$8g=1HS7mx5DdHbFbG z=210x5idmMev86T}8Vq9SsX`VsF- zOyok3r}64;c>lPhx!;qLs`k-F!ELQu6w2Z+!*l#yCD?tZAr@#~4nXvP-CFExp{@jx z+0yT)nCls&YEEydp*5{h39b06CGL`6noHT6;ut-r3>K6W2h4+-gQfhf>V#QLY6Ub` z?E#O@Z>?4od)r9VtHjx-&~W42wvZkNoB2`lBpSe|7s3f+`a9y9s-$%BZX0ll+yuk9 z$w!P6Ddx4J@3aXhW-{3`{4cN3t z=ae>L?t4x!SZLaD?#Wu&4kzoMb{a;*IjLX-IVTMTpIp=)V;D-)jE)|yCMfZQs~Oey zM!_%nCyka=F}$Tr6=Xa#65VrwGtPTMfMxQg6igZ7j)8c&pVUE3Qc!b{)S3DXgRubR z8!E95yx|6HSf=@!FivcN0P(F&8-qaDpC5nbiRmZK!P_ z(fK&qxHin!i`B#x*IJ<%JQ9md&5ym9d-T95he}YId14+Wj71VKfVOMl>JNhft}66* zG4*Hn%x(AzlCfc-gbt-tyqm?hZlR2i{e%Ybei;$L9%4}34PnD^!RMB^bDH;ol*c!| zgY4qbucOoKtiGC~>X?d{aiehv)R>qc@=@A&Dkzq4l`e2l50dpKFGO>P!??`mbiw2b0+Zi~3S_js+)eX_d}&g;0`mqj z5SxleOXYEZAIOx-@&=zov45#uK*8j@9s}A zlKAyJuo61po=W=`T3I4dEe0A+xX@MQ3lXtQuim3efrrW4OWP*~+TtB0A5SUDMEF0# zt~)-;;^}`9(v!RAIS4JG1nDj0a=E)4LJA$}2#BH(R7605NU;mSE-IjsReu&@MFk~_ z1r-5N6f0Q3ibS!XAfO0XdA~Ee``q5;F7H2(WcS&fwzD%kv$I~jJFkmrfD%kd){{qe zVaDO5G$Vz&)ob%%qm4bUS4zT^iZ+FJLfn0Q@x3X|JG!_tTkAM0@`!P02*8zOBULjP z5DUv8*-S54+Cxr>YSK15La3}XS;fcACD*7;>P~&KH|cG?kq88JzSN?Z?s+F__^8!pena_!k_*R8X@L2T)Xahv3#z!cc(D$eE6%LT>ZLNLze<0D7<% zHGRu4cDaxS_AW0T0@_NeMJB!~LIo++4hP8-2En*3-{vl*4=ijSdk49@8dnIgIGAEP zhPYdciovkre;bVC_IS8Jz;uBX{3H`#em;#rAal{E?1^!iRR`%^%lU&HYz!qIiOtk+ zA!(IY!Mc&y80Bt2Kh!9vFj@1Ta7|~i*UG``Cx*r-WDG^!VAvjaoz}oy;9o1zZwA7t zUlB;WiefTM`>@Ef8Hhno9f{tIqUn<=6klord-T?eApvZEn;vN-itLp>HiCv?56JWh z3B`6VqkiD^!wiOz%jX^rh8YKmYD`9j(t}CAd22zpcszq{(;33(%GXCf9OK{HzvJBgb{g8OCH;Gg3oQEe7z$kLpn6dL00QSK6VVT@6L z0gb{D$Yw;9IP4(!m2U9k>GfYBC;dmeDN`Z~p%_EyD}H1(Mh&bdM@#rq(WU-6CIm!> zG0;r|Pj^%LN|rb_4ojQy=l3hm8&CWk7f%Zi;GFE+drSi>KMM~JXbnyyUnMM1ua2&f;@sbTC?Sf9peG{UlXC!i0}vzg%4y&j@XmleY%`TG$X`V1K{D)VOTzmf~J|`8a_FYUl>l! zqW6{MW`n|$;jKnzpg@^8R+E#*Q0PSNmH0q`DsCbVantGW6^Bj$5$w5cmt`d2vcBM_ zGj~k@OuX~J(EHF`nuTx@AeTG6s5vb)Tg*JeP5C_#CF7|u$&gaXS7U&TyiM&i!;%cEgGNcx)M`S5W&3*sH3s_%Dsp96kaE#iw9LY+YtR>xyOG*r}dN@sX zWrbX22opyy(lW7bj5|Yc;h-ystZdHvVxlPhagq-CGQ`Ts?m;;H-tr4_`=?KF5Srpn z7w=q=;;OE|F^lQoDpuNgR*pEb9IG_#TujKQ8HIURtoWK{-89WSGluA(#_6>GO~w#% z8P}U?2v}El0a)igr(wk8Jz7SLGlB0qAN{3#ncgEWdI}E?4+9plveoiu|FPv%N52?% zRJCd+8h|@T@^REU?L2q6Q4tkgcDw}{k9N+44jOO?@Sqvn`pl>wX4J=7h==DPnk#Ob4SSs_7S&PKHM(k+ z1DZiH2^w^iE}TO^K8jG!K6F>~ivc8pqiw|fS;?6YRQ3V)6HfIsAdk*L($ox}&G3c&!}2R|YFEAx0v7P_*A0q8ca0#Quj#mAK1Bi>r(8Lgr6wA42s`K$5!9bDTMg+GU$by=0g)T$iGHD zyaqGoazOFZc>JU6LfBv-_y?JtCKu%wd%Zf7$R{lv;tSfs|T z*US}HZoDSm;9RSu1qK42|=QCU7P zJ#otdzq9X>mj@FPzwlZ@R+X22k)BfqIywukU_66hQcPoFH&it za4+&Mmn~LnRy;^$KH65fQCoi>s!Vc%PY*njlITa>$*XZNvStbBvg3LRJw`8SoX}0Q zQp9WbVPD+18;@x9S_~>IUxo#)#`BN=m{d#{Hf(|QRO@7#ys1I9%|aRO*;IUQ&Wxn82-HZ4aU7f*j? zxoq*w^%@JKG`ZYcRTfUy8=zudz7Z-$;$Q*@9Qb0_kel>0#sPqu=8c>1{mfgSBcCLv z$T&MO@!PopdrIjI+I^45r*tQwSd_nrFTT79;E!I6^g=VJNVKh?N9OzAtZDn|&7iHh z0Hv6)@*R5%XggvlXbTL@n`^u^S9OGT`f@4eVR1A)NrmCWTcP7m-Ufr0F|0oe9~h$q z0^I^V<3^0sAP*fEu}FqO=Kv^$qbZ8Ok*}5QzE$Hm`ZnMg%>c#4A8rGVb(2ucn7L>s z%@VaZ8Ewuo2g!P7AuS`$nO(60dpzN3hFuL#Lh9L>{N<%BE_)13X~KPFwD?N|~503ip%LpvTbQv!Rm0Lt5@-pi0U;O}Km$ z=>PIV&V;e)FG3GvVY_Q+7bEJ&m`|;2_J}TD_5V;LP5VQ_^AHC9?lFMJ+mKO*$4}r< zp=8pXc&7sSB&aqMJ{*ps2PIX$y$84CKUj?ubB5APC5@m8UO!$7s(kXWri$rr(X@QU z&-(~goFjtbsYh^xXjmX>M3#fnM}bA>{f$r)ajexe(oEuhN)Q}>6J}ClxQ_Fl1feA2 zhT0+sxPbEbM>St$gif(73={-`eYcH|LZBqh(F|1TFYp)?!v&9PgiTkArsIQxt&c|_ z+;_FR)Mcihni%g~jqyf4hG{uc6Jc-|7#pEQsuA0k>~VIV*x;7#)1qL0z~|HMQ;{sq zLW@NjhV+v(d-x~qJ{39Vq(#obVv%#oR-_M`AVuof$&a^iNqT4$=L7&xf#iwxSymG< z>IvYI_aF}6&Cny!hEFbjTn)&nxk*$r4Jn=(lh#}$Kdrjd8-YFU@1Kg-%ns{>r{c}O zll;3qPvC2IRdC=qAgQ!BTm@8bmIzTe0`*YUFK{tX2vz-psCbd|oKWK#J_<^fB5aG3 znqwq-#(<)1a$1r|9*EKvq83eTt(gHr<|@=N-H5tkjg~hvK&a%yxNd;D*>p+q$ETHG zRsWNoD`p%*M#rVkAf>`EX4SX$!|rb4*fUt1bu%fST8m zd7+RP$kCHa)

Sfpu8Tan?#zEh5L)K1V20XcJfHiI`!X$zJW;=h43IH8@OXyorCp zdg90n*b{Di-+c<3c}9^SQe*uP+GI_M93^^b@FtR(hOo@g?w}t}+*7ph{SBCxk5iDm z9SIlT7;3&A2OnW*HdAq)FV{yN?I=KCF?17?o(HjyK2M5)E=1rmlR2oUJQW7PkQdA` zaT-*f2W|Vs3t(aN1|`uG6JLaS_~%)S8V|XO_sMNk0u1EX*V{!m={Z{x7m-Xje6lL` z>=#LBLG%bmh|y7o0pCEZL8xS*$hl4-pzq5?$AT~90Y5PNS#X@sR2$gw%~ zHO*-=+^FPg@m(366Cuj{p!*A()Og~=CZy!>irl<9DO)@@1djL9yR$loZP|Fde%@xH zK2a^kh+M&0g-0vigkD+p8hZ0tB{G|$c-l#j0Hr(iKE%##*m66*jxX}|VP7-vUb0%G ziZoAi^BXvV{_J%PCT{dM$;A1jBoH$5^4@e8P|<`GHzKf6v$B2BRpy}f-|8O0;bW>+ z^VC*%Z~BE#5?XF2ELoeHK}Z6F4+u_fjnK}Qzu|5|l;mdy??JU&^8i;-n+>lPZ(^oX zHq*;RZ$>%Q+)dIX!?6%BWC}u6*S)QYVn+Q48a@-KeG|>BX5_uTdUjVMe-Vng@4>E< zvW*aX4~bjmB9)4sml#L;s^229Vf2ekwqZi{&XeAE;E8JH+`*o2Iq*d5Te$=QPe5G$ z0W`*exA0FKc+?u?%CGlq!;)%%X6fnS^}!zPocA<{rrSs)V<-GRVz4AxY<-joEu;i0 zB);2D32{WV`kI2NcJ4>8ju*d+F(uW^Yl<`{yTreI;D8jRx7g8bL7y{7I>JXcU|1|9 z)c}&C4rEE%PkF}Ja4G6>t=a3|`TwZLM1byrz|lR%4m&k0rpJkxj`NcI<HaA3asd)ycQx_5dT z>84=8*Y1t*c=~FZ_^UQ0D63Ps}j^!1Je?N6plkwz81l`yrJ?|5YC#hyS zk&Nd3h)gDZ0?T9FzqrX9-OQfY@ANr?V>*=*oJ_wHJVX&N@7sUHDy3aNk*?>ll;ig zmCDGkb~IY5&XF9vB;Bgt#{nA77t(jn`&y%GI@5$MN27oIjJQFU?|GH?5cKx6*s;%@ zoT`evin{)IsBkcLezEXNbe8wc&E9(t!c$uMm71ryS;7bN$c=c|B(Zk~e607^BN_Pc z7a&x`IHU8DTH$$Q?Onq>z6G5>{YH)HHnUu5)%em{iVT`DVw8f3SC-%2Vw)pg8_$lmcE~vwp(m^tFQVBGEa^@Jd%jxPZ6S3f1*b|co zAYKtMW?Q@yvz_@VX5(_Un+O9bnx;k1pdk_8?>MROaMmEPuWarArl+4R;fS8urf2el%_$sgS6MP3T`i#vaGkD!lw zh^(L7?Zq8GA<&H>OYw%P+ZOHi<0_=ymb!=s_Jd^4{0x$nC0KCG3_FNQtL-6ZGvj2z1ml52820&t7?$xd9Xpd&!w)+6)E|iV4_xY`syJ`_qNFbj zAqeOsAV3u*z~Acl7l_N!GWR=oKfBNy!aF+3Y4YI_o-hA$w@p>fl2~;B?ELOG!kjKi z1k8XPt)ZP%*dzN_0B9;V`=iwCWQ4>~He&79I8lD}h$MBX=({J`62pH3O(IttnKgV; zL2Ij>ZF!L~J;`fhYn;!mFnk5pB;li{epkY3O&e&X#rNr^GaYsNI z61Rvzo8pC&b0sQTqiSF9uwKIfGjo$WlR!Fq1y)io*ri8~p}z#ubY=oRuS{L5I*xXN zFQO_-0Ip6OcPYI_(NsM2C)B`>Obh9PhDDDqo{4Ea1?MfIBz`xmxma|<-FBsIAr@!Y z)if1{3S56WQyHYtQ*q+s9mkX~MNc*6x2(ZLcy_X?jw%{h5j4O^W0KuHG6MR}7k1cF zK(gomRwT#{n4vPjMZ1#N+r11ix*r_a1zV&x0CME67lECgGh^On_%^(Us(7)>V`_CTNA8^7ajd*CBQ{_B0Pk% zPeNV_jv-~=Tny9&g3u^{TU@UtT5Uzq&y75Mdj&~{hLS=*z*{1&sj=*pFCkY%KFl6R&_%&BXmhV)lUXn8mD!%{Q+$ik*7x> zOLo+@Ol`LE3>5LAjXI`)XDTF^8ysq!l7#+pk(+6gBv@?U9isNdNtWAXY)T3$ohtcN zq9j1NQ5T=Uau&Hbk_?J}oSWK)Wz=+aXrhE)Qd>Zp+J#`D^Hh;!WCE~vbD|M|0i~lS zBK#Y{auo?Dpj@Xl0yyzFn;CGzBLNv*+(K#*r*2_W1yw|!PRT$-#fiNH^eGY6h(0g~ zNZ}+>ILZ%x%gV|rTXvL!3Mm)Lv~ro_v~)3ZcU z8tkBz>6T0)ZV@n@7tqczjw@!S=88qxsV;F!hMHXL&a~)dJEq@58F=wzP!=Q_>KK_p z@4?hnA;2hgrNj;Ri>d8UQ13;Wmn2CX&#>@N zs8{SwLY1MmnO1KN#66i-sVkDX8r>X`pM`Cpv&)j(fSCfxT8_$PY|FBGo56mT(*C%B zRcPrX0 za;e3jPi8>7qO|u=>6g1b4j7T+dV8doh-H&tK%C~rkgQ5fC&-YB9KmHwyEM1*cqy4) z2~mS%K!I*b0wOXI6~5xx9C+CMJ#i)qjXz2f)VNy@m~=G8jtO3Ux7#wnIq zL&Rm$m_=tuV#y@maS>ibm97dZkx?w~)ixH_K1{ZQW_XR*{=pdRImI-k6pQF~eo=IF z9@^Q*s`ov;Fo=DBMMpf{9eaddmjmZ59f?Qq(z2sRU?V)?b?h4twvP)1-~LLb_SjHx zjmB1OEqV@|B&bi&3CrQyBTWHT1f8lYLFp;--`FJLpY#E{@Q#Mtu%@lm%@yhJR33Bn zmG@tQG7y*Dnjj)WEojDo!3f%2GlROmjz1_k_8D2a_!bXx%Bd`*KD&aEF zQzLI)Ka4=0gLg{{&`&bHNHU(^Gps3cCW%R4etKgsLBhC4%|W;)LWJ4#>r3Qq0DZ@_ zM*nJ{Kdl$_XV#nLHc9nV(o>7cy{r)42Q}p#7SK^_*MHELyd2CVQzfk#LC10z-T-!s zpL#mbM=c(8N|7tw;fuZKC--MFP)G1Au7p4OpI6JG8Ho$85q@Z+O%cqFvaUE1F)u`- zlO`2M-YWB{R}p%}R1S`#9+YvnPyLRPHl52L9d%RYspv}>!Zx>q(d`^eTkKc#a+%RD z48AO_B+DOkuKHmYB>$#3pa|wN^IWlEJd{Sq0yQBJbr1EC#4;UA0*Q}wrU&(uX8cZ0 zDfR`iTCNSj7AHzY%~E?)TA^6g4s3p?FQOnT3Na8#l{-R_Vx+biIMxa}p$!zNKN}m` zBdy8qLzacPg?B6~%150Z9X|*HXfDFvWVP*If4&s;{3H zx4EV=1vik0;NhuDJCos{BaBG13u^m>((HoTxr1eK`Kf@)?qj*lEGWe&E_`z6R6-hd zT}06V7Kc(%_F;OOxUdiS`T9Z>Ds!!@~$ZDN^HR@RKTqgBc4oQu0 z*lWYj^7$us(5!I~6f74zp2j;UxP_h$Gq*Mi2WpG^061DnE;H#?N(VxkPB8;fH5N|n z-l2pF^Uri8iGSr(%;cRCwZvVfD=CRV0CIhoh17}YA&!?|ub@@II*^>k+EPp)mxY;O zNfU5>WbZO(0^`6%QTv55ka1W)wJhZ5;nl!TakMGL_i{DV$JgZ#Ix)$hssxkN8y|44 zDobQY*nZ33(eDrDYcn=bSZo#}RaD115DBwj?6fZjMp8?g)kX;;PU(EVOmAY*%}>8= z+YnYIv@8Lm@|=rYhMi<%))`owDkp`V`Z4|rMjOg|lC zKr_y5FboAltuxHqA#ysgX{gmf>>dcDIK#|fcAKK2P(I8}<+KzQ){$o4s$*>+(Ib5 zWyWC9euOoa1{?lQMy^;kLib7=sc~8UE}W}g%hJ=Zt4y7MU1j}9d`sjL%|=-W@gWm| z+@jk?S*UgQMDx=s;hNFo%zIoYrJ&|Fc$%(`td>UXcHFogx3Ovs9xyqR}td zFWfu)dC{CbQ&i~!n@1|=%HU&5bv1;%5Oj0p}an;r6bpRdleqxqkO&H`taUe zY*6P7y;meo$84@vIKt8Zbs zV~6I0$rwq>o#}oM`s@-;nSwze;3zFwPr|YAl>2cS!&rZ+uM?H!hc8M13j=ufBAg{#3>&=%LDK#_xM0ju^J*O7#2((s z3gAr5?#O0QeiKZn3y30y#I-`M9}v}NI3#h-g$%z~d7d>S5oD;Go}?cnKHu`wUlP`5 z_7>la%cOEZwKK4>b)SadmF{}-ZC7KlcK~iExV}qqiC3n{kBnnD4+5TNs|VKY^jOcm_;iQVdqH8BvlD4gzqaX9Kuj zE>sfbHk|`GP;Z&_@W}-rEy9eqE zCts-D^jL7h8*%D276*j44eB+vn+436)@E#r5PLjjgt%#z1EgWqDj-F|MG)wR7NCHi zTx(`Ls2Dd3xv)XrY=;zvzl$M=nS>|j*_F?A_-SV3DdfOUuYkeU;v#Z@Q1}pBH+CI@ z7Q&MR^n&8uN+_;2bF5+|l_lcPFubp|W)39Iv@10d-R1&`XD^qJySBB9&AdHAg32!t zm{Y%Yb+?MmduRp@Y_C5*TZ>ZEJj5TU6_usuy|^J+%0fSVI0xaQhw6W-)D$$c`sC~- zD7}EV^YKeGpUit`3PpmR!#Gp#qeMbVaxOMA;s{GmchqQIPNVFYA9+fzumt?d?CyJs zgQlUT(M_)y_9Qkh#H);PSw&c~G|V^Vj{!#O5PMTyA01{}+sZw2rNXq-Xf?)ebz zR+mG#75EV|2ZhxW;6F28kHB)pQJ`@Pco1G8A1$!T+%e=v3|k1LbK_-N>6jKek?{FU z75}+-p~l=a(G`^;qbqL1(jRmch$x{nt#cwWTb{RFia~h6nbx_YGO>z7JV!6p42_yf z6PYvVPAqI_JwPovNAsd)8DML7B}Qe>q1X)i05%Q@)l#zr&9GV&Xrk9u;K75$1J+t* z>YgG2L0@@2BzEl8iUuNO5f0>lI!A%#EwZ@yofR_6J2T|zP$ut}93&b-(~G^gRNU!Ca@eJMw5c{1t} zUWv}J2d+Cixj-D6pXm~>9#78@N2{Qvs}=){xl3`hXg>Uhp-KB^0VAza@GivnxWm)o zS_JTw)!_d+qls8^ZCIsry$;?eXvgQVtFLoZhiG@bH3DBT01sYoO#sf3tAP!bUN>Or zJ$<9ag+Z;5>74)|HdIRPu^NlBZp3yZNhgCd4!23ML20b}9~NfO&7cD-jgWc6i+IcD zv!j;EiNwOCmRq5OZ+G5@Z)YsCPN`l*=dF?K(+ISb4qM_)Bz6?FiG@$5U!eer6$!Q2 zYrEbOCJEPr*>DR&Lq>p3}dMnUW2tcRUy(1rFzi4nl?B1Zsny=QJlRdO*AtRFpcWamy7DffIvrDo%xuI^ zk*rE__e#}lM>VS|4N25aPF5nmSaB?)doIqBLi(T`#i>F%`TBWgKJtsE zA=9^_DXT1$@#_tcB<0PJlA2PCeiWy5-{05ZgrJ5kCapL3k zFA*qvQk#1Gq38~>wBUb;SNwZ1Zo4?bIxwj;7bgNCeY*^YUHC;U6t7?Gc~A?h8QuZ# zTjskRmbpzDr@A;OVTB1Kcb0YJ;0E^D<6Ltz+HfPz=x zrb>E5ti}Prbn4J2;ZpZttQ<}^y!oMcy)>9@#BDVVf#&#pA?fqI{D?!K$W_6han0~A zA5;6j>9K|ZkQh>qj%7MEvAk!*Nuh zAn0|xm)5fN={W#queu4R0p(9i(;cf$YPwjw$$*5ZeP>+oQ!^tS{Rk8&y zI4GD&el#zw`~O}+r1Wd*BiSKiGMdhUP!JPd1nHW*n~E!o%nLJ2M!fj&5a7CX1D2E| zm04GW*bbbCJu7~~g|xuSwDOqqQBx6$)UA91r1jz#;|RCplTOJ%cTP+^{}l&G({GeW zmI>R!6IA&dF=RoXA zTwCwbZO%l73+GI9bQ>n>@wP*QsELRMn8HcZA0%mG2C7%~5!*Gv7rYDWy?Ek?;r)apT;Nuu$WokX|6+geI8t9>g){ zL&&|NUwC74W*u3BS>N6fMj&$iU~TlN-iTKKX(>{X$}S%`NEi+v4aa5#zesc=^-)Mf z4hJOqiqUnM8Py*Gw42`pXmJtAERbo2ET)x-;KgqrIlM4@GiUB!hoSQFQy)5W8{tDn z7rcPrA$B(xi9in+W3g=VM(B*SY6>pG5B(_KSi}q>No{M8#7EQ{y^);yu;)Z0(NfMT zkf0J4xM7=xsoQ*_&=5C#3I(kxw+q()AD^O0im~AbYUoLK{0ZGL`BR4nh70Jx&K}NP zABSPD$AiIQ$}R{}?m^5J4{-6>LEH=*`=H&lnyTVU2{Kaeoax}U*dp(9M}iDrPXkV* zVrOsZXJN==P(9}waB$%+2lA+)i0a@Vjz#l8#%GC)4M>l63o$o`0!Mrmo;z|Ou;dne zg<1@E?hel#Ni}UkBn&|^%)_6N1v>Bn z$@~x8z2Y0dAU8Y1y8|hG6a;HQLa+~4CnQ4*2TwDEEvrJODw^U zzp{!YCNL1`38gc?1}5Q>fYh5Y+4VIrdHKr*1SYdUmHvRF%R(If?GTF1jqE10E#RbP z@}lU7Q6XNQQa)iI;Lk61P9CviF#T!SNJYp$Ie*i!cq}UCZ`yf;ggAfG*ZkPooF=~6 zos=rZ?*UzCH?s(@0w_4x4ixCP zl`klgzC|9bWR~F&DrS|4wN=o+{}Rik!Za?>Q6KUviJ=^_%&9{+HB`OTQzGVOCbt(I zd!nk!66zRpJn&NOh8$13VXszQhR@6MLUpAVNPw}EEdLg=a{YHKD{v;1o!xXFJVj|g zi97b8NA~w*VqZj3 zL=>ZF*Ag2*@CU1lI|iiUo*$sA5^u=L76X5D<_PD-(H!gpjlRxhi3|3VuKEl5+K3@i z7i9=8&p-vI@}G!=j0y{r-X4Go8TlXm1UMxskvgAr36^N>5nTP=@PlT9H)T=Nm@cY1iZBu)V%9_+iBUn`fMf5rGqyYpa8d%`C;X;qAmmE?v zyNs|L8a*@d;>nH~I4}L_R{|Yk;`SQXi)O@l03%ra4Vo`aJ!@p@H4QDLi#jz}3 zfv7o#X5ynuCOk?u%c)50*z>yMQ2f~nMc!9db9zvhUM9bj;37=j1tu$zje1pTk1R~9 zlmtd*U-Y9R)SnD*uZ+5>`2k+9kqXr2RgiZN>s9DZvY?5Ju$lF=Rlz5qs!O6)SdZ27SI7#@Ch2e@x4#)q+ zO)IKBvf`+m+$_dP!xG;=n`k!{e;rlo0T*PvdAOwK@JsVu%=-fyRoB0@A~NEPj3mcR zs*dY<3>{(MNIuc-h%h~)N$LNdV~_1zv|WGrqDTu)6zPLwtqrx@>mWh zYV(24BY#<)V+o~5{u{hp{VfP$?$lgQY^1CwhV4uRO!1T6S(QekMXOT>Kwo_#<#oW2b^0uV4_W-wW=zy8SB_RT$B9PQQMk}sjv>nnz{ea@+%r zY`vhO2j@3iZ$1e`<${ZD=Zw3*|EBi5rDCyrw0pTt>u>qa&* z14uiWz9)+gQuB6M9+C2&Bc=6*Lk`FvFwJ?m)00qo|CfK1Au5{vOPStMC+SQbP!>VQ zW-DE`+}X@m2++ohkX(zEvp{00YD_maj6tiFKVY&I3HIZf2vgJSAd|*?p#VLIMtIU(M;!5!sA~pIzcC3E z+tkQzD)Cd**z8t?q40a+MZrRoRLVIXo{lf&DluwY&=Tcbx35!Zk?BQJy#(d+0$zQO z4Ccf3bXy5K-~6b^u;H_ia;tbUtEKol!#;<|&-Log$<%_eE7Kl9z3_A;UFKSTFe6RO z%EI3WJ+Uv#p3c%l7Wg!m{%DU&ekAvNG0$zEPrW>o@D#`fOZ6fKcupn1*|yO}Q_!9* zzOrrH>!8ucdF=Kgv#DL=Pzt$4FQ>X1`+P`^&|+0ofGT!kQ&YQ@=vr>O#aPRsl2NyV z6&WX$-H;73kt1h$BiqI0zooPiN8BbA1=YICCKaNKYv6zpx*xDt-ikwpBTdkoRe=#L zLgUnhsik6FxedSB190TJG{OahyCyxkjzzF-|7`4xWq5j~G)|@2;*4c5h$zb;r%yeE z3)wwh)1{KKnGx`6e@M*9wsXXVjj_hVt5}x_Y*OeibgrKjhWzSlYP-64aW~UZONIEwtAptf8D)-u z_J!g-0{cWBD9Jf37}+%HLCTtkai8!ULf+BmV(y(8&63a+iG^86TDZIeT3OJHyh0Fo zMKfHyV{gnuJ($X-?@=gf?X-NZEt2KU_v>5M&_RQtgQj=GH#;t~QM$s26k*uf=4m~| zTmA7uU#kTurTKY7W0yUZhI@AA*oS*jz0Hn<4{4)9BZ8;K&O*^gWxnAr34bH_oZl7w zrKp)+MPXrqd`|QuYL~DYkuMGF=x$)`$xdLEB!QtB6bY1|api)cR+UnPEqs7d^=P>uvp68SU& zhB1sW5o7pVchGcu7n0lfY?RS8#?f;a%Vk>+2)ksHadS7`?~M@*(JJhSOm87L+e zB0chHyQz4+7kWx#pA8Ujbd*zQT$p3>vI}&4Fxq2(KXoX| zJfsHE&I`w=t`Vl547QO3=vx$M5X<#ux-3lI9<&BHI_53LmLC?zXNs}1Z|>`uB1TFi(~6V2rk#qB)y$?A z0j<}az$NRidZS&^GWvT8k%%{o(ay9q-7TqvAc?yCMF7vlOGh5SPTd82p%bA@q9_iA z;O;TlnuKW}$yvSaE-@6Yc%?UbvzYvSD#Sz*#SE57qKJuUSgTX}06La;Grpx{4yCxP zENqFYCJ6xJz}X!o_=biRgUaA~@Fw}#BD}EjT$!V_V~mCk(#|wS6YU1U3Omv#?$(hB zn(%%CNfRrkVx#`PBPO7uA?O=yQk~`1AAde}(TraG`pvm)66P)PqgaG!SK(?>1}>_j z68xmCKeeNf_)1O4KPlrDs=?WiQ4!}rUlc8aHA2b}6ZQ~0J_F0ECm@G<>8!LYkvay~ zW80L09%iS4CxBT0x6*v##~_Z>mX(5$X8UN7k}YO`i;T2RrTDb$RXh+p_tC6$l2e|A zJABh5DOptRz%I9`oFM+~JsY=+P&h+rI$UV) z+aKo#kVLm}?TF=kQ!b9!U+rh-M>o{`fk&qUJbT-u#twKicpDry73#}Th#KM=AbwZ0 zEB1=#2f*)UL*o585RKCZ>Xpa!-Fi)PWr-aF;YTDUrWAQlg4!ECL8V>5^Bk7u-a$mT zLAKj?@VbBaTr^~vi8aSCU#U zv&+ce#HS>}kTzX)AL*VmAZ-gzBeG+9BTWgA%9sNio9l)vffp&`Y&WuqWn>nx(CxXK zhT;3om)Lm`AtnsK={vc@_2{Msm!nf%APzG9I#la`)N!^86UbNwZUd0RHf*L`JMIW< zU@BAgNTK%EfZvhkAWesn7;9C#WJJ77D^20EIK{F=?P%b$V;+SJ3}+k=t7z1Uxgblc z(F!fgD8dN3aDGk;Q8dDthNVF%>M&v)XS#C)1|g^3@Execvq9E-O5PZ{8 zlqjbABqE3jt{Mr(o;xwCtwJ!0q?7^rjGg5cFOCdPYbtZiIiI}yRy0PVX!>)6BF7~* zQZrN1$f?wl0ob>k3Bz*F=?*O;XVNUB@Dd`HbQz9p=U7Y>e~y9>Kl?o%v-*xK8oOI^ zN84vQg#;6q-ySp8fhjiGIl*xQ^&+y1AI3TYD+*5s_4b^B&4Q%WG6P*SKHWS4RtYyJ zb+=JzED{?Ez>&CefGe1r=oWn+fd~HmSld$Kicjk%LW>OrLE<5r>C?pqF%)NPj_U_8 zg1?!qH7`zPO1oi=I*QtHE|mm9jr$yDA9N+3``mFD1)DdX-8K~rkm83__KyQONHZrw z#wl0KjYBV=F0be<5WB{c%A5!l5J^}t;?#p6>DV`8-W=Pnn zqO2EQsmIhD%zBvmVj-o$Pco-wRnf=?yRsXxf6g(98jj7bA%DC+B{F>aCK(p-70`8o za~)8kR0?HGbDGX-f>vrWGanSl$jtyK{|!leXeuO;msf;82#Ty_j|p zjv%d#MzF)S&(Lg&@B-zsgJ`e|*i_tgKDx!6iv$F76;xubzX|AgC}#Q`=NI~rqESWR zx$B90iFoxRob11JL3rLs)@pI*^vLHfLN`Xz%zWhCBnP6~=R%F7aj{SDpwMxU*@gLJf!ZvNV{*5e}VXG3m!^(&(7%$m8^O4_nE`-8jNo zFSeb#p~$w)$8;=>W^9k9b2JuyduLnTes`A!MPiSaXw|nc;IBN-_6(I5@*EBm8Om zH1kC92~CgQnvuf37nanoi_m-T1GYQD`}9GQ-%jr?rgX*jxppzSFc3wiPl!HKH>LLx zhuhnkxGl&x3VyC!`OMFD5c2B2L zX6eoinC$4aGzKZD=*fs0=v7W2olm{u5d%*&No=s5Mfq|VE|1=T?vfCOB|?Dl&Hvpu zp;=PF*nbrjm;sb;nI_cn3?MUBy-5ZL)YKL@QSW^t%HI%)8F(+F$~e4l@j@MFWik@F=x|Ci7x~mUJ1G( zZ#X3;B_OC0CDuxmnkj-bJM&A{M3JX73R%&#CoGt6?{TI!U0oAV=wFWS72QW&@3-5z zBPfR*p3?vSc@V7<(}>+b??bf@!yf6r)!}^9Y#LGaOm8Eg;oGJecK` z9iX?4Q+uqhH@ujfE8e;ftxP5}MhY-^L3$sAw)ngm9zovp0FA-|7zHn{5xNse;=`Wq z!~Y|Q;;j%D@N%pe_$zd!@cu`DAqhs7@lqU)k6(886qmL3*kaKtMJ`v78I}}PkI`H8 zlqIlbD=fxo4@q3}+|qfI0*3lkl{X+N^>2;BHOurBMZ0I*r38Dsa;)xS567KoD0T9b zqSF@y4I$`?Pyq}t(9_lV@sl_r(EpVG!9=5j=|m6~YI(3&d}dN})cW-nd-XO|BvlW| zkaIqT$NZF&Sfq1$0YYV847u$g0s+U+1b$EXes$>*Pl_mMo|Go`3`qBgyoYfnd}w5n z4K)((#5p?CpOlm^-{c}_M`qxavIieijmD#OXjF+K)?;?B=mt(bU;k*l&rn5G-1ab9 zBjKf>1Rn2Hdop%M{GtSd($9-@q!88>evzBLSiA{=jlUnK!N}_`GE+qfM$T3EAGJfM z-I<2D;_$gH2Uj(G)P>~PRb+a1QlKc$mzd3EMDKludXh#peHNOF^Bz8W3eBU*lZbBS zB!hm`9P?{F!~OY5Sj(e7uxz_1`g6_{NRB%>Ckkv`jnR4Cn88q%!&3r9VZ+C9tcqls zCP`T+P`wVT`~208z(*6JoU@oAJqa(syzc%4=8{5h2G+FDYabQ^i>WW5&U3G|B%yEG zE>unl?`%Z3FY}Yyh!56aI8r=WAwxeaCgHUex-U0O1{sAi z-(Qar4!>aMMP!gd2Mu!Nh~!!{=Dmbn*Nj}!g4~N&I~J@{pGLQisY7e)b($&u$d3_Y zrsLModVoa}ThYy&H+^g^2B%yH+>kI1h?r2_0)FQ@oL`sZ#NYHf_d6aW(nR-TD;7jW zl2eBSL%@(DFJSYU{50l?!w~FAlC0n~i1`CXI`>({kLIQq2!2TBAV+xB=U{Wfvlw;s z7vPKO20DD<3vTz;VyLqBae2VJHm8&^@dqV1n~E*3Xd;`QAXrc}2hR?j`T|;sL0M+2 zShm%jE1r5@eQB9(Bs!HRGFynz&nx~|{>XMwH9i%Yyn5bxU-OY+x90%7WRIDc%k1fj z7j(6E;g>N}BB1mH`6pH=_z4k5c&OWnSTPAPRJ2fY-5_&;| z+qsP(B@vho8%5_0Xa#RtnrGf%+y{rS(JtQr`o;Q`v#<}x`E{$r;l*l*BszvfTxeU-2d+|0K~NCXU#nQN#3hNZ&axqfgw`;gzGz^%Ti0cr)mAIohxZQ*X0|oJH zxFHiX7hA3aw}8O3GU_$+5##2Kc0bdj0rmDy2K73>W}B~U^N!61;tj9W`(SPd?DGR& z1sIatX2g}rogMJ&Kq-DwLNC^Afi!Q(hbq4RRjmP{5gjU;ZiL`3?Uk4*dTz#MO%6f~ z>CeKr+8xI;Mf8wF?iPFwsEs#AK_M&U@B=6h_}nJV4AWh6n86vT!>JE*!gSIc zPQYxUJXCudq45U71IEDx2wL$9y#b8BOFo!kL}F9HJXn)AQZs#PJoCXsuPMjvogd?V zJoP)Muu*Tu`*X^g0g4YNI8@Inr-AP57>8apGc>7Aj_H`y9$P3j>LuJ_zySGCyN4*6Z zX3$I@)DR4I;QGIdVR(s}-VX8p!w;Y!?|xV9Ksk7BJFI05Xo4ZJ_CuU?#Tsl0;puT2l!U~I5#pAk^Ed4{<55}XZ)^|J z7m~TGq&ZeO{cWvvqIowITSMJ`cz_?hrOqT`s2sc_?}UX!-DikYee?u+Q|O5Vq^F>p zTo>X=y?~J#>I($(Q5}`53*&Jpy42;kY))bku5W7Sof|`bx&IRM`VbIH$WeKCx@8?S z(2`$M`g(wAq!bC{GUkXMci5ezb5O6n;$ui*@12^<(VzFN2A8LQie_3d;rUX718!Z?{mapxpr8GYotkAl z#vxBBTpAf71>QBGD;R@_0_62eBIb2O%I=i0N&cL|K(TXA5Q%8Ui$7xYr%?ZWciTN% zL|O(C!(o$f=(A+E-M3}zR#d_kpAo_lj&^oCxYl@|K=RBBZ_JDGCishzSzLt|k9=ki zVWKobxen3ubGyGJ_=BI@3$T$mlHn#wh6hA3Vq)EBC+%<`^?C};hueE4~hI+NDTTq>Th`7@%OLo)8q_v@7e2x z2Nm$^XrmX=H~gmYgCpaG&x5}x&Eoa?M89twIYdFZummY8AXYJ{4(VZZp~w|5%fTqZ z>;u9N?T6JeU@xu0eRhi+Gbm`%%G9v2|GM@9e?0wD)^*smw*8 ziQ9_9ed0i!)@~?~XTVGcWmy4*2SgA;Nku`|d=1dT5~z963iLs(bjb>@AFK%LG#nL? z=RN)h$nNHU;KQ0;AR;Zl;mrEfeHfM+t%ybxw&K|8bKj|N-I2|r;(jQtP2b1+n5&id zirdWDm%D$!*Q3)=`n@VWqelsjiEzhJ3N}uOP*Ftwj5s=qYRjJ?7${{Re}-7#KE3=I zshS&qkz8?Sv8YIAGIa82%B`w3?7-h3_(EGkdSD8;tL@x39xsDo&{2xXX}YN~bjC*gU}6S%m|RaX*z z!hmEsp|ha~P-6fzffzW!Ktx+9ruiZ9i}PY}p!`R=dIE3NF1MZIFA87841|KlU3yV- z0Ktp)KjTwowVC=zsBty%t@~jI6&wU4Ol(C!v~n?v%MKx=JQ_Y@HZJrL(VcesMO5bD zY1y|!NdJ9*g4HDUEPONTIRb*24(Ln!fUyDG!%$rGa}^8R!BD>wi}ec-aPsKz5O+dk zBQD4NbwDjdm(N&0isxK;?!g0?jaH(|EHGm-y{-+Ip82b0y6G@7)9H=N7Y-84#B|eP zbePVCR3=lOcr%50&KDrh{S|#B&&^C=$#e1Uuh`|swn0wI)Ds94)#LT(9k$(q&YQIY zM%^*Tj1d*BfzMM%^r|(TL6q*=`yG76p1%<~wC=!yG7kq714t{AboCX8OAq7j1}~h& z9Z-m_=@Hx|j$Tx1&D?ba`*+gs+O|PJmqu}9gG)5b{X>(_ym=_eCl3B$r;E2UJeKHk z7||}KpqcTiconALM_sUcXC4BAl7iB;)yrM28YlM^OOh(i+<6Ef@Wmlx)l^SLUB$l= zJh@`YDHwCZ?}})MfJGo7pXHhGyFs;5@l5-q6tU^rEaX^2K~n&;c^J)TVwF_y107#K ziV+w=(-Sm=5elT;Sg889kD(*!pol0V*thOkq}JD+AV5eO{gF~HRZw{i_ObK-bW~7` zxim3bi;jPRI2RsOK~OiMIR@rh1!-3ii1Jm&#z#S@Xt4w_tz2Iam0-14B99@zI4qbu z|Ah(~POxu2KoQMIB_gi)4UWjG$Eo=r+ub;_m4FiK=D?0U=QuGw4@anbf44L0jk4lF zl;jxkuNuV+*nxW97eT$yU$!q=!EttB*)fc)S4C-8lmJv(2|wv$MEE@f3T?_xlu%S< zqP*J5zco`#4~lt*Z+`Dpj=F*JML^j&LvN5LG*n29n?P- z|69^69chX9Pzb=NpeSlvB1vL=f=6vYW^j`bqddixAA7)BPTByC3=*OJc0ZCRHvC6$ zI=qkW!v(Pms1NUP(ai{%=d3LCAlx5!gm#ltbH&<|Y6N%W2*Ps(OuLaMBjhi#i+UsJ zC^2wx5w5PVvMKEgbhK+6a9rbnlg1lxT;qU#^Bf;2>j!BT?LfLu5Cgwh z!tLQj)Pp&0yln_^Fy)H_o->j_XSQ%m&xg3cA?B(SXQoIsCe;fkTPCG?)b;#8jLE{{ z^=7K4x#*EdL}v@f3<*YkY~(`0dfc)HJN4!)j1g}> zxB~Xdhdnv+k-vc%=qiQRjLJH~>x5;Yyw_ka-9`Ol7#da+6jgN2p1e3Tz|+8DcqVwb z(e3GpduOKEB47w{D}0o7tQ^O5AaZlXk33-!R_gU(T2 zGZr1x4sS$xdFuLZPe2;Kw7I}yu(Pzye%3-ml4Mj(FfRd5}UfFW{7ug zz#Z=^cBXe0)s3MwX0;$CMp+6$Gcz}cLmKvz54HdjSZ=vAO2QIPSrT-)PrTm_3UE+! zPci+u@|)D*;*;hc^YuNzBk6_HupDuE3z#@tTY7L(2x>)K#H5+%q9%Db6kXlPBc+P_mL z3-Uk3lO177|JEKwY%a?oCh%4sl)*VYd!bA$ZpIxnx%wrS*vO6#%6MsBUI>R;VrvdW zQ06}pW?hm))g$1GJ<%BVl$Yev__U9&%JF2l%>ZMtp!yfYMs{-#=2v7Z)mU<&bYl=@ z`l$k;9>j|7Mim}Kr(91*v7=9tyAd%e0e~jrylQVN46XnLZ=eD=Z%a6?Hpp%$AB?1!E|Gyg$0BZ%+>F-pB-}F#ep-uR8DSCd$N$cKu||MacBV!<9D@9A>a0scAlYluA#gRr6BpG z;+dy-0`z_Z=`ZHVPp5d!P6Q;J{c}!x&#BZMgciHodxqNMOe_IzaRcjMy`0YGbD6$Cr?ZIkV_VJ z?i{hkBEJ$lm*JxBwVgbDfhg2nX&I8CgVNO`k#Stcpp*X=^sC(lCZjs#!%y1afay$0 zrN_FEG$5b=u{4u7A(l|h>I&jj)QLA9^M<5eOtkSNg2sGvZCW>U#YL>4WnlAg{UZ>Ea^p2~$dGhIh@9C!A>B#gW1ifU5R8lnA} z9-i2okLe_(%{z?*4(Is{pms@V^H!sxG)CD;?+L!$6TRZjr#CIS!#JKGlbe=|PwE|p zDeeNM+G)r2CYFWIiC~)KYH?b*g*Y{Z;!&XtoSGJf^;b<_B$U6@i|EJ-N{3G9jtn8j zDMZ9Da!I(PnzIkSN>eQv66olrY$5*9wYd-wP}y<8D}^E4Gp;xrEJj>iAu zH157Hxrw+e7z^4S;-x0IMSe6+JzC4ck-94&cjf z{bGIDL+lR#+0}6xrv=g2sekM*>!|UOIF08Nqw$mhvA_JB8dt?>oR)*eHUndSxif@D z?^VgD!QItk)mH^Q#E9OW>_k+1s`{r9UIC5MX*)V4;6=_$Qc!m~PM?9NVygS%z)^QC z;_l4`#Rjr(AAGqyPU8k@%orT|OMhQ9UKgjawlAdp&Z=uGw;xwiWL}UL^vA?{58hvA;8jHo4 zZ__&odm4PNXW}#-uEaY%iv~eibRQf0^L4*xri#o9(BttqJw~^Mulo5!+=)8n^eACe zAnZ8PlPXTq7!RHvdzOkJaIbU6#cnK^k&-6F11TP{a$J;NQWLD(iXIh1p`4nGk3Gid zcByIN*9*~OS)3lrm!ZdqwYZOxJ|XrjOsv57DUHST4fPl`3`?)k8L{EuF}|LO9*g4i zC>st^{2ixp^n~;@v1=A6xo~1^S}(p9*J{c}0MXwk#s;Bc1$umN5yqH(X6!KvMgoLi z&W!!}s+`O;vF&1fe!*F>KL-e@qSq+k_}y8t$6%q_I2%Ato)mkGywL#R%SjD<{ybga zoN#vR&v}BjV*tX(XU866bfqgzJUtg^j65gy81`6z@a{RWKR?{lohFX&&h&`ElVeNE z;+5!OpAI13njCu!`!ISua0x&dFeN4k)#Cuc)+w>S=4H2X9>y=Li21cZkF@IX=&-3G z_7Iz&w$sGz^8rAgsj-JRJRT6dGBx(+48e`t(;JK6xv^rw-yO z>QQuF13gYqk7pa|G5=EZC^)}?F%DCYl}8#i7JEl{T1Ra7rkc9=G7!vj8BQVVZRg=` zxWRlsN)JwG;KSR;OT79i?6EjDqv$FNE0@o=0TnVfhUNZXR-Og7Dpl55qtd>Sc}%Gh6KkOlBkoJM|js_2r~U;am5 zu8Y%n*>phLV_xhpS3ZF2NRQ8p4ei_u@nwhkvA;Y(jjQ4`4qFSyJ9k0sVg27@P7$ZE zg7*IGg|X-SiW-;4X`DCZHHyb(IO(Pgoq?J3^B2y<3l7Him^ zV(7(a{5MYHo78yu<*~oS{@+AQyB6n1^|Yh{`PJC~dH-U>p5pZ=o`W8(e{1Baud>w` z>#4_>yjZ$e^(dH&9!DDLv4(n#uWA5}E|uu=K-&#lt1<5{$8DNdvRo zM?HSK8*dKB8v_^en~HxfXdIs&cTtabr#H~Uo{t_IFKnR4t<>Y%84dJETYw&S&upN_ z4coCk=FMu{Bp&Br72uHPq6UV5RnSzNdT|3iU=<+5IlF-#unO?Pz{Uo|16Dy(ap~L! z#<=J*^my;w273HNJ!(Qp@fkO9i>pau0F@@^(DPK$#{^1J_g;=++W0&j+VRFTzjy{!K`}5l^i}I{XrHZm@*Z4K2*;R6N0x?kd?WB!fTvt&X0D zoDfgQ3GsxS5Ko9hJQiijg`5}<3Kk*8gQ~#geZXRh@>pm!axIz3UB!?pk4qH2l;{zQ z-%4s$ug{U4NpgoJX(?X>izMby316YxI@~7^D5Zd;wY3d)#5*(D;+-W>)~~#hm?e5P z!9&XNhb_AnA^Lx+0pa0WZA;v6t*3EGNGD3r(YsS*F!X<{y?gZjSykV+W>93lMCA8; zhl>NkcVHMU!tj0W&jSuZB^N=FL8u50FoPiVGUE*3Qd8FBXq!K5U4bTe2(C~uCUqsT z5ffyEWa%0c6`z`%=zx;e9m>B zefIqfzJteRfU*^7E_t;STTx#B*D*Ey+aI~|;%nEtPu=jJT)FSMQ*+O{xFD;so6gq5 z>xb)Q1e{ZHL|!k$H=2^0IoHeBcS_zFxf*;IDLH}$K_RM++u3rx^+v_0?i&DeR zv-LLOmMBG|1b6wsx8cb|7D2~nN-?e7FkBK!*%v1|#ZGzr{rEtlbOwONHH4<(=RW)-BI(g2I4 z-VAR*Yz8zXb6E^)ZNopAckgCBjDJWmO`@(C^^l_ySPXDTrMp?jiQlkXZNoO3@U)`j zOHFC`HE?FLS)O9lL;93Wq)WM=Zs{g|*ugdzLvNazx!@R{BapB1p7mEEwhyFlPgI18R__7zi3 zw*2m5Wu=HXr4)1D2kF@C1D7}ZNQFWvhII&=JIfa6co^C{44ZR|Bpw8Qu1-GcV=OR< zJ$#QTdDGivb5$X)=Q1u>vRC*jY=>79DFqB~)z&g}%TEL)-$Y8jzT4A4EVsksCxo2( z$)^-U=<^ef@hR`$+yGCQHnJfP2T%J>Fs{#>(DZ>Fp@*uKa99d`~)y)9)ph| z9EL?b4j=o4Ofv)jLa9yYYw=D5sL-nBMM0mAju_qRNK9qdNUk3<3&lRle(|pM28^$`OO{sA#?b6a0&T01@BX>XEo0&;$w--+KHc-|_&of9uh7 z1{)^F+~e0ed?PjlKE;d~SNuFMyu^D4EGQb@{6oh+y`O6pCy{z2j;?Nw%Nk9*T>7um*}=N zfq1X|$d#vEyWW55+dp#U?blf0SN_VC4}8mu+91}K?ixd(tp|mMJnKt$VJRPc@XAw8 zZJGev3Q>sqj%;wIZFwj}Z=uEJh`qK#<;7~LeecBWu|aN!&ANCiqi_n|6+E{9Onci-3UyUa)b{(E zf-l0-nXL8F&teo9bAs^s)gZpRaf1z#0)@6v*HNmK&dSUF2oLUW`Xe-q@vkQ#u^QAF z7OKV)y1d7w)d+|b7^&Z>vjxY5rKfkGPC)z!AhpDn?lz7jOZQLXH%FP2F zrfzJ8lOS@s($t;#a@z_|3F`D8_v6!E&RDg7+h$e={TFbK;}RB6^YdAJ2YD;ul@H| zzVhTA*Mo%2t?JY<e z9BbyxGZBul(v2l~5)HXqv=C!7*+uxUP4-@mzBhqE2iy zIs|Xl6&q+P2JBcHc7(!>LX04AVzW8LyhqT`!^0JrWiSC7XPZ%w_*Oi^f?wykw!OwQ zG!&x_>Vqo6rySS0Cv0J^L25kHutB17LlRmJu6Dyk_U=kMLy+e6s#uTUOE-ZcktPZ~ zrLTCXE`ih)M>Xl1#J*4)#HP*}@R3Av%0bUb!RP3jPg;trY3q8e9^zvRC~tMyOxY{e?bKX7<}nf3o+xG^}gKu|6X|-{8L@>ZNpL;iVF3Ot#TM%mI1s7H*4w}VR1p4o+i_YBvVbNcehML@`z=;8q@IvR2lXF?5_Tg_@Jmtf0 zU0m1y|I`KodTc9tcyuTaX88mo3(=Y!BW=mhcsyjmbv|4cQQ1@42;^eDGt{gY81gwF zpCHN%?;{wf$WFq;V8c%p1m&~hulym!A1pGCogb=G8?@bw4@2JL}Ef}EiYFbGWtISZ8pPmuYs+l9Ct z!P+O@5^D{C0y{&2&{<#v@gBk&0>rncgE%7ZL$sdzsn$8;b3e09(aXy3m(TPw(t$=u z`L$~o&$-zjBjvrP=*w*=K%&9*vV8h+i{}PCjX1P0+R%4wNtkisCk7EW)jlqmM^T{V z?yK)^ThfCbJH(of`3;4jcBsY6U0<^J%JM<}b>eZey1{%B6mG8IXL9ePiXGqEj_-rM zgen$DR2>U`e)g`bT<_yP+#iQ!kDJj|@EE>aGu;;)heET9>CAWh5Y!{gYW(DA-$8t$ zVX~*r!C8i|yaDp_Ggt5>?J5VPU6p37ivbOVxQ!2ig9q1rQ#s@=D^*e+>gn|%xHT`( zbb??I{31YZw^RScXvnyLuARF0XXQ^Gzj!eo5I29>;>9rShd3@>AtoM2;V@H(Epa94 z`Vh435R%0qh+J2Qz41H!iQw$PaQ3j@ln*{(alj`XFrQv8EgP4b{3y7cS zW1PQZoWEn--p4pdV<8T}v0*zchmaDMXiU<6aR9la9f_kG3;3;V2K!i*q2XeJ&DwXV zD>%x8Q^{c0?h4yY`S_VJH|6h~EuK>T!P(-v@n3Hp8i;}`&evi4q2QP1G310Wlp_H8 z?sSlt*nVBU_lb+Aa(f?x;JR7eZ0Ua4&K3NmguR{0G40?VkAcZuofu=F>(L7*49rfe z!dMR^hCLP>6~lN>ofu-nQU)E2L02cn8h9JVF)Fv8ZZCiPiHqxQiPl4hcf4w${K69# zXhjgK8~$xB9sek_mMFCLDYT8_sjoIE3hhRq&=wA1ysZF*hU*lfvLhmpXwcCQt%#Q% zO@To_hOaJWzB=WG9&^(!>~Ai|Lfcy)4Q-;pI9$)Ox%{f3G!|mD=t z19Xm)LhIr7GtAd-rCG+L!skq=vp&O+TWR zpDNwg&&p4K1$=g_rYpQR%Q$l37Xif2XE>d0!l*B(TL1yoc1z8BVQJabv6z{SefaOI3T5N|mwDL}mM5wdb88}y#OtSjf8f%ufe$*_Y2j;)-T zz=tb3b1@%Cbk-neV1%hWaq@EPSykk-4Q!<^w7{|i@;-_NIoxAycLGA~>-)B9N_U!e zKT!&?J+4^?;)t{gJPsSirYpp1I8U*0&ycedrD!oI^U5`y{1l%#TZ#HP_Svs?AhGm8 zq1TNJpYhzLw~SLkBg5F_y%6|v3R4I#YI_5@83xZcK z#H0han`P;Bwfp(sxc1Rv`mV+SK>c_XNO{{+7tg`h-Ky|)oQSXj0g3j4My{O$#$zc~ zGx!mt3-4+`?PipwS`>jG|!%AIC<9IR;2fbEe?f z7Y`n8;;~2y@l|YFSZc6N#hMbs@fW{x@nzu$kGaYZT=>QUiA4!|*8Sv1yMy?l3Wr)g ze!9I<7(f>1Ovmu)s3%)pJI7ONd3uLj-@;7oZShR&hGnQo&C8%HgJRls<}lv zexhlw1FD(Emv>$LY`N9SAdVQ@Dw~yy8x~*MGzt6`SACeMa4hy+3I9?cK8x@^1`;jC zP2c_Gs}Xi1OozUy@m3W`w=6$A`Ml;rlzJuV!TSwsV@KfCh zTHfxiQjk)M2jAEHnx^h5k+ zhxj3XvR<#=w9$!&tP?H2<7$qq(OIiQ z(}9Uy9Y^C15+fU1cJ(peI}(LkJ&;(B5Sa2$qYr*m1`>C8IIaST#Y+VSZPdJ?TBJB{ zAW#GxHccyZI%ewXSs*csI2)b=n#yrDcENG(;%sz~x-4|=M6dkQXDzNTzxymycfa|p z#aUcA5hAc563#a^xX;M!%TK;lVRqsYalG(UvKC9=3md-aJ!JUFr$j#0iVhZy;K%$C z6$1D6p`Y?JpL8E6=UFLfIq+*ADC1clsSJfGuen$}`^55_f^>*~pb$fJXNMsmf`XHo z@UQP7u|Q)T$Exrh?kb0dXTNhV5CSZG?Z-aib{-)^(@myjhOy~g!Oy}zNMhKJh}Vdp zVte<;xbh;ZW8;P-wEyl<@x?BrmK%fq#px_HU6oc3*Yd`Q^utv$TG`hr&v*_b^%c)q zTp(YDfefChA~{tLFAio#>;(l!ze7mEhtp6B z`Qs4sL)eC3ouc!&gdM_2ga7a9#JGm9LLwOJxE6@dgBK4YQ*=htAxS^hLd-Q3N{3dU zBa~=5$XvuM!3sL%3JAMWm9fpNWBQF_ zNZntY0tTB$-XQq*C~7~drXNwu_@alR_YsbxeDCu{rtcWE|JeMf7+}a;$6#c`+{L@x ze!U(;g%B~l`OScpN65GMj%Wk0wwG3Dbc#}= zK?GdK{Ki5ZB2BxJh(gr5S&)S?}0 z*>PdHiSsx2*)aU*KJb35?2J#>vb*yX~A~^dN zmYA*(w8|rbs51lct-x{F=N=e>7qIu~%7$&2YbZEc;>AiGqfPjY`uA~{hP{TLVpm+< z84#v3{Ny&B9uiwP)`_tWkX9hk0PbqheeH~<1A)3eVxNca<0c>Lj6cO5jF4z~gf!Z8 z>P8*Eg}G^qFHalU!xu1LKJv;JV`Y4#v=3i%H)PRtoCI7Pd_cibgqaD=e0&xB0|q{? z9HbJXltUUlq~%i(G>ixJH;~e_>f4RGkP;^n9|fAB5cFmOm(XrGBv2{LK|`_(3UxU2 z8CYf(nyLZHb`iSTua)9^&;Ck?%VtXKT4ygsADYpq^Elw*vM#v*+`Q?wgvpOMbdR;De z<`(ap5Jn=*K8`REVgw|XEI2n-(N=+Gf^&jXVuIuFe89$j} zUjY)|hqM`n7Gm5S;~ug=%U7-AqJNlWK-d^sU|d8E@l#B??Iumf#Yifgfnm%r;Ch6k0}A;zRBr@ogN`@h8`rLfw415A8hBa!BW4 zUjX7W2I5$Ih+T?G?1`zriHCG55oQJ;@%0x{U|jWcV@e-oi?J+m&cpBkjg7^O-97|Q z9EJl3sc)c%s#x}`IG(3d;Cnz8F~`49+V_=w4?<_Zjl`c6qK8H&EcIBdk6WXF#L|zDnBNFFUcBC{ zhuerqkpfKz3SG4jo$U|h)>onrh_N?vK`TKej&Y@Tkfi zO|?V)TR!u3T!p^ap-zT(^%da9NHjlA3kSSsxI*2ogyHFxih(WoY4)3RBxp?*B zsifqKG*=XSvm;NHLCV`-O_cCodiCPzuV&uP1yG2gj)mwV&>>XCLsCKthw{ol&CLD0 zMQSD@`F+JB4=YIv+nqqcmnq!;hkS+>`yCg{b44BM@KZP`K`D;-oqbzY~Bs z(zuI1ExTs{g}U-5O8^-|i=!SXA^9o#c-Vq9{fH}Dr>!z+C9n2b-7{-CHt&mDINP1R z;HCrdTqlI1U=#YIz+BT$1_`u3Im6w7oF@Yk`!Yf*60ja|)FSi(NQ}ev)4SCpDvY<8 z`S0?PFZ9~2Bgncw3KBL}@eBt@KaXSt3`qRs;?H<@1=2Gi$pD&Y)m}w)01dD(~I}@tucU(6kE&6rvB8<#wUpo-M?h#bG6< zi+9neE-g1!jPpmTlK3rFCaAA#xTzEOa^$%J5-rA&AdP~xL(_pT1jc*IL5M?*Jfvnl zQHq(3m7?jms$Ek~(}7f>J0BXlYQY5tJ|9~40*bX-vZnKI9VB*fK+jx&-kU-f&sSgi zuHcIhp%c{c<_HBXzx7&z0s@f{`EpZel1LAV1#+D_ zKMfE^vPc%jPci#AJ&~msEmq8aEW}t{5Tefh;yWt$MJ$3%kx{#@>#BudY$A4q^g1!b zU~X|__BC_orgGiu7GJgS!v)5e3Kk99wtU0uMk^qIn9w6HFzBmRE^m6>;4XzKFP5bp zR6ZUdG2{^vD>g#n^EjUPjrl}fB0@=6zoO+}q;cc&ZN?3#)t)PO_xRC79mk+B2<-4h zv7a!;x@bLHh|l93nbDwHL8$Hf6v@X>Wcu*EK+|zYV9@n(1hcgbVjtE6T+(CEVKBU#+87YGa%7)XWA z{S+gsC~SPj_*>ixuod2Yupn>~0g0vuNMJ4`*Xx9W0ZREW5crI)Qtg9L2U8Qa1k44| z&{&88xz3Y1j!U49_Zp;^DH?>91E+Vcv_1iH^ymt)3xU&-iHxse@7-Jhq)wWq1A`+R zg!W<+gYgM-13&rb%S+z4c;YQF@ZfYjbs3EY6SNDO%G*Wd``@^@kx)<{cbFwe${sUm z1#HPdQsbxF&*1q%=EzgVe0)NYvmJ=^LI?%@A2}tzh&`f$VwRdr>JpRr8L(JB3r@X^|h}$@#QOc*|hxo$v=H>ct ztxd%n6<~BbemoTHKlm46J1L*x2i83Dn^Eus+ZBAPBjXu$e1*D#&o&}Es8f5gmZQs1 zsYK>8j#;~U%G=+v*q%|^IG2U*2U|$)DJ8=1j`r&cER-I z99usVQ7Di9wzd#~Q4tqX-r+yQwH(%(^6B^?=HbMtTz`9O%5~s`jJ3|nUGYPF;gqU; z#(!{YJGM*z;yG_?O@-(c3Bt;M%nv(e8c5R>VxK~)j~AGzTsN%z#@iM*VJ-WEw=K4$ zNPFJf7tiIduYY^}>(u`GYwfSkw!c2lUoYkbuuyPEfz_<4=`f~{meDuv$KFxL9rg}> zXt+}Twf_)==NMc4syo^jp#?vBq5K3tycLtS+rs;TAG*lh#ZP`{yMpg?*ifkB>*Iy9 z^1t1&cz(Iz&cz}YIr4o`*QtsZ?43Dhin;=d?O%D^4QJ8yW?epSf7fp8i*5OeU*&H< z8W?8Ejr{EqgZv(Sv;tp*;NKNura{ZXU^Bc^Mf?_9j) z8XUFjT|lb;Ha46A8|IU*qU{3Sd|rrvY_hm|15S1<4Q4dPPd~N|6p3QLasw2-3DcxL!FVNCqxX4jJr9m(EcmVYIO#Jv6+) z<*;=Ri|;YIaPO^Y95Px%Fw1QmSc%*4G0FMv#T#Vsq^%B&qoZ7;X-9$K8yVRL;Bjj^ zTq0puuxBOVFxX=^Qrq!$T72{Y-G27cueU-ECX7v-d21 z=)5gP)Izg&%d-5{_be{1-R-Q_KQ2$Y_Hloz{Q7$cRQav%gz5O@|7h{g%HMj%=y*UHmg+}e9d`Q-O5e)-zuDNUGmZ9vfTZ?#WNpArZ3)MU+hoz(iS^v|6JU4ac2KTjb2?<6RZj> z30D38rZC*WI66}p?^J*q307StP6@~znJccU*<)G{+X(nPg<(+vk)|-L2Ga4WCLHm4 zC!aBo*=ff$I4m_-onsOV6HxA$$2T}s(|YTgGj0mwnF~8&Qy{ul88zBknH_Hza0b)2 z2Rlv)R;7Rh!&QZPw*KS3qyCe<<5cSaYyQn?gJdC*8IylV21pXCuD!bdILcSvH8lt{ z&x9Nm(jbZ%tydieQhSwkn!>gxaX#pf16h81)T_Mi`xnpq+UkX#TB(}c1gnDL6b7+am7k}P)4}v0(;vBjrB`Gk zSps~>WNJuUvV3G(mOc=F>JfQvKV1UalbJ zActzRm`)ZPkUkG=MqU&+-P#`bIUWzZe6-`rzRO%7uF~FSE;~Xi3YQLi6z@~RA4BQ$IQO_c%ZfMu+SGA<3wZ)75B}V_&5`|){u>uRsg8Uh3Jdphin%~EMy|coP9kbu6T0ng)N4#3HnoO za>>+h$yhF^#=RpGLB>_s=6QN!9IJ(8vRvieYOn309w=ZLqI%DGQcG zu2htmvJ0p&O^-Nfuq=OhaefP4G}YI2@)P{0y zgq$b_?W?oNv=fxivVvG#WCdqL5~QB$zB+BtSl_%Qpp`5u40I-pNKtYx9|&m?6yxmj zZC(FCj3D~J6p(2!^$mzA?06{(4OHcNwhq-JXZkG(kj6fo^Cdfy+&A0~!Zx#;B2gbu zOUud-B#;RcSCta5^7DWHe8C|=r<^G*Dtkd>6q|AtkfTXtrQ>B)&Q2yyAcgD}VjJqz zbO?@k80QJ0r7{a*YH%<553Wkt!6OOg#}#kPF}%ijFU29??v<59V6>3mX~$$_&#TLV z%MS9KhzLmJT#`HOWYVT|LKXvs)!^~zVAwpF9qhKk(3Iqfup{&_Jp@=nvuln7 z)Ar!-GPdxjjM+)-pVPQm!~zOVqHL0mQA#PSy24L8#>gfeLtLj<;EBybWikaCZ02Ng zlJZ@7gcd1mX?E{^FeLF%h0xJt103r5imM~LC4(_^n%1L1=#Ic@wxzy3>eZN~DU5_m zu}vqpSWvO%T%A_rdXSFE`Xn$iNhz!*$(*)_fSicK3-J<1@119&*TcFjKMx;B^=ds# z?F!C95Y|~yi}j#JB2K?0<$t;)_;#1cC@^yye3^Ya@T*)0HCNdb2BA-9m5&dX<&F=* zYv&t|280v?<3XXkBq*;1t9b4ztta2sDBJ0fxB}V|<3BlUc+1l_tw_ps*+^bjP*{B# zJ$+lBqf4-K_dp$q2BmW(iWY4( zU4D`mky*a{;D50=mjCa^7mE|RS4A7FwQ?qRB$A%C#X}T4Ynfr_e*|lwL1`R(TZQ)t zD*#ZGSHNJ2awjAx)=p{~xak7GOUZ8FBQ}!L#t#$EQ9~Hg$JO#bg<tH2#4G=_JuvO$XMR;1L(gI?Ch$tPSM6{mV^oAGj0y@aO&(=vRbzObW&4rrA}(B;S@G=OQ7b?=1{P$26d%x>uX5~wmD@Hk&kDV z3yZgG3AATC$CZ2MTiBSq)Z|-|dhMMI2J`k2`)x}yg+m7?7Z@-(+e>UWsS_wITF-LB zM@*E+Ds04?OSwVGuB-cn;39(}5?@w>G#vSiFA?Y}9buD)GrmOqB}WMIoXDx zoyy_xewdyDcChFD-poQHP1WqBQv|@-TK0=@E}4nN$mV?|&ad3~QCN{I-mthe(MRe^ zvHKZqfT-~S>j5C`D&D|%fuoitVR|s*mnT%kH&ju^%!C>Z2pcWb7 zR;+#|u(*n46AkT|v9q!;-STrUX@h!=tePL_bQO5pO1|YJ%H+^F4cE=OsnpkOyCNJ6 zSnN~CODlXr%Y7f4v6s+GbTSt)CVd0AmKNzu4%h6koL$|FQY zlbtWAw-&Mj+bLQj80u_u=37{nvL@WA++Ym;3%DyGM00kLPyH zb5~$B$7bqE83HJx2rZ}rv}Z$>0LWGBxsT$5xUNGYJO`bf`o z9}x3wO17HA_0CI@*{p&umbj<@s}I#p8zd-TSff}X^aX-fc=&e%th};!s8fk)hJ_k7p#xs|G~#% zS?6-l<=DDWA>q-qJ%lOToMUsICgM$yyPJ}5;$=SRM zwXKQnrrU(KkgOKoIx=IP`Aakfwr@1%m4Ej)7poIFu=7fhHaVXz)?HV*#ez-8hHrKz zmiCsY!hEhh5yWgQ>_6;mb^*XeSXCMjePJ27zS5R633!zr4a(>L7KvykASOh$>OC-> z8)k$|Q(+AiBXP-*HAP5}HQ0q^ucJg8e2e4&;}t3!g1$9vF1BSczXM~`d4rLOC$LJ1 z@LHGvZ53dzWiT@u7u1i!y<+?k>BL?^6D-BKsNDCnCK^ch!~kjc(CR!N$h^=VoL6NN z5YrV_6Oype-6o*Sl%q}77CGAFZx=-le3YDG-dU2(;y_JLv26lb-(_~(r-C}hALZ48 zNes$5uoZZQ%dtf%tAl~9e_>u~?ATdJjnIyBQMvQ)plIgZmb4ETd#6*f8JRLe>a9db zC1zBYmy0m(wLLLW&q7Z)h_{ftpFB0w>(&N?%Zdyda-uM04K0MVM>6`i2Gux-=|`9j z($|Y*feBkQKlT`dYyqJWNqJTuxD9F#@Mf#G&?+#eU# zCetVC3DbeqPVob!QBRNSL}tve=0!BYg?e0C#zwvwIce?zv3B5@JK4L|iE4i_q6puB zCL;UG;%Iz{H7R2?VH1-P8h*eODQqpwE2)1(PJoOE<;E}@t2J^@rn?dh#;-tO>SM!h z3gsr(kP;Hu%IPtFH?zYHT@VSc7J(s`=GC&az!`?;nb7g_z;~GkizOWv+&=1c2iA!w zY8h|ykccXln++dhQNg1?gjm{H?@-JV`sNc!j$tty;k+iyxSgqIO$M9xpDU@Z}=pXyS`IBoe|MO8C@(gM`7v=WM?p+eo`(8ML@UP zU_IqVs1)U?h5w|{ldG{N2S|giIcTOZ1X@{MQ`&cjwNh@-c>=8az%4C^0@+cRvIppe zg>u8riX?$&X8D)DfDfUF@z^JYgC!QZ*O=H;O+h4=9a;F~xLba>OnZ>WoQe{bA4>Xi z+ue4=h)awz2klhT1OfQkF)jcy z7(9CvCSj=X?@DF&s}teyY2Q&{3jax%hmceYF3#8S9wSS>l(@;okV86{CMGifarxm4 zGnE3*<(5NK*L`pAeomK~B%t{Y;j~YbySDx$8AkV)R{l|Nax zx@=qwGEy#hS+!Y}(+U)fr}l`c>7-<-QjYlxpgC z(Le%IT7;L3bWhBotUR8~f@??;vKA~nX}E3Z{W#T!mAg+^IlFFT!HKhy6HMrr5LKwj z0E=4}Bh^&8v%|n?%`?U#BK!lBOQMN=J0Wg1Nl-~K;(CJOgzl94|E}>vd$j8rhcS1{ ziu0_JNI`@P3+Y0?COdtA?an)B=5rA_xb#IP!>!B@5MYY1!E4PV-0uLzRW*-vt*Ec05_=(k3Bs9FyvW=C!%&#l#x#r9ulJHL%$&kgz~CCG<34~U_obY(R_~ZsUEpfUkv={ z$1~$FY;WRjWAVA#hlLoVgN|-i&Km?qL@|tcBK@$>!dRld5Qc@wq;Y@)I-%~78KWzb zQ6nb{j5m!0?NC<80m4@%lRMZ8HL4-miG+gRj*u~u9w;RscdbwdUgFCnkYj6@Q`diB zF!1cx+0FQ5Vh$B9#yIXgJMdgmVw>MsLKmYRD&wDyM+ zdIQ;arYC!h6RN`0EQy1PFdcwI1ShPh3d^?sYX=PC$jry^Q1GxV#%O^gwxc&uF z8^cFNE*!az<+fkPtRxpKAu7V&1JkWQSkAbJK}wD|%#bj5E0zr z;d966S*2oo648)pL`W3iviwX=Rso})V1%h&Tfd{8oPhP2iW>0<$q?#HgsE@}96-en zIHTzR@iO4PR3wB?&pZ#ej7ZRIlJH+gZ2kEn#Q9L`5pH+PF5BFpqIk#KUWo*^o-C^| z767lnvhlNl?N|H#0gQc>AnH<6{vJ zfZ^9NogFX&0y2y+Fs)}Ve%70Rz-m6m)hK<;y5u_rU#5w#oSh6{2*&AKl6qv`nR*?6 z?wl7uiGP7{hUv%+!gr@*M?DG5qdm@qd@Fj14Z-3fESlGoc1&_u=@>vL){7rN|dse*7V1`AJzTxPTIQ9CYKn%yBIHw(wL^ zecFE7G0c-JE6F3$vE$pC6+L}hA4;CWo~}-uXjse)vHEY}KN(=T9@WduAmpRtxu z$l;K?DS=sHea%RLJCW=c`rEo}9S35{w9HZi!*xO$>|Ao2G(^3|=P{FU53%~nVA?}u z!-Nex_*gVp!0P)pL&aJT={m759eN7EC=^J70O;pr zWzddkz4~~=v|~8d_AQF=VecU1vRnwcc`)U^*CEexD~Y}lm_(4`q$sI@fr(!xFyck? zS}3DVJ#t42RmEPB#|cHw!Znp@X7uS|uofA&k#vN(;V3^kDF#)=zgtGV#BC-oBpiH} z;PJMU^iWt`B_>fp#Th8EH@( zFVr7ivVhA)i4`NW`%DHEavqF1@+TPX3qtwPPfZ*X>*2Me;j#+&P3Cj8#DiQ`0cZAn zUS`5@&4E@|W_>ti9Um1DqtZ;!Cn!3AiL$JS3^EvWMuJK(^NpU4q6IWaX(EmRnZ=}@ zn5u9smH-!EdO^cLOm(HKKLRI?3VFurI7EXph~3_;pPWXb8|9LPol%^@tePE|Ey_)g zEth~SD|Ypaa7$KNw$7}P&bhIZfs7eRlC8498hmXFQUh5*VA1(194; zz@_0Dwp`Ddc3A{+MjO;CRZKD>IDQO?%p@G;x?E9sc4nVKeDFmb2Mj?v51PFB9oks` zgn{rXa|d*oiYqe#!+cA=;nWE}_;O#`Rg~+kR^^xeCzL#J@ROrr@Z@;xIxHUNf9mW9^ zSbg)$ejhOh0wx=XW~JQrFIZk(46Ko|7`SptSKJx07VzNmErO%$?O=fJE;^py?kC>n zh*y#9KKD(xK&>8*d$K-wRBFBC)NdCZ+AX=B2?x%4wGAS*5HeC0>iNYrMHZaPbOuKH z+`NP4^PsS-FXLg{nO#MXpGiE<*CIHeh!0d8FFIJntSO?C`ds=HolyPBzGDIq{6OI- z6G@K|*zqEwM{M1|#9PH8e?&R6LQ|CYm|$4lCiM6^804{h(Gi&|_l-sH=4It#7pe%g zk!^yo2%ATqsNuRFE;@YLWeL${3wZ!L1X(TnLp~)~{Dh{NSu;)K24bSb@e|mQ(2ZaG zJzm(gcw=c>BgcrClCVTz5?2mPk`DtD?_gl{>B~ird)(CUA?0HanE!|zF#=dc4}-=f ztG&^}s7%7ALO(Ek$jPxx)O9tW8v}tQ?sKLSmCY>(G9PztnXG+A^)G2~?VgzE;O$xh zSfA|em&oh}dgXF!W$Y)Jkno}S;)*tkoHdGDJo%NC^~AzSc3}o{6hR6qBU%tvRqlUo zArZLn(erKU?hS(QvR0YFuqTO%!XSt|LoGd?$j|AN5d23P{k}cBH*o3_H3WWka#Lba z(Iq5?S_a5iWSbBnCLLS9nEg-rOcJrD4Ps8nmkv(Pa$%8)N^Vp$Uddn}qCS}Ii2c}l z^I+i5vJIC}AJ^sne+A1SECQmnqKR1<`xUo|lW{mNnjO-71E9g4`Ig0~?Y*r#x!A(< zB++!r!GJxLI}gpYFy!FFWx3{G+Z^+fpxqM0Ky@fe3iDc&ZxNSd-f(0YOHsl2mHU=) zj%Y5#9%9M@nK$xzrcQ|6Sz6kZ<;|uf!~tG@f(g&&HR_SyCha36SOg*N963yIX2OKQ zg`ul6^4C#d7?t5h4W%dif(Swc`(`&?0*`0*k?qbr4#aE}I?652KYu)nfCerjDOj3) znu#Y)Nn=1}9E3z*0Vo8RkL9YvaTLp3UIgUW%zTq+Z5*^K)X@bC>%z+cay8+Js=H)S zVzHZ{DaCxPt7Q>CQ87A(qRXm6@?_BFt1%jtU{f9F@BM10Ccz2#1fKzS5EzwHi7rU1|+OVCVW^47WewqmZ4a*iNxQ1LN4` zWyQ-!W{jJn*5h8w-@X`4k&qz#mD1k9Ss@LVkNq3CHVJ65oFj_f<~Wji!!9m}g6O3r zi_<3vg?~UrJYGl|_KT=r5f9-n^-LbcxtZe^*{AyUcI7Ak?c(|?GM)0F8}J84{FgL* zz~q4)JKJ)5pdZxP6HJwFKIC+vz!>xJA>OvR@Ti%riexF-T zRvOM#Bo>U**^L0&2jiP}7;T8m2l+GOBH+r#N}mE?)%@F zkEr{w6pGOW1)ykpag>)E8mcJLI5`w_iLn^8v-PBIK9*kX#y?n`f1{uiA-TbYjmT`o zIe^oD z!aD&5zCx}s3lY|jB{M%cG$b_uFtuFT)jJt9n?SUoID1)A4yC$*q4+YLW$u_`EHgo{mRpH=h(y9!n5id0ijWHK*B2xV=4GZV zd~$KyD_{TJ|G79W)EB1Q^RLd&Uuf)BzVLxT%2WG@ekL{``wNx9V_N47>o03VO2vH0 zFbfKS#2HXGipw%T*#rBCTV5I`HbvoxECN^tLm0GRgUvj*XUu=Vf@3CHNv=9P*2S_7 ze=97Tg&yZKftQQWT6-fUlg2loDiIor9$C?l3r#vfV3FBv%OCvl;wh3m+45D062(|} zX%xGEK4>WZui!Y*hUhnkijD;;9E@3Ia>ihFB4J^;{80^Hd`p;#eNoIW$NjuMFdXBH5-f zAH+yZ37gAggiiLtL+()JOztDQj&MNFON}RzP;s6ONH>KMQ#kib95CIBD9%MKTF*IjI=4iG(urJl|71<6q)cbE*hbI~_? zzumzg`bAWtL=+1Fw8&#wNN$^qCM0(eTtxVo5=uK5xG|)=ufk+o$^N6WLuZ~(E-rX- z!a1ZuGAvf;lo1)BI-5Wcsy)MfCmmvi(~f712_)qe<`uueK$G!cKv_gj$pVTFjp$*W z3D${x?0G_1afX6}<9-mAlSz<0H7QF;N=!ix)PD)<=tSO;0yZ^K(7%l)SzCayl5%hfK^DJO zTx>>3&u=u#wwecRA#Xalqs@P+DZ$58jssz>!i`ugZr*>pT{HPYQ!NN(;j|4WI)aUA zZf4Y2!14ewfJrBK=F4EvxoACreS1c*aRksM#Fi^dh`vY$gL+=;u?~GF)(6V(jD+Sb z_cfEz)WJypB70p?Xr#F`ln1Us#+YeWbyy_Z5;2$8^@OFzGA8^C4oPxDk_Fub!Pkxl zh=5;lkug_nnK&sf)4U-Ga0=zmTzhULA>YUF*23Fc0FSIZx|-B5Zn5$n0{#+`=FJj6 zHkqRQ#w*WFU7|r-e5ck)?297dp%)O!PWY_u6s(6}ie(ncI->)NBd7=E&vcUeRk!M( zT4Oj=VP>#vj$&j;ZeWt49!FXSqnczKVk8Oz$zGI1_9ky`k0@IlZSx^Qizg?V$Rxop zcyd`*4V^+MsAf)bv>MfTo)N|2X29`Gv=0ZA?B$v-zPnh(FJK{KDjkag<_f0pwD z{vNX194b_i=YUO-#&8DFbUG7*fn6DAkS-HagT5nISpNET=P$jDD_@cnkOogpF&M^$ zQ?WNaa<}Ea|7LOic$$E*EKfZdcmP_mumqx`rI)F&`D}2>mP<%X)rK?U#2rLSZ0+`Al+cRCL;t^y5;O&u8e%KS0TFll9|Cw}YOa^;_xo(Aut%$B2_C+Ukci%cajlq(r# z4_*n(Q!!N?^FOp+lPEMtj@`|EP!mve8898Hsrs4g-z zYay~0O^B=ojQ{01at+I}TRd#7H{M%($ytz(Mb=_4uw1zw>}n#W)DyQo?4;NS`fo|r zfvgQDTYmIO=Qp47-NJ9U2=yP-`eC!{HVCt{U;%foU@%rFZ7))1J*RIFYiaq! zlh`#~W%MkvD7gCMCP;^&I}YKKT|=WiSty$~D%I9rziY%72&zM@1=S(yvKTN~d4LGl zS>{6WN%A68$cTTwih$ukmlsWa;Hf8Jo4|1O&fjt&%jB?!W?Lm6&kzBOYKgR)$46#- zfk{LYEQGx6Nj(%bZGdl!D*&K)JMytSFl9VR79seS`(rv|V+oJT^21kFyC93aigCjChd;x)p~y@$ZQEe z9kPH3Og!#@iM16kB(WEmXOhrt3xaKE)sYEgr>ywoV@VstqR9)P)RQF9z~n({U@1Az zt8E9-j0oPrQfIous|cCr=iX#r%WquIVG!ZnoTzk%5Xv(R;R&Lwr^KIl=5Vp%nRcO8 zY9`>xVL)G#j;*C`lRMs?Tgwy|v&{@lVtQ~t;T(1+xE%%#mn@~GGUH?J-tOaikjQN# zgNAR;*986rSwDm0)1`!8(+M(Y?gV*2Iz|yEdyT$ORtDio28f=bQScs37axmQ*0$L4?HGe%nlGU&a=}BQh1sz*_0vr{F%u#<^R8n*l^civS7F--a1}aB z0-P)@(TBL?u_0{9bMs8_6VFRs?sz(`o#x?~6;iYz*}SPIVQ9d_6O(UoBFfzp?4FMg zbPdkyoosq{dtz=4#$mWo%>*kA;uN7UiN!N#+KP4L#p}=^L z$hQ&~!&M7X=6YPB^%(VJ5iLp97U93jrIkO%nkfyUD$j=>Nh+(+7|fK-t7MTd)ogU4 zfumWVWVc1 ziekpViAzOEkXyF|bC=)@hTw9~GfmbJBP+fkMM*o4|Ay9MaWmFfKAE=pca1`cJ&-F6!UyEz0@t2>@jwSm zhIugdBxZt@SAB#NKy~=G^b--PqEE62)qGm$Nyw8;C(}R@s>&hcs4}FCd>AM55kkwK zZQV%DdQ1_2vhC75>a#N*S4>N`P?5g;^NaH(amTU((Br&d#CeKj2fvZDvMl1;v zprZ0qWIq>q9W`z?N+KspQYK(Lj}kL>1*rwhvc-2yDKchJU20^H{P7@Cbc6)co_ve) zsJ!YX&`idO@0VQ3mM%qz)=_0W^Eu1tY3UvF91-FD;uu3v$Q5`bEarI-;Y>T=Iz_o- z32-x+KV9|I zjUWQ6EJwzIee-^!;t*~V%cMv^c#G)9m@j?89~?+x?cYJ6U;uc1W%uo~#vmgLy(kMT^kVj&YjEyGs;}te~ZnjP39M zXKp#MjRO;dt=)z?W9+rkG2ZI3okWkc!E`DSV#h^k1LL5S3%h{qC+=a=vAq$JR{=xz zWEEI{u5fY2B9d)}JffD%tA!M+9a}2#+%e(z3fqwpFjs_(3&Dd!kUhpRCeO}{beWaF z4lW8LjQk-z7PYrGsev2vP-mN_gl5kyBKMkiEHzV#$;8K$Tso045ppEzL18WKv*f)* z=FUrq_CS^z^@en8X@lfj3GKasP9!q~WExFD`MwvQA5PqFG6dM;d;pFA)*-0wl1NpN zFX4}b+l2?K>udCJP&ZlHNm)#&tYD8NOUQHQ?8*SmIY{bFk{Mb5pr}RR=Za`{6eejz z%~euv5PK9$IwoFKCW3B8XM*Z!Lc?r;mbn)$8s@d+}gOJlS^~&t&h=9SL%g_9ibs{HWM8Oj|jrXZIt* zsE7*a$pl*wP=$~aj4Hw-SR~s-Jg?j|z>YZ~QH|Eldq>J|u{dDXl|h5(a>Ws9n=N)6 z2rPaLKgRo!r*wtw;5HL#hO$_22K}rMa&k=zG2?V5S&#dX$V1VL!7%EK2>{8*3D#e5 z2EI+yc{p4P8$-C{=8&xw1i^;DY@o&HdprtRxBTM z0{TQ}z2iP?XSzG+I2;LSLvb%O6>>a1thQ)qlLQG+v}X!q&!SA~*+EBTGSjiTBoRC% z{014Fgr<`EL1-$j_CmG^u(Acll7uRG`v4yj0- zP{t2?KtPt&+@{mbG83oDb)5sUzJ=vSpqGv@C<`KCRmf}*_y3IglM$Bh+npa@rz!v< z7~~+cg-Q!?W6lF8_up%pORj3+fC!?Mo6urtb)i(Ff$%731x)S_d$2Z#ZZH^m>&@89uW-+1dq{Nop#p72<2PwjY zo0pY?B(&B1pfitvI$9Lh=o;XWheWN#s^nH|G=nJsFT zqC<4L1fL2;M$;jb8#*h}D6e=_1CNEyjLWatl@a1_;x7O7%PiC@j|z_ZQZ6!dhdLuT zZ$X}#ZfAPmS~#ohp{<)OIjAy@RP&iJ7-bxZoD%k#T0*lhy~(|UTmD4LW2kB&459+C zw{$bBjF;$4y@-(MCW2K&5Q@uJR_nmsCWwNIsltdh$r#F8i}~K+ZWCFLY$X#)Jg61skbp!U7kH5qm zd(1|pV^Y1Ey%;O?aQe#^GSozFVzba?+$Ka3v7u7#5s^78)9tc}L-sR2ZY~!Y;VmDC zbb_ma*6ZH>iJKE~Ry!eDP*&G04tWPr>T5lD5D38>8k)X65fUP7rqyoIG$V_dOv) z!so)O3j1cc17zPdP?iu|z5K|Yp%zVOsVH&@@0D8;JGKZ`c+HF66}l-b9f7iyrL*-P zmmh;brm!3mA?@TU(_;tC7?-sfsL{iTd_!iQURtmFk2XiL0s%uHOxOxzFG>r-d%OLN zj-it2TcTG*{68E|lBg9Y0?6@=zubc~r%}hOFE`^HeE&9dC~XH1yvf2%)P#_FzqtByu0!$_$l8$M$b;8==w z3K7E>yyK88L{}Dr!;opJMNMkQVqk%%R=E#8d+M>mZcuT`wbmJ%9VE{fgQct%7EBoo z9Gsw-MwX^;p|fvGz(P8Y!;joKHEs?bloEp@4(<cf!wEK z$F~@3^S77^6Rlu>x%84U382I{0Bg+KWSigAdr;xHbSAd>~*(8cU3>Mx5P% zVO`HNf#H`dU)hD(C2xgE=370LfYcx3KG zJuod#kRCSd0osY=N9J49iDMs?ETAb9^*Jy}?#IWZ1{ptQ>@c@SxvWj|mRTlXk{uH( zWtL;*)oK^=Jg%&^nH?hMWmSxZ4Z^XE>N z1|(j{8w;8FH*)Svz!$KYogpYz7OnTaj7x)vjT~b{gA$mBVLIXux}D)u?L>Ul_?G9R z(n_BYp|YBSie$h`70u~WV0hihHsMp4J7M#6>rmBj(CYe+_=%!A(;FgkvJMQUcxIch z%x2O8^Q>EkVr-mTxUP!JwCs(+tyNIAiNSEowhpzV9Bp)}9nO5I(U?_P z{_gpCxp?FGSL4gCtti)k1|$1a;bMW+El(Z&f{s*qEQ@!o-%h9{0+f7A(vIAT1>bg4 zvKcWLc}4-4yy$@g$<#t#sU;pH*`!ErvCrb5L4DdzlLRwlF(ATOn6xzR*&1&!;RGz~ zHE$b_cW{ytwZx(+m*q?hE`!$7Mf^zCyOAMX^e>h#ev}8ltQiM)|+>5vdYLxANiE>YCu-{gO$otfIq?yt<;c-V-;6jtXW*` zm}V5KFk0qyM@5{i7xrJ<+qu?+c;aFEO(5KNtGV3$RbDbKwnmxn(Ln+K3u6YP-DuKy?+;jUH#L;l7gF0j-JL4rV58YddO{(fYGP=}a(kVeoN{ z6n={kSluiTQ`<{g2~H7CnP|tPW3l++SSfFY^FE0xaah2tRi+Qvr`!iVC)s(I22I&! zOiqWnnlO=i*eP;7R2qV^=3$uYAq#uGL90x>0s)~?QJib2CkA(5NPGEPj)hPVbVt(x zo>+cJ;S>lAg|7?-7Fau(rq8k4p77F{dZL#{)W9(&X_fg{{3C#k=`ROj0=<=G#Sc)n z4kMau6FV2IOlXzuT^gxqQGS_IgkXqP8Eu(d=0t#Ya#$l@xc=u0!Tg@YUWq=siwgIf z1BIi|ikWa!Gl~eah3mi2Z315~?|+zN zgICGeiuE%irbPtv4)*8nIKTOtZMpx>8M7R|))0zx7%+H?41u4vFpN0%3y#G^JJ%J^I#WhZfS;PciBoA49XC#ho4v8PWXC1=_3K<@Xu6)kmZk& zmNLD=QBwDqqbY-dE1nJp0%|YtNGGWM1qsobXgx`q#&+UZo(BzilEL7im!kvu7_Kva zYz~7NMqXfr$y%ckdfYk^_reNVZFk6e32gyu#lEBg0 z$CJCu+9F2}<*s+1Kkp7Rx7c=2 z(NAIYAulHa+o0@{it?5Q6_v0+8uCR+J$cm)v$?8wKt-4Nxjce~qumuOh9=38Dp!8a z)KG*>2{k5$Gh6-PJrQd29Er=$h>z}85n5m0h6vfirRh$FGKn#iXv$vA$VS^^T=JGY z4Jsuk+%sRReA_XfA=z7SZ$8%eTgl~$h9XBi;kPHK15DKn=xnmT^o}?!6{ay=4ousy zt7wA-7cl_|+JQ|1!^|g>Lk|LDSl53<9dh%_e&QXN4h9#JDpv4W62gdTUv$8D@ph3M zuCxcYW|o@kIwRbSvX~7KYKbe8+-K%vCM#$!Zs?5V!3~S!(i4trN51zgI5YU|*gDWC z`$5}7hZT>?`@j4AD|p~4&C~4RX~&>i5*cn3Dw9xD84~S+xq^E;_kmHWVM@o>VKo(b z5;M2V6V6n0u4cTHxpSlDMK?#N$(lcd6K&=&`ItN_<&N(;KffZ!q1jS@2@@mQQE zmEBBloYvE#>qM+VSthuIO(^j}j23?GaB;KIqLJ`&kcD_5(Cx|(+(j00)q$FLn@<+P zyLbvfy5&wZu_@yoa-HHC+;ZLc{(`o!O<4_YXlW4sLhC8bf&MAd;62-8T)m*7(IPci zcx7MF$U6iklm-KBf^Lg>!x+y@BEY01_tPFO9dZvK=?N*Prs4#MBA98SXFgAGNK%hL zoCfR982Tgm*j|{-4Pr@@D`e^6ExL#W8byh-MG9SqM+%k1z^ zmx&;`3m)MXaFW^Kik@I-E#=h*$QjPY)0Khglqg8V2(>Muk_|k%aYQ8ERFP#RaKGGP zVU)TUgx2Q^l}JY6dw5<{E?#UBIRqc?AbGIeyhSB82%x&mK`=ySCk{c#vxQ$~(qeI% zX~BtMNN- zR~i|~IJCO{1IDA+%EXNuvoljS{j%MT`I4{~cttuxD<(%0^GJW&HQguvXP4#vdpQ1L zAS2XKa0gC7Gz!>BWc%@jmKzfaEHW|@m7nyESc7$9&+Myv@&6V4lcNeDP%bKV6UIc87-OG)N0Q0568V^fbO!5#9YWL^f|NaSXiGF^U@ zftfmyJX6Fq!Y*SXqXHT+X~N!vzND~#%%>~Hf}<6tLK|jQT~$cclv0C#P)fP)EF^MT z(dyytBZJ}PvkZg!WgeDLKt)XR5y=)jl3C>wY3W78{)Dzf1SA$!h#!Kxk`|7AutS3d z54;p>oxN6+iKK;2%IvsOWVP5OfkEvPz7Z-)&(aBjXtJyX>Ip%_gD)c-z8Fe_;JCF9 z+^Vy{jU`fv50<|2;0KJc%-c`Iqb!i6a?A@vz@v~BG6@K7GaVrrT$?VfJZofCU{qv* z%7dR~jL0@>!NhS^ZYN?Kg}<~{3uWvO9fjdalF*)9WAIW>n%+9)L;oH&=_h~S{7du8 zn>YZdO~&F1Wj`UUdGDCKAR$3f;!g@U5JL4xXuv_iRttQT%|(3_$0S%poPNbFNFb#k z9BBuWgaDzjz(biGiW6B$Y`p21khrH0@NSo=@ju!gTu0s+(r=2Ti>O8I*pjd1kL{^1 zfvF=CdYzeiW7No-hb4rSPYuFzNOE1{FEWS5VTaPB!Ib;|^TqisTosliY>ItkK+19- zl$0EO5(ran*hGV^8OSs+A06RkMPnb;)SdkB2*qG(T5^wAz2D>#;rq- z04}_;(XK={hHl{JOjVrLmV8ZcPkEZ~?3p+d*cz8|w1={T(7^mHHoLC9%1~MYC=uo{ z$qVm|v5LAe{Pbx~cF zXLk6D$j39winoaDF=iBjVL6gh00nTuRJjj6GJCMtTN1jE zmjHpTo|fgd2zrJ-6=YuBM=lrfEYT7l|i+fclAW*iymh#Oj!kZTv>kJj+0Ix zbFy0SEa^Hzja-8~>-rCu!#JdLofF z284^1LC&$k*!qv;!E8HuglPI@?@-puYT>Amw~0fN*<=PdF+G)6esu9A5CN2P(la@4 z1sSj%$QeT&Bg6`1m2Z&@WG3j4vMUpw>$I4c0d<3PO!gq{L=3PP)+F&1Fmw>n$3O(g z1}0Pm(M3AuVLF*H>OZX~p`XZD@u!v`27UPM;Hqai*p_s8_ zAvyF-Mx7D?3%tzBPZvUw@ZXn9idRarVh6THqIT_(lTLN(M8L8&;m0mH;99pk>0VF-jm1T4{cMkMYg zVLEvFRj{8BO5uPIt%T(xcC%;D>;7}EaVqi%L26kUlpUG+SXia37Ipzy1zhK46}IJ_ z|6*~4G{EYH2uyiID8A5Ge7uB!*|T|q6SxEkP7qxtoCt!U&{IoTDWk{gD%*v>jld8u zWF>nl8AOOUP~C>z4Dx_xG82;+@)nxnUtp4=b5GuQy}DMg?J^Tim2^BKLtt{#6NJGw z;RL&hF8AWHx`f45XkH>2yWu&YSLI_LK7Zaf&#)x8xeNAUu97t;w~5T?!0^lWefa#Q zcj|+1&??Cs3`~Nw@ma8J-X^|}H#)+Mkfn0lPc6>hL=)ogi19=+!vIUcaPV40Sa#&I zvG}GbC?EfERO51aLMepk;W{RV!{QY0fdaCFsos(ZK%9{#lBZbl6OU7y3t7rbvLqP$H|; zi=o4299*PhJpH9(G~f9wf*qnM;G)Yaa*I(?>C9Y-q+?4Fl8+liRuCBHLahffnn>!%KDzH1o%7WN zc4)^F9f~t%M7fAqXld}F0P?$|OIJS=64Pxy`t5aV6?xH1H(p4}FiF*9w2 zoDxEp6=#s4Z6db*aV439oU8%?8?vmhM}jk`o@MI@%Mx_}9u2*Eq3%DvYbsz7mnm3{ zls~0}5JGdC$b`_I6V0c@UJ1=xw^^OU-c5tt!s+NB_{-TwAF8W`HB~x+mSybcg@eW` z|JiQ&VI~C^uvaJnK`P1TxP0idMocZ1Mizq>@(Cw4R8$^DhjpA1!)z}xh=@isCYz9m zDPE7lMiFo?G!0{FGO@C&GpsuP;UdJq>y9#CFqlNh%rq!o5hm3OhrqgsP5^0947&Jjjg6m?AJV`sKEtH2jvc#YL4!C9h6H8HX|XkkpM4 zJ%cyEYGC;B?0QPB`NT9%qfP@&~!x|`zG3DyP;iHs1XRlYFrsmne=d@GOV z08@RJ`Cm*sre8}XlU&RIsxAsUU6wzor-oqy9R&%weuNdbcM#(=g^L+J++>ZKF14ld z$lM4WD7G)UG!E!V)iEhh1KSTVa2r6ayd)&29VtEhQ=xM(8Fazr*S|ZDg z$`%$Xqs8W%Nhi*n9G7&~hzk|WLoX=@)v~GPbti03I56y)BIsL=hvA&YS*t11(%G;F zwDLw0MJgK(UDDaHS{Yd^}@%x%~~C*770VEA^}RjAeg zjEd-k22vX^b=Jh!MPh@2q14W+Wr=GNjHu)pJT_0?RFMGG-Z!sORbn(n?6#1>gzqd1 zmXm3Fc1TU)m{3s8nNmE?9<+40yiVNnwKV4Rk2!*!56!9bg@qh-twCoISA{h~387nPnPxJYXj~F}Dt5D6Z^mYcq@Z?U zAmdHo1RfV{(N$PTX-09FGGVpQMT#s)l&P)ElWpn7gTC98H-CbMq|^}#>ZmIYCoj8T z0mymc;HfMIZ)eKbx!^0EVN~OoAirK9$L3?741;z2ls5lE17-%v^L(x84%#U;o$EqExdlFj0#dbhNldMeEq5lpydjc{_3ahdpln z4my2+8t?$J%5{s#CDas+k;rg(oQMIL3oBg<==6=hkoyCEFW-Ua3`KmvM?mNYLbWg* zxMT^l!ztcvvreDTQemxe#gcPO6oKfV?9mb(G}^?x#il@Z*qKLjg3{%e{^9vk5aV)f z^k);4HH@9nkrT+PBS+RtXPIx+f%8B{~q4FyRR+ z24P*%amMNnM4I+yP#h;k87CT)?1E0mLcxHce9b#!IaV_af}k+TK0tdNbLGMk!ZeNn z(xAA`u?4u7Nxg|m)lM?)2@}k>qTW3ateK*Y?PF66r#-on&+L?GmEi70CII%PYwq6OV$`LA8|(kCUQ0~ zk+Xp%Ih)jrAdaLA1SY8kfk{RgV3HdW7)LVCcy-v6Yd*t*XR9!mviM%w1)R?+p|KKH zgw!ࡏGf|Jli7aT;($Af&dS@fn8@nSG}TF5*ub-f2_J->D*Pu9Z7H^A(r2TasE z!1SO)JhS$Mdh${cDKAi)=S@JDnqPV6V^cQSV8`=|EGZ-qb+*>iH!uh|7h4^AzrmAv z5X%4x*UZO|i98t1IKa2zwa^V3Gm#uVR6f(m8KKlXFu>#$Szuzz1%^zJ2dIh3%`-$P zAWqOZn->-B8QHY_xR%IFux`kHLbnwrDU}fq$YbJtgsT-meBE-#AhpbnAid0Rqu0+` z6WWu+5WvLy?F2;LM+4SL4bE~AmYCJ^mMAdoC))}B%4#7q%E}-MW=8=U6hCcXP`|V? zgoS1~j(TFt1tz8#09~4uyM1zbE--u` z^I&)`NCwEAG(l#&LomH{2|L|87Rs{`+T;{~{WfeE>EL?iooB5(#NU6s*9!bwFPhq^SovRKC6nE~RCk`NHr5fKJRnyy<(zEh!z+?&E9 zA+2OPwRt=U(MEZt$O}&{c!l!cPt8aZxy^Kh8jwVumx%$D6=EH#20MR++ubYOU4=8n-lO-E=0#I7UtB$d@sW_=;#}o(gtcd~!AD6cV{d-0{sCe&hWu(#W z(2QetNoD&O_^?{vnkg8OW1^_#9Wpt(xb|#T<)8g?eB5xh5%eYRhit5c3gju_DV|BA zMWRZ3*kWZ9i6OB*Si(`JL;aNJ4yvgloTU_6i-(nNHpYJ02i&u}iCF(^;*3fkCs1Mk zxvb>IA!UK65@7Lkv*FqEer@)rNfw|s7(5JRSv5om@p7>}7{oIyR-Z;7qHd6i`^Fh0f}Cm*ZQ3{h41GB_q-?$H*A z0s?}kdV6gDLJeRhMQMf)SQ6)$$c&PO*w^fu!i2*@0|gCQ%c+}^7h4&0J`<7ytbF|U z@!zH|mMtw1??p}-32Op{T3$$Kj4(A20+BcDDbI|GWY~cc)N|8~9aX(n#PlNroY@6L zqI|i*W(v{5s5z4OkQGe>k!h8^7uw@kMKNf+)|2QGbeZBh#VpiHdcvxe4-2$gh^f)( zy!~bcnkr&!oA92M)nXa)?xT%2E~&2nh&tH5Wsli-Sym8P>JhLl^`O|YT2Pj8fGti2 zcT0({1_n9J`;HuE>ep|3LW|ZlyvxQdY!Re(1B@{Az0x2wA#WkVR`wgh*%scZ zn@A(Hqyy6i)f0or6yYto*RwMZonXA|IxZ=uL)9rtfgWQ4WPGr>e77c2`Xx>k5( zo?!dj|4h0tylMJ*wjqdL5W_;$l%Yz(PJDnRIm4km_=k)0@|S<_{As*-A@ha5&X{0f zVFJTCn$ehW523FXNSoIWUaw)>-5qwI1J#+sf6HpX%8m!O*|!NAm1~^?BAZ!$`;Y9d z!Qdg+kcEHBIMJ5LA|q?ayrHr(Bsg6c0_Sga!a>2Hdj{VnYk|*-_?X~eE}Sb2uH1jf581cbq;f?*Y?`tb zL>moj5qGD(+$g(X)5{Bq4o|Qi43gclAVT?8-k3NLV=4(<;fEw@5}2g!1BMPhcLKvK z9a~U|)U(jDbaxW!na#aiMcPFyHNIUpw%l*d< zCJZSsk*Q5lmG4eW6IsGM?1*a;>BP*|nSsM=R1Od^exi+pCgzo~m$T%4#^InMpJ3Bg z052Q?Ukc|y(5PJ2`y~m-GhracE+Zi`uHhpS+6N|xxs|}r4!IhAC-Iul3iN;N0y4eg zFgq-sBWA|ON{P!S-fPZzIFZTa&VdvO3?*kTW!oJ1Rvv~2rmPi#gmQo^xHRWN#tPG7ARHHx-mcbP`0|2=l>}IATN)PPD&5B#nx6IM!y2>?b>|0jE5$mbKul7SYa` z5EC>?Kt!S~$P#*T@#D#)zyeMM1{KepK(B=jfJ3yqz8;(rbuf5kLI;i1m1z;DQ#|H4 z>qI1F66&D-kUHVSs446&3Kv~|%>Y2Wk+`V?Q>{90$mDB=P!vR#gh*Tg&ft%Siugt~ zQlU)}i!i1pz$U;lqwXVYuhag|{?gMeBDk@S_20+_Z!6A+T!_ulU7zQHos z-L@3IZ6JUkk(yv9u}Q2rMv6di1p@@k!XSI>e*pg=8Nl2U%G?<<2|@~k40zss&i<(P zIW0@JSJ&B9wQAM+UaJPiNq||g03Oejs!W}ngkv63t&Jd1aP>58kz%tu;v?g9cd#^} zQ8($<7KD z`(LR)IY~Rz96m_UtFIGTj7o`)Gq0(ih>aYl$*J3KIQY+twYsxp7KmjgWT;qPwx#AA6x#?I}Jq>%U`GUB7g zOYe!vE$PKztY~7w2m^_640L<4zcZdzO@gJ-$~fdM?E$M^h8u1|dG6vD?RS`1jA{UZ zIq5K*plB9V7d>Od0{lzdd49d-xVbGvoJy&dDXq=|BJX_FW2)2092CB>Ae> z0T1!o)Z!EaoZ1%sRxR(D12=5O4eSRUz8B0Fr5y5W5k3XUzJ=8PX(NQC_jCNg%zns{ z)b7ZV)J|w`-=x7ElnOFE_0O@$DZZ5jO=+tPV=4!9#~7u%1A0!3b23WuMX&Dsd5B=+ z?bt$_r}=1Cpzw>|r-fSYo3dC1$~cy*>HLSM@+VW*JW_YsL^|?B(W`V*Y(<$mIPQev zw4yl7vCE&NI#$<@-6-;u0#}_QMGtrWkNin5{Y1GGRAg+@*|sJf**yYJ({$s>FtL;7M9%re9(?|w3$;C3J z(_Tq*hC8197vaVyC>YhS4Z|JZw>{OdIuab2B^=^VSQM4LAW)Y;Jk9FG2u!&`NFX^p zkv6*eq{ERQGHm1q27v=iyF;;S7RB=9Wx#`JW!N3zO~Zr}X~_2VD>C_Gq` zrqo1}lJDFwcjDsvm|;E6A|Nk?AHTOTEr6;)2BrsYfRQlOlTfOxMX!nSt1P2?e=xN} z6if>sf!#fDh9ThuqdB2q6oU$;P6pgxW$XdFN5+GGjFs6i)aJSk{8+DU0vnq3XPdx? zR_J@khXZV7TG607st-(+u6z*Q*dN5@S$v1z>bWpr?RyH-B0{YlGL}WkGXll1LN8MjCl!9lc~KMXrG1jHu~h%SScm>5aEQBrV(U z5c~^I_n<8++KKkBp8deckEpq(um2j`jqT_?%b_+DT)? z9c{PQ^=1XjX1I=mT3*=-NRGcFkY^!mo6!c~h-8m7LtOYb=tUDr2+ zn47`SwCJ)G5$?;b;n?xV7+pp+tVD|@DW-253@hoMGyXU9yCO9m{Z`I)jHv+AD_6kO z0uIwp9y-SDvvGcI4#)t+1<>fax}NTR^b8wJ`yp(qLm(; z?G%2$S|0~;N1ef`ESX4YXQ{sD0IW!mm3xlo*B- zcibuBEXbgCBH(w~lA#xMCe9e0JLOgFVnztD?2)+AVWAg|KvBUibD#x`zr6wIv!hT< zJb+r%4x8asQWIccm(qfL zhO4#=n2ip)O~`(PV~Ecoz>iMq9slB?({}#jC9|X=k*iOTaTZi0exCSVy}lX#1x@Id zVm5RrFMd4PftY;lNrO4yE9nzVS9rnhU)>mpQLllA$JqAD2x-9Wu|?-p(ah|SY-Y;! zSv1xi|4Jf3PYidc%fcioWMUF^#|?|zG?}ALkl5MC#Xkr7Q6Gebd{=p1`EdGs+CE`s@cyU_tK?!g z>4YD&luNlyJTXOkzj$nbOG1(^wiJC=0J1RRp8+h zPXz__nN6>KGJ#Dz)$M5ob|vi#|4F+O8Anb@kwVhg+lGND$elo0D?W=-_pv^8xFig; zFB1Q{Z`~!CbG=8LlbtA(7L*(7IjZ}ylbUiPjyk&x`$mOR&@Ejk8w*4Un+1>zBnfqM zqK1_fswfB;PKKU@92)JI6!T^&Jjp5%L2*Dl0-qnOEfkIxwm7QPoGd94rVl&}Z8lEl z&)mNK8p98jPkUa9jFE_?9YSa<-X=cadecmXd zt^IMoagKNE0Dy{cM~gLKz{6S`y4o{|RZ)sz zC4b<|!t@PS%X~Ajp++@y-@AIZg zB`c;Wk7`(>5c1JZLVCSu>|u{v&V6xEVG6?3`#cdP(q$q!I#QN$I$3E{go<0CaLFr9 zAO>7RO)f6NpO$tVuDTva^U)5sVVTM?= zBBa2SYerrcc7#4i;+rNWxZOg45;Bh?c|_cbw+lrJq|-_WL>_yf-Oae}nr1*NXlNpI zKoeDi16al_LLkMh+A&vq9e6Yb@^FF2>2;~R#HSkpy>;0C`i81%g~ot&0$9=mWCbuv zqMf*tkBK02Yn0Ts#vpw%s4o=3O7#S`FpJf!pnz7_i6l)0qf*2@J@YeIH4@`Vb8dAE z_m31%N-)LylH=C9y}i=cV79{B$5OW#OhIP31#1?tH* z7C2|Le|%(jqVl92DwHOs)Qkx{FFy z<#2KI7n)KtAgO>dAmNR^CqDxV;^vgEfHWvcZ>Us4G{$E$8f-N=VbNA3j?y%H#p6PK zxFjWPiKr~hVx=I`uf!{;ZkE}LsFhAcLk1~wH@wm%sf?>hssu2xYJ96=!8{_N>yaBH zY*8}9)td3Y?T-7qqUK1tynPh>Z|Fyh z@CTKe#s~F4O8NlmhQsqafistLe{}Qtqq8}biKE>pgtzF7{b&l`s}xfNMxJgJ&0O7( zv{q=v(RjnDfV{O%Z2LLS;^ixx7o;T%5WLpF1(P>6yFexMm}H=c=cI!ZiH}&po+p zioM{jlahtnbyU!Pazido$OqGRvnDfXGA(=l)O7Fh6f|K=X{*%43h#_-O#QPK03S|-4rhshq`@~|0=|hmrQbfKL|-&s zO;`K~n^%5MQUKcV#sc-6ASv%^Cl4%@|pc zWM2h*$ce&M*gQW+jfkOKdy(Fc zA8)Tj1eGY{7PEQpYoWW9?80ygGZe1u==hTd)DYbi(M(Ui34OxNsmdL{5yowpYrBb# zpc{_AW$n(NOsVZk633GvLxsS>jGv8mP;sT1<8Tz2&`d`c14~hMUUCIAF;5(>+Q34e zP>Dnp{y{0tn3Pho&@OZ`q?$>jQT8Es`wfmslLV?sU-2|EuVcdPr)nm|zuGLM_(Don znuUUekv{3EyEzkQ3yzOA3q`x)`t-~+`jH~d9;k?s zGK>#cs#$7mo0(HD1t~QJV}uF@ClD6k>{<-z35HQz-*ZHX0v7zQ?19H7B*b~w)u=}F z>4O-nni%a-i^hE2-H5Lq_zj^n?HHS2H}E)4J!a@ur3ZkiYrzZOJ4W@Go;pWqmHQLR zTZRDH&yo55!eAq{~jK8I7YWiHoD5{Q(}ku7oL zc!ZGlji3Z|1jA`tFv>0lvnK+BIEeUrs;>OKhu2E)N<~Vaeu{*8cPTn*EFekS3rH+k zReqE@uTNTSN-J4zau^WM7W(n3iMG*L;F66_Tg?ucP!?<0239&-S(CP1g zJc>3kG}%;fhUvQ}oGfv-H}}MnmtKrZUkVpj8QE2e4DMVBFWkc|l+eC7yAhG(MCg^V zyiewhV34hxYEfj!KR0RPFA^3`$Q3kOrLka3o7*56nk)S}zLVYww_b0a0COE5isbe5 z((~LilQ#OS?g}d5DF28_rx12TBuT64Rt8U0kvp&@^01Q)Fi(ZSBG@zu?f*p1+ys&R z?4!>*cXydU=kUGA2Dp=~VwNa1g|c5+BFtNYDwyeTb6_}$2W-u->zkFHX6+9k2JpB$ zU<5gg{?-ig!FDj`x1D4Y7&%FY#VoQRKPKYeDX5*+g5_7?=!O8N{dPbe_*B}V!HMn3)hU+f*jK1ZIK4_;6I_L-FV=x@|k zA)h7xzP}1*6&~@~jCVS}<-v*m?d8%EbiFGK#A)M6E{X$YT!$ur;n>wdlZT-N;wu_( z_G391vXG|te-*}2Oe){)oV>tVR}HLFU;}HfbYPSeH8OBH9M-f)1_rejFNZxJLj zP3W^Do2f4g@g{LGY*vpWgG5**vEu%iL&}xnADt5$5f%urdofU4pYc`a6SHBGF&E4n zb4UTIAU3esjfc9l@idYayb}~x(E=?8bxb&vYk@DL{d9#%ChnZNz;N^T?oc5fPFIi4 zXt%F>kAW)~PB4F?-<)8s6FikDuW#wv#bL)ssDYJMi`qBp7rFK@WMK&Zs*aUNjW&XC z7zjChgxc0}tOw>}AUHhA_xZP-jho++Y*QFdi-D1lBVRA>osBzzji8QA&-`|*uEsdC zi-c0lI(~xraX{<0d8Dt`bb`@RT6<))`;+0i>Vqny&BR?k9ay`$fnom-rw~Na)oQq` z^T|-Y)yGPaa9LgXU}sh3gM&|%yBJu9LGs(~R2SHT2kbu=c)P*W)>e3fftZ=XOkQp3 z-=@N&VN}Zq3p@dEgl!z2dNrRhh|ve};Vk@Vk@n=m=;l&XD{+%{Fyn9G@W|3~-UJ4P z^iD{x7>PTWVapoVQQv&86S?zSTrlGBq`!?T%s_ZXPeQtg7t?OU{1z{M{T3(Qx{;N` zV^T_QNeZ#fodgFhh8T2DLLABLfyNO<3pWTfw8vT@s!@3}*$F8(y4%FbL?86H6=v{U z3PzjY`=jqHlXTNUXA9`~bed|UJzOziyQK~&TgfC zy!?GkD0$LrVISpbBz-%jo;?X-vw}fcOg}gf*skd9=(R~fQPT$w9~~2J^>D|#1M{I~ zR#ctmXr~|i2BJb_LglVp6l6Ji9KnO8PpW&$>|;MCxP8(b56In#3JPvzRi)r|oFuot z-BpM_IeDU0w_}~f(0Or0B&?lkNttA1C056n?1Uio^!?vFyZh7q@n5zu36k+uhASbbGX}*jGt#MRgjd;m8R3j)mp6jE59bj)57#JLp5EvV-Ymt)K z^-e96h@-17eO1R|_Xepnd(jnu=k^6BspV?=`0qf8l6*MODtgUllQY)q#FTGlN4c$J z%_Xl>XnGP65Bu3uBAikiN4e2{aO~@YIBkSnSh*HR0_1?mC@o0|*6z?d^g*KiA_?p< zEs%(uPL*h?Hb=6Il$KbQT8y$~a2>?u)<;50n|@2OjIfXz-@|V=rG>bYlnlI?vT4aw z6N#ZYoET^CETbyG`Ybz%L0mgQW0X)LH4>-U??JP=ALRCmj!CJP@MI$K9Lj7(b_f?; zet5}|NqlO%=;UhGhT?@sI8EPv?Ub!XC2WO57>|C@CyD<<7cSj-`*hP)y-AX1T#tvb zyIjc!6q0HG*%BRfwj2>*{kvMgLq1E`eEk;IPY%{V@(AF2Eo{C~GyPr0}F~3N~rq@3dIfV(^7%(d0Dm2q5!kH8iB?NyrBmW(X;2VhV^f zG1-zHM`~ox@qC`%6mYq8yE}(>N(Y-)Hu|^3BR$UH6cV2f_wQ3X363@<4Rl-zQ*d;J%#%=v*}p|E)G?voN*3c17uO+=LWUm< zOLrBovE0EaT5ZumjcF+m$YP326{}2Y;QG}~CMkaB<7MbHyCEZ%s$|Ft#%|`flFn$*FOJriv9|4j9-&laL z`bLuGD=eTKi$2MS)MX+2bcEsbqT*HB1Q7=_x-`ijc9-AUJ41xpw>hok`nTy#+fFaY zP2xE9r~WZ_vOb8N=8J~JZ>*-&bfK-)=>@&X)+J`659SrwrgTb3wPPGR>m-YJ21;nr za}((y5s;P!z3O-a4YNxxd0?&N5Qh}U#!O{gbQb=#u8_Pop%u@SCXV;I(T}^{FcMxh zZdX{hIKA=?kI;(iRf|!r6cJ)<+`bW{?MjGJrz$S~<-8WF6{1UP$Ml%e?k3tk{I^*3Ad+ePqr=uA!dquL^n58t=ciiuLC?0d!!8(7Q%it^J4mzhGQ=gq2*qL zIje*qSE{%@n?nF-F{G7CL&1Y8?o4oBW*F@fB;_fcRhA4XAQDm74TV*>Jy@tr{=n=g z#nAVq(zAg4mIT$73VGW~7ZP!KE^bdvs%||w)dSJwn8?R&`NqM?oX1sW0w&dSSB*1`kza$N!@FoG4XF*ZE8o`w?OqSouAsMA#+5`l#3|+Cr?u@J6RZZT4D$@ zB(gLHqt#qAw@-$zc9Mq1bxSBl6{S;*y6D}j^q?|N?q?$TTTo%)_Nmnt7Rd3`?kGIf zF%fFeI9ibQ)lQ9R&p{))E+nQ(fF_mNaq2o73#`vDzS!faC+r)6#T}(>mbM$Z@TKdV zPPleZd$%aj(W6aLoRoFkVA7p%J?)&hbDa}A#;OwvNom(kV#fCF;72+V=vq z&(j-2x(-#aatFi>qDcq|XcD5)Iug3NX(Nz-j(G48B~MZ3L>7#W1dtX(EL9jJ$3*-C zH0*wxIw#PNd=bI%vV}fKGP8GL?T++zshVjqJbnC2s2tMm^Tw*C;@L<|bwUD=U}E8Y z!gs^$M^E}rwqG#perq#bZZ`JfgJSL$&XIHMX8m4mEx55&FGr;kTYh|F88 zjTz7_zL^gGBY8%XcnHZ47YJ1XsvA$A>@`$pEruG@CPe}*k`yUS)dIIFqQ$_>%fC)X zBnj4-VJ+hV^IPi8hm8Oum9dY6Qly+Jcomquh9`PRKh`eX_h`0jOB7>Wm6?C72P)Ds_EB6o{&$;4<{I%!HwJxTX~ z=C`;mhtq#6^nN07ooKplJ(qsZo#u;1UWQaH;wGaWpE+<~edq1u|7+>=pVGA?pGx$j zHv#$T+%ABH>}*mDc&WQ|$vrBLdwkQ{*puKw=|e@+6AYfHjo``G8HAVYzc=$2r15yo z*$GTu)P$;`iAg;Xw(uiqF^H>?zc2@XR4YR?#vkNfY7#XS%LlRc_PfhLLpj3g_9XX? zzo4WKsL7APpmqX#7iPGQx@Yh|k89zMNrOwV&JNZ~uYqwfGncJI7+~l}y}P_9*4Sbn zvPy^}TwH&;(1jf!c1&L0_4KW;!JOn=A!a&aNAqqnez_=5v_;3RjSis5}Zcayqv@pxH!}ijTkv$?p71idq>kGWAk&6nneeY=h zlWXPNHHM9vOcsL~s2%5p`w^|l-IAI{Oi6s^2Dzo6I}rjCS9tI^3Go3ql3@LWG>e7_ zc-)*NINJXNr%w#*=Ju31!CGrE?3gIrxENsM774cR^?0!V`5=k0J!|{+09ih$96@~62+W>@Ytgr!0Da8?ZKqzXFBL^^ zVmB$qy_o*>Z%KRgj>xbVhR7iGcauw>elXQRsneMShcv@6IbmgeMr3~6F6m~!CQokbNM_tvKw_u#r7f)8rCmJ!&> zR5y`Etgv%KaM(&ij1yOi8n8u?-?#(?xZ)DL4k3n2#Y5Z5OoA`GF{AD{Y=nUDc!NHg z-9d|Azll3l$M4!)hIfnId;-zd^W#e&=gRy_q5wvn;r!b@E#jaR>%ez9UW~YYnibBX za>4GAO98`GBXYt_9lrM~p+y=-3k$f}dUw+e zB2#o334w^Yr#83GADGTRFH&e6F3&yBoRwIr=-N|@c$CL1B2xr~F(lfh09aCo$h`^g z{)JLj(J18o0M>;NpdX)-h=-HYjw%1r`SWtv;kNEr0uP5taY@vz*#tFu-W>{tt`&K# zdsN3tL`n{FeBX0R!c}dF{R@HYAis5MIX-wUAP^K zo#xi{j}Zjd)BFF(+bf+Of~rQoE5d4<7Y5jKk?q|dNx##jeL;)OxN)9}Y z5$hAA+M6%a>rZD!PX|#czC1I3Tvk0vo@DB^li=AtVYE@8wZIf+^+pJ;Zqb~dI5PiK z2wzSY|8(~0dJ`(DG?J#ji?X7121OdzO@Q+~<(~mt3U%qte$12tXx>jTi4GF4zKk4H zIGrj<(>hfWOzTup2jI#&R!WI=tn{nUu@ZI|^$|!XPx1;|ph4K;gU?sSU7GgzScwAb zNRYsuL>^kc*Y8%dKpb@o=`0?1fbG=CK>HR5xJ&5Zd6GQ9za?#u@{nc$H%wyDiy|rA z6q`Xj1;j{}ASg?D4p6i0OoPKYzBxel#2io<~!7*R7g(i3`jjI)SN&fLnr z7ms_|`e|afgG2G6Nk?Bh1d$d)ti7ctFRO~B<8f4-1Qsd2{hq{i7mNe58b>0wV5&&r zw{tSUtPXEH0N;~#LXwUqIpJrb%2^IBmSQ3#6=j>_=yAu2HdP}4wG+|?T8NP#E)mVG zllq57B9j@9mo*>sNx6a1CVlRN9k!j!QQ2fK`P>tx=_A28mW>4eEBawQI(K+QC-~s# z&!Z7h9rnNF>Il}gHTVs%jCQn@`2)0D4oJqek8BorLz+~IG#b3b6~{yD~q zcET&`+6itfk5e15B#KEjOQE(Fh(15h!TvY&(^N~7P*2fk3|HQx@PI*tohPxEmCUR` zt7d-CPuhzn!F{zmr<^sHy_!`;cch2=I5DtfLN_hYNMan9d9UcKuYo6acOoK#9P)WW zG@1nbj~iiP%D@jB-We-&U`Xh^>SW1&n1EonY3pEKO&aL%u1~; zm(=!g9Bo76P8xyurerh#qc)?)!E6^oTDWNXF198W2Us5yuyh2C{MlGAKw5|NBv?OX z;^8P$VGJK|DibCB1K@7)vIv#&u-JF2fz%JA0VD-(gGT##v>$<4G@{-eV zS^#lJjF$z?nu8h1WQLVr3m8e%BP|BDvy~5xK!EKYtT~((14-)EB0AhCW7Y$&pL@7*U~ z`O7!nz4gKi&;9;a{`!qO)DGTz?)7)xd5`}JKfd$&t>-@bwKw0%|AOWDe;?oZ(>pI* zT|Byc`N69xAG-I_#VeODzjF0=*ROJ8(bumZ;beXB)~PY$kUO8h_1xokzWC_NZ+!9X zZ~WC)?>zUI;;qLV)8m)UAKicO3cW3u^y=|Tk8ZvA;;nDI_vGF8zWMGO UZ{CXhqL1gTyLVst-B)k@KVHyS5&!@I delta 378575 zcmZs?V|OlEm#rN;D_OB^+qP}nw(r=sZQHi(tQae{`R-kBRh_4`bH2?se?aeJT)mHg zWu?tVCI4pNG*m#1rnLQ*7-H{*I^t;!q^J2VECvLH6b%-Ez!KJJ!Kq;R6^r0yacxlR zPcL&9iD+$@v=o$yG>Pc!;Z}ydnYa<`3V&AB``hu*&|O@b)l2Kfr)q?hO~_*k_&Nk+ z3hdd#o8QC5%gWM;zq>B(H!q*xn+w3#_o?;GQ9mLpYa7t(jiEnx!``(OlSHu#-3MRV z*;7@kr5;djhhICQha*A@=f@@l3`L&}#2^$2np^R@bHICj@_cyW(B+wyEC+`=p->PA zX53Tb_Z{$g&*1ydPkA$Uv>|2-Dd;8yjqB)>x6Gr#?}>!&yAV1MXgm%fLa;Uc!h z?;?r4@&Nz=(tIjwX8rJXclYYDCY_u;952bzBO<^PSbnD*D3YudP~OjX&^$){cn#H5 zAvcRULYca!sqfc?_KSxus58J?2QtRmL{VP2%vC2}teN085fzxfW!YfCv$H}p3H5ua zk;|tU82_f2+oTf)^0Qa8UB{_hB|5%-g3C`ezzv8jidg zm{-(z%hiq$O#t?Hp}VogY5f)6(%sgMv=7dlHV8^y2{l9<@#GVdNTR?XX~d?iIr3<~ z31_hju`9HX26$dZn0!|a8>XLcv$@1EEam`kz>C!5xJa1wyanONvmMm0MvS^&<4lED z7|DR?0-7nBzfp^Bux1Ccc@}}uo+(Bibc$Ic*6{7eD9+hxwl1}<$tK43S;}TPe{1se zIZZ$M@i{^UYkv<@4A;|+EH_#QC<9Y1F*@2Hz2qe6>Ljn`>U;21a!X+$l}EjPXHc@| zgb~!cN>jI`l)@1SwZjM)NJl)AE!Cq9s!{^rZCWG~2;tQRWK0wMoNhVUgdc@v?qlR+Sjo%_CR`E z>lV{sdw##v#qzZ}1&sbOE%Y#;#>a)HE{r90b)ZV>=5u70?|ephI@k>>>-s25`$z)l z)4)So%|s(8=Pmzo)mgtzjxo^W#N7Y0YPK#Ej}eH)%Z8$+nY*OAEur_5@0c40Ut2-* z)PHIfUIKKh93`yzdukHtQYGn%Ra2(l*W@!NUoQ(SCHzeU@2tgT%3$)??m;D``#jz;v_WleROr z-nGY@)yEjmYNz>Wy5G_V;PCr;46Jxxx_f*5etSKZ=dt7Ghp#5xNR}lv&`XT}#B+Ef0qeb714-)RNIkq0Pvy`d6s>Y8li`HXo$npP&|sUpBEFZ4 z*mm61!!s{P;u zJsFZb5Z%D*&l!8}UDA5npNyR<88*zgwf!wlFV||^!!C1Xp zg#q(8h=2kjljPIrvIsJ|jd;#-!GUpTay#efTndRDss~F)T+sk5I-}3H0HwyjA1?2{ zJapRGVPjGn#f#Az-Vcq>C(RHfi1+)1fwez89rvij$TY?EfhbHJ85>IwV@$S?JyYPt z32{Pr3XM7i+s?0tI>IvMcnXHEvN+aK9OBJIe1Y_6vUXB1gJd{6_eFf4uwEGUdIf}LZn84kk43{k5yS}Ku3gUh z75?!8SiPum@6I_3KwT$3SP2a7@bIwR#*6!$cl{$$u-glGuSwF9&z$*aca>%mZhwQk zWbqFWrY8I{5iCfjpjsv-B*x?HZYR?Y0+l~Fz+X@cTn{OlX&y#vC5*z+(#Kz@7Wo+G z8zm+XM&F^;&{#;>gT=Gu$sh(S+Q;)bJmP4WryuW${Bo@bv2WohFn%cqb=)A%^AZH5 zUtn)7-nDedEI~87EC{keh$F<{z~LOD*iyI$0`jt2q#Ab@V}MDUK!9xkA%Xzx#ivy(PIe6P(xV!9b9d1#L$P%(UM_iAPst2@6g$5$@ZS8n6>{hDi~%d!xAS| z0#zlUNlWj_?7f>7f`{;aqeE&TM%A&)kWImv8LuW--kL(rUX-3sOM8%odl2k8g z3-{VfEm?ah%a^?v<}zWe_$ERhCc3JiVn0a}u|+Uj53F;Z*BlOTr{o{7JLKT6qd0!Z zpcXPds9sKgP!e4xqFQwe#Pjyo^7VDKn1ad}1h+N&QG5QoTZ>@y+al(9Yd(!iZ(7oB z5TjGgmzb#5Q6Oef<45<`U*fY7NA7v=Y!n8BS1~aCU)WJUU5@>=_Lb4$Nf>M3cgLkx zA(-Y^#MlPfaX?G}GYm(KAvm=UlRmJFTpAf~8ZnSy&Dn1d0HX2yuwq6t`Bc6ro`m8A z_qH&0wFkD4(-^A#YO^~xa0Pa>Axs(GcQ=dE4BV%dy1SY^XrxAwNBhkR8j>BFg!Aj; z-Qn9^uQ9=kORQ4STtB=M=%WLj|1*63*i(24V~)F{Ys&*b^s=ckxm>klI$|JLqy##G zNipc5rOxk_{CBA)%=f)5_zHbGeu{f$W?LNgU)~c7zAuF@4t7KaqViIkpiY@5HVqMb zf-`%(*_RvqUDshzf*A0-U-e--r<1es8RfxHF?%)TBU!rKG5IWvSKZ*C`X!k;`&4nv zk)3mCO9G()y5sJCnUXhgtkGi4Fllt_+;|-Crc4!(V9H;5l9pKItR%DPpgam4F29S0 zlV}Xf{u-(6qq!H(87}8X`We+upd}Qfw4yQwDT1~cd)K3~8pn}4+z3j6B5*L2RGY{e)W@Nub*k=-xbk)Yt=Uzr9_fG0c&Aidd*j# z0!ujogy_6A$Eb`I`WDOpS|Au3FY`Tx(XxnI{>(Z<(r?Br= zMl&hxI$2if;2q18u=)>{OVKy>`(EN+5aQ+#a8EfJ=0(q67aofw!^BYbG$C8Tr|@&O zz`Min5>~p=&d#7WOHX>PfrZ>z-?sAsPjFzU5H8PecNIK#x$`n~jl~(UuGZ7PF4fAJ zm2T!i4tMo?#26dg$VckI7Y{2&ex96T%!~r$GQrzu@mh^Zz>65rK*cqe#MXnGXgF+H z_(xU^{+O;<)ZAB2R6*3WK-^P3*0zj3QCi5aVZ~(KQZJ*x`YIu_Cmur$fQ4=Y9@7Wv zOWV?Xu+Ad_S;jMfTZS*@+;p!C#^@gjxk zH53L8Zst0@ax#sala`y)_O;Plk2(*nqTiezAB}{QABUB`MF(bCts-!xlwgVA3&XB2 ztQM$V7<&!O;1wXb^~?pbh;AqV;;L<%;x;K0c9THxZ^)>v$ypJ@g`x8>o zk_xq0ftaiXLgbH+d^kdvx#M!ZNlkxyP9wBo22(-VtV7+?SdedF<5IeLWLIMg3+MWN z4#00>fGrwDIN5(Bw&X8|kRfkGeyQ_>+}?A85~e=Z`Lzv2iNg7EQN9HNNRPHHTfuC9 zlS{|Hl}` znlw;D0;tjWPe|Zpu73m)2poa51pzhR4B`dlg=n?mYQSA_o`2PMGfg8IF;zg(DTM+C`x|Y z=4Xc=;K@ak#(qMmtlhm+q=%L9@@6{5BgdeE~5x227PYO)BO4V5=kU`z6t%!0P;ozmI zOw%T?sw5&gnA!&$J;_i8Xn%rt-ubJ>WW&jiyiGbs7<&U6UuhvH&=x;g>;R(a>*u%A z_owB)hhn#@`*h;w9(G@o)_FYd4XCf zxiDZfprJv9$O7_;^_p2U;?80gdNnftl%fgf{eT2@{_*&H5Q!wBZcLaB`_o0jB+D9c z7S`Cue|dwakH>XJG#8@Md2z5K-QQmUiPOZ&h?05AC@U?RqF+en5E4K{XvJ-`KSml+ z>O~M+Fz6hNmT@WqpbZ*sqq1tj2p7aOJ&sT8uJ8C#(?cOQ^@y?UzfH8lT)JzTaQJC970BhqWvq9jM%$>J-7*^@-{smFWR)?x&dP>p z)aPGq-IR?4=snTO?`XzjQWQN7lBa&Bst9o`oF`Jm&^>w9Dfk(2OW=-f^QCZJ6+Pl} z1y9zvEFCVG%Q2pKW5Slrje;YwFmJZ7fY5W*4ai_%BE8S5Ky}RIinGwT_V&}`(g!E*T zMe6}q8v$3#@J~vj_kCV+C&^UP{XEfy*dRyA<*W)1&pi~I*W)wg*S7+g`OJ+Z#B4r` zytyF<7QzFy$|_flQMH1iy&;$)a_0!^J0)2p$oPq7!1Owmvl9(8gvnTLN@1KeTIZft zHSg>Kko1skYUTEA>BI?gSD)I6pIcbDkBCXMcZW%ZeeQ8 z_%i`WoaqHF4nfcmW3x!SxDNEO)WwRZev#vVfpgArqAIbY#S>wJdukTgeN38R#?`q1 zvo~ z2_l|*MVuC%ve^6yX}Y2ehI9-X++sK*46%c?fas+nh!t8NCVruTyhuCZg2O;)o_~O8 zoc1@Tfjx0SP>Yq(XxsfhIxNH=NO3UimK-FSJTK9K5;X8R4)vD%$WiX)j@PrK6_t7k zP{%N&r(B1jR|T-y6R-B$dS`QdLp_a^{tQlJS?(#KF}Se|YL@2 zOZ`z#)71a=BUbkR_8mqx#{cjmCT8aU+mD!-lL{C}0418TaaiJrJrC4kleCbnRejn* z)bI=<4JMSa2~Oco0(aMBtJj-?)};LWb>4jb1*K<_CN%-T=dwMOUaBkMq^bb=>+{2{ zytuI4-O8trnS(kh6(h>xI+_J0j!GR1{5XUt{oXn~ba+13wNGb{xA)I_t?i!O&-R+( zuP?7HfG_4xtbEjy-#*DD_wUNDvU59gDx{TfN7Cr^NLbJD(tRC9Y3OkeDRBr(3Qb8V5=`{Bq;M_0K$1-kZSN? z6+|cc_V*v%``)+vdnb>tW$d+TN8_|>6Q6OUz}-lbM{Gn^Jko<+a9C>{ghBVZFquqj zfYZ=+3>v!oQkPWnj1qUoms)4ZMRdmwYnXyndA-T#cwjsDAdGEfRUvFSCN-T40zj`Xyw6G+AI|0F-dYe(8_jU3X0Y+ zMr=nnBOq%5`05Qyw7F2vNFzNUX#wOa9cxe^v=ohe#uqMf(ApVvG`qV{sE=_U|Gq4(fJldCOQxt%;Zrbqkee=3`o~)YH6ATfI$lsnNpbw z>{=6FhUwaRgINPn7G=OwGnFu2Cqns*xXK-M9RHy zW|ybD#6*^3WVNDUp$>q<3aj% z-+(?EMOHDsfjM%S1UWMa$aEq*d9++Wf^YUc4Wkw`NqU7VW=kf71kVVChfwsB(u zp(Gq3)x8~#CEIT6EA?$3SWpp?oq?JDQ&Xmz`sYc?Su1vGjc+EZ=9U=FdncWF%5k8= zNX)4MwW+FpSd&6+zm`1Hs4`Q6R&Qcqf$;ALUw8rdw%!Kp`rh0iGB#gymxB1H4WeJ3 zs%5d6f|E(qvwOxXa&m?@ergImX_ABEPArJqow5;A!*DD*H3{a0?b8Fog2U&+@0;$b z^7rU)Rkb&YVR~5OE2S6uysEgI1%#EpX7!QQe?=aPnTeU@e?*>z zh2bAsOANTu+=|^2gY$h+hdR{`u$t(w0vhtCoe~8Fv(N(drMNdRp_i>sTi(vU+D&67 z)@La^S}=Q1qfvX7(#qZ6zl~4MA3nI*SaJIKymNcm@6hO}F$bV22tXH7!5N*=pskL~ zea&39-9(LP3cKspY}P*Xb>Z>u5fVe44~Z_zF4eVo7@-dz8-Juy}S9+MP`eoWzSbh2s98W1QywY;kC-o zrm?`)4El{ivgmOBhVC(d1Ko_>INPH`IR`j{sO9sbaDZ{Kx#)!UvAaaUtF!uU&A09G z(gGU4z{G#9PS3gMP*OD{4{M-A-EHa%4ijs)ZgZ*&o?jqwe$Q4pME~UpEDfRkjegT+ zUpS|m*Q{8wV&kw_@4z#$?|`gHS8|4Ug9n+5D}2F{Kd+Cjw77v~C0`DkwkLwpQ9M82 zaQ8FM?%xavq5{?LV4`9|g;9QnPmLeoZv%+fZcjvHHqw8SkQfoU0fQZ)xma{cHc6<4 zkYtM`-iSv&k!TX_dIkR5E;I=m0lRO1dKnJ%=d;QC)d%3*zdV#KmP#bFS%f@~7{GLt z8zMkF0wK6qw_6$>D(iUf($V*E@9^N^vRa=JRvP6q?Z!)mo61TCW*>cUgRWmN#{i(m zB643?881wcc09^#Oe~&QBF)l)V-F-`jcO>2kLQ2G7@u^9C5i`!zCSoCESiKc64li> z1<6P%=Vt0FTsL3?tJ+5j^_d`#Kk=9iZJfU^2ricT&d<1M6C8@$3y$* zRe|kEB&MxSJVNo+Rax~%*?58FjV0i1&l%T=FURkDjFGP|!>@=Zd8k{$b3nPToxY#A zy&QjMsC_-y^>uCC@cjtxvdf!S;ZK<0R*JN#)j@E#HR0`{dbPx2OB$jLZ3FzBDpe@i zbqJ>n%tUZepyR(zrZwIlU-S%uQqspm8GC#e(Y7aSZhb{ef)>TiniRuko33u>Iy#QX zsf8EhEvI_RY|rv8&m__ciYaw#%Ty+PqwMtds&H}%Q6Gzaxz{(cL%}pDd^32VSnL$%!(6yl@e-sY)4njB}JNvcMUx)X7{-g^!lszyLg(kAF z}L<;GL>|>Yg`sH%4ovr{yln)4$ldjpa(?WDTV@y7v^tB zpfOUpDXaVvqGoPPldMDox9%Q{)#o9z)|?>_nvjYGlDO{se7^G- ztcY1{f%EWU@bFYmKS?xo5?a2qX1bnLJ(rlNia^WE$2Lf_>HiH8W>5r?AXmtmMCtoH zh5t}Tb~%;}7$M*E4QZ$p(dC(DSs`?Nedv94y*#VH!y^Ni+6B1C07wfoJsm4%rfx`t ztaSp0i#-}*ZxKQUrJ+ZY*1Rb1B8zO>werNe^yo)Bc|R$%{3(uqZZKwd85xpIdW`3X zBI9C>-$dy#HB4F|L`;mAo(0b99pelqZ=+GY9&^I@#K$x2G(M_pi$;gPLp;eYft4Vy zcHiE9L!_1M->{k{;kRiGp+ldI)v6N=)vF4st+W2jm~{-$UMd@8kq8j?10xUm>d4=*vS zn_a=^ZtlF2uiM9C{pU`pde=@3fclTHmr{WkWe}k;w&aC6a~fmmi<7$4Rsi(yTH(9E$X)EZ#uw&M9qC^QJs)%Gc%KuH_Z;^1G4%bA=hEZt=YrH@oZvx5c*);U* zESv$Q@}ak0qybpM*1N9f!_YI{F>f)^&J$KW=$O;(>D~M*1O9<1?cOk_lJ*PKbWh!* z2F>T9Mb?z(<=u%^u;p~2AIwKnhK_)h7Kvad|dZ*pE6yIZX2 zSNPA&~xtvs)`PrXi3m#yX>cf(u-mO$1eRFCrAQ6XwnG#TBH3?RoTj-%AjoN6KQ- z;jN}x{|dkpUe8f~V;}j&M0*vC#zif(uylL%h~A!?*iiPJmT@@Ew~4zr8@#2OI570e z@I(?N?j|L!4Uw^Y*+Ckf%a_j!{@d;C z{_V?YFqPL}2C1~wpJfj?|H{S^WC4CEiaP<^P<8+$OCmuW`0rMiF&AtwJe6pa8Ic+l z^GCv|zytUc(_%8i&CI53Kr)2lpfESuyY7@b8~$;H-hk)!f%;`=_MzR%x-Gu@Y$x6G z@PNRjrJ*(5=9}W2nEqx(+)_wbiPIsf7PU{3HcN?QQOJTZQA}BSBnM1dfF8+?n_hQK zR1iQd=ZY$aM3I;>`84cY{yE7jm8#N^*~!nQLtblgdJ|~1H8rDhG;^ZxqBE~DjQRlV zO`F{_R{bg|N-=4+6>T{wsw6H*sawf4i!Q+-8@p=t)*JG1ih!C zSD|+NC>oqsL5VjlQ7LhDEh3~rV!=EsG$@TyVS&2P+(``I*u#T| zEc2pAs!bZN^YF>Ek0B)UNTNmE9#bs9@)^vCqn)POj`k`vkb_?XKf`8@a{DV-!W`he zrHCi_u;nYsen5!_mjqOUSSBCm6PT;`Zo@I)$j($17dm8_u*p+hH1Rc@VV@x&x8f?U zot=+d-ewx9$qmmx|b(!V8jE#p%shwYb$>Ym0q&%@^9lfSWIK_pCvP@883!43fC--K5J zq>MXQF`udK_*W*c%D_+KV#)9MoYVsstxfESRJ3C}%)c{|xjrhNGME9Z*e}q3@2eIx zSe&T!3W%6}7Huj-q<=Jxp>Q=hi=(@qX7qW>6flG0F3xiy^X-6!lAcbFVmp?~XaKEB z_jkO5(jMPCc#U8(2Vhgt84?RGs!Cex`mefo+A>;G+xGeau>nPIA@pyrIRg2qe{d<0Y(YEdM8>U}gA!L=>zH{}oZRXl>cyu*3Q8)?wt^gEOJIVnb`t zFnCk{|3yaOg)mXuGH4@mSKQ{WkHqAY(glkiyGV|m4q8gQgfMZeD6GmpHTA2*rH`&9 zd-;{;8M`NOQYuB*Gb&hx4~WXqu(`3VmAPFtgep)zSJ&4Mm!G%8D=*(CvzM=4q^ub? z0DfQe58iG~>spmq;g{I!`Fm+6S0;>zt8W{cn7>6Z7=8DA)&)Y<(9^l?D%DXn$Z3P- zR>n3gd8~l|6m9Gv4CSBY*YD@+$K%5#cBM}TFP{&K&{$9 z5eyzc6Bft?R8BLxY^1$;*`ov%{#W4$KoF;Y54RaTYaqhW1M6dIfMv>Hb9BuQ#0+|hSg&nxbm7tqPI_R|6e_0L z6owE;EJD-MGFp~U474R<6bjEc!z9emIM*@ z!N#m2v^uEU?y2u$0bn;S? zqzjQ6$SLT65_q{HDh?%C=3uPF#P3B+^e8i934-BVgTHcNe{vyAsw;XXW)-=(HIo~8 zNoBgM&&gOZrMhxXNT%QU0UhTh`%E*|RaPmpL2x}I4D*&P%5w*~q47(qbp{VowW3{$ z7eJ~VXC;A+dHcK}#H<1Qh4?X*>kgncZxtLLe^AP%QyuW|u5Mp|`G@+0>g1v|TE~`T z_d?>4-!l!;Z4H5iRZJ}*h4pE@$?O^D$Z)yml6%^0oPkTMEJwd*0Tum{U>jy2Y%QE5 z_UzE2kqMr**D^gUi`CEnl-2_3k&}pb`bijg-k9#~r|aFchSA3xe0H9cQlsNmY(%U| z*Sto=#H7wt2>`T75t^xfOIR37nw+eSwnQFbr5B^1{2Ha33X>>w%|&+xw~6t?KmCV8 zdmUPi%aC?yj)iC)fbILw<{a3$5f*=bRQdu~eCf>ez?+uWCefpE(PT0^&*K2vNGxF@ zy5f);Cn!Sv8O4aRtp1@qZij!P$KbM1JhS~oMKk&cvp42%?8uF08b zy>WIb>0vAa!S3oFa^ji98Z|QhF!Wn^(}#HqQ&8uFJUA0iz=MdVG)qqO;Rq_DmgQuv zBzy_hN_D?NIKhNpc!Qv$S@e4MBuyMy08N$mia^e-W->O+wkAxF=Zns4rA-`=5jC^h zy=$@U4XgB$(#Np1%;dx#9Bg|Fp&xL zI&37UG>7g1+=G0$auo2U59uebsgPTr$$)bzTadZlkqk0oj4={Yc(HUAEY2BC3WPYp z2dNO-uyoL(rWbrKSh2QHP?fZf$y=(f#3${d1J-i`fP6(`heWSb{vU1ErL=Gjf@eS17&nWgv#u1c_M);ql0Qy!jK%)cc-H;OO2@c;6JxV*YZJxIn)#QO zJZ;pQB8&PGR~qG%51Ds{@GcSd9RC+Vhvs5KSq!dGlC$3fH_Sj?=;o2Zi-bC)+iji> zdaGXv0Lik;a885aG@~+ygra3V^)u(rq;Un07kH{Hn;PBZSLjJc)y6|COjje60xz=C zI%$A#de4Ux?O%Pd5F9+3El2y(2#ckeo@02CCY{UqSImfP+P>_lLG7}JI44rRLsMl# z01_7aZr((#>GLIzP4MrWh9ir#Ruk{tsSCMgK(eR)OEpt3MgT~jzua!yF%ZVp?fiSv z5$#$Q0}b!9paBElXSwN&sm50bJp?>XGD{W8CQN^mUqICPN&gFuHGvv;v4Mi*z1rgI z2H2xHfr0^>04B3=KSNeHpAhHH_QA_I6%h&w>y;z0q|_ef!2rHwcteOLmF&X#^QVrE z<&B44;TYC ztCN3$9yT*8!~do>92~6wVbL6EisHa1ARMg!1@wCU1$u0V{{!?myCeM@{sDTnpePob z;O`2L1E+eK1YMGC*#Efl>@ATr3gv{P0+{9&5pVVd=MZC6!MnF}i?^SrGvNKJWbuJ#A-B43Uql@z_c3R+q?C7@A}ky=CG#v@qKsq=+d>V_ciYaC|`ei`bGrwM*rmE zvwdX6>{IO4m$p`RcGT3yR)VN)|FO?7O0_)2s3&HTsSjnH2R09N6}YLj9Dv>(}M!nt(w)c4j$6;jRCif7a z-+g61bjNKw|6v3#DktpXJL8OH*W*qv3!cF{T%L%nL&FGSfkQ?PzMTaKOLc~kjbck8 zS`}Ssua}+|P#iS}ioi~UQ5=C;&{|sp`AyMaOnV;eda4{hd7wmpnqe|uX@_<}i0*FB zzZuPWpw!}8A?=M(a`}^|Xf`SXuFRYyfiNUP<)c=dFWaQMK#h6;Z_tZxsq@fgbR2BOL=oDaSD_%PkwA5()T~+>~`Dr&>8QQt6p|N|n z0*cTtx1R-jcdDD+-R~&5ZotFm+D4S7-aW8#rQ9tY+P)2p0NM$_mPiR+*&h@#%~GZ5 zbqBGYpj^g))LICwxxliK?a|@9UA7&mFtCjdm`hz_a{=F7q{~ zVwKUx-#Q}fKsXrtq7~6o8d6bZi|<$~kfQoPQi`l2?MWzA)rX*@+VCKRl^4wJ0N60yv_QIxIkoq8?YOO~&Batn+as3MVJETeCFdj8GH>*wLVhObfzZi8=q zILFwa*B~*EMulUf7O_vR2jnAG5o5#RX5*!iN&sS&Cm#g>Muy}W0*3=qo(;EC7>5jZHaICUi(-&4H6vO% zsCf)er1S!W(clv2`nbDy`aV3)=PBCF1~;^%Hv@tOyPCO?nNuialrNOL>u^2apMBRJ z?q3tR1h{mL!6d@n1YC7a{mW(%k4MER)V3P>8tPZyG)yiwSd1HZ@i}ibskyZOsQqPi zlXY!Vb7}1aHc@m#+fpkYk!e^Gr?v-sK>1^wCmn#&T9e-YbmbEcDOGoxSWqgGcXOoxT$EO>c!^BL4 z1F=t}mYOH;CfUSEf&Vm01O-`+BW_V9`2CZF0H{faeoCEnb|g8>SG#dDgc*?=@dsQd zU7S7xhou{*gfE%kFuCJ_URi$D+!%Af-%J3YKfQ_xRurUE%%^`qP78yrQepaCyY-6# zk~7*TzQLF5#I!r`0nT0aq5TquHApia^ABCjHdxg3L}ML!=#}}&2%bI8i99Y zId%)2&v{%`jIvV;DpwukBN~Vx)W^eL#mW^OS!%1@JhulqZWO)(y3}5qlHv^>heR~U zwQmqtxtKa5oguShOmg(f{@RASaOKQ4oX07^MulEvf?IntwPb z8^^yH7YRT^I_{r{tM}udh^q#Ymh%QU8r(#a>fbe$ZeDmkXu+3dfKSQ&-+R041d|CS z*2e5o3bd}Ov2Ywel0HIcPBTDlZRFQQ*vEEV-NuP+$2)HjoD(7)b9hHvy5{cZ?(RRA zaBSXss?Fz>@76DmXLoizyev zrN;DBo9+Wr`*9FRJF!L?3AcV}w&uT1VzpA^tY%WR!B%3ma^oup1ZysSHPHJ=Y)2FI z0Bhl$KP1Ol!9Hhl$4NI5WJ17O#X8)Wu@Ho7#2oMa9B7?AUAw-1K3`(}2wh*-hJ7E8 z*t%=2B#&b)gu%N*42<-syx>0`L}EC(kI&>61}}(({V*piS`;77sWs3e#BAi|WSp^BqTU zWU-ny4uLbx3LS4ZaAt4xSk>Jf{@=3m%02w=!nqNCA8l^@&@^fQPBH zva;<5THA_sejmS1Y#ZxL#S}+Ku^jSqW<-hjN+vg%O6$R`&}Oot3%U$QNtsuio4xYQ zfYMFiGjOXzndd`yLC5l_)0r%|rZ^K*vjs?d{u-8?(#kI1X}|MeJ3YG}GVW{s%b!#D z3^G+xoCr=PP+#Qku`F9&r*at$!22U{g4Zc{KrpDHt6=&v#VMX~1F9SkNUlmOMg+5{ z42pI)ElTnca3Wq1or))xkV|MiDBMa8I+YG2npg;z4V~Rcc2YBg?8et$Q6I$-@cqMj z$O8an{i&S4;%$>#)1R$&L|Z%YgmNxo$q}~78i=rFgquOK(K}AJ_ja5dK&kH8r{~C1 zXfw4}Z%nSci#pO0Neh{VNBMI*44E+umuXaQap5gCpY28HH)Z)Fn+8lOo{%EbpD;`` zXF?Mdc_B-9nnNnz!hM4?nU{VgQ`qbkI0s8d9$Xkkq_qwCg+!FG#Ke4@6L)a|w6SX* z9?o_q9?3Rd8e2(LHLqJk0BX{g4uVu_4#j5~VxHw95;!{PWtvMW(*lr`X`{muv@8L`-*<`0Hm4X8$mKF7#kbkQO9x1p zoG9+$X83c2=y88A7ku2XSX-7d8u}kK#4skF2L2{A8X?DKGa>o#0m$dlLZ}i_2E&*1 zw1b!GD(oF-R}>Qz7GiZgL}68U@~z>XK<4qzZliC|Tmr4$gVB_jubd60Iiojxp3O*_ zZg`b~Jt4Xy;Lw$F*iO*Y0b*J-+v62Vt;PtBN)5S&h|;Q&nXXoam{H@QBk2vvCBf#$ZO&Cf zqEV^mi!^zZGv{7?VwYdSq5JPVKQv;R;6Sh_ze_5xz zl+txbW_sseXz-72y^ire>Jxa@;LPyobIo0G2FQe{z&rq!Ve&7|?W) zG?AN+HQ%;P+#AqxJ5WwySDZEfWJ1p_c9^zI57%ViaTS?twh6}cdHjutq&__tOQ^*k zCd}zBXii8NMkJwo?%CHaM$`t)fs|`tJlzTaRPPDdsVtKQf0hrKL|}u&Dw3A-`8mAu^p|PzGrykq={w! z0(;hdM(`@9%luc`ahU&6@c+S*EUf=gVqj-yO&d@FMouaGC*|tV(){P-?4KfqKH<1_ zzms~Nl?DV$m=Ihj4y-4Xr^bQq*r+vk7OUj9Z>7|t#8PD{i#0dR(ClgXVO3>a6@seJ z-OGvR*X`@c^~uYa(Th{xTa!WvRZ1-kg2E_}X!zAn*wzgFF4_&dxX=09U0&8l+>Kpb zZEoKm0DxlItqZ{ILVvhBbTM=7h0HREK!vte7x_1aUI2B?U43s^E}#-frRaI`unuDI z`Tgp|qvx$5#jm}m>+SLS4!g#$-Lu{E%i-Y;;d95w<7b^3OtJuC4y$UhnM<}z8Q7zw zfJqPBRpl3zYSFcrCTfcC7i)h9_y@wv3;T_J!Rq_|QyJi6OR#CS^PF1s-Pv3F9D_iClI^65j}OF8lKdCIRl$94urqaFJ{8rt$?RS@Cs?vgTR4U8oF|H<)2wG#D7M zt(lcvD5j8u<)Y=hN!bgf;=L+Lc$$}Yyw&p1^$bBMCLjq4Y{B4-b7C*Bhl5#I2;8a2+$ej| zo^8i_k2VythTV(*YS&*jYA9^)jR>iU)8D{>``&*4L4AO6@3`CmSMfJUG#a=AubH%p z>>8QOBE~q3W9GJK!J{$m>W0ZK8Q`mwsjT!26bnFgzqh*06w2w$C{`scO(8-^p&e3g zlG1e0qO|^nxafY1=NATnub<${hz$~Ue3b-c5!ifIXn%=GmqBs}#A@Lb1F#FWM2};` z@Wp%R!U+ZKag)R2E!cZnKjF9JycLG$+~q~t@<5-l$L%$i0zg0#{4*aH$9(lq9b2kr%9Ct_5{)L{X4CD5Z-mvrs1EMwdc$bWiNe z^HCIQ1+R!Qs4pIe$eeJ)beQ%ybew@JI2+(vwk+URKDQKDOG8{eg2XaFf+bFTF2E(e ztjg^EJFIv{;vfrcD(P%nQ~A7XiQ98KwV!FinU9z$gM9z7nsK|I5t||Bh7Q-A)W}&h zh+nP2{6W5XTFzA#Mw$6w+C@JLq97LHW}lmO&*{UPN%{z5bW==te=@Oc*sNz+7RKcgv5}-Aih}O9#a<;!cF+# z?nN>|h9rpJ@b(PHIEG)GR~9OS@7GvEN3$4pKcSkDI7)UCFd4kE;q5>Tgb3|u zn?K^Z)P97|{IC(>1pS2U=)kFP$k)i^={4jk^mP#I=(@9-i<0tH8;}eD@&b(eyp?n? zI;GKti2N!K8A$XW^^QEAnUH)Y;fhG5DNRAG$guU4(Xwb&U_v==P5SQXit*>P`ASr3 zro|{|r8o?beg4Bfx|`ZCQR=zu#nT=QKcsyXhSgP66Ic5aMfIrA$^T}SX|VmJr3+k3 zM{=&Bvx&@)26mB&UuM+?w7wL*#9V8dt#kCsVO!|7&Y+W4rb6M!);wT}Ok{`R`fhZI zdz||3hZ#*8i#$-k1tu;NRLLY&Sz)FWo|vjO4*#7apDPN63{`9H)r38C;MvESX;R=XDH&&qu5TFc**1bzk7OM-u{MMxSza z>$~4YXY+Nhb9;ZW<!$46 z6p;UxO|AeuAjvoMrj2Je9xSY7o4h8| zt92#3{_^0@X4@LaBJik~`KTCMeFsI0mS^Oq4!Al^aHo#+t?cZRoWaWMTjJKe`d~R= z#5-p^@%bSHUqAdU-g&j1){Mt$ASONxFqX{{JNC^C5v33%OqxA1)Fla_oIR>#yMyck zldP}}4oT;DGKEbgjfjJTv3la}6*1smg^V3xQ=BENdNVCs>tXfDhq}esL4fY!$NB$^ za%^n>o*NlB*jQ5u>|rpV|IL?w?>BG0hq-L^b=&=afkphJU2PVAME;h878vT8P3#k) zS%|`R=1A=pTXdVRo`VxZ3*qPk>$(`1QLH;y5Am20f;TfKm7FcS>sUaS$$D((q+a@n zFs*UChTjI)NS2*%?iFjVZ*I4>rT4kz^WS%G@AmGVp1*Bxo)fk@AXLnIf6OA$0{! z#D>^VfQ^2zhM&rP-P9qg;3MuCFqkLa;+nSTP=tC#g{_|5*SS0{AoPqlKVUo(T-jyG7HKvdxoJkw{|_AXt)^sXu2&Rtm*ii0 z`$~U?b}ZWEL=aGTWH)EBCqd}6=YFRV#TN$0^AWq{J^_EfGw_;VL9?j3wiF0|1W-=G zd{VVyQ8OAHppAFmm$;GAS8f*FBf^-Hsb=8a%KXSbnBmq50@&9f050?DK6Qnc%q>O3 z(Cw4Taf6f>;FxtreDCP0Yfq#{{80cb36F6eD=cDkErh%B#!^(to zKlLeI6&5ZYl!uacp8;a0^>VK~K{TSFkgTFE=9gU1ITeUVpl$s5Nv~KF6!*_y&g%+@ z{)oanj%nrhYiWan0Kms~xZ98H?LysJ&G`u;?l;}}44KrE6`Kf5jYBVSN|JrTfcyoz zWeOV&i&c;cpLLfkxK)cZ=Ma;L;ACiqgC5;H8&0N>6{->_k~VJVc;vk1wpeiL3yL$6 zcDyz7!qh542+KVpu$yoC==`KqgEj3Dx!d576=(z+`)2s90DRS{1o-y%_Eg_TlDQpg zMX5?6d#)t8*jy9rlxYL}{+bQW(IZ1Cfj>nB!YUy0kp!fx%&-|H(PNQq?rF(;1gp-V z3c|n7n+iKR`Fy=R-((|lV@vn@PhTG8-g^K(9_PzOPIV-Z%OEJJyEI3ge@M!!%Y^Eo zO=8dNm*sw1MG zho`&i_nW^nJV^RnT_~p-7mb7W!%G5}G9d34oFu12&B^K)%4%T(7vKZOlyJk9-JOvM zGZGOw0dhZ#U^0C^{iO?;P`vL50xw|h;;<^s?{nFPIg|2V;G^82D1c%ixQYit8)1NpU{KGWx)}0S8t+hB0UV=nlaw+rB|zP0`*n1P z5GLuPf(wVH8)(Q_2-pt6jw^%5Dvw`e-@7p*I;WGEz;0%sqzf+y9iTOrqq%m&wUS)@7_6t$<(rGnDj z09@g7^Wta7B<2nj^33xqM~Q+X6MU>T@dCuZ!=3w=`{P0gQ*H%F>_nEia%F2MlIFOx0 zUlZ=HY@#4EcTIbA?nnwTJH$GF&N@781Wsg!Dg^SrBDM|()xC6v<-%f7L;QV~Kpnvm zi2*6zl%=buth7xunK#ulfd~?oHH?8n|mJP>!c9eTcu@b1t-W+ai`hb;!v*gCU)bP(zQj zB1S4RQ+fK1XH~sFG9=oQ(Z9K94^!ixdNt}rV z`cs2JtsbD(00!)JCJ`GBRbN`5HV5a=b4(4%D<<^}CF>4+#;2_6sF!Zy{PxLI$|l{5 zk_QfjVTVTSykDDjyZy z{`UUj?%r|!3uf_EX@oZ?E?E%7ixJf##_LX9;2CfLuh>WcMz(HYnN-pG!m@*aa&0ZT(lO;bq-kB*lf_;l;GYJj|hdtyXZ znuyh4w)gQ`Wmuno-x1F>8~*c83mxgjLw5>t(*7E(;WDUtW05Vy+Ul+h3-w@W-;78{ zFDSrZe!>v@aHS;T{I46&j{7Dd`ch1j0@4X`*&h($Z6`S@%u}6*%ln6lZHEM@5=P3Di6jmp3aGfw z6U^C#+a#qbOyZR-p$QK;hKEELgE;_yRv+(9aEnF~b;u`H_{aOAfkh6vp-{y(F7+6} znG=NGJw#?F1X250yRXlVO%74Vb=Km$v{$8b{g@eW}b-? zx1q9!7(TPrzd7bJ%Whl?0hm25k#QKrc(ZR{2`WWY(>O`IYRWS|yt4u`ba) z_fdwNko>Q8tFDSVTHRD>jfcSV^UxoQ)0VHq%(~suN1YKGS!H=Cjd%d!S@Xg$Bv1R~ z`~KbIAKgmpAx<=^?R92xDw@{uIwgd56i!m)(*%_lD}-9g@lJv@)4Q}dpg*Yz+YZj0 z+9;)3+Gd`)H#J~ZTZy+1ku|x#DMnu`z2X|b*y7yX*5T`Urz{G~E?m-*;$;gJtA4}F z(@rIgf@I!MkM%!dnfm|*Ch0s@v<5sF#euB^O!xjVhOd6R1K=+*g17Xasg zOvM`Ls!Llf@Zj01@qOj>(!4xTQtJf3$LitPE}vIEuj7BC=(TJrfH#xBTwArQZ^>xJ z+k)|zb`6!9W{|6&ws6>R3w7OF0Nu-{N`&a{v!|<@$K69NAU@of(6{~FaeQYTKE7^e z+BheI8Bl!M)M$)VPXQPbk9v6{88vib)Vxyy12F^`Epnv1JTbV4rGpbZx@G1t1z{s* zc*pq{wrl_>1RAC%K)$LDs6!9CK1$5mIvyi1ERuB&8SSN#X0Q^{N~&^2kif*<&0~WS zuNA!=bKug3XtgGR)rF9`+Od?*Ni?}hRZJBLR42JD|G2L<>k8?yxOVb>vKRDlvvgmN zj9P{p5|zUoZ(OQ#{djn|e_qCH&XF`Se^hCW$e&K0|8SQ8kaqDIH5g+nuBF@>3-2BsfwI* zjHOB*5pRG7@K8F3=Wgp5Xg4ToSLC21+5{4EonT- zOesY}(3}QlTob2AOeuF37ZnfNtZ*8-3nOh~ zF;jaaKf9cUcuR&tn$)>d(je>&a`h5d|KUzF0QELX0lP!~-O zoEQ{!-555V zh^a;8EDg`wy=XKRJ=sg;@fB#}!ohGMKrOU?GbCbd>G)kEwN8L{RN>7g_I?E5(e?G$ zeO(KL59n3N^rLrRA|ZLfbVn|yI6C#^cmk^=jju_{%>=iUzOf-h}Xu}j)mcAi>SX>NKT9cuC9*DlKlbit#<(;258zuev5WL zuk;8`S_NIW-YUSHcG!}^fn~gA5Q_1oo!n$bNXotP|54~%jioFVCSN2aA>XVf@HF0E za8FM~5n7ggYHw7*Put-=_42Bnr)CabCzlD+7%OS`t#OrQ#R3qXBXfIdw&+}6sxu!$ zmjM8h!T!vC{OR$-$?-{m+q&e&R!!?D@Fy2H?UEY|*=)Fw(vq@UZDppa%CCyfP!f0Z zLCLoD&eq7y%{`T-&exlVCcsw*6I7N&ZYJ|+(U09AP>Plx1z-f4^*|8F*j-y;rY8|F z0*;?~7B85-y3nC5#qzCnsFLOeK_Y6ZRs1{A(Km|oUR|QkSvP$EKO`>gwEkY?UjOTx zpPGp1KN*dJLd-z{HK;m6<{OuEZgifJ+aJwX;4$QEwRMf69rqy49yBZ^8-J2aW}Pj52$jHCgxOc83S& z_T;S@3?wle2#oya)thwx9JZPz2t1y7H;VcVv2*$d5jub-&ISP-b(b3fDJ52tjff!$ zDW3WZnJ{L#kjRMkJNdGqOnCpGu_B(5ImSXXE3Mz}$Y5Y3O5AKTZL(6wEwhs56x|K| zGo=*jCus(hH8e!g?0Y`#?Lqc$|KrlBsvLWMl5{Md>4`GM2~VmEw*>}?WzCGh_4X( zxCs6P!IQCGl!UQNVkLDKPv6e3dmMWEvQF!dYAAq{)jVnN3VaNyfjSz=Qs=x8Qi%cJ z;0^X^d1=Xph2K+fw-#A2zn@L}Wb0v_t*?!pNxSeH+q=5Nun-*i8No}|80j%{#YFIg z4Ww3e-l3raaZfqAa+*jc!QP=L_+G<9;jLV}xUdB4`IgDh#D#dsa_S(d^kdKgV*z}= z&KA%RAI^#i?+LBsPp=4xScXVlYzN)Tt~l#W5ED>7@!N`5MWXX(Y!7O^ZsGM+k4SKV z1!T1eL>j~^+xR)9crs%Fo>sER8&}3_5LRr!roD^-_(JE;m}H$aNQHakAzEPCEe_Rf zdw?bV$Kn&Xh`T<3DAmx3DwR3C&zV}*nHf-N&46Eg5!5-|ts#?RPz)o?)Wp>~nkhPl zsw^!rj|)bYx0J@h3W+hRM%XNoL33pqXG$`M8GVHUf=$S#6Ai+Go!@M*m%=;qc=JIq zFxj>yQQJ{ij<5vKmUeZ6@kn32{A=G*2KfCHs*5#X>Z4Gh7usWlk z%i-lFqGGyNBHU%HI_8M+;O_(D*a?4AI<5%b@S+?PTfc=E^~GF}33hXG`+9cpDGc2q zD3^J%_}Dp3XA0nS6>%o7;$0cL!wbooVA6)@Xyh-8YgEB1qb#tgsu3B>Ah!W1KJE@G z1aGu=I&QVM{mVAw+6w@#&ae=!|I{Dt@lafyd?&7aetyB&Cx;$C`Jq0 zPe7okAAL{PYtv~)C`v_-?tcJ~sz;l9$Fpm13**Ig~tUi@S0cjUyNI92Z zq4OW!{5gC(Z(1Jfx&n{nIZQnCbrul;fcPzdaf=1IxdC^gnwvW(L;( z5kz#W|Cb=*doI#u`R2)OR{vKJ0r^+o`9Fe)gA>zB^QKl*fhBAU;VGOu97PZkbO7Cy z>Tsx>TIe=@#6RMnX<%q_0hM4O>cHW?&=;>R?f1Vv4y`M5RgIT?q3d*PspE&MZT&T)EBYnPBm~()(#oDgSt(29s58(FN4Z4OG_si3K zxth%1Z7HQhqa|kivu(hSOOwNIIO1mMxQ%!R?$3ca(VZW#;=DSNJyjkK`K)9%`c+HGQt-Q90->tAh-Geehvr5g;BTZYw`B)`Q@Pwg#Tnvjh;{{5{vQy zAP{g7TrYmC)fbz&Q302~FzuwIr;FRO#T}QK3qRcdczbh*(6P1oeD(g&VqGT57D3Gr z+UOwUu6kfEq83C>V?dI>sld+@8wSNFb>uz;o-^*De*i%>8W(YKp-$|F>Q^)sQP{%Fc zbw15mTZ0|c?+<* zvj$H=g58gZuaX&}EVw`fS*Ks-WM0Z{UU^PU{Lgnk27ol9u%iYQ&dF8 zDxyjf~XVh(UE9+nwwqU}vSh+hXKZ_WZ zEC@9E1z~jtn$oR=OhANWFXf>GR12v0^&x4&4rN?~H8X}zbP)$OrzF2*$IXzQ9;VQ6 z>RU1WNfv`Zt{erdIK|*NcHCZ5jnX|6H)AY_1 zdJEMB>5ZUaZ?g6w zrp}alGi6D5Bq#mG{Q2qbE-_YF1RqjAX+36Fv{BREvS-VCsG?mJK zrX}MokyZ31vT@EcL(@?^;5ty4W}XGf{W24fm$aOn+np9x!zBD z6qd>nFwe2QquLc^rxHOLAT}%PKUrLm`#|RdvrvG@S+pF`lui>AnD`YP#<+QmpQgxB zSB{a;uw_lI%S5OcrPj%LVY@xI)(B+eCd@d27IL!zJ_+>%=} z4qCwZ>i5z=$TT)Dwu_vq*7+(*O+_;LW+P>fe*#LXPx*#Q5^BmmcEfme)+dD$96BZVx_ z^BKSSxk1f!OJcTv5<{>DGLURJ2m}bEJp%@po9wy??>-g8F0Gn6 za}O)<1O}JsrwZj2ve}%J8q9Tn&o4fXPG3Kt&X$iC2SYDc@u}*OqvI~efSI!clh6C6 zOEVjDdvthmE^o`9-+F8Ddb~R{YPSI^zP(z2@ca8nop;91XJ(!t0ReUgKakI(nIdo+&ip9@ny1}R6iw^F6yHl!9{p38hqx8M zkE}1pSD}W%2@?(H)s}+#sCkGc=Ysi)izXMHd0e*+l%cmkJP5bZ)yvbXvxZJlR>vb- zDoyRCL7$WI`PGgPEew7+jFyi+Kd!5r?aA`^reyIL*CBCNhJfO**~|wI2toGKJ|)IJ zN|?i^`~LpLeU>Zpd*|oJUDJ)^eb-_Ds;Ax7(nku5v6Im37fV5YN>dFiczH$(EO?x~ zzj^;MSt_3t1A~9zESsdh9{&rkFIcazNfV0lH(5RROzhjjJ^Onu6+Dn7%lhjv96Tkp zX$rR4r(kh`e-I=k(tA$E44X%av%_!H^+CxR2^gNZBZxSSNpO&KoKv5v(3KxRLpZKK z1ZsM^cQ8_~jEb0o=|#||FE<4e-w5yo0ft2r*|8Gd`oT$!N=4i>HuT&CFf}w4vI;>+ zF^W6n-#in|`1+Ma-HK(wWCcS3p$-mn(?|<}uL6GrL3p8gfPE-Qn<7b_J&(h7c z%98R$s92S4g+vXqk@$es*mnmgAEKACo^N9MJMj0yHjD>Iuad?Ak+)F*6tRoA=ES}l zjtA;zbL2oWp~X8U2IR^Hojj`vi?f!lnL2+~}UPwR;jhGVB;p)I$*_@BTne)=> zl)J5^R6=mHp(#PP!Xc+uol;!aCu2h~JfDg;X;kwk%Q;g|?+b5W5>44Q2VEmPnU^ww zX*A`s3@$Q84hXPfVF3sL#Uq8>=3H-nIuPQ*mdA@hxu-puE;Tundr&-3pC!J=aU^- z-BdYyY)K}Lel)fgCqhu62tLK#qykJ4aotIBqtkytjI5RTBl(GmGYP0B1x>goHs-_4magDe`6Ln=3tCVL7uz4#{1Xj zE%w{MWSz}qVrJeCECwzW)%MBpSmUdO&al&aKP1h|--BqJij|P^H^_+R0t_4M7Y$W& zNz6>$wbIlM!Jy(!z3}Frtyms`_6O&DT-c(<1|n||^6saAaQMOMOyLi+qKNFhZ0-Id z?P?H`U5J4yfdkc2fC2a(mo6qYZ7EF&Y)G35CWf<-85q0CZ*^M(!%T>V)QyF-+ecR0 zoT5@u=9gn-!4c}{()`2~o2l(TYo$SOx)zFAxYzBCJ{{Xe)@2&JrG=?EL6`0n2viNy zwpA0VIPN0=l_kS=&I9GLD)c2D?TU?Jjhw6B&*=`66M8DEL{gnUNVRTFk_H*8OQ}zB zG+wsZD?9A^@7z~fn;Q#{W^JZp3&70kw2M4(fqu5gxX(x^L;WS`SgR}AI<$f>TTda& zNRDoVg?6FNCuHMs#!>Wkp!H>mHcvLpKyNx2|d+pgwmM6oqBhzX=-m>wQC z-vu{Sb2{ya$b4FqRr9;9riZPan=*{t!Nd^;d9FFkqc z;H=^MPbSIq=Ix%)uM|B2qG`@R)o>huf4(QVo!9hY|+ zk_2(n66cdFYE?XT|1(n%vQq>F`;)JvL4cB%B5WS+08@^ws3v&ov% z6s2(ut9GHMv%RAS(DiePhDsr>=JW^`iy&^0KBrBHS)y_Gji#H{rSZ;I-Lc@FI&IW9 zK_4Y;?}v8d{+11apQbX6B4z#+_f9UV79hZ!X5zI2X=w3(eg z6-Tx>GH|BBxkQ24#T!w!ytF|jWiu*WgQjkMc(M259ogc4Mp#Cse*r;829E#wzF}hg z|BSFqO#hqz8vP~)QM=BmAP-m}I`ppLzW=ut56k%bN4hXSd>~Gc`zUOeG%CXP2hJIb z1dVbd#ZO*XSdAj`MWgQGj*Nn$z1%^OW}i>*&e#2RbzgOwPfB3|Ndg~(@UfjT`+TqM zEoxscHEvpL@AHCJxBHvN&!fA$z5cV(4n#Gz@s2M5!)J?I=2TXzym0rp`8`-@oOtgwfXi8xuQcurg*YS@n{c}g(RiG@V6)`yCH_?(Qpb&V~2e& z?Y?xLvr?SR-oA@)k*WiyF&g8Bq(|9*{rdbF>q0@V%vP4NoLpFuJ83HB=E zfxq%}D`61tB=-+bxomtV{1e)qvnU>8z#zk+1fJmZSCpoaj%GZ+Kc%IzPoMAOw=nYi zhBAb!vfgQjzy{&QLgT#lH+v?#vcRl}pwNA-qkhk)LwE^Sj!V!gQ;X7UP5Z3{!$8)&mnVW)zR8g_}BCu<9%7d~&i^!$te`_t~} znkUs_a$yeSNPXn5C!Sdq-Q*M>@gf5t>X#dnL>5wl114~=JGH{E7GZ7D9>r)gRuK_d z^#W!L<-vFe&kNqc>Tp~8dhr{x7rjBJ9-vTYtOt_!3}?zX0^p~t8>brwUM*MPFd*B8t+QF-UJC8_)=_NLseN<+^@diN+xZ9uLT-|D@xelNtm^2pbTMgtt|U{|lytE)w2hh} z)zD|b^6E-^B2BcgMg3ay?3kn^X2Uy`ltn27>9a<{Wn)Qz@;CW*9wkM#X1uh?luaxAllk|QmqYUEE?z*dp;`?io-($i zP;NWT^o3Kgqs58S(;?+PMzONe@B5!l$w_H=m|jz;^br_kS5;uG1Oudif&3(K1u)h} z?U|^{!Z_M1DWRg|Vwl}|k&t#{tLrKF0;W#2hBwFcZlV1@#%$ILimN)OWT(htE+|T@CmP`Ck zX%2RVBW_PfdN{rq)f9XZNPa8j8FUlo*|HI$(&(yGZ>9*s&Y}YF-iwurEWYZD+2w^a ziv}fI#$F`ZhdsD0Y9AJ@@+GcDK;t{MbVFosERROFe2>V)mH*U09Omz`_V0}MT?GF# z<1sTZqyi-Zp#U-bL%;zBHEgVYiXnd1=sC>T1?N2bT>r)_nlEQYG*=Yj3-U#b&L$m@ zIOA;kc+O7mUhZDyk+7v0YN5_PK1@$HGc^;Th~#5aTOTfceje7#jo2JFz4s!3R)AJP zlO1b#YPfNE`EYN2eO=$1F9|NKS?i5K(Bs|G(bMa;_Fci)J2C~dUVmcs;BDKuw*IW; z@4;|!cx!3nToH`ed-~K=KMg{^oV*N`@#^$IJGi{Qiq+%e^(h>P9Uk8A9Ntge-g(@; zFD=y#K2Rn zg=ZNRKK1e!sA@*ng1ffhr-D@aFhZ(2_f^GmZ>BK0AX}lP?>k7DSVGja;WVT0f4Zp) zh?5T>C%OgbKp=1m)JjAJ=0d`7P%4+^ksMfu8vGFU|29*0ue@>YcWb)yIq*s$Fiu{z zxE9yL1dPlHbg&wS?Az${%hj-&COf#Q8MN!XiXW!Y`Fz?I6WHc?|27_tlkC8BCZY(H z7gx6WF~t4upu7@-RIpc}NL|?a3lZF{-yyD~g#-{G@Jt)Nf zflUS+N$r~mQwW)_7~%*wOsILsu`Wni5(Wtgrrmr0r$1r zOX+Ieg|1N-*JWi<##Y>OorUU6D;JXG%UxUeBfA3)HGOfn92AahAWrYxQ=*kRtx#w^} zc9g#ugsu4RpdF|?`k_i_WQ9y2=I83njtm5{oDxWQ&C}@*J%;K!57k^3dlC1<>L7=7 zUN$HhJ(u8_ys=_ow-sX56-(GP814d6aRb??IV>uX7Q^{Ub1+K9u~LHlkO)`%p_ZTf zB}7T31Vv#Ghr$*}xyrFpM5311eH^!Hv&KwQ8gL9L@kevq`Bo`+9-yIS#84nogwRtT zH06aZRc;ymvn>pr)l14M8Zt(^$XVP|{QBhw*E7YVR}6C~vIbAd4emJz4$T12&4{@X zr+;JexiIM@d3oC>Ck(CF2Xx;CzkjR-Q=Mn3ykG1;z}<9(CU+c>43($hE-r+o`_lyK-aLZ$r{qT}5fhOj>4z~H+V zGkW)oU-R8z2ahilO#SMtOLhTCN={*2`66y^$Zy!FY7s9)AikF;oMQ$mVI2evFR zqqRDdK8($3Ae{qOwDtl9PgCx9Tf%uaVhe9ZbfpG~<{~?yBL?ax{Sp0Rn6hk~R0Qwk zGAE6P_4MUMWfry7$!WVG6J_b1TEBFQ+a|I>@IF#HH@=FML1`#L6rlh$$&wlK#dFeR zo~G5(R)GyxUYgMlN*RNeJC?-Si)TNqS`>q#__8tUx=xK1q@K-i%!?zdJJKdH?-dv` zuil#w?)~ePST;z73L+%uNS-Jvb%tX<1;sj4Q?Dk&rEG@T@ab+0-3w--8tWrqQWMWn znAl)dDEJg7qy*0HD$u+lzRg^6qk%OUwEKU*v{LRW_weJ!)t~<9$@I+34F3ldva>V& zrzf+}|NH#E_O9Rl#M@^QfQF3SJ}YAP|7ac_vSKHhE<;nSi{?3{XKDTe=@T#V^cB`g$llh+p-He4d)O(B&x#+q}Jml8b z`iFUa{iwnXF3i*mK$CScph->;jMRN22m=OGYZKJI>Z|FzKG+G``1$_Q=GB2~;1?LL zZ^rKW8;Ah-2cfxe6J|G^XzD6)6-M&bQ5L1Ufy5+s!MF=UtEILyY$g zz_&ctL4}tJa4vWbp`Yvr=o0M%Hhc;rD@K8bMQ-o$x0>BIVau6$`~?f?mzmpQM=Hdb zVINPbUH0pbx?SdVZ+^bK#SWVtwmB~WRCFN!J1=cib2XkEe#W!W%;NESFMa)6>~!xX z)4Yc$OOs&^CVPF9#VJF15;0z=@lDn6y>n>k-*Dj*fVvnwweIRK4qbK}u zC}UqqEHndSSWX;}Bcb;n(chlyO-IaMGL@64gHfKv6U5@wT_lJB5anUCh~q{$v;@N` zt&mK003i>u7&Mun2b)#_iiDpdZ z>&~HalgN3<}`G{hm^CgQW$?Ov*Kvuq}z76Aq;M8DPNr7-^f%2@oa(94# zGkRSHu9s{Wfv0Jnn~U?2^4x)aebHzU+iVF4FLRbewBB=KD4ZrFk74L=VRK+0nn@_s zs&T3Ug{M2og^XwHf|y8p1*3YWloF}44wkzx=5L}DTs#opvoWSIFWrSv)H%E(=jc~O zfc;N6Kld9G$gLy7Aox90LVk#*r2>-i5}b_Yj*--sJLR}q{H_VFWYvtQzZdn(scH@S zni*rCdyi<{@HC$vTvXH`rj}y;7K1UyTihyb15w21cDv;IQxS2930-|g_-ayCn&FGl z5)I(w!6pqaUn>a{wGT-wUg?L{P&&Q+0BHyLVbEy>^?RvBzF@3lWgGK@G$50WWFZV~ zS*#tQ+Bjc2ZyM)6n@Nu8GfjAd9CL>=?}Ae=8YkVah`2!MQx9&9HxbROV2o^cj7Syw zx{?)p5Ba~Q3%_H5gx$IR+LBOK6q4iG@Mx0mt>Q`gS_+h%_Y?+$9P*4n?McY0fMgJo ze_ldMCi!D<#4L(Z0?&j|IUi(J5c%=o^2p!(07rH3!K0{ROnz#o9xF0e4F{p%HInl> z?TWygtWXZ;Sl}xVYot_}I=(BPQCe|LU^S~RjM5cDs9f>K8&|&)d8muPJ=y)|rMsPE z|IgYyo>FMEF2zUg3;&1}TR@h`kJ?#tjf*fU(3|T~VZX7J3uRswO4wRCHi$Mq=>Bsg zZ`%tKO4u)7Y2}d#Y)i^yO0+KYO`IKMO7}|=%C>s7vYqY7aOjbYigi1JkhV)ZD|)a; zft^Vxoj_NMeOkK3kgyYCz>w+Zu$?6HRS; z4Ou~o?)p_mfGv<(JK5!x?=p|8GPa`5APMplR?{Oc+s8}wXZ0jZ6g+`OX<30JyyNk?CROQ8m6{aZ;9lU~xgC zQm8#*m4D2)Qx^#fjx17=El2~%B9^pfW>Z-@;m8pHR<+69+y0Nw*kQxBj?H(KFu^3j zjy~Wd7cdc((B=Nkqvh+!=}h?Cj?F-{4SJ$?+9b2;S zTQZ<5a@}oE#SR*A@baUXUJ$y82D>aDS@k4yJvQ^o^**E-Hp?WAmDNUUo+oY>8 ziTXRLp23~6RNjzd@cI*ml>J>eK#BEt8W@H^H&8_YkU>WpzgQfg*C%;+;7EbBA&HFq zS-p#f6T;d54;1u(00Qzh#Y2qSZAT|+ttAkhk!0cy2?_03k_SrQq5lG;k`O)6);&NC zO?2yx49vH>kX)qA%s!Y3!75Vp=NGkU*mb`n^UDQg6fHuba#!&iXH$SOZJHAcLgB~VP2JbKZNc|q4z*1&J$;#Ckw9Xl zfQ-z0F$(IO<}cp0R@rUOL%nlPO#*-{b>HyS@dpU(=V4)kc`pcMNyHgOhlh0AUmNe| zITGL$#pHRCH1--I1mzXkHMiDVP+|}V%C`7r<NoKM~k(^ZzDG8=$J!Wg~} zof@K4kc*{LcfgVE%8f>YknZ7rX~hpqxY%TDtZ@J;cmSCI58SG6&>8?|hP2EmD|A?M zI+)9wtu1aV%1m0%`^8#c`Sf+>^^aiG?lGIL3LBq0IH~tBRVr{+sd(YUXpzQFG!vp} zJ5p3OSLBbBLe^OjNnoePwk5!@Y?d*6z}~P-=>KEuoT4LbyLH{MZQHhO+qP|1lJ3~) z*jC53Z5tii_RjaM{jasg*!!%;TcZx@tcsqS(DaJnt?yR z#g><1#6>*Bu}mfzD(PE(ZsHL4%kV8IGTVZME^M;pdU~$N7MV8G3N_C~;Wv8L;qz*1 z&3O?L`D94~#!p*dT9K2nsY!_&nKHJ;EEExoQAO(yU=Js+gNIBaBIE2d41j`OF3Ovd zF&tggQ4wK(1BTAR*w4S$y9H2lbjVhu1Kf-em&lWACqAP3Y*7)ZzYHYk&T>lqQexD> z%ca35q>@$Ky&~osWkMbr&pR-F>lCJ$Wyj_AdEut{S53v}QD@sUq_;=OI1af2?Mzkw z@&gLIkW#xJ^~Az@FdalkHUVJKS0F=W{yxD%=&ZF5*#tQ_B*G^Z=2h(%eMtMZZ|f(` zHhWjCjl>rE@-X1;ovsHf5trpEO*BRgrPb|8HISl`RivU#k>_c<5`1As3-L!H-SprY}!GzJyFP|dy73wAIcW(%gjTRs9 z=?%_%2uZ0<9trY?f2VI=Odm;j8|j}?rmob~)M9-WZ2?q3T_w%=dPD9;f3RP;#_aFw z;Q9comwi+@b>A_bi?T>}7QZo|vV)E&m2&Bfv-bckXh?IXJixrmL9plYGz6;xA`q^t zdmV(!=#9mt;qOF5`|ebh))K^ka;G~t(tsW3=pUN-x17>v^5n-_poW^tWhxn5z}Glt z%9N#lmUOnRyBSoIr~d{eKXI4hG))<8O&#!0mD3}uVL>4jS_Hs%5kd|tx&F%I$9t2{ zeie$VVvI3rr3X~t+M8;Sw_!8|C@D+0S}3^o&*@qQkDLvnY+iSmC)iG99*0=42+X!p zPNyA*EHl$%?7+ynS`lU+_a8`$WbiUc6J@i{!pQ`>J6atoK}k^Ui6Iu_U)Z6I(Qn}u5~C=PqBV)9+Dx9 zyqeYtym)TWTjIyrzc=1(fV^5EEQ+qv^L)7PV`1yApcrTpT?~II}K3)`i@SK;xMg>c3U+D;o%wjDzEU z!nmyLod5An{jW}k{d*Jt+eAVRu-_L&^vkKP8Nfl7-`==L7LPwPH!Moh#0-ZR=nvB! zp&q3tf_(OocU#AA*Skz38kZgzm0dn?eJE40QySa78eBX*c-XnTUbm_B@78)(`lnhh z1}D|s%cD;X=P)!!=5*6%WAJ=K8$M1Bx2qFdd^}(7+*&)lyI=fu!p;C^4^52$0EVZ3 z41%bcoT+tcwcS)z*m{ym=U2&dg#H+a5z? z78@l)f0kO}`k=^?8iXUWRE5LAr{Mvs5lI68$4>nALuKVm4KmuCc?KEJ(j6ItaWY&S zB`9ZVQ?Qc8YwbA*8UPid9?E=4wG@f3ZhfQxZnE{tYOkP38l?oyv5pa3CEGoebDx6N zZ@M~Yiv0zupNlxmjXQ z^v=oMo*hJJT5vzNUU^u^ne{Z$&*+&IH7bn}PY_yCXP5jTm*`_Yo%^ij2s&=7;mbDV z<@F!zNs;1tZ`Y;|^k;qMx~kbOfn()mVP-B(C@D_H)Jx$5F-9Enm{lwxjizwbNKDL} zMk^MuGRs&^n*ec+Fb|R?!EIuD7|kWEy9Be5rLGy2H++g_p_N}_><(!~!-{!HEM{3X zBr1$1ckAoz{RXksxxobR454o+{YTq38@}F`Si-jpn9f8g%z2O}jw|UvI4zQHqW%MM z=!1{k%?UL&@ZWfqzaT;{k@Nd1AnBms?SwyY;z$wAUkR z482H=i73!!@j4^xrxm4wSqirGA>x;NPwaGBe*h;30fQDl7G(v@%nb|@nqrPFxSedn z0^>(F%zYxjYAQ!!YI<>%WQmKISx#vx6`$s*1a+XE{+)SHg8a)vN{%X(C9j^@Cp4*2 zI9pyY;sR)Sf(2#Xmz+{|{zXuJrGJWt&>?DV)VwbI&7x8gT38`;?N|{<`duiX{6Jjp zoyVsdTWNQ**NlpL0`R@9iW`&=R9e9v&_h#*97EP>>gGomFk`#rJ+iJY0iC&I7aqo9 zyr{zY4)>Fk6qMseoYtedHF*+pL2h*|HR(Z|A_2(p`$&yMj+Gox2&yTG&Gt`^zeKU7 zY^3!5j1r2hp#tT$^>eC(dJ#AMp=`jTUKp8lnu%wYl*a;fo$0oS;_BFUO>P2Us@u;P znX;Zm+F>FEj3q6aHic0bbBg$hh%7W}gP%i0_eC|!B18fMDB$6AR&+HF=7qgb4&!elm<1JYRl;g9v?dWdvA)UA%;GgO7Q$8@CYr~510wtt zFa>LdRoIZI70P*}zKCd5Id^x%K^M8j#o%m$B?9O;@~u!TbPrp-zBmig%2^a(@_Rc- znQ|+p)CdUEaMK(mi13>5t)2Z&@_(};vjOHjRYNt$602DPKVb9bZI4*F8~F)Cx$g55 zGQethBK_)2Qj*vxL5B{Q7b^>{SIu!f^q;G4o{|q=2VBoqUQBDuS_9?ktnco5^|;xU7l3&3VM*9%u`qwH;r`<0tgP%3g@H^jwu4aGp1~S<&caw3 zdlS8*m!K1&GO@LEamvMdk-XYHjIDRBTUb1|)5W8ng=~8ZwuEkWa%F%}irXaZ&soep z45fct1wuhJB;8}58O2s`+Y&p37Xk>O$?0YS*h~+rffCE#+Bal^1o(cWO;rByQiB5g z;oNxQOT4TNSCe`MjVa1VSJTF_D!v1TdGs-RF%#$gjrBq{)|t&Z=sJ`!<$7U;fE>K` zDom0U-?oHk^0y?_L>OZ9j;k)wuXSeZNXUmpiAPo24&>&V0 zj(=xiliG3)-$}5}GY!OV1gy7(fK(4-E<&AUzz;c_+|Yc8yxMtCr-U~6wa>Syi3HYG zYuz!vHBE+3ernv{mEA@MA{%>VeS3qvA_< z@qTs(BRpn~4~xR4zr%IQ(neZN={(Kq<0~;&)uc?fUF3BaM(V^KJGo2QaWV}OncDOfLu<|i!;C+0vQDlt{!2n)j5}?JXiI{bZc<5FEk@W8? zh<*QG*7g@Lsw4AE$~zVv^DS!VWpO@Cg;4Z)!k3+r!3~dK65o6S$>86jYyblJOXf<8 zKBL%1N=_ft>|^^lBVRqCNM4PR7IEdr=Ps?j__WlisZxUC!c%qZ-;0?O3`L`ynkB*R zCFw+b-6?Zg>Pd>t zMkZY`^w>rZ8z5o7MRL`lR~;;Rf9H}&xC=JIf$Oh2cf}EUGtx8@NB36Om?4G_k1;~| zFO_pWitK2<`3LsYIsJ$%eXUH#PvGl(MbT^YF~R@?Gmq#}DT4s~#d4y9)*w}X zvAN#FL)C&QCJA*_;u(G@KsMKR#1PA>@09k#6-4yY;w2{M3GAwrA@ztB=`P=#+6k2w zL7nFGTTYc~b9f3Z&H~}~lU?>JR$6w_3-cV-AQ)`*5yOI}%A(j85I4Pf8hT5sPvejTJr= z`>yUc5sNQc$&BqnPX;e6{8~9QbCrqL-4WwWh*fXs^LvZ-W`3Qh(;#mp=-6AW=8zDh8KDWt8b zf8mq{QG)1+(7aH)VAAgTYJ{+8-so00>vy7bnl?zbw(rfJM`C(*&t8%S7%Bmx8B(13 z0^mM0`mU?tV?B0I!Ea{ssQHU9;q6;#!!MG?k+`-aB?z8SEv2M?Mp~#CznYGM!Ej0u zhlH-Kzy`ixeXc0S5csZ?$y`zHp1N()8bUXEw~(GMN% zSnvOg52XRuIZMv4suD8p~QK9vn{$iOj7;L zE07ePN!^_yje@YrEQh7_x0&XT?OK$65cfJ3LQ)p*GSmfx8cpnm_MZQ)Wdfprv4eE2 z!|uh&cDbC)euF$RYdE-<3gOk#tEDZz2_kTXQsm2V zAfBAH{`_5qGs{cE-ieidJek0TaL104iI3kr@xg$gI~ntaT8Z(<%tg+NWU6O5!rOye zlKBojUay+TyDTqa5p!Wk&Bgj~Wl!aJnDUl;f#`|Jb-Wjc843P-%Ej(A$1veq?QkP1 zDm$dQRd|H6>Gb**1lm)C3*i++`swi{;I{Y~Bb8Qm^S|mQ2giSAQ%oFOY$-}s|Eq3t zvi=L(`-Wmf?1}#?6S+kXD=xnRHi=_^*3ulYhy6zDGOnhL(z~p3)|0-xsWy|w(l64D zW*C~AjyvngKXGlU!F`j{EA7Yb#)rQRUks~{7vF|2aIAeoU30{{K4bI9SMM&pk9WJr z2JRUZj`nXb#Qc2d!|UP2WvCzcx8Q609gwPj^tSleQWtctLs_>MdR{z-CG6gz^Aj8d zO`9=euVitj#alyXV|y#Bi?_p<hW5SQQc{jzlzGIiF_-&#&ZIx*+m1I{=UG0C-Lwc}PUHd79ue zFYb~*`>SKXwBW>s!lmGiDI8G>8K5wXRj5_wI=$=Y9+V!__*a%B#?}B)HSp@hGd>9c z)jvc6*qrPa45rqiAYa2K==*rOxKgkE&oe_g$*L!Pfq@d`hEr7Ato zgNwZ4lsujX+Om;SGW)RCHw`lDS!@=zi9&-|2kuq;PkuiCZ#*$6wgzdX8(>`VyF;Ox z!jn{Gz4Tw=j+hIG9UV46Zg*#;$=%S%FXAP_aMe3Igl(lw3DID6XxHYqX=Z zhIpeIuUKJC4nCkQ(7=xH9dj1eVU=FGO|EhOTTELIt)!zah9smtb3FG&wO*mg+Jq+% zk$gB#I#r>}>Q2WK)KcUr0}z1uoecH|UAVpLVBC9WxSEg^bu2E44Qg_;(j|VX(_pFpC$AwezV zHZesLT+OT)%02SSdj#<{^>N^q5tRega-H~iAv8tU#OkLY*<)qn=fn75(}}Pc01Fzh zt?HB)HTT&CDF8&=hmRC(X<)xEY_IEge46 zD3>Ip;4{LY#HKWm_VzdE2-tIQ3!@P6MbK+1H=GMOk~sGGqzb{M%vSC z)v@x%j*wgpup`3uiXEQffNYQM{UNj}8#_bSDEvYI&uEElhHB{5^>ypH4waxLDuq_Q z*h$HADnkuJZutfamK}&flN5n|Aq1HM?xwvjr+Wm+A-*p{VC)3JndfX-=wLd9w>k5^ z`Es&;{Z{}-um36{ij3_P{N)R-%1BKq%^0zFqc%DcfGN$S-*3M38nQ?Wy4=juyp#hZ zV{8(v9J?gB5@K)v^?hZ{-;+c7++M2lQn6ML>`i4iMOb`4HxP@4IOG#TwwPzs2oZd; zrmv1OCCFdPRG;lP0Bh^o#@!A$vS;IOJHh)Mg6aH23voG$W%cdK&-7C;smtrP z(PMZGS+Q7T-~8&{Shv!}aOgP&^BC_XEZxyX|BlE<>C+ee8%FZ`y2gLK%{f{Bvl-3A z%EF$4pY*@p=HE=b-bzxyq_&+6t_19-f46boHuUDi+f)Ek#nq!UNMJGBy~qi~{EAgj zR$-OMI>11xzPdi$kI0^iytT8vGyY!EyKpv!((LAFN9^PI{_^s0xn%cr@#gy9mB0fS z3NtKfEmfi}{w;j}*S2Rz%fD3^lLj0JIhPI$^!gp#{vOl=2Y`dcvJa+rzAippJpyX5 zGYw+YWrqk13mr)%-EBQcn#9uJ)((GwWfem8>H}%BzT@75Zu6EFsF5gHCTIAa>*D;y z=H$^^Np<4$=i$xa#olDe_TSg{n|>7vNvEJhQYqym?y)(grD=>^Y1O%KLa?yPU&?45 zPuJlI`aDz(0RV-cHTRu?8Vsk;Wuo=5DaiLpo+@wP0wr%n9q$LPX81^1Vk=%sZKx(x zLg1e&%7H)NZa4I8W$|&2+{(^DGhs}6D+wiSJS#`MeHR_wz@uj5&~;Vi7CHTWJzHL` zi+@{jrD?w^uZPcU%`J(0fd%n);heK+5VpN-jsDoCQ3ezNH9y}^i8XEjqoNf`h*Lp~ za}a`8fCp-`t0WPM$Lu+LUppK3-WDgiS1ciRqKOvdr8Xq8M-Vs8-74z^P$M?j7#-gk zS-M)4ltIDZ8%aU1)5K{8%1ZQJ{elqUsf2^!XRNvpuVoe-G5VoB3t0)POK?Ob1Eo2v zE6SViZvklOgLJ=M?&KLh`0FmRj7F<*#zKp~JAL+O9!BNO^Yiv}FQdD+xZNWba4$Z} z>jG>WE;UAEH}LIeYbXHCpb~G&HUs%u4l)e2Va-#!Te#I=Z8sqyZlYJSd1amX0w0kg z4CxF84P^|_m+NjM1w@sluyt0)hjJ^BI+9Y6YXPPxNNs0QztV7Zq|dFQYT*rO0`BSK zd8CQMcSoQ`?2-k;D?EO66Y9bcaFYZg-dw6MB#?e&c0e7ny7DA|Cy2zUw}IL2=r6v; zP!kU7E7aozF&L;;XsEb5^aKCFZEMK?t9|G^ijG(Y8?*+q(zlPZF@g?H_Aa_K5{~j0 zQWoH7Qy3T?8pZ(rHGun<1k1IYVEen);c?2;1&i3Iy~bozGl7H1j3O``&F#Lsvk z@gOZ(WM0xPpXEyTm%_8gj)@Fd@5Wz%*L2utVnv?Z6DXViL5m>M1bOD10)d$%E$J|p z8mAV}@+<6A9x`n3vIUV~W7O)=qTHIe!T@BL4=QWPTEGmpn$=m)6!%&S-){zVB5!%s zd~Mun{|NPdh4ooLy;*Dxio*=Qy4A}u)RKe#;q>#GJ4FmS7uvX3fK z-tsLdXyE`_9RV`k^)HNg613~wps4OYoS(Gu9Y2*ym^^e#BE?;u$<^-Fu%yVg4~9>x43CE6wA68hFh_{ooM1q?0vLS@!_`4hGHi zu$3}s3S6EVoVL)-ksGrPG5E1`sS?8wc5{oqVZsR34;xB&ik#--ze~UXB*fqD7H%N} zH4TerkGd^%w!78t89j5!Od+|rwAXlv6mttoGGu`>bPr*UojT0p#xs&hF@yGDIX595 z%?q+H$a>_9y0#dFtY3&ysS$uN$iRN?6c|UniZB(FH zFnppVrtucKlIBSTAIAW#$vGc0u52qm`&O_jO{7GEv}5TBuu6M1_jY@$v!JF3SHndF zVT(-MqcC%tPL&A)D^FaCaMVxCfTSTj6CP2v-*0L>fxOKwwCUE3Ie!6JC$wfDz%q$Z z@~I?$N6lI1``ttWY1@SCG8?h#+QI#Z{)8ulM=^Bg#h)+}T{Q(*mc=-M7R0EyZ`Q%xPQ{LesCE0@CL!?!NOvp9xUig4vVvpbQe#dBN3Ce0upPB5vcnVZM~shl{NThszh z93vZNkUL-}?3Q#<-jjK>Dnhye^7g%*QIjw2FQ==$DFQrNtjPg_^C_7wZhn5Kjhd~` zT9>2m!N76Eeo*0w4miwxd^+eUU+_wk;KA$D;St4YoCGYLG+Hx8}teL)5raD@Oj5DtA8Ht=ECo0 zZw?6}$AY&^Ee#(9dV`jg3lmk-8)h`&q?b^WJ)qr;hlgh@g4{-vR9+R_GCP?)FH()$_8%4xi2>nufUXa`H1-FyMBO;!S{%0); zp?Wb?i^+2eW~5ISJrhN1L^$=CQFXTZ;_{#XZeYjke;s?cSpH+hWM*dJO2HfYpJNXj z9xYpH0OSLWe0m%e-EMmQdo`f^magqc z=}b|IM8@5Kqa{4FAD`4<0iA9B%{+Qn{>ExRCDK9Bu4zIk+|WN0e1P(mODas$l5KG& zW%zT^8cQ`u|3vL;UnkH>^H+{6Vzn+6??2}2#M>}CNADjHL+1x$d*{{H(b24*N;ct{ zfPIi-19+RX%Ft*U!;9o;rq&HC&Nad@GtMDenW)pF(9^;=L_vx)T+#7PD+GzTk7qF- zF8BKTFG82MC1?4&Ufvy_cC4fc*|pF{B(IKv7Sv3Ntr7boMEE&Y_f^G9WXC{2Tcbev z9VnG^fiP>zW>Mx$z$o6gcowWJ2ao`KRdj^E0WHNlD6o1;d1D~-Ae5M0?oesC9Bes} zrPq`(r4gvP-kN(zBcvU*56o0l9i#cw49U}O3`>mheQLJWsmdg6`llauM_I%j0 z01~<;dV2Zi)tT9}C1O0A9-4$IH;ozu`%6SPQP2-5e_K3cdB`o^?cn83LD{bDDg$#v za>9mtRDs$%~qoC z!_-nywX0_7#R4+lsi3yX7ipKh{;(xR0P3;J&W-=D_!c)(UbHS|&h8(FAP#?Vxzu;! zk9%%uYu25DeMFl|AqYz3FJouIDc;J(hBD8v3a!E-Hes^em${g28t0ML&v2Sq(MfVc zax7L<(gmA_D;W)POxv-@7%v!MP90`+o~4TSGjWH}4~!1dr`ZMLPGnp4gZ$Mr2N3^l zJXbn_l3YyxBP84HNrg$x0u!v=&HJM%;e1I@iT23KNXDyaw;BO;a4t=~wipU$#TI1T zaBFeM+3g-H-F@&DZAJ4ex!4cHGi``&f!$&iEFR4iPfUqS&y0ZNf|lZhTWIa%p>^6CAyuK^r=!T(V zTD{>IHopPAKHGF?etsF5t~+1J4>|}($Zl0MnKIAJ)Txc4XQ93vLj~m;Pm!9v*i_f zbq8&(XDB4<%0b)*0*{GZ*>Lq$q=9%|Xl(VD*q_U7{!g}yB>XJ0*FT3OX%F9kQL=7w zWUD@4hWH9?OT_4q7^_obIe_5{(rJ3*j=2I`f3nDFcf zb%jy}v)25by*pQ+QsOiw9dT6{G8rd(R2l=dZRP>_ri=9br}G5+-t|VLVGQ{$AHyoW z{PdVZv7$&1Ek>W3pu<=TRkC$tYG+2YJ)nQCG$9CkOmX_r zdm_DGxwIU^n!GEImbohGHSQKa16Z>caRmqFDum3uRC7VafcZ#Iam-IdV&~|oRh#ir zSuRpHO1sc+ZtS*ZJ|a*{-9S(?(6`PW|H{| z375FvK)5>8N5J#5Er8$&zrcoj*~Z5k$IALJB#kJ)nD3~=l?Em$f{0NxTd*3G#|-ay zQdW->}{q{$ExGoXyQd~G?T{Ku&0T9zB3mi!UNv! zM8uz0%8P>_lny>soQ7`;tJ|X85Hr_?v#efSSvJFwhZ2X3rt2_{xE^a7 z5!HE0eQPajkXGl1+McwS?xqD9y($S=0qpn!oIjyL(s;I6)YCV46N^Gu2Pb^2VQ zY%2T5b!DHsb->R|m#pshi=i85#Olb-NLDdg6Z3+z`6NtKU%PHTtDUDtJG}DM1+)1` z1%cNVYXM^ntXBTtTK-?{b1QelG;2Q7rZ_<3-CO-q`NjdTa}*%h-FkFxl*|>ckxi3i ziate;^WZY8FQ66kC*KsI4;J{d2RpbIYG_^`@mVx`*{)r{+?Ll2t3t@1*c!G8EpIN;@PWTC9H3L-&*g@ zUvL1kPa_x*TxILGp%FF%2a@Xq*{2Xb<<1I%@OV+W5f$rURu}6^awfLNvC!k*)5}5> zlD9Pdg(@!wECi=J8BL6U0jEg-mvf?c*P%zbHcly?&NTOY2Y-pl&^H93)lm5h!?J5kZjIG%2>2; zvy;CdyVO%eR7>@7@^fI7cq;6ii1gu6v~DGxzzyO6!zb&{?5KL4%_LV5g#3|-pY+FV z5+MCYJZ(YFuyJHbph3xvnQPRb+?d2o2Z|GlQA_#kM~WQ=D2K_!Py21LoMPV5XY4E6 z_FJ&%PU4SoBlv|FrO_;l)7uc+d2}-dTdJCVg^o0l{MUVZ(kMcum$xbpG8V3HFOLS$ z2cP|bJA)EUO?sKXc@=}fyPa{mZO|tTgJq^x2zpVbHQ6!^!{TGi**zQX1UBjcS`1>z zYs8LYW&USQlc*OhW`|2!m%>KH6QNTTBY`47@a_$5$|GK%$lQqgGmBdNh30at+rM=* zw%Fs%{!Ar-EvX%ICz>J{2ZH`>Eq5!N1d{*-5+nX_<10tS@s~3{Z@w|C5Z^vsG%i%7}2UuR>g(3J!2Jj_t!g5HvYgN(S~oRdJ%jwJ4vq&Jm9*uTn-=JwQZ@+4s3+EF<=C5o`NUj-hSQlAKZ z#fS=WNxn}tGkYxj{G}?Ft0k)riv%85MzYxf(7Kp2 zk_9@q)3On@Ok8)IQ}wvW7eHFj<4Y9swSo4gu~eLZVCG>--3SaM7`MWiUD`;~&<%On zCt`8)B8BDpLOTL!pL>g|X7pQU$#!cAUp6|@ zLF&#?nwV%MlnEajU=cze3+ML(V2Ms5XWWu#=+%Nt5FM}RroU_E^$=ATtW{7Y5g02i z0rw-NCNe-HP8b%z0tpQ0#vsu9$(m=(^J@`#6~`V3R-3*gmSb-A_;<(@SzGeJQ%zkR zOB+PGX{#k~0aG4^X-HyU!~M9WJ@Vy5neMr}OMp7cp-f-z%WmTBbR z<9+|3uG~7s`#q6x(vMBRymD!&p9gkE6GcehDuj0e&q;5BAu`3?CL6Tq$pJqil6`17 zyZsG$Tmoqt#YRva3+-NUol2&=-=w9LCcTJiT8a!F&H7SEj^_FV+<6Q$$2lBSOY*@w z6V=1R?_F%xU=^A)0%%x)Gj6Ux8~Vya5(_9fDIO&E(o{rmYj9!!JeX46Jsk03;c>bq zt(iIlqJJL(Nt*&b5PsfmZ0NnFc=VlN@fyh9Cc`>&%zgS#J+UU;M` zPd`dKJFb6>$bXgmh&2uNE9Gcp0krUJ`FnaF+tqIzP1~LARM}E2J@-VFns-^RI(mjf z^bFqdBU(Em9;8KSKwFSbw9(;Ko6C#zJZ@j5J(w2o5I`}9KR%OZC6Q*6H^Ns=`w_N+(ui1O3(ExqLAY^P# zlFut9#LLS$yJYCHkrO3tY;<=Q2Vtv~%X+Du%4(_o)_~iBIT$I^&Zawfrj6=thBIPQ zw{F~?dvGM8^55$&CM1q-7QY~x+i4F1Gei-v&@|Xhk*yUB{Q`f3>zdBfRk8Q&4terS zhDoyBvXq+{D#ihpI(}AyG@I)Z_vN$o-XcdjW5Q%TwMVKX=H~Zm!~Y4+L=Is^ zX4w=W3nXVKUPS&AAvXwJ02@lstF|=5+X@b~mTMx0~%1r7Gg zH@RpHEKE0)%LzAL5=?egh>0Qz=^@h=4u>sftil*YMOKV|HnTC^ii4t=m*3Z`&F9j@ z-Xy58&V`4yy* z4Xj}$Y7Pq^$i0t)?G|*k=Li+>p^fN^3VLgD(KWBH7=1n_X49xH!G4viUZz)V46NH_ z_$K9uA)o`CqC2zuoYa8gJaLmKLZsF4ElBy2{}ztp#Bk?xvYUy%P%CyZ2a_MUC$PXU09%dFKtz+YVDDjH2oq-I!3f4W8WQq&fN&*-jUp5jp6mN}aYUpbmL8cv4Ed zt%rW72&Kvd{SNBIvTko!_=z66UGdLWh_;kJ2;{PM;F`DOv-tuObWM!Y7PQSCu07mGp(Y1d z9Ws=LF$=+rD2gFKE^86lGgEgH@{WPh5h}f%4blrsOuL3knD0UL`E^?q6kW^>K)HmB ztE$}F=2ywFJ&=xqmId-50Oc||KTo8%i6WGZ9(J|{OwEmg%4Mye&t7TtIkv~`jNcx; z;m88;YID4=dnioe7dJn4#`j=GUP}P|H`k85zMmk|lO-xv%r_|59fo`=hvLa8Mupa) zRJ%sp2`v_qiYkfSwm;{wm+bvo~(~j;CVxqsz$%Kk6^4S z_4j-eNrUb}LTgU$8%IV}c9aqbFNWuq5pSUbQZNTF=jDh?x(q4!MzVPjz?A_ZANZQQ zS9VCR>J1LXeS2bzN8?>e^pjJ}R`Js%eFd3wL0$=6Arl$_t)iSW=z(*LKt5K!G=UDF zWHTV?zGwygjll ziHm557NiVcRT(F)yllq);B$aSU)B~yA^M}Z=qG~8dH1!_(7R(Z)8nfB#KPY;(-eLm z*H^SomFFQ}j}Lv<4h5{}0V-VE_#OVQ%}Pzw#mpr0-F;APoTaOjDDjT=1Bb0x2BW%F z@?v2x%AB;Meaq%hHx3kfH4KpEk=} zN}7~Smktjjglty-Ds7#Mr9A{WvfDx(>f>wC6R~oV-;Mo zx;Ll~d%zG3jkczbj^0r28oFUBM160D9uteZ2N6i z@I))pG@~M8e1AHOi5R8@IDoM#P)JZ_y&7sLP9od4+&M23v}h6W^jOKg)R$OQeKb#a zY$OP{_!#E}<(OUJzF`ca8u_m_{in+4(GeBt?Lwf{9cm=u51*!~;@VOSY{Q8p-#|&q zUn=6I%#JmghOLw!N+-6C-}`J@ey^Hm8S5X*Zx(&8UVxQtyvJ`{8(@091m0X2&bG!( z?dRzdnL^nL@;-WNPbw!&IjH}`n96FcqU!NTgEY^pElIB=E2U?v5h7aw{LeqfZmh7C z*YfZ|v;=O5nGA9akOX!4f@KubC+#R8$Wv(R;{X{2oCeE1>#f0)2ev6H+3t(2{Xr?! zd~SK}#7|oJpD|2a(g3JH;vS*AU*xQt#>ch)Qdas&F^wRSD~(==S&%`JY7Zx( z$ke#lLcfUcp(a_u$+4Y@@KdPDM44Dv@_0K zj4jW!XRNkJKPSXYp;T*`*n&isDk(?}&;`>mdLDBb5S&vs7j6YhoFJE8u_OZRx;V5G zS?qR2DZEG!+yPkGVaCOJY@pocwC$LUlnQQ4ZHgfpvJ%39n9^_wwS~?uwf#2(-{Q*0 z-jyd$;vJ%7&oFq5IbAj)#C-b2Kt|0jb#fHv0)^8QSYQOxM_J#bWN9G zKCuV5em=Vt=ZM0bZH*&3c~+f7EmrxaxBkpBGz3A;k|txxg-xfn4N>-1lI@lYMJeiS zlMOF`iK5|pLUc*mCoE1tH4*Gha%fgZ9>M9n3U4Em{ZD`H=lhSwCgl1=6d@LVxIaHW zJ{owx@SBm_e>KZy?dcEOQcP>-7a2PU7WuqAY3okdubJ1<QA{@N&pROL(h0?9& zUk;C+NjAWXg=y26+lp-5oKz5C&8|7+sdQ0q1_+NS+USW!w1;fDX`IG!=^5rj=0_K( zVATFjI9Z4*nS7Pkcb3Vq<6&HnsLMV6L8Ie$GA3BJHr5=h%E`c{%@6IQsRdFo64iheS4$Gs*4I;@Da8%u_@VBd}MSXL3&8sG!FM+jiRH6 ztIV=uIqYzpcvpmP`3fwT2zI$}10H5odOFoUNmzh38Y@1}xz@`w=yJZMc`s((hVU^d zk-yry7jblX$vOv3d}d%_Z8Zf#i_xj#037`F4%++mv(&*fyp((^+v)IhD&dk+T@^#5 zfmv^Ojsl#@SRNG%rTlZKq+Y-HkXz}yH-e@ORn9KC9tx*6vBNy)H-mQB3so`GI|(Tw zL4{9(_|owh>?bX1=O>5OIFVg`#4kyC^cVyvg5%x(S2M!Q_22uRY|O0xb0NpV@&9Q? zSUCQZ-1~3Yav=9N{9h2UhXYN|Fwov67a@`#bF!#?#O%y`_VG$)Y9xV7iG+qOXaz-! zJT!EFXBISB^S!Y}8_z&slfd7HZt036QUIFL5UQLOcbD0d)>rasxP6de8+|$wZ_};u z?d|&h;O6r6_4MN~)DDP)=nSpPrLLMtYNw>(hA$OvW>2h#DEy_@xkV~(hJV| zk!+d!>j#>pXj>at;h*-5kE22R?q@=7k_$Nz~uCbPG2^ZWX>@vIk0Vj{t*oE8z}e$$b^YHl6{Am|%=NctA0tn+t2f`?*__HHp(J%BqwbmFsQoS5TLlIE$TnG`i=lxB^vk!S} zfC0X6+iMI5Rf%*te7OyQR+?RNW)fE8_`u;(h<3?lr*zCNj5F^~FcNr#_JaOPZ`yP< z>}!N~>{L8o3Re7ke{CbqE#!eUTAM=%$Zmr7$Ai_}jd}|#F#yPt9`v{QZt_*C8kBb? zf|`{%5qQBnNuSDTQL~{C&49+ttA5QOspg~I2L_k3x*!D{+Ni6 zYeA|$Zh294Kt&R2-j2#HiQJkddt#YuO1aI?qJAy8A{^}>^SD?+3tT|~uAo5Nl%zKo z5jkB)c6UZ#et_Gmo?VtaRZm!5E(I7Fgx16RBa@rE(c{;HM*`uU&-t5a^bn_fb6VM0 z+|xD0^=>9AWT)daTWx%s-I9AmN3@*-lx-sEdQ$aT6DdivN|({gfd*=q7`WRR~XV{N3Y@v+L5h3#$}n`=XYYsOg8E%3s3cZ#>$p7&X~0=nfK}2%%S<}IX5F4~f@dKT^G^V~ z?MYT+Q>-J{TF=0ahqzQW&uL~Cw==6-xeW`%qh#zkNeES$N(c)!!iiAsIh8cNEofwc z0keD%0tLLQ-Qi4j_QBiZs4>?H0A^HTuKr6P)a)*q-;D-_bMkr16y2qwuZDchS#*6 z{6mM)bc9M%IGY!mkDi0}M<_w}yMZ z+u;S*-J-o&aE+}kw7K+-MhsaOmgT*y(G;?h*?$%_V0{Y;QurdwXzcVz)+k#`&r{G@ z51@1f)Wj6gujN4L=*uqM$wfnY1@8|+L5e=;^ zgD4Qg_bLC%;p;Ag$}(LdN1D=8o%1#4+H2AI^~oE6iF;*h*Clq;0;mn3k$efNvazl@ zz*5TQ`x16s3~E)%_UHd-GqJ2G^JXgtf@vv~#OKH|QZl>}C>bX;U|_ilB%zndQuH>K z7cC`Q6xFZ?@Iz~tyD~36TSERh+R-@oGwO!se7WclVK(D9p3yIf=7EN)gTc|S$TOFS zLR0;2-EpDiKcy;B0^sjS_>q2MEJeY6-`qTcCu;04)fKsQwVaQZP|G(<+wMR_giO4b zbuzt)wu|JMk@}~|uDil#K?$9BTEL3YC=j2;{C$KK*&U*qEK2U7DFxdM{36AFjA~Ll z4U+{#$dlZP(x<&B-EJ4==wb}QP=Zrb=N&(sO-~T{r%soGzV)@cCE13;0(;7IC85Cd zKb=2SbhXMuA4I~mCn@bJhojH#S72IM9gVO?(QJ#L#+YbAYJhphWyjk7W+>@`a-c`3 z(dCsB?I0dOlT*rZ>aEnI+aCNHps;9=Jn?We_=fu0)&aUbkbmso)FnNp3iuu>9y zd&d4bO4P^Z8<+}F_?-Z=arVHcOA;xSCK2@1dB5jhDY3GBpNs$rf70yh)0C9EX=Pqa zZhw0ey>T*g{yLcy4BhVUxXZzWnoEiMD^1YN2SoR!3Lp(U%(sL#hDP6&D^(D~#I+Ye z*P_^6T4O3_`FTNuLa91Lq=sh;f;^6+t1uk*FiA@Wuez|=cDY?IG&Zu!?8;4>9cHbD z!%<;R+Xbr;;VfY@1U)ElApnYSv$5q1NzDf0zr(bxIlnXHhS@gxhgp;9LXPVrc8DVr zOk*c$5ulC|!{aJZL)^~L7^{M5;stKgXU?GqS9M<)@oaAsQ8pa0PBA)1IzZ=$H0hC2 z8|g-PYGf#$oZQp#=jN_}O+~L~9Y6U%J-#a&)Dc$#@bgl(eP|#1UxBW$TdJeZT$7 znlDY;OZyLl6v7X~6ft*${F7s$syW7`#ubL{<)r(K8Ti0alNQbFxBfI3Z}zn+7|=MZ z0zmn9ONiD_KZ$;_tYO!(<=N_aj}E%37FP=y1(0IMa1+f`@_xF8=HRFk0@nxEim6Kw zBnBr-@G8?`>&jo4wUfAq2Zn5wWT#1W8v+K@#cxiQY2^8f^+C$A%$xeMwEQJuo3^jH zT4~rl7Zx(RMCLe9Om!cF=GX@f+oMo278DSd)yVQ)zdlX1RY}LK&`=S~QJ;H}aDyOs z*_spbeAM1yv9RhT|Mfm$Wcy!vG$!`{zE9XW{$ry2mn_20&XJJEL=Mm#js91z`y1_k z2wGCE!NG(jgd2#(gftA|2<3>xB4a(VOJ)2|KFuWog&L!5abkJM&!j=C#>(qMThZud z`rN47>znNU@lAI3)|~pJ$@N1~RpT1?*Yjj)WAc2-ZE(+8u7M$00TFR+_-uSU0&zS? z9b8wBUVU=-9sqFT)Uh^&LI-wd*|V-|V_>k3CE0SFcw35Kc-#Glfy>8^R$ad3=d ztnpa4kLf21q$=NN%}L$^170pK*ZL>-oI0?`=_RoBPA@HWJJQj))PxsWHpjUOGXoJ| zsw#AE#$&9rDgrk<8EcG zCMTM+R8CAAslx9IfJ_vMb=Z(htz|ENc)G4j@iEUZ(TAk74}8!FP>a@_O$~SI+)!4( z05Xpp+A+_|C0uEyDydT`d@bTV!s#yKPNkq9(a3Y9;;91%6c=7HfoM|D6)A?KVDYbg zifw{iNgqgoLxV~tkb8Y03!8=~$S!I_C)Iuh%Ee|9kC582EkTW<>ke)c|C%xp4I3za zM^5B5ncPV?NCrzF zw^w%`;v|2!%zcEn^!GUrQIwDL7w!JD{461>MpFFPO<@Q4gj3tth?s?l9f04Cm^Er7 zTs4NjaH&j|wJ(s$E1^m>vNE4b8a-TzN5dE=4FUVl3v*BdS#jL7WTe3Z(Wlf(k-)(g z+q6JOYFr=+@d?p$yp0Biyh*LqlZ*1=$T>=9=i~da0-FGd-JD&i@435*TWxpBd!1uJ zMX#Wo^i+0r6I{x{R1HL~F)_(8`ME;I`Hlv|ngGQkW-+APYIhbMAp-8;@GhXAcenT7 z(hobweMvVp^22pVcCY+}Ds()kmn{kL3K`-Fa@L~3Ke{y5n*6Gpo>7Y`&P`=U#VBFi< zF`QjzpozMrMUPl(8JwI#&kbLDD{|aUQd(QMcpH3lz2O;MTi>`66chlIEGWG7f9}+N z-9r*w3HZ8Ss`?d*tcBu;Si~bFICpA^1Z9=0f$N|zq{npr9ixenlwNRG7ggl#tVmL6 zmFmMsw{M7*=?AcT|1+uW=c|cA!Tn7LcP;w+^Qog%SFQz*;_*{ zQd1=nfa0dvo>khiASZ&2Kre>OnK8-6$&$%*V#!#Yfp7;vyVBwq#c)F_=gR*sq`GP= zfTuYQnT~)Zm;`cj_Op#m{cIgUU0qh$@x8VTGOUnWT$f9MKqKH5fI$L_ zIE2rZnt_s{1U^2hPGWkfM#R|)naQR?h#l?yRWC1i%_BO_KhjQLLiLvpHyDt|L4uLK z5aVMnz7hJNXFz9C(q08&={c`hw;xwWM=2UY7O)l#ZN$So^h0Cn>o?S^fdSk|PS@e5}EB&p*?8*a%`v^xwGiBL^Mg7A_P zo9NXrEe>L=m#7xHk|vj)hcZTlRQJq|sO~*jkY8!OG!aT5jHg^ig#OW)3e+Iti)SYo zcZh|Dy57pv6I|FN$`~ap_ z-YgK20^k1ca>e{IEh;+~q4&)lVxv6{@OD-TINH@L_HP;V^SJ;7YqwX;cNR6c489cH zQfYFKIPEe^zynB&l4=z6g+l>Q3@J-BCRU4$L>j^x?}D`inpQ;(Ve+ckVy4c zEiqQv@mn@_R^sSW2v1+A?vabK#C{JKipTs9L;au=&d9;=add1XXQZW!lgOFb5zh5< zM5P&u(PrceTVS)FogxC-cWQ7j$!!PwN-d1_x~EyjfH4NW`eW3lqKO92ytrj`)6p1f z4=Pbn_@p(9t_>1MO3qoL!%lwjg1QU1PWRJ?MJ(v~Vn^Pw2ZYQRWU`SescNKtsTS^H zAs53KO^-ten1(PDB=xZr%=Ci^Dh6lk_tMEGkHgu1p`+4C8>BTHpDs038?4&IB~yh8 zit-UsfD6AD(GREWJpUup=6LUw;t=FXJp2^a)GVsC#>SC2^P4OnLB6c>>w#8|V#gj6-`2NPFfo44fD+R`VrVK_X;^R9X?hunIsUQbB zCnB(Pe&Tkv3=+B-x%Ogxuu1gNhBLL`%*l8$Ocr`EaTWjU zq9A$bIM>1zZ)O1gZ&x0vwVj(P_S7P6Ls=MUS6)u8LVekI1N91sF^|m*%vh||rfBc5 z21E~O5rK8uTkoHvagB2BYiL^@=jm^x17@#);u!~Mg(=j(%Ar%QkOb3%;5k$8Lp z<)AvZ(*EIT$M$i&W#!H~LXEQ`Wew01xBI!bQ?vB)e)#bkA_t(rh*&6&^XuvFw{FTn zN0EjJt*2PqFy%}dlQQXeS+3#Cy#7>UDa!_1=X~=1MoF z%8Kw^RuYCnD0K`)3)9r`mUJTIdzP}J?}W($RLIV$0hTpBt^J?3?*~Cop|+d=CoZO>Pf6n3dOA`ADPsbq}6dO zU0gH2VT-gKq<1#pr!4h*dhj9Ev0z!X^D?oiridP!5xz;?1G^?`3kGjoZ~rx2WduInDaU?S zw|KPoSIJFO&Qj`D5NkdVsNfpe9Ch+ZI>4OY;u52`kwjlk`!lJb*7`nisYIUhsbB*K;)y+Sv7Wf~0y(Ix6yxkk9_ z6n=<991{|04M!v~yxl)KWvS_(MaS#}}S{eu}uE0lJuTrqDi z@d1sIl%2pj2{l8;0LlY_;Db0ngd|X!3zU<==j%?C>pYYyyQ*?NH5V}b2SD9{m1>P< zT(>55&gZO)i)!}jInF(4sEbWEIVw<<_YPjT$D)a`&~Qzd;SBJEoP67JC!HHRkxpF2 z7r04x@HJ76s)Vq_mH#h|ew-3P<@)K$+WoQbv;x?YVtYV%MA4~;i^M$Fxf)0p|1$~w-BywiNfEznbgCXgYCj{3ef@^j3@Pk3iE1v+W38a+$Hh^ z@fE(wOE=?$?i=2|rw6o-0LA)({o$??4%1CfIq8)8#zJQ-naVG20bh^Slc2P70&~zJ z8vyC3v!b;_h>z}hs?ZE^5IatEoJzrqr*abc1w_{IDTnSk^J{Zy5l9qq$#waSu-}@1 zcf0rp77C+6T4rOPk~&mKTY-e>@zk%HA1o-Nq(gy|4f%gRLM!!OwJd^x(NkD~gC4*3SA<(GxR0DSI$bhYXd;kQ^ZMd+}ec_KFLqW=P`4cJ}v@9Hc#3>u%v|ZhCu~q_-4y`b2!G!lZY%^7wK)r$}kL> z1n8p0NAM1d4MSm*#Ky#NEBpWn&jzskymeC0G3r=WNRRwZ>`1d8nDunjJj54PZWpl` zF(Wfv$CEW27cGIM#Tg4E>#yF;FU{5t?A8th?d3Ku3=Us)G5c2bDQu!EdW-bH+O$2r zIutdY*b;>2l%y-feIpK$iaZAC$Zz}Sh4$C!4jQnH`vAcsbriKb){OMWN`P>gzc~>U zWexND=9#04s#qn8+PM@A{h>|P!TG#Y#y+vg*Df=LyHHnBKy^)~z`A6lG?S<{ZL?vC zKa}+&sRX!bc7iiTgD^d`QRN>RlhbVNYfXT<6RJgr&xN)Z2=rZ`*u!$D1RsKC=cYv# zr+Z8)3+5J1F3uTX9&tA+Gom3996cZ@<^B%ZQ=bW-X&vhr+y+*(J1ZDT5NhJWrHy8C=NF9BdF!{*8Rs8NQa zZdXVRu2>QHT&{XUz@Ohu-}Xm_!8UKLFzh49R*=D;yLjAQ9ep*rA23K{;ks?6YuWuZ zx?9keA;TK?UIlyey%bTSC4wd7^4K|DM)=5`yxlOFSZ?X1!ktu-Q2CF9C|xeuSaqd6 zA=F*zUc8$Jq#T&sxBw(41vJ~(9VL*~;td*N!1NS~n)U*P$HE#E%EQB=5uF;&W~Men zo7h*Brt$#i^i^&paFx*)vB%wpVc2n@ktYeHSaA4&}br+ zC$-WOIl$7=YpujyMa`SEdz$Vp`=>B@W&8$BW>Y}nHR&)m0MJ#;=S2J321A~nyJK6<{m z)N9HMga+oZrHZU!Pc|0J;=z$F`E8rWiK+LGELvfEU~r>R#!~z1`bAn}dpLk-P}*1F z_f_Tb_*4CRa_xX_fS6@ zH)(Cysc(JmoV}jhcyKC`utafs%tnh%O63%rxHD~E*Q7~J;+E{&O{y#E?V<4@vo`Gj zkZS~ghm6$;szPSbst2h7_tS9MLR$v84)Q;BJ#*tcCa0S{Ki%qy(98nklv&3a>zBLc zO()YBLJhU+>g&>U9}%n{IU0o>&V`B#xcSuO7i7_^^+oh?F-g;evoJppO$P{8dFq{r zwA_+8&Gz9OdRxX1Wb!{*AJ&(!Par!2c(dCid?xtV9!Fvf7;ubDsv~YT`2)QAa1kXv z)%;ZPNGc^m93L8g))3gaiyRz&zP-8%Qj_yg$H7n8Ykf-JE7y1BnR{^=@HAn&a@aIF zLqQF%tdOBFl)&p93YlX%R8G5Kc!5FB9cA>mUH@2Dr91=J1 zc_BT7_&%Oq{4yaUw<(T{CPAp@_=80bF9RC+9J|obtHsU3JL$LjZ zh}9S|tC;+J=a(f-Bzxc23trJsCvrOOSJzj7v-9cd@);4Z6*g1g>`7hNNSOq9Y3Dmg z@P|qAMB{Fq<2qEi`sH7|kP0;bKusPEVX0LAJZRAq*7TdwwT_)+`jG(7elVFf=t1NZ zS9%}^5Dh>{LS4NfkusZ%6{{&-yN3Qj!zc}i*Kyab-TqatIRvkYa%(5r38%SRogU{i z^Bev2{giU$k8a3>Hbl*?H@Jy4W~$7hC;PY7W^<+>lj+*Jh zQ4B-ZHQDwq8y1T^UWLSr=B|tmpVbfIH^L<0Sk-@x#R%O0)#I7DI8wGo5&vgTpM#10 zU&!h%Et{A%F{ICIeZw$2sb|y21==hU?l5>&k$ig;LK*?Fb=WG;C6sEAPkz>pW>nt2 zhu`Y=^IV%Qrf%6;9*2Y9=H}9Axd2~J*Vfmg?sq9`^(WQ;V{Z0uoTk)7l&Fa+=&=K? z2nD-)I=Z`G4c|Vh{T@6U0B63kfRK=dL(>EF(I=$vjJ4hJCU6l4CjdMKZE5ZhjE-t6 zu$)}Run^qS_Q307=Ucx{HJlC~>5a~xC1~G$y(Cic297w<)_{l}KK30d$EtpBW zxSYG%0$MU_K;7A;wK%y33tE zqNXC(+4!cg9(0vS4^$LM&7n4sqaq+p^2H>Mz)U4!nZ~HhGf0ZEFM77fEAq)(&Ch&T z#!g`UQ`B;^hyR`X*!;+aO%W$!aj7dFSf}()1k@u*78#4I_^PnUdMD&>XAtKSs2-#% zS2pdqAME3n?#L2A0Ie9#rR`Bdv}5+42_M+CSs$K_wFiH+t+u<^ppI*!&9tm^0RIJGwtadkrJskdLuowK)|HQ_xrg~N9lLPg z{)8+reElB|wt8}WI>7{e7Ezx5bpD^7k|?OAzyY?m@#57|fOwlyuuP0L9eTzXc#dK*`lbh;etmV>f&`obl^YG82SI zbCh9>G8@cgb}i>fTK=y@C=cHu+Eo;X)~jqgbHJh#!zgx&+`4%4+}IV&8` zZ5I|WH)1FhmYwt)IFk8^Js#wj%snPH`H7vXRu=hL7OQS$&j@nXXRx544&arLYq&^s zH41>M&8#c?3B(VEFC+7Dq$WR=+uHO)udT{eaX*K*HE{ay7`WnuL8HQN<4PV7R7yV*@ki{nS}( z_5tbXArDlUEuJWnkc`wVr?AsET!NVCIm0N{S8BXFzTzs(*7taz8u43^gQ36rC_DOo z9u&i~2*q_G0p1w9Y@2t#f8}VKg2%O?)B|Xskd3&>nMh{AI5D_$Q0W5ASznvwF6N~+eyAQdK)hX7BQ zvW!@Xq($%Cx;X=572#pOKVf2+BDeV9q%1{`y^!RoEie_8T^Md6sE7P-(4=DDPPK#p*???d4N^+Av78;w)084j)4K<03qH z)J>QV`b?_kCZC2<)cVHu(I@Ir)&Uh=ve0cj1x_hRv8Xk_k&KSsn#Pc})~v@ZF+tVF zI$E5iuzV60i>AFltj$6}WUM4<5%VZwky~c**DRt~llZrC)L_O=K}N@SCc-Z_Y4eQ= zc5eMBf~pzuTF?$?X$I*Pm|HsZLUmlT!$vNPAuN`;oJp>Y3!WQgI`?f@zyaJQ2>e>t zmDm%EsFuY%_i>lcAE7+m6CVCoqwI$4dfb@9$+t$F`g3_|9!qN?zu%Pu^^MJyn~60d z8MxFhdaxjPHgF***)}c$47uVk6BtS<$nP^)$3q_symewDH+zxRjN3-+>{P8|-tyM1 zHD5G2n)O^0CqXjT$0>^QYRSCohgo%`?e=yvng_Px4(8{b#Z~vCQwK-WQ#5DKcJ;N{ ziWF0BT0ziK)+P~QzDH#amjCf}Ihfi0voHEz75)3;KTPL@UJ`JC1d2~h4bt=Ec6wyz zkAUL5>TbV3KRM6)>k)sKn7K~eM{d{}Uu|85Nfi~wi=?FADCaV$m9encQp$@puPEOf z9WGqH9BgEaPcsgFzV#or^r4wrS*wZV+vq7PEuLK6?H%UmV-Rvs@;#j1FCCmLJ;k`W z)pQGbd(lmNe0(kg-mz+qS~5QRiyJk2*cO@*2K&!;7ux7X&=Bn|CpPDc2V6pyW;3t5 z*`oQXkKRJ`IZ8q%V}4BR?9I&`^q(?$NuW%@n`CFrQr z(<8b2?HB8j-46Xy*daA$p?SGefm9%|upzojcpFFq3EjPGS&Nz)hw&?;a}uvbdpE*B zz@5wqDcZlD`rY`|s`~~D?U}2a(Tva|0N#@{_69o{S1>fb{(r*bEnP?FCt-8=vQhOwMi*#JBG-IA&+c=eODy=Y6G2KjQUyKrHhI)X~9RdhpvK6FNsWaVMu;wD>2?SfK22R5ZTQKQ>0qZOD7|3L7FcX~n(*;QQ8L|{gaOiYWTKKp zOk!bx28^VCZNtrggMA+--a#lj8Bj_}-~g21p!Ahv<+W41TPUH{WJv`zKkusX1Mw?7 zK9e3vfRheqsdvz7(1<@fvEwv0od)HP;}Me0825l$8{YddS^CT}{13f-&ER3gk%Nb` z3aLmiyvm%oxzz>Qicss1`KcWVluqN!H5oiW&63D(6SLrYZTh~`q>?xWEWurp(f5{H z(7(X6+-;OalgW|up>$hdJ|OBrEqgemTB^8q+*XbV4)X3P1k-JUP+rNhma`tQ=&a33 zz|#q4?lYCF&ev70^)zI!<{=X4WK zCbCr8o%g$v3mpbv?BWu$s`{+p!VXiJNP`T_&}-fqh41uy%(x*7sHf_9kkA87vjyhbfc-sG-d2EHRUDoJFtdi_<_-6GVF7Ja-q!kUt>xwq3NTGyC_q&VsalQB;%^~eqVxJ zy-_Jg$+tS_85#F^$s|n(aD7Peusd`{t5=v}$0NEcR><`4xW|scd4+H@P$&}P6;SDH z_AXsbhJ_%a2n~Nxq?rVZhNA4@RX#mDY4H+^micgLzpW4e$F-qSfbyPFK4HF(6n~-x?;7y3Z-f3c? z7SCxlF9)?C3SoO~Jju-RlLY*JBvC|xq*JrjDkF0eLjg!<4>Eiroru{2z%CmSo$wy} z6^Y=+6}PeD@GJF9>jc;bT&^b2T`U|@%X3I@oj*W1J4LEZel8D3-*uiaiyd+-6{R;@ zX^6T1uR#6u{mfKyBfTJ`frh3zL^+YKRI)OjxYAdzGPZ#U#KJ0+_V_w|aT_j_`~gWq z$uAk%2E4Obn@yN;+QuAzKv(vNZ$Ylr1{|K8Z1|l%nq|a($Cq^IW?Kd~OY@H2Z%Bpr zTs8<6X59@EPjqEHJ)`0DvezFEWyOCx{xTu0Ji@_(Q_7MSikIlr&>D}gA+Q>(peDB1 z0Q+2zbH^y&?Q8Zn2x6uZWH!gU9GcK$c4i;XoQ&LOa7l-f+MQ4NvUbrD&Tak!7|huL|agZK-AsNumI>aGRONs;y~ z#CP(dkBL%Vl)7yvE{ip%Ysn||48f~}9yrh3GZIQ}3SBF|q@TFyqT~%Ss;lba}A#NoL;mb*2Uh{BCJ%g*g z_pUB2qf>zhO@cnq5<oa z6=Xr%lV7kYfDee=LDh`tdAEsxxA&G0tpYJe2NmCw#E@dMla@X)arNni2jDE~7B&4C z1q%^12O3uv{uWzNaK@PVFlM9M$kYHIADlv_-1~Mt^T%?NnAZc zsxCp!^|Ys_xm`IOT@bfp$rgQLQNky2&e&NO1`Q=In5-#5j4x0J*q72{*;tSPu zw5D5AGKqipDKGc(WYBSnGd8whWYud?DUpBDTu}Vz@~Q)Pd%6MM-c(%NUDiLe%A^;h zL&iYo>-@{ZPM-EQE>r9(VmeTNKI~qfO!jP&{6I=bz&xw)KKTNNpI`vA@B2Z5Ph%Al zI=Qq{FAODgJ+{|Rae~}CSEW@dgV3Bf<2 zHB;xe@24;Nj}YAk3#F1q3vH$3BYBVgxXtLnEC#mu1~hs*=b|z`oeGtD!)huQp`t;b z2o6W%0o6Q~wLk96$EdrDy9Z$BO6CjT8b~)|?0ea#Gr!bHnY9I{4#{Y`dYiUyj<~4C zZ4-%RZZPzP) zqpA6Z#NkKjt-tIMiyS8f<8oI7G39K?pRlah0O9@WC9k|_)(+ApkNc`KP4NlpLns&( zb6 zsM%r8LHM|`x{z2<2w&@?f%@8s=BY@`pZOvP!H2Ps$=x0(?j*t(5^z92;1u?2ghB`9 z0CDvy*pcN~Z?8NCfb$LZe4k3?Lq`Z-i)juW+{`IlNC}F_a zjKo)z?S_$^GUbYdsxM5wT+6@Va@Z4;R#*VNJpcXT3w5K ztK>zK+qHO0j_i?AB|x2b_;mWEd2pm50BYqbZi5Ce6qCCqW)gCcx;#{oktS?E0a};U z_c*`ZXz0x6YW-rJVT>ML2VASm$iXz5!M1E@RZ0m5XttJhQ9JqqLFqvgy+c{fHlxy;Vd0Mw=A zY(uo4t5)3ok^>2*&fk%TQ9h%;Wo3L)idvW7XnT*=x8N!t9wZLOVjcErCtnPf-0F!3PITkDl~hKX;FNwdi1TC!;~S%zi5S^c*O)T;izej2to9 zYZ67vUHilgc6n`++_smiz=0myQ3Bn`2?D<%Be8N+uw{yAXp|HF^USB# z=}|jDRY67qxoNxEhe3;2fP4wLj6ZY1eO;1DF!2M`A(h4yHLLmGrj4IHNVBd$VW7nD z6DZ>x&!LoOyHZS3FlFu9#88|)VT+o4E&1-f*7))A0@Wsoi1bm#zS{}A1%*`@bfb;q zJm%)3PmMBb;h>joh7D0^cjGJO91+khLYe8&<%eP<{@rqEAc@`EfNVpj!@^jbbfPsp zejXxV@%|HR4opo2D_+8*NUWc=WD$KqA^t@!&`k!$WqU>D97m%Oli7D*DH;3ZO`Qh6 zgkOadJMO+<&3byFkxXl+)IIhjal+US#;SW6kD0D_P=F#Lzw1;xmq98RT>9y*Dy<-T zdQn7m8bBK|D-g~00EfUwzxts3A4#XbVRsd2l0~lVjsU90&dtv9-V+xODZZV*W=p>e zX{8<$iM&Yp)y&e?Y@#)xO9CUED;*Ba3cV2|zh-kg<_{$$?1S&O!wF{Gre_lJN2jI3 zb)&TiR@n4-(bE*voZ@ulfgu zCQ$}H5n==%oa@j}M!vntfe11{PPrw=iZurH7?brl3c0JxhJ03e@oL|ppxf1>oxVUf z;QeL%@cQHCQXjWTNvCLU2-I4|PfqIPtL3Nz@a}Nu={BU_#rySibI_tN-LcVe6$9Y6 z0nC4V?96XO0yYKK@d+*ih8_Z?GeSxxJ0jNnR{1)&s7n}5p_Ig>P76WIi*_pie)QM4 z9ffod-oBO`J;n3@UT-0*J|CYSpLZ^9TJ*0!4(`rUNKnY)aJ=c~oLQRG5lGx!)PG*6 zDXRaj>)bm^Nf}-$sc*%=bhEJ9P$fNT06W)a4r=wG}bEi_|LD;Q1$=vY*(BlH$R`gG=Q@# z3lesB{NUxiBF;HqY&_j@br{EZ^vIAd&<<~j#$5t_eAl8~b)At^PDSgrn+3*`2Ee%4 zO69TA56IwoWi`M@TiP+0|4C$Lgy1eSZd8)k&o6Y72-2uioixXl*1oBgq;#-bg3*Ey!J8k zaYMzvCEC8EAnkbADhj<0F2XmKWPmww3Z%Vx+`#cXI3YN=KL4(bUyoG(WcuI0{K{$C ziy4KzTJwptL;Ru(^_J)WgGaPCPS66Vp)6)dVV_FiBD7PFeN7eiVrO^9!-4z}=u;Cm z@k66^ztG8{Asff|>ZN4V*;M$W__d)QK?xL^YKn*fHI+GEFrs7E6Ty{WhyW#z?b5u^ zKc&6lz04`|MU32g*XEW8Bkp0x+nI%>O|CVF@*9=Oq2f^9QJDflP#Ub>m)KtTMg8@| zhs>idwN3TIRF8flOh;!`mSN@#9#%-Nlbz(E>PJ;&@eEuCk@7sNCOf7FV&_cSoPTX^ zv`UbqS9^S(KMp)%i;qq+Spj9j9}~#=Jh`Y%;VqWMnC_XZ4t*#vP)k9>KoEGCP9ZcI zy7OrQnPt)O$P~-o&+qqw>tk;*c3%XKEZ=pjgEr2SKb=w8K$Ln(0vEvbVM%|qGHQGU zTS;!yu>KTwz<2r`jK((ALta;0z*bp~R~7FhuFCq;t_ioSZK^*XUmQTzkgJVMu3Oe_ zS-okR7H5v!Q9FccT&-~_BSW^8V6%3O$;q&r{7|gtJJJxXiixG9DV3&$B_#R!r-(Kv z0w}qFG1vyzJFPfF^VmZ)hh9bdoscuo_Kb#iF#o8u(A^22kt93}fs56mUDl}V!9UVl zpx!McOI`MmgK|W3NgOaefWls(!}jAx{U8u4$$j1mk|FJiFOdQ?JFfw2hX^aFQI

qSPQ8Z!^IPb0H)Dc-!A3Bo9(zw@E+qGS)}A7htfdHJ$)*Sm2%|tv&}N_@Nnx z1i@@QLZ9%y8E;PvKyH{T zr!0qDyd|R^9{hlgnLw?CVpHMJLKNI3+<~zH>LG2h-zsE8l}#b&!hM?bmtX137_U?` z8$Y2E+D&wug4Vgf7b*exjg++0jnWOgJ*Lpi!n`uu6>YygrE^T zb~Tqd+1C1cQ@}VxTP<^6T;e3`!{&Xdhdh%bb9!%Zym&zT`1Y1U*^it!naSeV~(EvgprM5_9Uct>i~kXQ+%9%?kQ{0)|x-#(b~xWv61 zR>&Ys!GRUrO0lq}Rpw>+@mJSyB&&x{P{7CgW2S~7z{XPJiuE37CTeDkC=0=+Ooe1P z4pM!>zf*Q1G2SI#JuG1`@dN{sLf>(n2LEp5C!HCulVSYZK7+D!>WCqR+L8w0U7|CK zH*E(1OCs#W#NPIn0!O40Vx?s>DX?*w5~_$4e;R)wY|lFi3fUhSxUN}^F9SHHYPIvp4LCww3RGKdeWBdPbV2nt4d&*@eD)BeaKT4&i<6 zTQ*yG)Jb?LCuZ}t$oqUf{^$+2@qBU4$=gW|NWwYd4meqKk;9jb5 z+gq{X=!CRo{SCYKGVGT!-Z*folHEMdd4nsqZX9BQmQ!_ye0x@1d92|};tGN1gmT9{{YUPz zIzIW`qqN@Z`ZQ#n*r+pYs%Bx?Fz$L@mf=zjSC8naNtX);Rjvq4x-oL)y$a9hWpg`h z8JD7$A4t_TNO(SNW?L}470N3)**L3im+$be!Uyf6c3*ux_tAmN!gou{2aTH_TJg?A z*7a4wV`{jwx{}p~?5Dcxv60PB zg$joYvG{08^hOit@cMC#qF@c#n5Z?F%V&4C2HWsCzuEKQbBH~kQ{%>_)q1Eb$%du~ z+a6gib#*txdbX}+dL)+>t0^a~)I33Rzu%*;zRb^UdtZILV-@FAA=`7X)4UV=_kVpA zmJ*;PY?*XBZD{!9$3MP~m~h77^$!aVlfk)*h7B4kZ?2^MMIji+1cK3uP~G=8(QcTD8m(I>-VOgtmseQi3$IH-9f z;)(GLOAoU?)yc*McITZ>-t4em-1AfaRdlUU=LcCcoFcAPH8W36xWF4&Vc;}ZZSsMO zGLw1lv){)mKlY$!IJx+CA9Cy~eQf$MWxtMsxg^EU1uWOTTs|KhS?+qbsw?R8?zu^Fo@xP^hf&SoQg4rU&Xo=o!zKl+|^ zG9@qJ+rV*Y^It`WUw4^N?J_d3W!(g~p~qx}YkMa3h&es?RKs%z-@0_g1;=K*U}f^u zPks6JvEk{7Z`SMM&xAjITz5uz{7iFnb(WQTj@5=f8&a>316%R41dcv?$l{<&QhpTgR`kspvm`eBz#KXH`RF z41>yaQ{M<*(xY>dT)ok<=5FB@>@&Ug>?n)be^K}5gV5=zmMyhqVIe~e>D#t#vQ&>{ ze;tuid}36^o8AMa&w75~QnJR0Wt5az6fN1TNR7m2%exIVG0EEzSFPMHHfW1mg<6fp zabB&tLwEn4cE0Xg-9~;|;*vPZdRcPZO-iMIj`8J-78h0seKi*LOsqRNOg4DEPH9me zLyc9jEM)TPfZ8-oGv57PS8wjxP@6R@!=~kMi^_hpK|QLxZ+%L*`}t#%Og}?4>Vv{z z7OG>WRXmEGAG$^_IQWZa@Yryl4E=p8`*oX~th>I@*D*8yq|=@$YO{w~r6#%`N)Pwu zYM!04{-@hi70bB#aACgkGe@(D)8%f?wwQf;J*D48m)Qv}Q?_}2D(&^$>uy#&XLQfg z(?^e9t+_F^aq6W9w~p!`9MUT&nQ}8>?WF9syreS|gI8;6m3%EbGr@lB)8p5kJ5(EF zmM6T_&+VCL|D*r>nfXJOEIJOqJMgXMX>LffQqA-!>Q~cEw!2V#E!kCfgtV)(rj8ob zZ`d5as^CMxYe#%LI7QYoP+k!&^;ZiVKf%XxLz$b)wvfwHkCypgf5(aHp|D>~t=mR5 zmxb5Hna36{7?hGV`cC7pHjB1C)b-AdAD+^_&Uz%XbMno`^|QxZ_B)!f_(AK%RF$S& z#dAI0UPw|-Q6FRwxHHPXrQ-X%%h`L9UaKqRju_l;`ifoL_YK zOYYR&II?P-yF9i3XUloL?=%EnTdZ~~Y(UJp^rveMcldsn>(hUROyWtq;>l~$dDMQ| zKZTdZe75CP&D}A)xXNs{AoQ@n&bDTW+(IwL%H-YF=P#RcV)EV?KYw8}Dx{`>u34c~ zu&&5k@8r5S!M+A5*_%qv(|t7Ew?z#9{_*^wF;f}GRyTh1ba+MUrWIcidD42?mQ+vs zeIA!e-;Ld>_tmd_zo`oxBJ1h(8@%p9d2DzQ?T&EIqTAb>V+R!+E*O7%^p+7RPc*gQ zGTE)uqlYxw&20?zSTQFrx~cx7{Dp%0-bEGH)wUimJREw-^4RDBXR3d$8NPa9-p`Ol zJ)e9Y{36FV7T&(mCP+?9u!?;PKlIfan0$U*8m&EO+CKV6tBysZzuWC+yWhGOZuIl@ z$U7B*g?;YK7j9gMNOA;Am}@pW=;9O0GV=pvH{#w_ z-=6-G)p(8*x%yTfBg`Qrm%B{?v9@OpUee1f<>ENGg6HM^=e!HrD3@~jL1~ufnN7j7 zoP4*H56tzM{-xi<-808L=_{+}Y_@-8$cDtVvQFl&bjRH}P-85tjGCz|zva%zr^T(u zN?(7S=`&tu=4!Wh$>Yifsn#mIH~YNPrH@}yz&*vGGj7Vhot(AIDug>qPK$HoedY+S z!C6*3o6MApJ_dVN-?Qj;qSi33q2^3EhXWR~!*{q$N z@2F7mWccgu8odHzj9hm1l11 zv$!~O&u6bb7a934-hJAzLN0h}!O=^VZyAa+%I6e)J6RKS!Rb|Sc1@LwH^wCSTuc)_ZL-vGEx0+h8*_5&i_f7W zw^XjYXg~N+P7q_nW~ISD^A|r?U{9J>Ym>Nc{Exf=qmJwUaOsoP{c(F)gkISEhLD*F zk9ETwC=QR+$BglQGkN5@o8Jx{b9I$j^~P20Nx+-dvGIf6xQ=%C^y7_L{Iq=48&lR) z+r>`(uy~$Ud*7nW-c7>8)y*AI@60}o-LhVNYO(Q`lSfO-eL5DUed^skVupLo-?jObKLae6zRNW<7YV!=Y2}k%!|g( znw^pI^L(1AbL{iOI%CcE+^j#eWuf3>cH!#bzD^zaJJqHgzfcpP6Z*vdo~PgU$Psf^ zs{ee?+xD4P;mo>w;^O<@&vy0So=mnGb!QQ=ImqI3B%6Z*__h0O=T$meZUw{-1qurx zyL@^{6<&B@3>$-Y=Y8gxp?~|g2H%dijZL41{`?w{b!Iy2r{##;HG@a5P_Ro>OXik+Li1M| z{TR2%VM5z}+v`nDP5DE^hvwTp=Kl;+`XFqJm~+eM=^3QF^4-(i+umnJU!@0biB4lp68T6+eXKsgO0X7a_#uoUNQOb z*QQ$)w^pM3$v;!GPu$2p{eHsgGS38at1zEdQ!{nlj@W~KclHj~zTg;6kfl+zmKP1ps(tn zfHi$$7)pDRV%E}ocFWh>wKU|ZwWD$I>Vx&F3T=m;^M^fO>e;tC*5HvaD7xrD?^N4+ zVOd+`w9l`WXjOx@RM9d-8FQ2=WNtGJ>UOPcT-c@+}WX9 z?fNH8WW?Rq{QOQ%*nP>SqU6=_wC(*mYF1{fo}4hobjHiTHFHciHeYv>+3UVzR{U)$ zUFO-_E!RzgGw1V8Z?Z3{3}XpGO*K>srl(ClxUJy8E~jB8K08dxXRTI#zvDu;{cNi% z$Cj>+i(8azq5JgK$o21szaG5bZQDkJRVOpz>Q9>MdWR*Pe#S39*$^k(Fu<<=hqm$a zX0E^EPn)_S_)m%_RUi* z;cpzIFl3?JMvpCOF2W_>&g7mL+j`n*_m0%{6(%hsR!~a94rtZo`Ku-*t9t*)Z5 zs4=DWhgbBTK9?q+w#xY|cYRDO^R|MMQTM3gj>hsQ-)eO&UVY1+{KN5TDeKt&5d)nS zYSW8C52b3V+FYCd@sgMN{MEg}!nk(J9OTtk^0yc=C*NRN?=__qj+%6LMTQ)2wZG70 z*@~8RDFG{o-wfy-MXC1fwUXs`kmWl3i#sLrVC;(d@D?e5cJlNg4#@>~@VU9;u#;w8{Z|I*;AoqN>Lyxtb>noYT%0w~SOhrh0FUOVi!BI}3^`TiZ4# z?XEw+{&h{Y-=Il9Vi*4S@btve2M&wums>Xr^QNy%woRtoh>SU)V@9iD9DjbkRo0ey z!XQ%bjNJa?sKYzZqb8+lUBzvEKkDsq9SiItUg$cWcRzlTqTp3F=x8?cRajnSRdGiY zC*xSR7}<4OB14{8jvl=}M|WMd;k|^*Nfz>#z+mWxkvauoGQ6G< z#g~LKwwg05XWWXowEY_=ZG2vpZQziyfQxLGJB^9qv!+|E*^@ovT~%gmrNb;#>RrBn z>hGvL{w% z^EDS7*5ABmoX5{=)1PIns#tjPk<5XIej4p}jU(68tfW$e4V*9$J} zz34twZe;0LbMuj(7e0TpZa=dmrlnuYmb$Z5L*nBG?Eavx=!-@h-=5=UVYB49z+i(W zhj(o{%RtjF%o`owV{m_3Xxr^O$z`LpxYV%=D(4OHU%PoNEn!FFn*$dIH6MTWHq2nJ zeaiJ9uHSG2<@>^f8I}!Z=Xor3J&$f-IwPkHKYKxsdTRLn+k@Pq8xq--lle=>CH7tY zwZ>`6o{quU5e!?^G3k`LYt$#2yNjA*r}rOIMtP;QIisLK=Ag{xM}mn|WdXms^<`0E zEhVw{w5%0ZKIGj@R#~ym`r~4ykssIh>tm`p`}FyMGW~`)A60Xq>H6G5H1n{77i#>< zRH=>2pH!Z%S=CL=Ebp035cAx4zFUfnM}zXKgWAPg7hOG@v~}*a^S2|u+&y_EET{5x zwUhi>kBQ^H)&@4{)S%kisAW^1L!8(lAIHVqM6QCxEG_G=G~6qn@@BT_c2 z)(jK027XZwUL55=&V8C=`F)!+pDZf|bMNJu=E&SgS+?e$!kRliseQw<4h^dpCTlk) z=<|Cwc;r{+0XY>^ROVPP)Z~d*+ryX<@j;!))+PN)#aLOS^ zzrmAagT`-+j!oYbQh4+=yVU9X4BMwg!b4xbepup*g2oKF`fm7y)!PdhOXjaBpA%{M zdbzJ zVn1vYwhd(8oUZ+*K)GX_!lJF{O6mpMWzVBW^x0J1Ys99p{Wh;oeRyugc)gP48<(sz ztayIPEpPqIwV~-(-k%AzvuwdrmFBK=K>slHm*DxW_Y=Lg+WK8rPi4+u6gDx|E0_U z^O7B1c+<^xFYPs`dBKi|muaQ9cs=!d>Dm`}v|gBcn0LQw+$76~(Lb%?3P;a(Fc@Dl z+Hsp5*QdN^uFuL(!d@n*ajPJ7ck)(!gD;1gwdd))KK#Dy8vB%@`sM3Smn+w<)U>~L ziTxun&G8HEkb%v(?9#K|({=2o@Anm2Y}L!aO) zXG_>_t+#c1b!_T~EV55dIkc_bZe2tnw~{`)XY0qUlS~xm9I_bI-25qLrTwhPZj9G< zXV>pJwy!EHRba%tmCMd)e4(@BVTawW?}~<_uMA0#(;jqtMc5}#?!+~oZy!GSd4G=2 zC9>~KAo-0-=rrqm3yqBp_rGtUfiH=-&=igx8?HZ)0a@ahoN&3ljHX&SS?a!hv*Rhg z@J@Vq!SdIngqlGU6=wfjJgD-`jCo}lqxRR^JgVrgVOyNU~SZ_?0= zFSp>ARCe_ij6S~K>Fi0G|B7BemYm+&@p1MC{=@pw;de{spE!^`>2&q0rn+};ek}OZ zNEJ>BcXd70S1WwUxQ=7{Z{N8SRd?k=cGI`}Zx?Fut#sXP_{J z7L6>7>Kz|2K6JLf;fqJTcj)b&-R;2PoAtA*MiuT4T$(-p>Bt15!jWgQ<$v-sFV&y% ze+tR_`EdP>2QNO}yx-q%{gGa!Gtzy8s~;Eo_uYT}ys#ke!1$9F1SY~q*0b7$YyB#E zDv$0lVRq#B!?DM{c6@D_HP<=L_RbRjquI+!=bKTja`G1E%<0z}TyeLyl^LNhu-~GX z4o>x)4`13NKSkf#x4q}c!$;>j-n`fnQ@!`nk#UDNCJGAoGxneM+>t*0vT^*V5%aF> zyZ3p(67KH8eA~|gVZEQ;`%8MQFDyGInjeZw8@gu3>}2`(sjsLJRhym(j`gaa;a+&I z@g~X+|KX`!=<<5oH_d{>l+0PH&UyMq)92*fIlPs5T*2&u4(lrO%u^kgVttk_*NnX9; z-s!K6acX}4$>EPi`7bVGjyAMSJ!mwoGVa>CHy?+8n0czbfgHPV>PE3;Z-%QKx@`=X_8ozlKOk=bj zF)2B4BI?qHL}Q~?n@p|cmTL?ST?}_#={+g4W?DbmtLBLXJFh79j+I?G_Tt*3LenY3 z7~|ZYU7mS6w3~{@S;`H?%)W7JhI-UcjJO%aLuvIh7pdYp42T9}+{o-m}M?b(*ns3#wLg(hrN-TVA%ua@xs z4q>X>qYa`qQN3dLc0RKx24%aLlhu!SCCt0>Xm+Yk+|XjGr`@^g7s{oN4y0G_UGLZD zM$D>5vvu1Fa(8a(HtslMT&2b8+q0%E-$V7!(+>;_eKBIU*`;o$6ZW<8&%fL-md#QR zGT9(h=)Y|b`WBEkMP)a?$JL&>dUh7K{CB^f>Lc+_|82(`jvmC9_($$O=C{Z82$k?CkXO$mmhB1CJK= z+&GEyW6ckLn^)@I(>->|PP}o%N84U?a_|wQemU1e)?Dd+!z^Cacik;ckFfLcejmH{ zUfy%Zf)!&q!duIerq%_U?h4Xa)-R@<`I2YjnP$h>RonKob#t~xVt0iB%WfA9RKJ&$ z{z|1}Ms-n?oSyzD;qw0Z*T;kysX$uT*Z<9k!T}+d`_%FW?z;GD(Shg@SqAK=id`yq zFDs^uI~(yLzU>{UZ%>xLBGm0KQ~uyjekfCv4p$Eox5N1fvPjpNmaya@Np z+1YQ$&OM(Ftu_fOj;t9NFhqsL)t?#rP*vg8LxH)oU@7y+T8**qt%RGhV+IFPlryrVi36f(k^Oktk2fDLuHRY9Bwk{QYba?>WoW+kj^BR zt?BG(=j&fxK6b*?%h3Gt<|o2Ko(Wo0nj?mUdX_B@v>ZQt1?xe1qF2p&Mu$pjJMH1c z!_!9$pAsNDh8nZK|AW*>O6p8I;zEaodyMZQQ${!L#ZEIAf|FEMBKI zb7O){$*J09sYjn(HBQUhd?JC_VyRTBIePw9pDm|5^bO*6JD-=kse5G2E{Cd>!cEI2 zUfsUt^WzJ*n7t}nmOQZ9n3y|IXxZ7ZMEUjDv4W5oQxN5~?QMZJAi%P^;>qf1-p8-$woP_08KijQc2vDbQfi~Ia9H!rMz`|)yR8-KT2@8XU)?ccbwk3( zy|>TAS31gS2F-~-J?qjo|F%W`Rs*K3bm=qa=G~Xq@|{lHI1%ri?$GUx&=3#I6#(&4nmK&ey!;faITO&y09|#?+yXljSrP_r@d0JzvH&R-5FZLE} z3z+OvKJukd=FZE7&7PM_GCOLnDtb*Ave_k8^>pFBrBXWuK@gO}y~a)%(*xznjBbtR@O=&#rlp^yZ^SU0Z1P zS?QcJuew`mu&Re=D44%Ed+e&GY&V;_bz?>iDgT*x=>4i`P43%9#}0UxJYeFa1^taY z%DCO0j;yrHQ8t}m5}(#{TcnFo2z__LtW`7y`!b_SXIQyiRZF)GugnvA*Y!W)n%4CE zVw+EpcT{YT$(t*;O?{F(#MEwA!^O~efrj6WReYbhnYR?u-QOJl;`HOa%JYdIjC6iF zej~f#Y`EfAFL62cov+ijvE`E8aJF1~RBb2ILpZ`W9Lwb#4$soT=mecp8a>V@{m6JMh= zQXcmH*2_43z`~$aZ|l8}Rkf`2o%eIp>qDChr>39}t=lu}Mztc!yDw7~9KUkr!hoY; zJoC9TmnYmv5URF}yQXKZxV=s*Vz-4+kp#a6Q~cTB?7$1ZwZ=T&3Z-tx5Jo4s(Ry^IlUrgPjN`+ZhT zL*?XV=m^S>-SH22XKN;FS*kcj){B>8QgnOg6Zj1Q%>sTwL>1R?{noV?qxbc=Ay3~t z>$r*I+&4=d%Y*yqw#+;lG;r^s{kO&@wLi~(xaG{l+oShff|sy2J&)P6c;-&MqrnVY z=U1G-7wxhWBJbCKy*J~N@WX;zj=WpGRhRGFRz%a23=Z@@Uw-yZ!Q}qCek$&t;@hpK ze{)5Tyn*-qQs1(3d6PVLmAR%B6(w$Oof98pJxMpvHq{`#BFHFY>+>sZAxl5yW#1YW z_*HR9U&^3tpLY(IbeR*fx3OOZyi`Kd9$EI78aDOfs)-W@nhtyYWvyC?VwvBrjQlsD zFBd+VSdbaA_}u29rqxdByF>O2Huj(-zVGgpl039}v1jtG6Ap4i-uTq~yyB;~_(JNu zAv-wnFCEVEzv}7lNVGElyukcNw~3>Lw+Q320^<@L?hEYfJMX313dC=Hl)BGhD_G}# zq(=$zqMW7c3B*5W!nNf|CFFJ-TNF8rqAtV1Ie5vQVH6`JJ&we{?YMTGNbKzR#8`?Q z@q4-@dJ`#`iYz-j@s(IE$Bv6`ELQE@|F!4gm&laJO{FlY{dnS6CEH5hsI~vyO%!{4 z6GaC_45ccSOqouZX+;t6&<#Y0GB7EeW>A~S4p~g1$0!(!P-Ngl+4W-y)RP>SwTPS-C zm;y2PwgQ1Y8lR@xT?*mAQc9Y<02eU<=z1C;{8&m^FM~qUNwSR4@e`B_dc@nGe?dsR zmoor0q^s)DhJ?82W2Y-EuT+LFe>RIL)|5#PrL`?R|-g$k#nq?E?q)`4Je)vHNAvQrH1M< zZN&WB@NH}mXQ7%j|987n*J;@h{CB0qmR%w=peD&sY&j@$8`TQg8d3MS*kEh@g$!G4 zs=x3d`Go>0^R>4X8v(7v)tI`Mp=T>TY2b0$wo+2C1-slvRVPiNcRy;X76I>99DGOWw?9V{g-i zN_#0P^L(huIxzM(lC)!&cn_hTmyxxxw-d?I$&Xs=2BUu?O}pPn)9yEoKK6E4nk8Us zx_lLYEEXk)$F=99$E9F&AQBoW!|MsuBqbOmwm2j_LD4Rmznr?Bf~m40G+g4ml6qJM z$g=y5dl5n57X{e;PJnh|0n$nWR#D%oDFZyQ0rGKl8up_LX)Cj+8!%~N!EI=xYL~cd zrCQ6Nj}$7Q*JMo7Z$xGP8&TQ+PE__{!=sl>%cfeGf>Q0p^0Kq#bpB2heBWt$d7w`M z+%Ig~3rZH9r#_ZJ^2Q{6Jy2>H^_vh+{;zk~|Hks{r3B~2fv{m~gC|;hapAK-^qB{r zZA72>@YxOrd>A9ZpGDu>z-N2W=Yh6(f@qK(d?tSK3jDy!9*-g(baioiv0j{$0$y+oy3^9X&ww|qhg_yd6fe;}+3 zf50*hz#sUC5uv7stRvKD>KG~Ur5J*MjjYS5WB-hjgDT6ZPJesuf0^q1=jU8>`_Iog zkEvWV=E~pZbI`*pRF^-%&uxPFfmi=HU$qUMKl}4@5Ge;utN0^);(7Bwo`+wfy8eMa zV*dMoJYR7A@AH5B<9R|Q)$MQiX*a0ue|w((kLTJ|f1khYAJ2PM|9yVJKc4e${(b)O ze>``;_4oOg|M7h2?Z3~z`;X@n@BDrKvwu9FdG~MgqyDT~F5E%?n`v;7OwHe)C;j8O z^8LTh-}sN`1`qx|f9F4*_jyP)LCNoEswn3gmGu`%XxP)O2SAVwofAFRn8#rgaS++l z=!SorNrWf+S`3+p^HSL&kIUntjB8YbKOiNho~@-SYY=f*dM1x&_s^LF>!`|dM2toq z>;DfTE9?hD{8can=+$@vS>~W#ynj#76!4_j<#TzYpzMPGJr!+! zN>x@T>fQh;OMxUI@;24zFZ}lq2&8fHIYh=G4MM=?{LQWjPIo_}vZw+!7nMHyyR@wv zLE0%=%zsP!Xk(|e75g*)Ep6xLFoDd^(9EfSpFkuS7h!@rA!2EE?RkImEn?!3Ch!m* z=~DYH{S%XmqJYgK#EOo;{pV;d8uxx=a1LY55 z{Z)DdPhl^CCo-W$?5O`^LMxs?mW8M^@Bf%E?-c}GQa{pM!K=gmW?lqw9WYg%cmZz! znj@q9Pj1Ylmjwa&J5$nbA+WWz`5RIqzf^jS86-+5^fmnNNbv+Sj+7%oZ#FSgnp69~ zb4no9ZRzB6(baz=MLBo^Sy&+L@BhaH^EWVoctcwNvgfJ%Rh9%v`|$+P_R++Ee^1b` zk&=TAkJu**KsgEjo{3Jjf{73}aHO?mBjBoAed@0I zR~ZoT&A%OD3$djgfF@h~9~1833B-P50D3j>|Cli8Ell8$*7j-Yzb9zgNv{<)uLp6!D2_h+5uJ z7xjd4mB?MJ{X%2$81}Dg1^x=Hc~8|-VH43y42;c3$KF%d@+1KKqeACl03=E=xL;#2 z4B#S_&#+=-f1oZmBhg8a|C)foXr5%WGuri$x|t)IB1T6NB}VsaS_J_3nA^o#zf2Qh zLNb0-ZKU^^8Z+@fj1ZELkoId<36Swu;ZtVNVef80cnoX{aIL+jM-ifC6Iz$Z$_~B$ zOr78BKPU+4NKg=pfQ{Ms1lZx7{|N{?{DnH%luYy_qlkQ1Dh2@|DEt%E2#xqkMH-^P zV(E~^Hwmr-qJ^ITD(JjB^8QBc{a?Ki42rRE2rYKO!V#Ix$9ZaALtN$BmR$Qu)t8as6OWGkkhPMb z@a@Pa11Pd=_{$dmrB#wbm9@s>ifQmiwXCcGJQ9?^BQAX4lQZlUh#5TcuXsh`FE064 zIgOaYA^-YDC;lR7SZU3Wg@1DJPagg$z(2(jYX&X-DpIkdGr=(2Q*F8hQEWG zG)#((098Mw4MxR}l(f*=8k)(*D>Oy4|31wTskGAc0U2kftQJbBrOiRxb7eJ=S_5r8 z@}tmITs!vhkgj18*3Nz*_PH)uNO z>|t4bf*JIrfu@TT>ww}n50vFmvY&i+lu<{s>@vLmy+U`AL6t`|ZItkUW`rWN=?WCK z4GLCjg5II=m{{B`iSO$p**FjqmpKZ8tVB-L?4>^2xI_+*ic}7abF07 zM?Iz)6PzbJru9Q^W9SyZ`O*w|O|pqm520(J zH;<$tRq>T90a9_Hq9*$Cm}ZKK>S+oifYKcRRRXA1sn@2zX9B4 z@vE+YM269j1k}F*B*^#$_}!r=Vq+#Zy0LPLo54PrPeJhMaZ2i>d9cST^>pP~ao{KH zto!#5j~x#|m17HrBC-=6*Vc+B=rk4LJR<7_Vd~pxQ<` zWptR}Zj&qny>9{Tu(u#XHCYwZ{SC~B&yZE1a$u1rY$oY z6-J1JdHF5i62_@8(T=wNOt0SsaiXRJruXjv11fj}yc(-u_a?N=K}F80igY%y7y}O+ zq}m2j^La>BLq!*8dMpmPLeQ=Kr{Sl=KC8H(bX&R#@_!48$E3m#Vv!IJ$&gpILc701 z#Ee@a2d2wGCWF8%OFm*nfUm1?I7E&h8qc=fP%Wnoo|9oIYfNwk010x$05MJi%qC#K zySoev^}DX1fznsY%KsL3PMxeQdeA|mqi-C7fDIfll6P-KoHNNhL=3%np=v)R5toDj zOvW-b5gSz3C1O}GIkw{HfOYfc9k8GI9+UwJ?v6MgRb0{RXqqyz{DAoc1p#e@ABYhV zqC4fTpp4eyAtKQcxrBHKAvzUVy1oolZ;Ieu7d`Cb)P)(?sEC)mgAjmJBC$E8K-vi^|e(Pk7NPNUph}Avp zJAfMfSz=|}{~}FS1kvXP!Gi7Z2}BBENDgfJ=G01odT^B`n zKy)Uc5hT9tpt<~pFf`)_&1}OCjD)Zka`Qt>IwA7;W-N7-{6k_dvqyVv6Kk*s_k?1#qp>ar27#kx)84xG)J-L2^ zfq|phqc#<~z=03RC`Y__+t}DzaV0UCLzc(bok^=9K6To4#t>Ly%&5R^#3V&cRUU^g zZChZbLyJ{(Pyrqw_HH*NTY$zVB9}38@>0-4Zn9DUh&rNE-nD(`rs%vP_<*2;+lZA474k+vHI$X(I04>UDIXGxHY8*a!I znqag|AFoNcxEQ1^iN!>BjW{w21nAL0MO_r5Mz=t07Pz4_3j!3;q@;uD)I>63ak@-K z#!Fyov}&h_x&&P;A}tW9#*6TehRlf@A=>WC5>iG5(5xgUj0 zBd&*pJ*W|+))au|hys@|mGDs200prT{05-d2#9LunfhOOAxS2UAP+S6UW4vHQXY3g zNg2gy(rr)|Dv82JMT_o8j+$r&r!6P6=mK&SMvd&W>2A#bnj9+Drkf$AJe{bD#8EXK zs!g7tGs_{J7ZXJErQyVuL#hjZBDp2VC91p$I-S8z)V(8iC=^(wpo4B|1N-rtm9$l$ zSx-uyV2SM8u-Y!s0{}$0&Df^s+8y#v*ySYRjIl8!gzyI$e2qB*6m3Yi7I~+r9Y>@_ zLeq<%$*Z6?9gL31Pstt>u>+e7Qg%k^@90XXT92W%QC}>-AqkL=zw}dJqSgBJ-i$6e z3CJDx7S7VOK~_QCp=KDXi@C-5hN#_0gdaP!5|B#x0|*Df&%kLRlkO5z61C}clIx0E zCn?Dx%XlRg8f+l)3pCFF0wh6c))IL-j#)Y^Eo5(k;Xuq{LHn+0sSCq#0SVRtwrh{TlPq|AZfE_-=kcw0qWV!F5Lod#Qx(!U35jx0wh0Ka z&J?e+I8B3ho=RyM^cXo%RtE)Rp@O-k(P|6$PMkFnItpZiD9Z|!b9y z3BgXC9e84U1_{@8jNyxSO_7Urb+ohz&woCzQBdWL3elQ5!*cdhSqcbUB6(%(bhN!<4 zd`&{{lAICH&sc#Uv|2;T0J)I@vnzD5y0k&_4WpGcQCV*QMJnpQyvXvnpdWh<=yE?t z!cf=sf0(i>s)}PMqq~?W@J>Z0w@W;gFobKoSU$kQ3~7_Q%_6f9wMoNv9C9y_(?VbQ z5(c~YF2Ue20VHH&IAl)rD>ey($bAwltfQ^LZ0iT$%HuzpQUdNIvAfsB;^Wf{%$TwHzYyQLIBMfqCHnG6muf7Nc+TdNwa}EFpv)l7TAFY5@J7V z0NZF6?C8CjQYyr_m$96X@m(ezx!Z%uKe7i4#W{yEm)}(qk>M;I^wtqek&trN$FTjf zO+gz?!O!t@=oacSozN9}{8U~Cv9(#6Xi|bK4H@`D90_)SDcB8JP_jvqMl1s*x{ErO z%*8Ng;_M1VIMMA1w`7skC29UJ14QnKs_rpi4-I5K2Sli2D~h1Nj+B#QzCq?nT=D=K zLr0M;*m$Koi}+LKiZ3GIA_2x%^OW?^dS?Jf&`P{zTa+$~Xho_nAn{YKqL{%V&#EQF zfn^Uwkt4xD%w6b1!6@4ILdm?r1?(Mjij-X;^OQ>S0BSK`$s6r-1*l&gKrN*0{Du!I zy!0Cxy8&%|2f#Q|Khi}Q?k3}SLHKrd6QKkAM4ffTjc$vbZXg=y4tOfu@%&U-6IK^1 zf!Pls;hf}7vd==F-04h`Y*lY4u~+oRYKN%B65pl}Ney!Vs5sjpikuk$q5gMDAa7d` zN>IH8%ieBJkrGt6(nS=(gc+n|$Gu65VIjrQVltL`K-Rb;Mzp-L$mZ%UvIjDV0%_?$ z3>^XiOWZ^)qP5|jf-dSQg3V-!u09ZXr&z-~y`iel@MQE<;cx#%)(rdq&Fbh} z@=gXYj554{>;XeW{3>&$>n1?z%8=JZ?Or6iKvEZ_kn&#|1ES5sLkRgxmvI09YZe&K z#pRL9AfSqffW)l_iSdH#3KVtF+CgGVWDEvN?5Zfj5_1Lv_by&w-+#13B`!%Lp-iI6 zDH%-K3=@4C40*v)CnY!J=BLa=hCU?CG^W(bEg~6Z`hYPS`hqbA`qBSmjKtpCK3}m| z$eKr@Y{Hu?{Q$s?p<-VY-MkPhQ=}}J{;+oM_aPTI#a~z^0|eQbPp~tL0()Tjeqt#_ zI6^?R9SQ+eYM~a>e{?8_%4Qg#CoD~Ln?a1;&5WUoMh=(IFSR-Y08BsVj5r8#1@5t8 zX}=&L^l@%5Bv6;I@%&LAG_JU?LdHWuBIg5uBqD$jmn0-MK-!Y;63*PzbI&369UlqlE@4`{QQ#h37B@0bUmgYIogD!JhE(bI)~`cLe6Zv2{H}T z02vV*2e6$hy{1A-cBzU!S~E_(3h)qdc%waDjCjL%U?MsY&tFV8W|0|&Shc9S9~kbw z@u1GCX|Q1blEx5*3#*nnl=~VJNa-pd*YR{^f@rA8P4Iz~-9)lL5L|RSymgJoG2my?`=uTfL!f@M#7Hf;vj75z$eNJ zqO-8ismSS|ZNXyT(p87pTzSa-Gn_!yPWlsgBDV0z%46W9KgSljs3i@o0iBxwo~$|r zQ-imAU~!SA81A5~2dyle0v71Lisedy8O0M}1}*qM=^SCaNx=|(%tEjXu@cF4T!|7@ zb7l3=z7U|FP$Jn**7+3YA{LFr(@zAmU^$K0VzAts;aZe!)kE;i-@T z*-ZVjrj>YPsY3WYagvg4ixU;t|Db?bMQ)@`5^^|JHf-qJ6rZ2l!g;#ns7An(I;!D{ zj#O|)OmKr^on${;l$PM{B-^80a`nUCN%l^-l8qxS4u2?W9$g;}Y{+r~${fU*2HJO? z4uJsDNv1Mc_mF~y8wSLV|JCUrW7t;FM@u6a{p8sY*7#iXGY85DkC|Yr17s(zn1CWf8-18f@5SUvM`J=Dpms@lfn=tGF};ld z0tj(R7Z;eD_FdrM^XG_sk|AAPbkc`%?}7*2#iOwGGkMY#267iNypex62>-%XSu%Vp zlb4?*T0=4(Py>7m7mIl$&x#r--Uz69G*2QD=|d($@*t9o2#7n?^DzSuci?VpXACD)jLW@ou_8q1(?T#G z!b1oq?#F^5g~kB_h%<^xQsD?;iBo}Q6nP%nR(SJa3=X)zkvUi#Nk6zcGT8x!+Zqd_ zvCxtE0x>@0Q4r1iRFzq%_d=1hnLO!QNX*cIe1Ooh1T&2plCDiKLqtiqbAbo}L%KMT zGNvanQ0@CI_&p+#G3Dd|q8pf)Kd1~ClboI)4koJ!i;A!&>0*Y&>(&Ge#@E4_pVJ~t zJmD*%+uKA6ju~_zySt7of|V|4G2NFYiZ8Hv)FIgNKgwH9PZ1D5Q?F*ScQa@qcpneB zTBn_aFQpG5?VPfV?#B2}&_Qv_=o88DDo>P6QO^W1(CrBX(1tev)MR-VpjpesK&@B& z7U=F3up7HW$m)sMNwO*iJn|?*5?4q=_ZbfvKc9n6te_8;qL?`A7@i1Qzl1m6NCfvh zkb>70Bx~H|lmddSFDxcai6Ye^^Oa(o^Y4*jh}((JcVWK3vbA{mSaqVkmhbzTy^ z*MB7f?k+il0qNuRx0T5l0!~8UM>o29X<7uNm)a@t+eAcIM10Ey6}bSc0?*|=_r$^f!SDR+4a+&5f=$xqV7!uj=abrgik1?dtc{rY_A z1|?mzZNs0YN1OyE8q;7pv?DMX(!Ft=!nNc}c2D^nLj2&Je6my^J`wQ%e?lhxKnV(K z0Uqv?VDj10!VqbJ=$Nx!4P*Wgn;8IHyo(GVam(DTFgn>X2N{S1J_757GPCK*{;-n_ z4e4Z$E!?QEvgtgq;YpG|(c+IoiX{1ilOAl%_&YM56Q69+jcmF(O`I$8$-}CawOD+3 zCzmgMxPfhxsDc))173*a4ybcuK&k=B9nnz-JD?@d@39ICL^1$b1TeuAHo|vU=q%}~ z0+K>^BiQ*Tx*(Tt1iWC(G^SKl1|u@Ys5qhYaV$9bAX{iybk1ViZhc<5lD)w#$4`yf5 zS<*W{VuVCSfa+* zu|AhW8qRYF4lrwc;$RfS*5HrNgs`QNIkuQO z($Z2ZATKPnlVPHMvlVq!V4hT%7cq!|SU}{|$;FJ|7%g35U_LoC*cP325+0LL>_5+& zAxz2a6isJ!eT*^+S-Qw62R|jq>}s-D`d3ZqkQL?0S+ zmxys?=`sS#O@#w;v&xsC`K{e&B!b;nZ2toyF^}#Upds7sfAMD}D6&L#2IRaYP?gZ~ z=#H?L10lx+{n$xYLs@xrbLkB`5hF+^9}+jG-Q*Hx!jjr4!(2c~rjI7=#fb{mpY(AG z0Kob~Dz{*b&&6^eh4o(>2R#;5!l32YO8#i#;JuO<4r@McXsg@}6R_FAZ%uXyVjDeV zb&<^;f<=OeqCL1{sWXcabLY7ixU1hQ=B`T+fP(?t-!fw#AR)QyN;(1f#XbNqeLr|G z83Cmm&j1Nl5tYXml_dxBVcg#slq^lK_~AaasReY0U*ay?0OH)weE4_^d$6(Dweu;_ecd!eeUNfUvhvh zT4ivgBLgN8^9beQPm=u}zNEj5Z^K8|v5unbpDa}J8dBi(N%5)U$ZtD=m~9R|KhdmxWS#1h6UA}rw0B?fXNj!Lo) z0W>mlN;d^z->SaP&_{g_OR#k{Uu=C?8Tf9FHYSPiu3ymQ9)^adwtF-hj3h1$DHOp- z(gLMVdocB|?1M^3p0FGdaqzSANGE;2dPg86n1Z7sON7_vb#X7kI}RQN?-+dyhKQum zHNK1T0~rh0@IG5YGRk5~-AE8i)v%|mGV;KrkX&{JgG~;dI|kXZ%^~pQzp_UVNw7y8 z9fgBDa4!&6I7dLAgvMQ@tC-r^cHZCO*>-kS!Rxz3sUtdf37^><#WWM?q4Y@+RvuB7 zzC7{A=Q$&w!enEfrR>dB-v$IvzC;UXv@?b^9sVZsRdj|%*F^TEknaKfVteSUzg!CQ zBg*L-IFf_8LIQ@z6Vid^ZUOQ;E^2607D#ux0kcG|X&kAdg368q5JIfd&1*13l5B!I z=hlOJUM$1tp3|AyWThmwYEk_Mn*y9qO8Ut4vLXX5JVm#{5sCqryQ~>xN+%#ozq(W6 zDDn$#B3X^N3dyQWGVJ+`IsxN|WL5eY3R?$ZwIb#~WCF5vZsQr!Dlv8`tA!h*GPC4G z-8G-j05`-2xqxgDBiVs5k$V-rP~=&#C(3!eGT?4*f%F-X0PaXF^@8+1{XD=Rxs<-p zB*H@ha)=tHf0;NQ{0G%;oZ`VSe3vQdtT7K7du&zaxW2lFoAPt0H@z2 ziO{6$O^isyNn{FM?$3ezNk9AzD-5_GDXzf;L=&8h0Oj^#^;Us;P0ITLYLFc4LBX9y zxF_3*S-KPxv4~|zw6UFRz-$m)N*~C;Fq0*UI(S(14Fv*`)UE|Q#dd+85;u)bxI$7~ z=qCqBvEWx^z$O+(4Y>c%a=`UBE=8pvHcR3>tf8Fl0JjSX8zlP1!!FZp;0waw8ZSdX zDQRoc4QtreR5pOE&AI~VjA(M>71-$Ql=(R}6v`P_=@!cT--sK%yGoxx&V+_QGbI(2 zTR|6y(HdN%hf)AX`cBAovaWUF(7Z0ef%aafyZ#=wS!E~e2uFEkR8`r@lJ5;Tpk<@_ zC}_~QcB2!tXH_TY2UULpJ-izB(^lUCt0mS)0beS`!%`vIyJM;)BE{+>lJ}14P7)U0 z1UL4*Cvjuxu5}C~;|n}rds7lFr0WwYvq)EjoaZYZMn(+jY6F`fSOto@1zt9#n%498 zl8V0#8_ZMYKn%YR3!?O*!{=HFc#;NrzU2H{6fAI9Bb^O@5;w7-P{@jqZV2Rnxwo+$ zLR<#V?p%X0cN|=N^}Yj-iB$$DEk43_W)m%k-=3d_(QaYxmU|-pwTrVdRfv%5zIGP0ESX| zPr}VF3Mbl>V;}s2P#bbI*hm2!qID0v2mY`_`+Svk(eV2cXk8Wp0V4Wio)V9x$cI82 zW{ZzLkoz`8b<~XM#a+Hsh{0%SF~c6!E5r8s?qDTlvdvee4n~mcfOG+XMN$9(%$f@~ zIKN@SN$Vlsjw6Y`;QkH_INB6&L`{oG^$|$ku>mJDc)1n5o|f2_2epzqhUHc!fT>U3 z_R^^Z;B0MKMTl6^r4Udcj%-9h5s%0HmWYP!3}>ZI5+K945AlBaLs*jFyg`8_eVYlO zik2kF#SOU74#RiWWAJ#-SRh>%U{kDK3wMVsA4wcdx^qm5N|_Om3no44q_T_FQ2RJ# zFC_CAq@(*(;?vTHDi{^f2vGRA6IBIW+5Gm`EU9QE~KCh^3jhwWIU+FLHqO zOmY=TfQs^A0i->nTd|}PVoa7y1XTQoo&sy6rAQyPNJ)UWe>3r!L;}(^8Vtk6LcWJV zrUCYzMm1u1`1XZBI`dnrngp(j(S!w%TQn44eH-KB5rKuE;c+AQ#C{wtu0azwF*GI*S{ z4A3x5R$o~jaDqB8(N=Hpo+P+2OS-WO;*;uSWg;OtX96$!z$O7SNY!{G4R}$*uP(s< z4_mI(3&u!W^RMg^-CTOjBKB1TUD5#%cWNwjX~i|exf;RX`(|M9zwihKSG|VBJLZvS z=j^v!f}$nG3V1l~P%CN2s>CIcjdb2G0Nh0HbM|XY8)g&-sO32cV>2GcG}K%^41f+3T)92{X%*bI?buNZWglMu8%k7Fk%=j zDhOa8B*s;s{3$3hhha}$RG~?jc)VI3S-1-ILo1HM{ax@IrrY*2a>EfW0tiyt4L)4M z-(7;32V(T<({T~F20i~I*I*T3HqW>yex$B1(S_?hE&;@U1~fRghA)is>N8L&c`C{w#TYXgwoJvvT(<;a-q^bc_% z&WVc~P9^%NISZExe0z%IhvmcUOb})Vc2K&VaOFd`9@Mij#>9JQSVM$9YBe`VnpT;ZKo&}67A!a3? zS|@HJQ7G1320y9ynZ(R8{AU*L6K6IW?5i*K;DI8)S$QYPFNU7QL|6Sw9trEFYGzt! zSq)^uYv(_NTn)s4o{@+^XhhU$J7wu%%bmFD7I^Pv*x%+ySz0NYDKv#5Ejrz{Fe?ts zHM^-=a*^t@9AYkRJ#cSEcoNgtVKUarLzI+H)P>GD5%-uvKzlhh+Wn``fg=_?&s8?^ zbk9GvTma0X3`O2iM{q5I4VuTY%>B0NC`1k2&( zfS?qpOTuZQrDWx5z+!^j7!UjG`dCYbRcj)M-Y3xO1bI2p&ce8oAQ2v(6xS6?9luyb z>m;YvC-USvxeG3~$DxGyn3vw86p!i*sp;@VZ4ivP!8}t#^x`6ooWw97rZuh! zqWwH*Nlqv&r}t}wiV2cpfzW;rragyjORPz@cP9Xe9gd|8t_IZmVz}38V@K@GVWjtZ zG5l$t#wx;>16EuU(xA4x%w~z5Y-jp1rS23#Y( zRc7~LXsV_>wN!#WSE871ziWT3^AHy;*@q` z^7#0?8G$S`DhwAN=j4a7a`fTrJZc)_p1v-0D`X1geo4fWn+1K6+M7P9ng2mHn&Jljv1RiWvyUEp4x{qt&>X< z+vDyT!;vWrps_q1^8BHmJBjI8@+4iO3ss-H^Xk_y4{+=Yf^m{+XuWe_=Rxt4w9>_> z2GAXe8ITC6drfarAxAwwh-&Og7MiZ4M2*&n8Y=uvYs8XiRxuKC?6h3e5NnFH?&7&y^$tY!1VYO*o}@NQFWMJksM}b zix}{}vg+|@CSIA`+d?U!;a3pY>~}mskC`?B(>axEg0r94`#UwMVG^L#PdcYl8`C5x z==N5Fn5Do6v!N{+wQ-o#RLf4l^xYI@-dHqw%xaDW_UBpgVpwy_A&KcL9&d&XI;xqA zk72J7J{wpSdYQ9+8(i%Xl&`VEtzswVC(>W?wr( zk%QO!TB#AEaT_7~t5>$R5E3C09cc~K^hy?O#kAz6YeK)Dbr!`8r&??k1YuRFITkH>oDVFRe!9%vBiiLq)3f(k% zp*~uOzP!wmq|V(4f57drflHW_uN{zWA?$Xvwxs9-W+ICa3yH_!$V-SxoE#vzWVj{2W>jhg@+@KZ1G$`4qqg=WWXE5${V3d2DytoD^St_+C0z^ z$V<3Q&I8=-8^z%Qt&7#;MyA^Vv?LD>7&B>nt6OfmskNB(IF6Mp>{8QU+@WM$r~l_* zIa|;qvXy>2qL7g&n(;c~diN3FQeBwGpO^=`whp+Zi1K<$3XKKaZgK&)@(60hhIgD2 zz+lX+X1b8qp-48pKvw~3!0-5f@uhZQ{0K7e>={QgXsQaC>&vGsBiM z$|~q;bwx1V7(O5t&4J#j zQ>0XOa=<@pLWg zhk(zW`{~k8bd_@gX0AvKIp5PkAl_47HmUaYQ|sh4H>v=*qkADX2Y z5L4zS8m7v@TkYlqh@sDE9-N&(XK+gS4DO9th(~RcS|ammPkT!vK&pJoP#DKg5J>DI z222wlFdg5FFvijT*eALV@f6VpOa*NB4zSup93u&%Xbpr`FD=zt-K;VT1kkHG6Z&p; ze`WImM-u^sBiEOC`vW8rM%(mYTpSk_fR*OBJ0lAV$o;uk^xBCP z`%&eK%$sgK6OfxKDGB#%Yrrj83`nKGDkNWAJHl#^=w{7uNhI}Acw!*nkv4$oLlEB7 zk4Ll}gy&=f&}z09{tsg6dNG;wM=~-BGXkRCU`uxV5km)CK9m8nbMney{E#x**rWNU zGcUDTh(IYwef#-DOSU^q|AFSbu?Qj7;X{a@@VsuI(sR-TqO=JTj&DT5RgQ)>jRBU9 z*XN_xUIx`51oWAs+-&j1c?wWpz;ro?WnKpxmzTn?9ep&hp#kr~L5QvVJVen5zccn( z{H|gZqO}*D2XMnAT382N(?2;6LA!YuXgq>uA_{mi9y#Zsk?QITtCFdu_~a&VY=faR z?FE)M;uK=kRi0wuUxm(Kchd6}bHQ%r;VCJ)$X}uL{>5I(NaS1&acOXo9?axk9<02U zg%;~4==lb8bMJC7crN1_E56FGUBtd8PzoHBr=gMw@)a9n@Sfoav9!kI1(A$?ZUoSO zZaBmWNZ|O7kuW0)cNM3iaU{9jFc%Ahwi1h(7g_buLX9KFjV{4xGp~XXZsv1{XXum? z(2SZM+ZM+g%py9PrnpuxCtqacBGZ^Y979NgRhp`=STr0$K7SY)H4})Ul~J-WG9v(C zLV}JGX0Ki%N$QBBm%w&`vgsnb*LyG3D9QYh-1x#MiKj2c7L+~`lMzB_=@;JNz*Ob_ z*mSf_P=niMB^@JV1$BW=LB1F}5zlrcff=8N>To%R zVNNs?N`zU-F&yjugB~;e%sdif<_T&gEiwWS%Gv}FFJ9&O=xF!a%iyt0x)mT*FJ*)v z+eWk}W=_I*_7!r|>mpiTkCWUFUSS2q`RCKE4G<)eI?fsk?L7E>#PF7kQ`@8Pj<*uA zN2ZUrdeXbS#j5cLw^ZSO(dbGG=UIuzCx3ury&qi(Yb88(zpHrc4)5Yb(tB5_v8|xq ze{jF`fUETcd#|o`f*}+11iNhbVP_^p0Ds#xJi!~?VL|_MjRt<|L~EdgI$=_^gU*^{ zH5H48pcOlIQ5bSVaK{Dhq30JwT#|ss4seszZ5n}KR=|z32BmH#sdsB*gE}pY1<)>a zFgGCTOt+ee^bmAGhigfOu!D&UuSEgZtg$FMyJ!j)JG@Q6m#$ME@zuPbw&}4o!$`wP z02ooY#CpjjNH&$d5$m)UqZ6IFqJ1xv{*S+o7z~5g3z~feg4z!6KLQPZMn>bv+r3lq zrtBSw(}dT?s*c+gi~WfZvM~-Eh`+8^gZYDIdIN*WZTu^Odgg678;##{1fWhF4lD!< zv&GB{kSjZ-f$;~;lR5PJ&gUU}GWL3_C2y*jP>ygZ{=kR*Ti-}iV{35C6a&9jB=}&Q z_XfN{^5K{zZ$S|?J~m6>wgI0wFbhEvPBCRmSF$a;LCKzDR@3p2EyRkaW8$r#gq-&TYU3=XT;r3<(=qcF3JUb>uOp^if<`8f z^t97`Aflo86;>>%+`O#YO#pJ{%~qz@*o%m++^_D#n>6vvyoH*m&&|kN9J__AEbQY> zHPdvR10*?Xk$Au@zX{fWX02c#TMV8KaPpSLwg%j0?UtIdR|eYszYAz3UCqRSi5U*| zq>4qcNF6g~CjP*Tiv_Nk?qX(mesUVx()ir|c7ldiu$q||Ij(WL@Rt8vL7kMq$SeC{ z1qaQ*$jp^yMnGX%u@{co;oCHprZZ$>S$iJ5nSC?x8gCP(e?kjbY(q;H&Qu$qBaME! zKgxNa{EHt$r1<3R=a`AH{DfSae)Jmc?=|zO8!QBv)NF0yEc`@bS%t`gyX_X=q4q*? zvM+O4r2Ba$(@Q?3A7#R@qxtacVkxNal zzI_+=5Pl1DUtALj#iw(ylX&OBnuXJu<}(d?LYs>pexIWTjYfQnBl9!oB9biC)xD6o zvva}z<@b?EOea1aO!E5J%Y$xsp z(ktg#t*S$!c)5k!al6i(VNCmTh$-{FN63z3M_!@`>T^-*=xuJZzHcf7fsJJvY zMz=0ksWDQ5QW)uz6b=5w4hMa5qtg&#YX8vm9%4dlWFUkQ9w9(0z!)__Tzp*CjCft@>XzQ)@03Bp+W#dHV914A+k z5Vx2V9p*@UvIrHfrEo9bE$Pn`!K?K2mGp_$6n%m<1zz}Yd*t9(8mQ~d`y zv^N5Bkna+@53^U|&^$Uq=WC80`(frphf;$%L$0lcNGlBEtz{TR-e(dry&6K2n_N6X zRIkKpb>rxC91g-YJ_;|tO_?UV8Jtqg*1r(<>b<~AL(FD+Jc{fqR$%9NY=zH5s(~;5 z$yuuDYI-ATK-`CtEbd!sWl6eLGvLQhz@)ERhH#313CJ(0YWh+plSz;vgV4Ox{jJ+W zRtW1qWg+w*_ksT4A*%p?7~_r46>Fb?K=fS(_C4=mD;Iwq(kMPhWIqG^k34L(bj(Tc z@F|#uy_Q2c9@-p>3oJrrq9G(o(&D*K-jHRmW|>E1!Is?aj2UTm>du^aIqaivHXx49 zrP!g!*TbM3dccRgZ>TCTwg!RGXa~ul))}=W3dGlk~Y~+My8-+;txRt_p zkGkSwc035>;nFz@n2lfV&Sk{KEUSb&=qN*=-LK5|u5 zf>;(~HAEZGhyjW4z}2nqz{WtuYmY1;OsJ(lGk{X*o>+h5);aD0ppe@RFytnc6s4JJ zqb&rPe|dy9aj0i+$V^m#eHS4>(O@O;WIYw}5Mn% znZ%Gzci?{6U!Mbu@QAVrgJKbk$c-+xuE2=pFJOziZeeU&&P7_Nun(!^h**(=Am!O7 zNJ{yRAvJGyznGR{d?(v0HW0*eyD>X1(8_VT^XeyRe9p&|iXO+o6r|sk!AFup zXlkD`3a;1g$5k* z8!cg1(ldpDp^FIvY8_0fWyoK#IC>Z|eL37%k}l_cKsoGVnO|E7d$<~3eR z9B69MM#LW6(PwGxcsyh0ljDid9lqK^{11J~cRxeZlJGKT7H?3+SqdF>0IMg-P+$8jX|bzbP2L90o1_>uQ3Mr#F`%tU7@X z`)_Msz>9KUHA~NEv3Ser6`sl{)RR+@1F#YM*74_ETq7o9TyaN9DkftuZWz%{?g7=J z=^h>uInN@hv}~=5reSy~G~-7A&3-RxG))Jql0Kv^4V~A8(KKNMn%w`XXLu^Z(~wiq zBC$JnyoT9aJjKB^0DJHY0Q+26YU4rdeh#m1dr4zyx^fCj-m)jI*I1gaoPnj-y&4A9 zn3pk-TuRfI>&}5CG`{Q0!H6ec z?x76|P4VUitG$?f2wf++#naGDctD$)_;d>_iM6Y()?)oOxTftlDtu~%Lh#sLw^EjV ziN<$t(4R>FNg`jf`Vr_{s+GhuY_vS$vn^ITLAR8O?VDgAO#6Vg2nZJnv5xPvf`CqE zhVWaygmv#G>n3@>qT!S_$-vk}Xzm zvE~k3lAE8+PDR#MJoR7g;EGe6soY`Ziqb=H+H~1YK8{2V2U{m?_O-2*Pq(0cgTWta zhDLZJw!7ovkkr?JgaYLHS_nFxJs}oi34m%9>Dr|mrx+wb4Bq6fY

z+)jJ<+X_6f@ojJ@?(}Ufru+ltaeN0LApmP-qojyQ&s)o?choTA$U9h$(s!+pm`%qy zyzk-%1f3kIc(c_;-2E=#tNTuM@Og9w0ABxLsP_>&!r+B5A6*6uaKn2V#>4Mv7!U10 zsN>oX@j$|eyPz1x^xy0x@y>^eihN!42CFZYQLKvxke|FCF%$!dYNZskt)K^0h^V9Z z_&tu_d}Vtzgxep)0nJ-?x>Q8sm?3;M#SPzMY?eS9jrx5z|Mlt?P_5WA-ttUUT9u)I#MB-(@=hiRc z=GWtVoBHgj{MPQh7%MA?BU3kjfwx+}ju7~J`>f2eRd#BvY#cFTgoAsm+Txk7!34Ba zV&Iq1PKlEfeBy>Ltvl@eY`H6Zwmb2LCvS#bwsVxhO4Fm}1dBEK#R#uoNJ= z2V+90`ciF9B1zj(?D7r2re9W(d)-17AhRX2>wtB$NL>m?4${!71*B85Z;uipKc?U)@x`bVdHpjnkYj8Dos%+J<|9(+>qto&>=kv;mml~c!k zNjG~0U}M&UxJ$kVKD4p;j045E`YVsyc0WnP$*ybH2evrSn??A{N=8k<-ABRQzgS-5 zh$$ZNi3Gldxiy|MIhX~bu;fa)e{sCkMW8RPsj=GLYU&sBY2tE*Q@RkXU7e%(^jBo@5`9u55jb#{AjFVPm2r#d6cd z1HUQ^!)}AZkX15;8h8AtNoG`(=$R_MMQ9f7adAHVhjXBk2vpQki?u%yT*tssj7R!? zP`77}SuMReMrpYoADGY$(@_~<<;N<(5*f!Z&HELWO~>XC^Tl-)zv0g-UZcCWji9fK z1#Tj*4PWN$FJO$nU_n+h36Q8S&ieykJ$?kjPI|n$^{~uRxLMB;i&DFKY*Mv2-a!v6|S6(9!Y;O>zPh4_SZ?GZu^o2(U%)^jXu<{raRe?+3_sx_Xv9191ba@vtQ`I)Bj*umCy}4z z@d+m|0WRf87BAJd&RSqTBLcg`e2-L;)Ch$OfBmgzxfT~8gR(N-#9&1I z4a&~_N6!l#;1?fXSSQl(cd}p}yrt$Fjg)nfRr${c=L5P=>CctH=m7s4oxRmo1H%Yk6af(pLj@$hb38610~vUj zb-_dh$(%$9$-#D*vX)OzIX6*4vftyRi^H}p6`at2UULQ-?1jW?Ajv{e4JK2RM{Nzh zD6bWf6ns&nLK>b-K(T0gR9*397q~jKiTQGjcp6?7yNhH8ZJ&7X2Fg^FSm}N=E;o!T zyp(xSVrNk?-;+pU!2ZS@jfl@UZ;SDQqSK>RIs`tm7;T2tj0~$}2sI;(g94Lekxg3% zZCz%!B!X+v)i_U6@uh81O7j_L>lTM}M`D1->)?)fylZ0H82mL)JX!~meUpQKNRp!E zY_cb6a&}fQKNJ=v9M{B^wPMUE+yKCr!{fTUBwB{Lb^~}dD<|O|hOH&>UYrlbDo9L> z4oxoYIMm~-E46}oyC1y)!WD^%hdl{|a8xpMTU@f;QWU=#=A?-cDWHy`gJGFU8Z(IO42@0Iq%e#PNs3;C2dYw_MbiKs?^lHB1F+^rn}%3V(R=xd;KXK$noysN`W;N%({!;bjb=T>|)=#_+kht}RuS(uu!rF#rbKClztEqZ7rsI}zc4FDEN^Hx z6~AP_Kwzc*bTgL<3<9EmgdKY#XkZc@Hv?w?Gi5EH z1mKhAHp;ADi}4ya9uN$?8w~mr-6jfJV2HIn?F6q;%R;ZX%Rvo*x5vSpzqq9fjp;!# zG_|nl?Z!~dqq&tIbMx#^TI1&oOQfD6Sg;;$xES{y%!h1U*aokc25h+Jk$mDVh^W&R z3;lZ&>;kL+)wS%JPtZ(?l zKrVvmm@(RXCobV-ay|@jpp!2Sc#(~6+g9=+Zab`^OpC-Dmm!ETqb&yDB`|7Z)DpB8 zrE5Jg;y_0`QLG3c8_ePAmF+a8jnWrp3v_x(7xg;0b54N~A#-Kz<5!T#mJTwFa0#>VVb$lXQWGk-W=lhr7i z(NnuioF<+q0Jb0Jx!9Tx6fqo{f24^~IW85s8~b#43E$_~t<6hQ^w^Bn_*^?xT%Y}4 zXfg0`9?)Q(qW){h5Ic}**Ei4L;$hA_*g8nm`NS&)ig6vI+J&^m)Wj?UDb&ZLh)c$T z__Tz$N!zgMprddWFHQVCJIWIMuCy|7TZp_ZT`nkxg<7a)PC^zY zDcr0S;VV?=df`}w_Zc3qg_yZDCLvLuH^ZnXw@DFC7s6A{od-L4;#71c%?j87@#fUT zun6Lk<8k2nw*o+0a-&g0lAnvl@S|_=q>83NyEBbLxA5W+YqHoAR3q_f3=7#sP7N@L z@{m1(e=Ba=iJ;a^9V^2y9SE|SwxcWdE3yc1dAETdfMC<=sV)jgF|d>E6O-SDUYOQN zB3LAT?PPnv$=bUB1^DP|Y-bbDyE>l(&@-LE(N7hj;VV-NIYqz7?`pR;j=fjrgmm$8 zSCIMhVyuiLpK0F{`Pg*ZxYs#&c?ryr8EGtfAT@uqnB&Ymuw3tH4zhfdvIc2n$?TKd+;R?u^JrKNjtS^>~*VZWRz>={>f?1g)p6R7jykk0DRW#D<8z9En zeZsgKeh~2?8<_9+*0>u-f8}hXkk|=2Nn8=z@xoJy8c7l{|sP=wWy z-eAg_IjE|54#d{hbg-*YOz((RGP5tdvGs!$F(VRBl8Z%lDYo2hw;=gi!)%P`oBePN z^$J(A7U4KP2~VYa>&B| zW~h{AU#{t-U_JfiC~bW0e1InvYvf~f_U46BO?1<3kz`2Db)6Qz(3g6f0y=hIdeQHg zI8{Ms;!qb}v31aY5hqa>(qmw*&ndk?DW^Q6=MZbk95Sq5xj+)HtH>K_ck$+#*`rE? zOBL@81>weAh=pbfQ?#$E`MtQlS?ImzIX#}rv&VY1I`BzZkX%~YA za(r_`!2+%O2?}Sy@R}ZTx^c!ZdTdOOV$iy65i8D<9w*8_5p~GZt|X`h8xP+#C9_4@ z2tdcRp;FtRz_njYbMa(8@>6SE*knK5F!SIrMY`xQTEkZP@yelyN7NlbyhTxMMViSE z_|qF;a=jFQ3{mVncY%Wz7ob z66F|XAZn4PJ1WaAd$3Fq?Z$mpD&hts3$?-cuA(-u{qRMtD?;5Upu=}16O=8$9ptk9 z2Mq!#rtjV)52J;nELTh#d+lXcCnn;F*fARPSW!FCYJ+c)idUM}{YP-LKjNTk+8C^! zx!{z>5cT#rHca$&m%`nhJ&urty79_PmSiZ*7Y@eH8jn{{B0_i38?ku2gZN8FY7U4p zJETYH-uia*t{l!V3-xf}?tpt}+G@u{=%f?12SkS|x^#ZY6?l=|_dMfBI1xk!vhv&n z9IkbtimkQd6WjW6Q>4L>dV2H*^ohK~==@jO-%bWLMzlj>gQzg>jU!)h|CL}NsisX| z5ZB9#DQ`mw&%FxI8Bx;}R5Q^i+^K2xy>J=7+z^M8Y0%f`&=U57@G4NH3pNkVLOwEZ z<$vL+6)e0_@&vsTT>y-ILIFValYUX>YP=$eZ1n3T+F{{(Vdl(9pzzoUm|0;O0Uvh@ zM7Pul?nDOLsfjSiXzGEq`D%APD@~=4`$gl1MC~ zP66Ur-J>A>t|`KS!#tkvvkATbe8ths>$keY6sZF~AgyH&MVB;17T z^Wqyp+Mlk#JZybQ2Hx2c(ZW_pc9ZyVCG7u{-y6n8+(wo<&0zQ4$obUA;z_CTka;deuUC$kro6YDGKI+u;b=KFG>s}=n#~}a7X83 z1chOQ=^$zO!~)pjo$e(spqg1Uf}FP-KxB}cO0@{)>sG6DJ@yt9y1sT8k^k%$5cxMf z9h|t?9p6rDyUS@JzP(SO=a?xmm|I`rFk8g~aK*U*aPtDSh>n^3!fPMKQ|-u*d47qa z7eyUm9#j+gUqQtNg55Zuf&a~V9C3d_wd#zxc8H1;QI-BC6iV0o)pB|xc$Np{z<<1j zQX-|mVW?AK1*4S)9HYgq(bzjgt(|A}Dw0E1WL|vIrkt zguB}YEmFw(jO$7AQK-dPfoP1j?vI2vzI^!e)#56~Eyj`-ECg2&p6(p&HF|vXr2jh1 z!m-4f(bG;7rsQ6|XBQ)oa@p?qwt{FX+LS{6?|uNGe#U?gG`_gPwnh9Bdn}b-(|O>} zALQ6fnq%g)K;m8T>0(2f!c<(k1RGPf81;5+eR1cKh*{1op%erBx-hBfkV)O8a^Ci0 z#kXkM-uMaI5=)j+c*o>TW3_}`1`YhOK&F9FnE6|5T`{UDx)qf?i26T6cbV)5cO^}H z_mJHP)=QcY4>Op2k>l`(H1PCSF{&I0)mo0fk*s<1&8kO(5k2mO%3{B+oHj zJBGkzM?Rg>j%Y>NrA7qdfogUXif6TU}Wa+nT>K@KZlmjr^xRxXDY&3O#J zA=yCXyfGtb1eYLu(0kIuN*mQk5DXq>8ZO4{`a~EYLsu$*GBV@qiW%R=dBqb1IMc?^ zm?mwGr=pJG*~jq~Ved7r0>PYO-J^)2zVw*M#?UJ4Npx8enc9k6X};RSdKAN+bEP2; z3_bSCe4MwY40>p#((>MX-3JSuPL<{n;PpCUK?U5+-7B=hHJvOIwI-Vogzf*NLd|C; zwMYkXO5^jV@L2M>bR?v)Z7eMCMSran@eskq>bA;!?sArpYZU)DUOXq(wSbwp|8c0b zm9sH@q`6q(Pi!?VH_wfKDr1B!3b|(!t#m;P)=1msD6-LdMfU`Nu5q7A9;Dyuic*ji zorlpv;weImN^0_r!{)4}4}JpPf0I~^LySz7kXRTWi&l{sNgp>CNu^L3Pp`7uiw52O z9#ZVsCi;k9Ua~#nnGK$1;<*zz@7;A34TOn&`DT?R*lb91{C?uI>aoAlV^jtI1_g8Y zX&27OpW}!T)yiL7^K%YI?k7&*=#;{vym3riX9|brhtHIuLW{m@^@Bhv!f5$!@EBpV zeD^dL8tbp-QrC2^DO_MJE=rj8jNP&FgWYtB5ADf9v+nbziIQ&-31t)7^sWp@#gr}B>L1#umNOzNXsY!o8&Lq8yBV+Xer+@W zW}U@#_MNx7bTJcu#%!Q49TX$ThddCEmJ75|M;ct_Mt!g&_L306_8*jSGkTbdl7JIm z!fzt^swGYjWP!A@85b(TvT`(EVLN2ApY*hs*J+6Y%MD?-sk*EaKonJBt+;qTk2kTi^vQ ze+}coo=3vbu+$Wc<)6nmwE(O&43EmoV&%Ffe1b zAS9jrE)?6^_p$ymO%{PHot;6QE}z$r-j3;t55NOAoimz`tDF{X56@?K!RCDaH$ku^ zZvb>j-6|7yF53qs#x7Xg8yJqct)K=%SOsn#4-nG%vRAi|DT(k?v_>=IR7`vE##Xy! zqFPF@mN>W_Xs>w(<3nK0Yj*Pq87`)Jfk{h=MFsx>v{;DGK+3KFHPjzA!5Qi_8bETB z>A-1xv*Q}m4e~y*n$|($E0a|b1a`TJKxT*TiuY($-?P15lZpV0y_L+j!?RZ94`MMu zjIu>^?S32M)G)7NxTtsRdS!26IV7FU+EIlDFe+g#H{LdXZ#R)35)!FdU0jTpa&CLfaVxKVL@ctkTWtwx00))%91cWe>&ei&n8 z>*4nlXOYM0dk@o|6Jx8u&9LKAW~zOh{}z4|!C9pj`b$K1cb~5r%7nExE6fcT5zPXf zoW#;{!D-VSb_n7N-9<@)n|9Db8$6Z~6PpnOn+u&5pG4(a;}2aznz<}ZNMf+tzeRtM zsq~&)Xwz9$gyj34Zyy+&Ko-Mctlonk;dL;+gGgD}F(ar(eHT~1Y-c5fb<0jDEF%~r zsVUAja2#+NXUAe`L{?em5kLi}R9Oge-}^BXTk5A;Tbhn0P0s$vv!A;tn~tVNS@KSj z2d2T@yWF)ldZ9_Nce#iC%iGJt7=?KyVK@9YBkm&6V)Zj;Hw>bEy8x(Uk{Xb*3f!as zmX}=KR-e;?5@n6XU#wF&{=a-T9!rHGlL&?)fTT*~*mJDd?XIYC>x+q;xcU;U*Q--^V$E4uy>R&z$BhGuz`2X2mohk!L$@uqwKzjBkL{!>)YQ~vG9$FOsDrMAK zkPJr%#s5E0I6=E8tSMqj6%c;GW4 zYowf@Y8VbefzE#A=8IZiA^R?=Yx;4Db+YRxU^(fwfewfCc4u73qDdQWIsh4@+RdBx zB4c8Xp)VQej3y`vaU$yuuT%B~OzbLyb(Xyapg%r_GQMXIaFFa|I^t?%k`b#wg3YAm zQq!eh;5QN@>5)b7%fjoaLvw9J?tcElH`9$KX3johL zbYiLK0`!Ra#fGbV#P)cYk}Ncw{!2Y--y#|vLFw zi$vN|3^eF>01hQ)i`~ZnZjbZOFsl7={EbrG@^4p`<4W7VkJ)JCQ>ZKC@^K>=okF_j zSB%W92ofsnt}e7I(i}J%6_BQOzuD6S*C@RDo81~mSBw9~>36b|MDTY^IO-2949|7f z9~kf5mk`;X@fT7343f|}sDL*?c5_&>g=XcZ!l_@eej zn0$!esUkJxeegxat`U~R7gbw;viO9*Rk@fk5x390ZUVyBzE)^o^U6PJ`NV;LAX1~h z@iZ1&e?&i`HK#$7wO({sL%Xq(zmWJ_B3&3Zr9-R|OEegW6iYniOWM2DRm1`{SSpJU@5RINcuxzkp!{7}9=QnY36CO} z*yRD(B#eoL+Vn-^Z@M@AgonnBq*lg1{%#FWHtq@|%D{~%E(B%e=Qxd)W)THg?-q)R zXs4AxK`7)jk|tD_iyKoA|A%sJh7y+N>1WJCxYLc41XN|AST+{=CECU#W>~als4AWZ zyFp6MI%+Ly6&B(Sw^~3fWhBg_`QGrbVb(m)M$|LtmmHOV0vC)b1yx?*zSzbi7zc3} z%@ET>*T}>!OU)#<)p2J6rE1kCDg1TRjl$kthsH z53&&RM+0J@j7qO}u%Y{s^l$G;a*F7_YW!}fXjB^mJx`RByl%pUtB*C0;)cA280ng1 zA~`PtsPP@Re(px>XZf`W-XzD1tBqK+xXf!=ZAo7bv0z^@`540Z$#pPYuQUZ=E!@N_ zro=cc#SZ!tOJ`6iqPx<8OM0@?h?dABN>1DT%D%HK;cp44Yg4CsLb}Zo3OT0%j$PL% zUV46FVU*LlY+7QFB-7UcolLdkhlB4ClIfZQg*vkX9^}Re73si}8`~oZWJDWqA34WS z8>Rwde?6xGcbIeV5(jwDtQvPl)vE7wU=+<;IxsSN))dK(tW6p!T@-QP2fbe3PtX_e zG_}y=q)@h+J5yhANnP{aZ%oSSKrCFD*ht*pK=Elr*j!FZ196=G?s|BsJk{~ohAFS7 zZSB;@E`#Q>XztfcQ5`oZ8%8}YA4luCMC8E~yNLPq;Sis0h_xeL@fMol|3Xo|Iia4o zr;!VoNk~bJ_{LcK=QHpI?VgT#?ni;8v^_`s=QPHPlXD%^TrmLB0RIeX9S*9_tH^B6u4hnZ<;{BQV4x;Wx z6qF$2?ih8N(Bsc==+o>%ryyI{1ds)qK`ah*01eD?GJ^*4j&omA3?wOFL=xx+_KOu^84D*Th*y~uY*3SZ-5z8HB z=e1eDGr((81D;q*eI5revcK|8oU*jW?mO=@m3(Vge<2NeHpUyRh+ai6wE4glk6b6@+qPIbd*DB5Ga9w zz8VG`!@7t5hEsK~61Qy51*R+)X6ArU9n%}f9((5j9^OqsM)*PLb}DXVSMDr%l)2bY z!_V0(ozWP{{T>BG>3k>Rj0iX}yBG2qFXyX=UXymDB${H*QTa{>^JEErRr0ErOy8uQ zNI=t|m46r22CAc5A>^*Qr`$62ivr0sResCI1IGpMlxtoT-Tg0GDSh$-x||H9jQFBl zax5Ac58su|k0LyLQKc}5S>uaZ1uB{eK*UCEh5UUVAgpPtF@yR_`Eh}Bmms~t!e<8G z6??jltJoL>Yh50a=pu(;WG86l7EF$>FLs19lXmL}CXKKaSpE)tiO9v?ju@#th>`rA zu)~>6DWpk(-NZe|AQp~<8l2L};XZv_OY~kRE#+N1I|C*6(}p1a*V!3M-Srl1L|pZ} zE*h2(yXdz_my0n)4)P2U8kg)C@kJ4eKSmY@~yF0kQ4_zv}PuSudEWL~}8>A9V1dG2TvsTQoz@QTwx!UL=h)fPuUk0LKw8 z7Mlk89MOrQFeDFRPCo>>){XPZT#4w`8{5V;gJ_I?PDFYEWAp$6wHk~pZ}*;ftLZ>$ z{!UV7HX=|{m0ErreCnxY@dnINEx21_yf{Iou4=!iH{Rl1-E4V)HjaGL%$nZda7MpH z9|xsjhV6o>#(DdQ&3zzgxS5`vyadO%AO$lJ%vf~yoHgdA?sdES5M&IyVKge(xs!CL zucpwrzMv36EY?%wf?$8l#N2EiZV4daz}oP={*wNniopVEz_Z@x738|LygoV4w$l9#820%wJZ3gT>vHST0omP%lrx>;Q-CBZVZg#gZ=Ol?8oX>0y<9?I6?eG$w26a z4@&hyn8!gBxx5=<<3z_r9!GqAp5l7P^tL2^nD}@ph8j5pLm@N(Psy+j2}5qR-1|J5 z>3k=m6}p<}o%6uY-AaiBtYac4QP}OC21WG9#2OcfkW>3Nlq6l+KI(kUyNOHub;S4Q zJMi3zk*>JFxk9WP?X4%iy+FTm=};(eX6WCB5~CZc8BmhwO}NmxL@qb?=}I1^hg&?% zxgr{PvN}z=NRN2WMS8@>!>b?h!Qpzuk*_0)(i2x!5`bM~ALutPmcz2{DjP-QyMfGn zQv@;VtedbY-f*cRzNk0CaR?YLG%XpSf!RF5K_6)MlH+_d{*8fQy?X?v1lC2Acd^Bg zOPr$WP=Egtra* zy~}8+&v%@NzRsH4F$sm%6Gv)(2$}{v-jdv&c@4Pzhf9EW4ct!XD%%CD8J=G(m%AW@wWmmnuf@rSg!-?S*#mcI)T@prAa8 zKW?n#%y{wCSlZ&DK~Od8hU3M$>%hMCCMpg=X=m7A!CmX3q#-66e5DJQF&ju!j>{hB zPr|lxYCN_TNek233gSARSd~yOxeChpu4^z738dGwsgZr;PIK2?4K+c767+l|;MCRF z%c_i+D~k65teYl4T`!@TIZhEY9V`R`o=wD-A9U?A{ADDPDyt?rooK7r4F23TS>}}E5a<-ef(fqto5w&*)QT5jOL*a0g__SSdLbHeP39na%xi1br^vYgPt=vT6zuOw z^bT`Wm8lfY+ZSW1KPTY5bKoqofx5u(bYjH0L$RONtV#jZ7v#ZtcxsA<%(U~vRN!XQ zlP0^MnU=Rf1@v%F`V{pw?_Q^gYTEHUTqjDRIbz>byur*{WhjXyhGHY$N9%JAJY5?e zop>pcaq;y|N2;UdQ*xWGSIi``r@}uo%wPj(@$OXc(8e3UL%dCxRVlP|T!Gqin#RaH z1}_ODwok)8bLCAKKw?yR9v34%4Bv%_b1sauc$V&K-vJ8yR22$B-ug02QVJ*=AeFvjp(HRG8l zD->NzhWi`2cgSRl#wW1L@nwzQ-{f+lc|4yNm2YcI6g%glA`%oN6aUz)4o+P}tO{uc zQ92!*Si5C>-Le@j6ea;A4!EDuFUH*B&S<(OCL8UhyVa*m$9v31X6H0wBfg#S-RYVk zyWSQVuv4(JQv2U+V8{<|ag|zC(4oHNX?QHKywrW(Y>k3>HbW8eD4BFW-WEo|)bL^b z40`eLj5}vw!5InjbOa&6_hsyzp^+Fe6UL=LsG;q11UyPmQqG7r(#!3JU&X}&9Oo)Kz%l$ai^f3p^?R@e)5{C0u0=SC~2T@y9GU0X5)*B z?jStkZB8#3j*G%=^gO4&yj$nsT@o|8>)ohbqZMMK_BfrO*tU-nE>-wB8f5`dIVjIR zu?C=*?uY$QWiA{NzXyxQt<=6ff}=8%pO38zL#XN++8PxwB5wYsK5EnEI3d#BWEhP6 z3B4gk%yrsSqk9=0F1DI_x8ygVW1c}EboiXu-S;RHv&v&W=5=zOqJ}r}xyU#gTTlFZ zH=Z&r%;bxNFC{) zwQgMG@{sAq5z*PM-*CT6w<-o7=%$OR@JU(cSbz58R@{ppvIP^W0>7f%`q6-Y(LBH} z_ra=sWnpD>h(lgKe`ukG$IPrKy0yL@CvkVqcPBQzv@qcyJ(N^gwZtWy=@Js=gWpG` z|BnmYnX0T1Ne{b(=P$${63Z%+De>Vv$}k$N1_hA_;<0?9gX-u-Frg1kPeL{41CfCV zh2l>WP{H^QEt;CuTvVyUS)yt$h2*Y%P&&PkDCr{U{XSpJxEm;@K7es}A|tFVCn5|v zO)`VtsbQ{MG4nw(`5%A;+_jk2v3eDcWz?P=oTa6({+}A~7ox9q&93!!~nf{cTkb79*eq5@{ zvcoNg?VV)bL25hPxS_Vb?LcWTYCP{i%9&u^9Y_}_ipT~^ju`#j-J9B zmf^7^h@saEg4Dw8>EhrExUF*WNc3_TNbk#~Fb|0+un+tZ--zpEK^$(To&6AAKNoQl zb>0TEa1q<~M`2Fz`e)w{DQ+#hyfV@c2rAUj1_}w76%b4C?qpm4D6^2)&-tJ5S}uD<{C^Ztul*s1f~>*cY>;EURWs1i7&T|%k^ z4x!|2TMo+JUwu+Cgx9PFQ2a2t2TJchItISWT!ptJvzwl);s)p)zu5LZf|HxqBaX88 zUBnX3dm6tugRhP}F0X`FEA+T1pSrSNj`D@a}Gk+J&?uL>*W=<6?hUw`E$+{aWXC_9(^RH zsTlmcODbx-v*USZfc&9`)+0FXO2(IeyJwofE7<#*~^GDJ@T@<_nDb8!g@PhsMmCZUVJlorRO3} ze|!V%ww{|b&SsuZ(b~nCXw8?{Zd>U_KhP6u;t5Vx-B`BpIDObc-ST zu~Rk^y5Ew!TU8q}x?8q^xZ7vL7F@d#?{ZOCHR~(N0dJy22?+{Z5>40LeinwKs#&%6 zawl0dT!bLZfLF0KGQNguQ3c=kUPS@oUz=e;0^bZ=-WzrULnxJ447{&<&BeQ_Ax(IT zW*O~=&WmE>$)?uT=jD!fYPcZVa0`13Z+Khi*PB3=XpwIE%btp`%HPnzO^Ovxd{s*0k$Lk<@_*jLxkamOVkdPem^S9yOVm{=z&!P}DgC6rd5l|6jqiHxB zhGFT>YNui}%jbAgF%>sIxc5VdZ}C@%hF6QCE`-Fe9Fll&m!3MjrQjJ;yZ!q(Z0*9N8~+6FUn_T*Gg(6$zeF68pMm9ORO#SZi6L0 zu#2Y-6U6X&@RmV)RDfa?Z``Yhj~aT{QUUSNBMwcW=6y-zVvQ2{7zd!}9+|(tP)PeK zKQ5__`@qlA;Iwq2xnn#kW^7CRF*8S9jHT`^10Yv#_8eM`Jb~F^2DWH}sfI9SqXMBonNcF3_a z$70Yru<&E_RDv0f+$u0ZR9%dTPcC`I_8wU4ANC{EMdp4a>?T!L-iU+97wkXh#vqBq zY7Ri3I=G$)BD&!R7a0?4?Bvb?^xJ>=X8^{lXt>R4qG%LcbLuzY zsN%NBnOqz}I3#{k#brO>^nVRl!dlUZUkuOQbKf5U8u6d_;YY_C4Und+g>t*)CzS0< z`sPU2v*FK9D>!@+HjPFzO+5ND2-e~_2v&ZCs#{HhVN5; zH)4iw9SG!RYm*ynr?O$_G3Of4`W!ks#;M9bd{QPil(hQ`sdGt2bcHuQF&lX4k2*L( z>%0N@KlBTTNanXcN2X|%?F1@v?QFp>PDdmC!Xm-d4+|?mk?McQb*`q;uROLS(<=yFNY#yzoUuI|VmaeVqo8lNjD61Hl>bX20TG_8nDbkWzuKLMUp;$Vc`W{%%CqiXhEsQ2 ze^(^+ReCO_v`$DD?SHRv_=EG2ll+;0u1JVgywgtb-BX1`8{VF=ihRoysz4F$$6wXb zqn-_1fbVL*MnD{2l%s}EN+rW$fT@7*l6MlpFZiO&hyV?sQ`*>e-9k`t>`$jTDGeKx zHl!^FtvDKax=7z@^k1wq;_-?haCl|=3A>}L0tMT#$9v^TAj$hsj!|lhxgnNW-sG4Z z9HACTDPE*{PfBe?6B||)M$K&ID-zTGhN`VkR`1L|vA;ylJEfJc6P18EXo4~2ery=W z0inpYz42bdhsUfUDlw*Q3_cW!hyTS`3;ssp zc)+-qh(3i4*>_s;n9pc~$B2%Zh_c~VX*x+)(`8j-18#Qm-a0L{lrP6?;~m1yF38aZ!&rhb??dvBH;ij3vQIimX2(4S3^(&)`#KW_%-BT~ zV9~fZe0MxX2}XGvV`s;#rkjknhytW#k)2K!ht7iT5VTCkurTSRQkZJD&_hWABXv#K z(F%hE>@zmUTh&fPB*07TDNa01Y;`UoC`Jch?DW1`$E*3!D6M5GQ2uo3K|EHVMRL6Y zjl9aG_jwg$GNmgus6m1u`5;t>Xs_C>OxKe*3h@No%|wsYOjXG(3TlB@zK_8>VDor% zVGsKkn21OajqA)Qe7Q707@dOz?nZ*WihR#o6ELdeb)(XjNl-zM4XEWpW;&;8d2mP^ z%(uOkCV}ZQhDpG_>D93qi1%xw`x?)~H*oEU^@e4tdz`n}xN)7BfmwY|9O!U9(V-?O z2B*88CzJ^}s-OJQ<>Wc4FYTh?53+*Vv5wL>1f^Y=P+>VttqupIs;Nz3t zdeJvn42bnM7Q^E4kD_D53Zf-gG5ovl;;}HaArcfTyL*ZtG8htSoUgXT@(uRj+!G$I zo8uIT5#xMy#4(RIpa0U_(@CVi$%>3?kbg*Y`PMi=$iyW5DF)vW(@gB&9ba2KI@@x@ z&6dl@rl*U!Sc#sryy;~gFNHQB$fnPYH%eND=Vmw8XM0b<#0{ZfO&XS7Q3# zY?r5uBxo4C&u&HM^jS_As0f0&a^DM<`Qtt>auCGyrdv!@YL0zpTN6(rX_;C1Sm)ck z7?2svbWKUb2CqYe*73RXnU1L<0#r!JMwA0CvNbDLnh$j7QkLVjgwGEiyd#lTo5ide zQh*6v&pby&U4U_ulftt{suJEn*;@&aHey_j=6J;W5x5?oinzwg+AgjUw9BExYiNh) z56@P0*95Ma&p`C&_`T$oVa+Ser*XxL>=Y*xWzU7JbkRvf#L9k11Ks>RQiJ8kV(Os< zLb!f~P&uCCDH6U7v9ah!;F&()VLsv zX4JdLeqcPy=T{h4n$EzOJ<%kh@3}D6fp1{UzUWP9u9`G*r!L0U5`S&+L%!x^qbizS zan~14-tGpdA~=+)aP=7(TfCVgeVYf9y>y`-VHTak{v+ZkDc)8N8+oo&YNW)<6tL{3 zy8pql5^i2ZPAmVDPBfs1RgUQe5)@D}DWb3uIwLh`fR`lQjr=Jg#i}K05Y9ntO3{mK zde;)~PU7yIc$;Jxw}YXBZhgH;n9eCp$%W%5>V-jy;A?3&itpZZlElJ#-mU<-((}`w z;&ARiX<=w1X+_Z1$&nS(AVIE9U5Lr`Ve?N+*V?fX1|V@K(Xb5^-?!tj5_1daQxF*kXGd)bO-w=%w2eU8WIFHT2?& z#|B>W0!eoTM*W2Tvdu9MiJ2&E==I{aiZg8K1ov-TgGPV8F%XN+K{?`)Obw`!g$)CG zrVvdBb~bhajmCoI)PYXE7{{9;VBM1ea=zFcWGZgvHCvBI{M5=6N?Xu=r@VqvO&HQMo9chr!(v&qw#MYE6#=HhmDQV-56rrx!drE@ehHV)e zIa=Ui*FmqYq-Nd@tQISeObaLc0Z(Er^goyR>U)|(tFSp#%}#_i>DmVbylLWC901X_ z(8@v1Nb&Jae*c7={P3xMc<5QVZZJZb1Edw?hDe`ux6FbZHzb$i#{6=mh_DdpP(`k; z{{}%g&-+*lke^#?0S_a}!;(&3baqPsYMM*6$!&G78^;bF$P*h|deLKxY$_0ANSUv_ z=rY6a6A!gggEznr3^C8uCCa#O5god&Y)`*#;Y~NyCI%`=$BOmb@AyUgRse$T;bv;D z8yHh+V?=HG!6qfA@=!axv@i?OXY6zsW=e`xB+9?Wg->s_QTi{}bl+(G{CI_KZ6HQT zTHs45>p)nOJ}|vOz%AHYY?7m=GF&{2Gp3QZt5{bL$(xJX)iBk%*AlvNLrrWkWAf1) zGOsO38L6sVqdyJM6SEq7+b3vuQH*Mj)i~1udxwO};9r7^oyGgJHC%?$)o`%~_;-63 zu80K@nVY@6p&)SMa-rRO{Ac39kEePLE=c!b&rh}`si&X?*l0efkkMHLD5;iUPv&A~&A=rGeMR*V6wB46stATb1L8Yt7o0^p99LI( z3tb{b5}S#1+qZFkF%hpw?O{5#gqz<&p#v&69bB9f%2mB_(KE6m7-D=71Ea3chCVZW zRQwJc(N+XkqNL;MJ;?ApNIqt92pk`-$WhBwBpzQ2en|`{%QCkT1jkbnJIM0RK|Q?Q zM0vFt6B3QvahppXA;(Nb~urG$fG%vF=M>u~=Il7hGM{71|Lo z^Ee;ktzoa(AV#`KDgyfLXsEUIycy=DWV}+`DX4J>?kRr7qBbiUa=$v{MQSl(NX8EJ zQz-832xa?kKwDh7)nJpTPbq?n*iSuDM935SOQ%pXk(hNEPWU(e4VtZUN4>Jf$yy@4 zUfFb!TMYX5?u-qVkui?BGBTVzd$O~EOye%zB5$SP!icQz0z@9|cuqvL4+}&%>3X`@ zMa0-QX%PvLWzEo@_5Cgy5z`?x5h1H#H7)}p&6tXArV^V<+6T>;(!?X-=^`b9*J^Pu z@KqnYA|;~IbYVni^?=vrbt|Tei~$oTPoE#A5j8zZjcAuUt=en>9JHE4 zri>G_5i1srw#!Lg*h+|ZmS{nngr?j(^+&gjj)0p8%PJzOTQG>eNL z$T{mtUkd)0^c(Idtrd8)gg_elHuQNn^Xg=wf5zBhU2EP#P?q=F8W) z#E?ApPqzm^Cwt2}HH?I=X#6+}dz7ho8VccP%UE6uNm;eNRuDopUae!LC{aHX zE(iS{1h7L0bK38mYTMZbUh~#DZA9;*&}{E&cv2Fhs2d$(*?Vv(z8D2(0SRf3WU1)E zzej@|wtypR`q?bMa9_ZL2S}T(&wdTdb->eVo(0sE-pH zr$x=m{tZ>j8EI7_d|50m8m0Fs+j&K=X4~P{O?kxeKx8;_IdLS7$NaHfKy>wAn}zfB zY7<$*2lO!6L&xBQ@)_RNUh9%80l;s$wi+8p|GKf>S|?zo<5Dk)dtcz*X&gM7jpIO4 z_Y~|-b}$pT%hIApjmKn*XJG5FZn|o0#Vh0CbNzEHnQ*ibCQk)ihi8NWGIV*(n8V>& zfLBvggf1jDUjho|9Iw!{UmC;ARr1ucJ84J>n5{!38=8kuO`UUYKO^{eP4eO)YJ1fy zGH`BCKs2_)uA6m&CWP%A(tP|V?9C_W`K&9nh7c`IgcvP84unWG7wAwc7Juopvz)TI8i#4T=;E<3NfPCn-{Rt(&WaE58uiZla+?Q_7PQa5cDh+{s$q2CO2gG=?FD zs5>4&-A-&yEB+7*9d<-Y^w~Jr_+~2lGaQBA*yZ zJbPUtmb?fxFngN!$ZVN4B0OVr+KIiV;6q@YaEL-k`?eup^Yv*4X#j3A3gAdm@bBb0 zocP%BR7r<^;q z&%`LL&j4>z%%e9db`^gnVAA3bjbxlf(d>2rf8{KRerr72WI1iPt=}G58Leldl{K@S z8LQT+STP%DJunAQ$qm#FlxpcD%`E262lLK93uCdIBzRSzH0R*8m}91DN@}re4tU#R z7I@3-PnyYSWo%K)GhxnSHTd8hrKLkY!kS97M6=m&<@S;tBMnCuO&JVb6se5)E$cB* zjRQe-_+iIFtIhefG9pO4%xxI8htS{5C4_)EE(=IerdD|=Jdn;|5nXzQZw$3{DDM*(s^my*Dj?Ae|5l0#stW<O2n#V7dpiQvjzJyu-hF3DLC= z`iE9DkeGlvB!=A4KlBzsH%stulcZdV6sCaoFK~Q-f2gBkQE>@k!&A>i5m#TI{C=Xt zU?c(UKiAvcDxHEIr=cic)lr&t3Buy|1NoP&7XVLM#R-X~xGF{?t&3%6((R0PV(Qj> zkNEu@^rbasm*mkS4?GD^*gcGA^vDz>XY=f{Av?6nya78MIUo*<^Wj=Xz@!nQKZRCv z&qsd=iFHI|LvlwRQs7UgZVZI&A1d@*x@fZde5ju5FYt~;E%ZTyaC__L3p8og?a9g% zXI2>hq+5eO)AJULje>WUbp~V{<;YNkq}$^gMxTj%bYB+ z=u4bs-*u5Do9+2o5QAbIJ^cM*bYv}P-AM(ZP80jtCk;G@#{ZN%>jHUI3; zrv)C+WH)d1LJgqpK_=0I9-aQ^K}8SyT?)usO=*4`@#`W(jRZ8M;DQ>sbIW zBgJ&YbXp4J*^VXz(g`fcVcb=*>q^kM-=!FrW4%G^aSCP^UyYf+#Fjch!xu#VTH-~m zQd)`wmwL;@g_pos{roc8yFSnC;0EoyTw*+z%>Vmxn&}>_QQMQXDT`8zl$v|PCBR_p zy;z`j8nDHB(d-&PzU@+&vMCp3(4^&}-V~+f&Rc4bw4<0TlT>W~!=*NoV*KS;S_$mX zsJ0c~;5w7I*Cm2_8MW!_4fZ0htD#IEcoccdKafyWM8Ej zVSBBL5nw!Ot5b~gk*m>7GTyq!C|7)Q+}QA3lR(`ot^sw~G*MKOg2aleEmc$%G;KP? z1$=c}6ZrDY)bYy=zIFyA;maP8|60ut+a(Byn3y-&_eFf8!%4K>kfk)9`V(+OhRHYJ)8aY%?dXs zXonD?t@x3Hmv7Lh*?y>n8d%9bTIDj$O4}v1S$Y352=IGvs0QTq+1Jq)R^ z^nC7;Lzy8rXuF7n?CNslzc0B>BWt^eiL%UI;=bE3EicrPl?e-V#!3Kn*PUje+8!NE zLqVeq(vmZro=By&&YTlx;h@~U&u{~9=PFbSN}7tfU9kaYT^FoW$AoFNArs^bavtn^ zWJ*#B1_@v1e0e#<7pufOp7!y*_`F9>@gk^CEh_<&auzU|S^?va1;C*8Gh06RFwV!5Y)4}AL+@8J=o=J^+&O}MRGq(J8SW=7K z%q%c8sl99*j=>7<1VhUo^5XH3q-Nhiq$)1BBVBVt48grtX`A_$&*J~!Yw~O)G4bSR zhs^9jzzo2$XqT5mf#4s?6T#{Z;h9^BB+$k`)Oj{m9{!=dkogmGT8iqsQVcH22jj)k zWox{Z(u%S3Z!P)Z*B3>nRWt(GFm~KgDWFxu+)~hX-2<>9XL>L@gXLm>Io?K%l!>=ilS?Qo(wz zczx$R(0!M@kHBr~d%c4SEJYx8KkXhR9=;bU6;K*upw4?aLvo|VWT(W-qAa2@}U*$3?qAC1D5 ztPicFSzyZ++Bv6;7%3PI?5%xRsi~w%fE)GUXx{Mq4vU;gvO;ley7zeDBScP0%tUF` zMC7XDh7I1dQWJcP13?7cno5TTToy++}|7N+}}Up!9pf&wTJYi-1MmZM@B zt*m+)UWsAnc}D2e&YIxIMM*?sk+ZAPe>xwiXTUz=GvomS-r(YJH3vW z@FW!aE$g92_H1zba}-p(_atWAydKMenS?SPak*1#5ZCe~cz7={x|X|ysO?T{hU(Hp`|4~-PAJk5U&V0fMb-4}0xva(~9 ztgJE!b&ec9LGnrB+>}xUA>7DRgNogaIEz@gQ4vbqw-NkN@BG~Pyn!oOYZd}7wV?&V zV%{?{S=56RO^Yi;Jpzb*xPs>aG!xJcr4a#n55I7ef}qfD7NZ*6w&MEdBphDx>T{3@ zQkt0G7!)uL{-EOG=tW5&$w1HwIc+1hh;N@!+ffRVcz}9BYsJ zR|mHm04x=b{bVKpIQn%3K%wn8u?`?W;>2e+>s;U>`Ds0gf-FkKPrk1>{uNA@Mg!20 z$^^ywyND4uQI~PYJMKBipS~!I~ z*zG{NeD%m2KbA3Y?SV4Zv$sBR6<@Z7`vVBoxit@=FxLIurC0m z@_iy9(Q^lq;rUw&$52=dY=`QnZ*N!MV(xLxaBq0qE|>#rcEA$5`vWwQWO`(dColF_R3*~IFkH!8iC$du< zd7>I90lX>uG7cdNKals4lP7Cfng<>C1(X)w#_RqbpeCskC%gyS$e*2!Qa2$Jt`feV z;nzR=o;K2WmR^FMuFndGLzQ?S;tL$%pAz6?_=fBoY+%&< zxv-P&_(&7U_Gl!LXbjHYi=dNah@G#AQZ>OsHW)bIwQ&bVA_{p!cEAg41FfLIOu!2k z4+Xrg-f3pG)T^9XrtaVInU({qGA!Y>5@}ae?|bvb;2o#|v*2?rP*Z33U`@VtsO>zzu?&dN7SK*nl(X;?AP&49Y>&tWzw?)vii{KcJw(ufz!$r}1Q)w~uI%hov=>!te67UQ`w+q`_(B71 z2ZMO7fnsVW6o32M0Bt8U*)-={cV&At^K5U*2KplOkk}^<#6bVD2kYRf-O?EFq!br( zPKCrXiiWu#0(3qE<5^@?@L|=JP)Tuhjw@mM0!FZ^vMPQ7BV-Q6LMAabWPC)i{l8ef ze|!nU2CI-SmyiAmPxxVx^%A=V=M+PH^+i8_Kz#U>cLcT73WQ{R^zaC*l9fpR{Ldvw z{|w8zrp@+dOY_A)fz~g62Wc*Jaz(ozi4?5!!sa*?WTL>>YrldxOmW+;mlPMv_7=LL zZ>}S6pLoM|(8(eN1dLM&gTE?#96PQ=%;i(@!k;Z7vj?!^XKxStSY9cR7QX=c@`EX$ zH%3laIzVOLsbnlXg=QUpDe&8ML6P_FzW^vmOKw7RClPw~a3=q$p||U$5cHJtM1rw; zP7VrYhHa-LE}C_{y~Q9z-SSM-y$st9m&6KYN3*}-MBEK{nsCKNfz&EVCm*5)!OF6%KmjH@QkszR|XZtOeQicL?yCe`^7@YiknLTyS9f z?`AGrzIj1nuAp3DF#?xqoH!TDV#6QMtOI|?G}FF=L#E`=9NdFsbZ@Tr0W4hBZu3sd z35cqTyqV(fo1KCdxH)FtaSukrxWguPAMkc)r_Eg@lrVu6(dn1W5RLq;W!v_qlyIP0 zK?||xPk_aM+dh-B>o)rwufXH%URfFRjsJT4wy-=B%*P7kZ7Qy{d3pg3)K}$t#LWL{ zirKes+?Okz0{Ko&GPl{>T!dqA1wBaC=82lGDEG%G;T~kI{F9o2zrhwSh4P%b^ z2V;_|!RduGyD3{aB`cW+Xmm3m24tg-gE6 ztV7;Wz+xK>+9}hKGdgzsiA0C1Yh`4hnY5c&d&pY|VW}3&CnGqv{SYp($f;Kx?c@U! zwR1Ya=lZg?l#L3No(Jfi{58(~n z6(>ptb?9c&XP?XapKNDTDJ3 zXek-cKqW7q&DRXDgETfFM5qALHM7uBW{MpOqPaL^@Is-}4560Zw z?!jQA3NR^jA8H;Y3L&wx0QYi9GFfYJ*yk9p)7P8O5s%JLovm*?7>5~cyG?Q8bA^!- zN3+`Yo-|NkbvrTmL6o7#(M}^kW+GeRrH5KFE&>8~ztOI#S zhktVvFcNn-SUm4S+sSwWD+e~G%fw%2U`W3?6eM%sL35<`*YbXzurk$2k z>`IEMy~Ob*tq58v1HvYP6gD+A!}4YuF-%^F<6mrQ;8#RfFu~CXmwL=-rA01@#>>wv zkQYD5PUGsP2YgDk*x^NRLoPb$*wSS3)sV;AO2j+~MNEj?obdNSJ2Z2Jn1~&y!f>nu zmUo1hKMs4aFhz6CDf;c7~zG zwR{B77*S>*u*^b*Yx7V9H$}n6Ohsg`M8+hN+<(Jg667TRnI_k@EW5BK?pdT{ZZ2;-exEP@P{1m;yaDeQcMHVB+xb zi_|PNgYEUHImO^!pyBb|K?Bx6N%N?cA`5-2C1}ztmAr@!9*FWDFkL3{rb%T7F%dRJ z>^^Qj!qn{@@8|&Dzk~h^S0Yg{MaCU*ab z46YMt=2YMEt(@0sWP9Fn;2hDhJxb1sXtRVap+xZfXrCcalbifBDhc)1eimvr7dXbb zD}bh5emHkc^ouU11L;9&PVrKe6T?dyi9mDQb8eLy-ig>wo017yJmj)<@oVX`9a40H zRLF8VP}ta>=-r^Hl~~F_ay$|ghukzH=E@T^GnL)E`@7~XMET#IE^fs30Ey%riaoYk zt!XD>*DZ;|$B!yJQ?1p9olA*MtZD-REbi(fvfE4DVW;2GJd{93DyAal?TJ|uX~}zY zvr1nv)>z}f0(hgeizLmW*9N~EadZHC4d0%Pq@;i;Hh?r!D$BVA^pd5h057kPd0h%a zM^smZDsjb#*G6?DjGCOLazR8gfn}b9heaV8f|Rv=(~fZC;?<`Foo=*M`I@<@FOUtMU!ioR1-Y3G*b|uFk)_{MN}b{ z4M9+Vi=<(oxMap6l{li4l8ItymEpKx>YHH=s zU@4hp&_i`Sb9=Wy)@nrOfsxuKzi)LxiMVQ@A(5Sd2t?{eSnVfZtRNV4g*y*wJQ67wB9 z46PH!W;zH~+W|4O&;KYhknfMz)@rE3g7{*X(>=kwMn{lDZXqz}A;}Hn3sEH~l7bk` zBe*)ebGUOPZ^3r$6XFfWwww10i?9WKro;mpWDr``X8oxXQOyi?uL z+zBuY7r!3u46+O105;-*m^;$xBywwUnuE1agdzR4s26z61jp%)@M*kQGQ3aQf>Ep| zg%ZW>>QT-Ff`F@Yyf_NJ68^zA09%iC24K);|74=@CXyzivUEv#IcyyKL)>|CK^yVX zXoeX?9UyjfM4IC0F-{m?SqzKH!XSIbIDHw_ck)|{*fDWb*B_%%RpcH%))|c<7{|u3 z&LoD2?tg{H#lKoNE>6Xv<0Tc@#d~2qSU+$Ejz#Ulkt7(j3Z|U|bM*LQ8Ac}VyT`^S z8+{yP{*M!#AZDMR>r4}yM`wF|5!}7jY6bbQmDOVH1RNQERDr~VV~>|oUnsIqbdZw` z(vipY!f}9sPB6@$**W?5iTE=%G*TNx)-ssxp{Ndf!S%pD)cI$1RD5{6(}xieT~9!u zlO=b6c(^TcQpTL1R7O-9|8jo6{r5O-3QgwE~MoMhkc>-v(cr0{~?XD=1%#WHpI8iYh>+02$H8boGmIMMN z(6<$%o@kLDZz)LE@-dWRLH4QHrQ-22{-YI?yt$2m(po zp_zm12sNKh+EFPfHde2h?u6(sybAJWfN*V2hpK1z?L0T)&*I;3u-RdTHN?Q>uq?OE zkV7!-htG5drdqS2aVEBrrKe$RNRZbqC8y!x62-e`Y7*OiiUzRpM$C7_8CrnsXcGar zd_z`SvF%JWvy@5`-e@f)KwO)!*=cE}AtZ?QZ0*lE6+@+4A9+!IwW$7dknOoMoTwq~ z?3D-^^*a+sUx|u=sr<~D=zhX1MP;vT`vcE`D3>lio26HpZTV|LO`HuI>(E(djoYR_ z`e+oWZlbvD^utStG5fK`$?WerAH$N_??i30pBPXJi)Y?!OJ_=fs5!qf*I2kw4eLg98amicuAp&jmftByyx< z6|T1E^p7YT_r+rFaR9(FXi)m3%6Xnmp{BnXUm?mkiLcH@HtV|ikP6z--Kgy_L?dCoK=sPMfh0A9ITj%Zr}vQW z5vFEI)Pz=;3tzbkE0Bugo}Aj2xE?-!A^pf4GQ#oK2)BVZN89f?!V z(WaLS$%rq{0sT)u*NJN5;pn>xTGB<5Lh;SH&Jg;zr3VkD))y4Ww5Wfd2OVL127rgn zupiEYj=1%FsUtjI+lEIMwkRq_;6~nt3t=6|{mr)E(T5}q0>ifZjTZo4CWmdCgO)NN z(eXkp%J!WmjKLP%>I;FC3s9sWCrzUfD&f=brC4WworBS&CQgA_Vbq-G!5HQMR?myT zELKx?vYkfr(bl-cH*%ptQ^tLyDvP7ZcbcBO*r1ujbv9J{E-+|XyNyBaI7l1cuu`Gz1pcH~WxZs3ss^b2vo^B{+$8$7}V}3=I{^ywt_rNK) zZx3h`QGkw~6Tfn?GuRHY5)at|4lD+8+pd5WZWla^BiGCiA9Lp-qCko%400JEFojA( z96_{9kDo#V%Qq%3PxZRQ%%4bHY5jJ{cg6oM1rrA@qu7gOuEi1La)ynUKo~YJbt>$0 zSHhAF`qM7ev)Iujg{AoVQs~ormO!0J91~|TI5rQ(IHXi8X5Ng+SXHKy)R?)IME&VR zbJ1nmEFK`XRl@lySjrlJwfR*`rBlQah}_GazF6+OfR~d%GF3()Wkz$=HheAr1r;Ts48|P zM&7l8T(yJ+B9`yKdB&qOd8*cBA?$Z2U5!?)GaEkl4sILMnS?mz55=@s1^thA)z7~U zz7)jKYu9W9IxG!y`+;@CVxI_`>%E{;baUsPG}z_sYkhj205 zy&}or6ZucCHm)j`GJ8%luSv8z^w?`}cU*DpJiJ`<#WfI^@KxG8j!9?G=oZq%`LTGo zr*1i1qZ_YRfQVtsqzB5mZR?le&%FL@#|u+R9l8Q0>Yvvbj1#G^F)kA=Rv>NW`WtA5 zrEm*umxVCp>*MX?Gg&5;nhCgbJ;VI#!D&g|6mx+BWujs^E{09N_HfAO#kA)poExwU zymA#r#buLLw7-$Re)7xtp3VV06onmLo&yG}t12Vr95AMXGGgv^_V-*1 zZFG4n6w{$2{2%{Nm#bt&yPm5Q={&aE2i5Hq4ipQgW3BwO8a;?|qGSz@p!f;`-`9qa z&V-3Fk=lv)2{)}E>~wVjb?tm&M@PK%w&qS621bt@hVLYxkhNFdvfBHABzUe}dI>^= z1a}WWW)p&_q{0~gT?20JT7|Elx(hdNFqOJk((-PMv+gb@x6nF`N9AF`-!m_@>%(D!5i*UGV$Z|av!1;dy%;S! zosiJtllGzap_y4_XZK0GMB{w``m_f~qKSS9(-2mym8j-mIl-D5u}mNq6`LLe^**@| zmbskETKWnlE;3m6C>=XNB0RPYX5;ki{aCqywPfOBANJTeeyH49jC|!!9yAzPu^)*M z8!bcOs9(Mo@T8cCumU@mJr4$KyFaY}7KUQR(!&!-6!m%PA&kh#*)E|%ZsC{U*OEst z98|VGD;3{Us~p|R8I?!@-o_1hOuOGe*OFDwXlOou)0BwRXCLgaQwNt~A-hsm?=2?){R_E{ZT zRoPw-Kqvhx{NqtwEcYpZzbu+n=&iCV1S(+yfOU@{YxJ1)V7=QZ3+|JhHVD(6)(Cnv zJ_x1gXHw8LzgSZg@`1KWUJ`u>x{IGgc(Qj^30%$uwbiFNE)9M0q@tTRd4n^k(59@^ zYmDW=4OlAIJ%!avSUOd9avnIaJy3vJu1^~T?Ye*xL9zU4tpDh<7-4_wtZZPHFq6WL z6Z57#L3~bwTw%CMr{;kee7Wn6J3unpCds?!Yr3x$bP+Xs^1BX)MmNTcJl-QMZ?4hB zq-LPM85b+2T`W+ME${Mj>Bky^3ASQ z&j2slJtdrY8~o9N;!@zFwjZ3X;UCJdMPZPYn_$>Vfw9vC6+M?d250-JXECId7CU2* z<&(>v6q3k{%S1xp`_CEf*show?e^bcCYQfeP$(ui2wY`tN;59_)L-npE58ub81w}W zrw2XfbgXaYf}ysvDc2HsrTs-f!SyocybyNb(kJzB@M?DDAX3MXOaS zaAA2wqk>YL+Xy=W762Zo%ZCH-LL=n-!sZzTVnBDdgSW~~2b2Tk!YYG}KRI9Y{-Jq$ zF|7m(ng;>6HDXn6em;oX>~+BLQR88WD`A6tVj=vBjcCw5B$h~lvE3>Kc3JNnx1LnD zTz@jyrBrNurGvJOWiU|>#2ICmB2;62d?JKz?2Cp`iGwlOx4sBEe3qM$E82t#${a{` z6T*A&)R-oG70Rm3#^KA^FB#I?S$AsQDbFEH`w4ZCLT|famVk)kUk1-F+XAI5$zgkR zYN)+5RQFfY3`Ho=(%F3kWPJ9^I4w*sDRK_1EE^tN7=Y|iqmu8^= zKFs&xy2~4{Ix(^|pxA1}$S}x$+}4z0zI`iD-mxXUm}52S@E9O%n2F71*qhLtL?Jw{ z61SqlRmdZv2WsYZ!6k)Nud59Sec~q()Q4XuSoQ`shR1Hj4ffl&#RrR{O&zyqA}MF+ z9l-m!Hx#{_48|qi=l$U10de+`y1gM~AA3z)aCq9N+p9pq%d)@wbfB`}ZKNz>k8`W6 zC>Xj|iK@lOEz7ZhOB}B8Mbvm(x(&pe_7>!Y~hG1vXu4W?FR6?J5? zbw`4XIO$!*;UYI((Yo7-Vxri1^1z&mzjSGh&>b?uKnF?~? zm-7Fx(9GX-lpEq;{-*sz;9&N=hF>81FTF#uj6Kb%vhx23RjxSJPd9_?2?7Kr-jP!x z+Hc3_tD5`TX5b4{V6XK-S?OwDc=fX?j411I*qttUpXOmnv_f7q4-3zfPB{Mf^j-9k z{IN=EP#>1WZLi|lG)OkeY7~nPJ~S+{!g^-B1)U3gV(cs&mOq>i^ZC#B(v1ve_0#)Z z-5wamGvCw9vK(550b-d~C4nz5um1o7HTXlUMv0Ua^`p){A6CRMzj_>T+XrT9>wcL* z3d^fR4EYFa?8}doiRo5Z^()X3HR&ihrv4K{L8}x24MY-7TPD3wy1?s&OJ$vQ z90t>ZDv1$`<&x#tFwXfHN3#mEMYsYhntg(U*~GP`H3rtvC%|jLr=+c5Pl|g#bx znbJn&e`Z)`Wrb-(#1)@`vYU3|OWMb9Cnj+UgN7KJfpQ2FJ_5ej_wBbkn7H6p^D3lU z_1}frR(*zGo`QX}4-W?)u@kVzO$q*jUgctT+`AL7uiK?ycdM*e89G*p1N%`scOs2T zycd`6V%!uLmh8k5&fJYgDalrWMVciJ{_daPJ(Yf%9{hqTSt*2Busj47RPQk&V1@W- z*g>D=4HQ))3q2zDOQV;pjKV|&*~@!{1|#OURaT6Q5v=cRj1b``Qttms6V-1;>@=QuDxM;C zFxdPA6Y*5^|B4x|QOpQvwP*kgk{C@B=zZtP(dfJSu|Q-QVa%=C>SH3#;wd!1x$I z0HvHPj@(n)y+3JcRO4wPfPwFcTZWlRF8K1c=V>xuZqETGx-F#u?ywt60Srl_3e=7MlbdKPvN<(=JP z*Khf=OtfX!pa9l0`-x9kuE&1YjhhdAhQs39eoWWA`gmlcwn*EIN9_^i*-s*f!M%xd zi=Uox+W>Nr#l9h?hK8nl3FCh?b6HL? zfyN5|z~69K|Mk0}T;fy;OIOtXnVZXNH3wGTx}RC;gU(HHE%57XBU;(?WZVj(&ALpt z6&aIQMneR`Aw9+BzFC=VV8H6TGpL1UR*xUA$*%ncayIri=y;Z7E85DqjlB@Exbrvk zv1F|_8^)<05$@fcp%s4n9X^=GbL9-!HjDp23LyTXDQE`-6yXG&atK+x4b=dbi}(K~UeUs}l1dp}PB&}yCz>_7s|UNaNW%#GrIQT35m>R!l_yUk zyP1nxCfl-5rj4AzWtlE~kJeczM{V&JT5f9=zf0ufXWg5lWRR$s<`%6u+GVHA@mn{j zFp-%52yP9p`3J{0G&Xk6frY4Z)AJCO@kkyRwLwJrhgLS!ynZYH6QeQKr)NMq zyqPWn;;plCt#A#gc0zirgIcldfiBK)M`z#~AN!l%WVn6h2-BLogPMF-Eq1x^N`G&T z|GapJ4Er?b6o8a^^AyuOL?mJ@L;`qi%aT>}#imV#T?ug}9dA3^@5F+co8|T+h>#7} zS`_6;UbucMixl4kD^XJuYjP)O zy2;t-LYfY0-7;d5WrTGAC2ZE@$A?T{J%H&aSI@;cuH2PV3~5P6h96egFEF*f$p)&; z+#HYXlN#~RC&GZ51B}aaU8LTZ!~SCQsoG%~5*#Z{5w_~ERfG*+tzHe)^=%%&rX_@3 zfWJe9wN7G3J}Ok=pEY7`KfFjkt-wWGHDPUt&kEeh`MS8!$VsBHBCCx!zEF$Hn}zOZ z`ozLZO!v5>Sh&R}9``in=ywpy$zJ`@`(F7Gi{nD-z|W0#Z7Buc^;;1zW;w@O=C&Xd zNZ%(nbGYRjN8wT&_Uix@eraj+i$Ql{ah>l<6j`FDODO01+)iRbE0==~j^Fl=Xw^NJ zSxTqJ0ldde4wBbzJ*lNciKK%)rOS!|BP$#$I)_HR_R7T25@_fjTDj7M_t=h)U-Wqc z#GO@SB+T|gqFyX4DmnBUGNeZ#4zb{+tz=vY<6L~GflsRxe&!jJ;c4#sSN{7-j ztfgJu0`XNTRMx!K5FuK}R>mj*Qfgio|3G+!b2?kJb%zP}BQX45ZR22Bw!Zul3~%g# z(w7-!dTmzqg$iF%h6%T}bCC&;<;#z7oKoC-OI}3IP6d^1- zOcCP9K0co~R0gqG)y2iTUI`pvNhT1WNNCR#Uvwb(g5&M2w#vF=x@DgcZsovjYf)-8o+P3Z41a(79oU!=O`$+J{PS?!V@OP>hfjR@3bK=FB`7 zFjRH*9^r(mt)N;0Rvb}V)P?4z6OwA%m7pz^{h!}~CfglJ&7$rQlHBe&BJJ1g9`)@C zx)Y;4w!U$@tU2Jn9R2vn&yjH@DlBpsT{L{zU)zbclStII#cwnWB@PnM?S(!1C)l4)z z-rEfhe5)fs;B>17VrED!G+WIKrj%f494vNbDC4F zi@8HdYs&y>fHJ{Pt18HKwSIaSQqTSl$@=nBQSIcL08z@aSr-q?L`C_**mS173Ssy& z%i|HBR;x}Kup64?XZ95DcE_#ghl^2p`OK)*wt5K8Bx^pvy^Zrj__I62Euphj`s<_} zNcG{!%KH&C$wh6YR)(uX$hAk*qMp92D#>g~lhLORG$~pYeo$@ygkZUl0NVMA{QbDK zSV`xEA$uvCaY$V%sv~H&#}@;$-ORStI%5&*7J;#FwN6?LREye}nh<3ziMDdP19;op zBgD_;ZQqEwVW8UhdtqPk@Gv;YEslarE$gS%y?q9X4|n}oSSo`3fhhs%wH+rt?56$& z4((0sko>?@#?lv)`(uHjZbUaY%DwQy6?NfTZd?1i-R-IlAc*8u&jBzB9y<#h?cPsO z#%tYNqkaec;Lze(xsG_XFJPjTV_!3)F2HOUHbHk7?4(fx&`Iucc7TdF$c1iRqIO2i zs$WloFuexVK$o3ft4x#Rd4SmP=3zWv;@gj%sje>|CMD}s+hJ9OS|AMFd%|LiCZ>U!Nwi=f+Vp3vJ04&U+Ty->*NsA-(lyyLycR>HFFD6Ht3VQx?^E)v0tWAcj$!iryU7%Y*qJv$OE9$i7{%IQ1yEYpQiccp055b1b)pF%lom zVUE>fJX6G&R$galz;CH?ytPqjUgLn4F-C|E6GIw|fvT?~l9ZuT2vBMmpDS}3)cf;V z75N2QhWKJ#p0D?Xf?FYLT$zb z@?xS4Of=va14mNxF|VTet8sBSII5u;EOqDb@vbLNr)We(`DhR-6-07<;(24rA6+>L zQ&J=@HK_t7BovULxRb^j7;JA-u}U175C5)lEU@I6?P#5%g@}y zkiuvCcSxw5KMUnl_#C_MiI}W>B4nQFE9s6Nb*E+a6}S(SDX#VBAWi{!qTI=e697N6 z*>?1>)NxC>L~K8iu%jHAKw`64JS+>Uss6gmn)WCGZ()v4rEM{CQlK37kHzH^X%$fZ zOTc#b0Mhn<+!{~7xO)NEM1M`he%kMRO)uNs!v_`5pswka{v51Zygg1X-*-fQxv|>o z2YnA5LM~On__skZO4QZ4p6_1r^5VMJ=LI)fM`UF9gKHcU#N@EV=ZBYR6#)B zj`#b;uP5vEn=~C^**qMO{#^YOZ9Sx5RX#4^DSKaRKLw{G|CuH!xcc4Pf#QvO@is^A zI#`Cbucq)3YwJM9ZKr^Y182AuR;gYP1$W%2Qqqy95HjR}2lN3oYISq_{(%VC_-CR$ z)z@6*=oM;}Qe&lC63Lmr-A{EXX}H&^fFLpr|6$4}K}w`xv8A-j(y0)!!-%cPyTlX9 zGq6fun;r)sQEbOOh~!DWH*pH}kuxW-ljRoRHMT~&`VOk@=u-if zq>>%gA}aE!d)?{kYx^lNCL*7@|3=M{Ojgx>L#C6?0GWc~fG$D- zH+T*f%?%@QUIlktI-RiGCI?W8DxlA{tBuDUFc$!jprO`XKqnSJp|b>$5o6Aj0#cso zZzdQg=Ff*A)_I;LyH&k}rJ}J5-U0}mMM4FY>jskBIlJ$H*=`@NWrz?J$pNPKpFhNT zW|~i_G;KYxfrGt7+C`Z)I3WOh(z`7$Cx<(rQ!&o;?lT9-fNObn`kxez{-Sh+TL7rJmVecJ3`VCrPQZ2^X|VHg z@NjCo%obwAupE!L57bND(f4*iFLCEUUzXU{6P9qtv%y=oBJ4C$3Uz#=F`$8|01k-54YYUAT?95 zy`ZLq_^NB6;Fp$eF=!!VwHJNMt1bzAz3TW)w(I__cB()Mx`E2Z%{_1)7G3vqH8!?$ zO2}@%F~6-?j%LMR8EZ5oBQ8K**hQ^4&$TNI5jI3oap!r^%Y(<{_xAyp1SUr4u|gC| zyg)54G4Mjyqiqfh%g;S;yint72STOL@OH%6mG_)Y6iq89CPW&Ll^(|~)Ck)SDH2wOfqq9)M{s%HOL`K-%&-pm@|Jpvtt%5R$e%OhRSQkD277rx(&)twHNDQ%@*`7%`gruys6e(P5ct9Lu0|EWab|$4)RQS1Q66U2e$#^;|Js_qD?{HHv{jqV+R|(FLHO^g}BI6yrAuH66#B?#Y-OR zmnd;+(%kqm47<^bAmFx=?}#I`aL{;rQ1YR2Xm$KaTaZ!l&La0@C+>+LnvHVshZe(3 zt-8r=h4XRRE%Jc}bRa&Ah=sQxx9Hx*L^80TRnT^N0V%0fv1F;QwK!@qz}Yn-vlFD0 z2^FJvJ4vb#p)lr;~d0Y@)#aM7&{IUEBaJmw>uhtx`UW!zPXf36V^ZOiqEXRlPaAWzC# z4j8uKa+F5pYX@U4M;}OT4$6RAW+nRIgoO9ALRoVE#VgQ}ce9|K&!&JEJEM5OaNf0m zBYmGciu21vPXa3Cgm8s<6D!Pv#F}XqGElM$vRTAA%5ODJ%F3m_fsFq4cm!DAC2Xpzi{e%)N*tqEWLKT4!!D% zk(<$f8T>T-gU-Z>Fnq=C=4I(dCY*$SIcOZbp3YV1Ogt4zV%pu1sar?ky=v#CI4X%! z%BV~`31-Rk8zFoO6>FE2sPyOSi=gDZ+mU7VVZA~pA%T#@gP-BJ_hvM+4-~W$45^*X z)ppcQ)M%O1%qqf@gHw~7duRyO7-8~lq#fv5OE75`k_f0fnN}(Kf;;te$d^(m zHq;{qwB~ojfT~C%v8Mw->5O`0pb~Zet;F+a$W#%*`@;xAn*B>DJEFchdCMD(&^jgmK#UThMT8_URGsuN(m879%B@h11#(?sd-3djP`1{2hN3=^ox_Z$-Dd>E4vQ-KQ#TGSdLGoIu#+}vmT_AEz`_Sq z@=Gwexq+Iyqgk$u#AY$D6?i!CVauIN16Ox`OmQTn;F_C;hWjp;3VHmlBlBhszBB7-s zp<*=&?cw+&Da{&&Mi&XB`g+Gm&1(` z5qE4*qj(c15@)>wvCr86v8Mr&Ti>MCAy3hwXmA~?wzghh-NPY$;|;&`=@vb#j+IKR zlLczV4w+bKNc#9B zOvV`x$rvyHO$mco^&G_D+oRy3{pZQl3?w0Ij!|U#xAzIGy|iMM3DFIU8)#vi)rkL) zozpI4hd4vp%N{vN9+zak0F0m)y!I_5$ka02Qd#x_==aw~w>=5J$M%6kqRyLxjQlsC z4(7iNkFeincd*y;8ZnRHt4?=sc4Ns6{G3no#-?BJRisV2aLw1|eeq4Ld z9#_Ol#JDe@N{-ot`AH_=(pXX{0f6Q)@h358C%W&s9YGM=?K?V9iC0r~fGeo8A7QU) z)fhBsTS2*X5zyxJFl5r`vFxeRP>Yx427xy%l0uF9>#V>v?eMhlCEO-}b1R-%}IWYXHY43*a zr~~PJk5eysm6X4lSzLh{LW&#M3Gffa3hXX8)5O0+V%t|Rgyw#T+0{C+V~dhzV(QnZ z#rEoZiZjyLG=gHS5)L9B{XTQ1)T#pybyg)-9fzyA+t4UkW7QKSF~-(Ki)49ABrAQt z!>q^@b7}5;0;P4=yM{Zq+ikR{xo;4EF`6x!sWp{%SaK;>% ziH7&E7`J|)Hf6kHW4X745|_T7@c}rr`6FbxCAISFoIie~_}cVqPL>_=QDPPV58EEc zZMURo;Mavt+<_78Ww$JrTRaeN4JzJZufjjn=7jqb_=i6HLLNX!y0$2@jd(E%(o5?Z zS$)@j>{4k{wjsDES?5pO5dL7apjh*XJBbBzyNlho&8Kcm_rLK|ca-kRTCCe=?nwNB zH`7(0xhKeuy#&_6kk%mzswsj8V&?5Z)Iadztfzjb+m~nIDDMwD-5CFikFJJ%&T|fW zDyyA1?+Zm(G52$|VE7>Bme1Y(db)L6@{3VTQ)hob=VBAUzctoG0$FVax|A{~5Koga zNY<_6_K@MY8)lZxSxKT>VptN#?g9*p_u!_HRqP;6vNAFK3+(qZ_cwRNuCSFK_QoKM&|#CXU{N%$m=3K~NX}gv*t7q*bp4 z)C-fm4vX>@IIRD54~>bG8BHwKQ@Az}FGGOLQo9U61JYwNP~EH9tH@#6ybmcJcCe5H zx6dnhhZQ}x4@4njTSRHcZh@Qv=wr};x5x5wybu2Mmy*IBkDaNiq_AKB4xCqgDN#q2 zN9FL4%N3__Tfya6UjJ5|72tAx0)^*yhkSj~Lu77;+uN1c9#wq{+(!4C z?I4T;7P_R_XD`4ZD%-E~L6M4vHy|@d0pBJRZY5)}(1cK%GV%&$u&4RLUQGczftD#y zi=+Hj-+&K{yX{NaROSfdFZ-bCTwfM0h})^J#Ckq-xpSYHrOApRx!~F=zkP>J>E>cO zbB60<-;l*i(rkNe+62NiP)%l;;Cj#3Dd=1l3F19RMP@(J_>F20(**>&nmgs>=~a>Tq`Up^996Gvd5DfVNU z5#TtLicfyPqD?O%X*5{0rON@Lw=9 ziM`kMae#UJC8XDW0hPxdgwR`x2|f5_3)sGG#nrz;wLI}N{7EXsh@62$6xmI?=K#zO z+{44$md(RB6O#gb(CwaTRA%wR2ca0B+)oONBB5d1-Np2TCDxL;Itbh9HW=V}2NaX( z{s_O}$+c4u?}KHl*c^`Haa*&RMB_g=rF`Ka5OcR>mWhtPry0PXhkJat z{$>x*1Pwex+D3H#8RUf4B{88&{wM+D=2wFs$}qq$WfkBTvm3h{97ZKGIKP&^#GSum zv}yl?55mG@r^JHp{1Oab5`2u$g+5=R_DpHw4M{0N4Me-boJ@(6gQ3D2+n z<96kR;!SKuHE?XBi<3sas{l zm0Pt6gJhakBetU9EU>sD!^Z{_E?y=mTq&B1#~~uUr5V`4(qd;aYSHZFfv3&xUDxJ5 zSYwOlLAsESk3ai}93bN_1E> zIhod_4>&gseT=>nbAAQ0#}~p=+MkUMY$Qm}PC8}i%r8If$V}Z@-vjZJF}l%7{4^}^ zEK;dBI|n!^X5!JP+D6t` zOgMVMH9)#mdUqbcnwRJETJOTaF2T@LsP7nR)eOLcN!V8~y`ni1Tz<{>v2)_FU*jgT zhROWq<2XxsBwyogXV7Xg^X|?SdA1hnMPTQ(qF-Y>1Wk8)F$ymNJF8Vrgv=*sFM2$1 zh675#kjU|-nv2zQXV+IEPR#e|J>L$pVmP%1Oz0Hq`I6kCdcq-j3Am*YI!W3<1f_N? zvrceg?*|E<7$;_N+XJQALNr^n#nUC>u&%8mnpJySiswAgbuuFnUt#U3jKs(t zC@m26X+>zq7KwH28uxX5mC4%x=aAgsVo}AFc=E^W@lN)j4~}AJJEC2@6#Er`Gm6ys ztGF%jWG>2|;K}&p6-siRe zRjPXVTBGDAsb1YrJ(+ZUD>Nf+oEVJ6M5GL{fL3?LBWu1QhyjPSQ%*Lu8oH3GWI1rks;h8dqeP)P7`3yVpqzh4HR!)u;zJgN zi0!UoB0N)zM^~wtQ%jPX)ibUIEx~Fx=tCV;W!;hA3nGCysp^su+@Ro%E`qZ z7mk>~B@P&%IwJ^=PwNT;eR3&HP9(McQbkfxb1h0e?kY6|wO-($b#Ax^{_;i2t*uFN zh<|o;l6B=P(CC|reKE3|iCrW$>=zzc;!8-s*W)syUMj(YeXmF_*of_;qoZ7~5mT=M z&!CYX-L)8CH06}vPe*HtRsMtmS$H~-N~(Tb;_E6&ZF_tKKbYdvBSzx*UA}v%=S1Yz}65 z9osjHRPzPd`c6bY5?mRllB)uP@V&r_9@x3t5FF*beYq*;ncf@oEFXo0-M`zRAKU8@ z9p?l~*Cv?O#AamOcf)Yva*-)zyq&yD#6hJ)P$wvALlUPR{SLN~k16CdSa?;E;m!vwT23iUNljW9bi`me>dzrsK_)blAMr7o%KIm3EZgVq`xg zfbUNaYj3fIdi?D_%or2a=C=^D{AnrDTRh(%V|db!Q7QTl0Nrm6rk$miIIj~7rEdah zXXzzg%FAjg1_Te&_eN%rqXBZmyq-oqojZ^xi{_@n}X#6-$<9QcB%#QD$8lWjh;mddWryllWY8*2l zb>j&m(D+)K#-ew!TZ$uVQqMW&XngrXP3mD^qsE%r)Q!VNqVbtDjW3LZphpJ!DpSc& z%_uakPt*7eHTD^l`pfWWG_Fn4_!u>I9h~~h$}zZ?aaWqgwPRpLbQqHQ%kIaZab=pu z`>3&GXzDM!j78&3X&UdMM(4=XUsjAm<27j-@1(|@VX41tHy(|brfFPBjfc`SmL7}7 z1;bMhE2weS2zZP3?i$67oSwP8#ehX9&;9iXU!UYwGQ7nN$3Z<$Kf39+XzeA+sG!Hr zqtkr5;%r|FF>z#?R_7eFevq#9s&gQq<42|W_P*P&65kxqs8`8DbPR6`d$EKXOmw4+UNX{Pz z;D0ZU`P!vmR4;M##lDu!qGJB%$}UUU=@r>&yQ8+|l%1-k0?H` z!N@r$HvN`co#`O`WhXYBms|gH3BEm)?%UE!(Yjzlnvqvf>+k7W56!^5XP=a2-s>*I zw?CbfX5_r3Xq`1NP3ux>-J7oUr&BTSj7e$cz4&r``}w3aBmYUQlTJ?4dd?MS-I1>K z^V2c!ag)=`JLwFxZcEp?gIY&TN%QToXQK7hbggew>(Hrbz8yIWt(()eZlTux)6#r9 zWHvT~r_!}HQfsg-&9}8@p>y$L#_M3y&`_r{PO|6}$r};KA7yJL6)6;P9 z32JRSBTZ{y9$H1Z)&^>AIWx_-edeR}hIFkDQ)|JgX}<0GFSK5fuJr+GJ@51+;|wnc z7kMk?j{I}V#$Iwmo_9vlPS0QV5^ZV6{qBrZ!}b!q9iMh)>PFs;zev-_oAK0HslVjC z_~Thg7ODb>x8jMjQ$x!;@s8Q4hvkj<__I}-nnvD+$InSUC-1^<&q+NjHsK;M zW^U>)c@KVVZfZQS1-B9-=A|AMJ1~~|ywt;D14eqt{M3!ue~ZLRX&SNpwi1K>m3q!M zFT$6NV>44YtBN%vE=CVdp=#pJsva*>kHKqGIlQVzAKG@GPXofnh4{Shznfsz&=FfB zHr-a@@sy2~wCA=Im1n2^5?gLdao^cZ(B@$uS&U&jo|F1ZY`Cq&9Zegt=z0n4w?(4O zxlO+0Mr^mO#ENs9%*l<|ZHq*U^HP6_&9+EfpQaIeZIQ@7KlPW`YFmk==ck?%J1x#4 zE=c_)HrgVwFij)&Swt(+G-8`=CC<4p^_N&B=7Ku3vQa56cEfV|EG-8Ww zC0bYFG#_%9wTMp?V+m)p_IaU6tBB}}*EoJu;Y$3{Stb#a5)d&d0TGiD5HTqM5t9-S zk@@6{=m^;41Vm&qHvi2`Vsfz~GU(5(G7gg;j+m-X5pzW%qA$0iRp%W&+M9O5ZWf%Eey#U%rT3#s9kEDqpSm@+zN;KmB@@&yNmW zulAjVf33LMS5c%dA4W~hyJ)alJbbmU6dku*%_Egu!y}zW|H~H}v-Vd*YQvS|MQ@WsdpBW)iZWogd;MU2cE!b z)+*h_NjLaVih6~og9an)HpMZZOE3ly)BQA|W3k~%D?V8U0UWkCZ6_Xyi@wYtxa*huT9!t2p1{aSkUE`05<|(FuGjl2#UC#g zc*Q@$x%29t#!HHi|nDYbbp%6?`tuHp>m+7Z3B%w~7N}|CpCBgwy z83w;JB0>EgdH`3%WnvBL*+B>w(d|i86f_^pB9;6%-7zHdyYRP=dQ2D<`1In&FO6MD zFW-Q=O@c4*=9-!g?@#GpdS#)`*dz_Vj%6X6#K0QS*QWy!nVNtBBl<2aerfVYbW|J_ z(Byde_!SWTiwasU((^~P5(-2O#8CrrR9{;`9VZQ^SwexBA!JNn2n@t@EG7_>nPvbk zrqv*Rsd*RSr{-$RfDqGq5UrYgF|G5E6|X)HR_XPOU#cH!G${b_OR*@3H#a14@k_V* z8LUO%Z_uxoLQuUGMt{?ik6&`=d=wwi6PstDP&LH-P3K5J!t~z|fmah7K@FNWT7rS9 z$RgDoFz^SoNCdGmbgPV611zi&{WmQKct1gLH5kz98NW21rUn;En3(bOb^9RbuNFa2 zUA4m`ro;q(nO41j1cQ372&z&C^o6-n5!AvKG}q~ZAq8}PFr-7b!H`VH#m0r7nn>zG z7yV7k0$|aY;C%;$yGpP|Ka|$if76-1DD0tu#@$5Ss&`Qo=rFC2ltsL81;a{I@`Dkb zR*PR+q$0Y?Wza+zQ3XRY!t9Q?Q>ed)%A!aBFlxq&8s+G2L^_Qo85%kNw{?VYH=UN|7NwlZm*F2dH&dqw*ST85t9iePoX#O!Ds{fmy% z&uJ{xP}4UhVCUDv@Bc8a9C!Vdr#~Clt9$6_B(#$_7~k1h2Q?s z+kf_RhBy4d?WcXn)cyI0=I~nFh|@YzI3NDfAKbos_|K@;{_iji5KeT$g_$|6!YvBZ zWGT#*-xq~wIWKLl?CO3gOlZYVb78^;Ak%8iOf!X?{ON?}w}52jM=6Y#)?E7wZ$V#P zADs7e)F|ZKi(>FfK#sI12au}#s0GH=>cEwE(Bp=TLyV?tta<4HnK1TPAsL+mJW`^` zs!*$$PFK{KA-VIXc^AW2)p{(2YA~rMb#e=fc`V4S^JlGon=73U@4RdG(nC!rNiQFb zoio!0VZ|0mO*sh2cSan|oB#YY z`3DMx^Gl&lN;2MLTCMFW>TsF_j-STLjC7JuAt5D2QmD&{T8BdPV!hG z@%}6?6)Ml2J$zw0?6h1*GYaegOS=N)vYpjIRDmr&z327ALr>a0>rkicY$@b%JFDYX z%qY)>)X?&Z<1LV}G9yY!`|%d??tS>r-utF&JCnENwUVT(WJrlyl$NjdwRy=SoY#|B z6l$)8k7spn6-$18ma-a!MBaKG2}o`5!x!Q~qTy?v0tVlMoS3+h1)kSPp7I<#954NK zp(>}K$xqqcc?uKf^$-As`KPf!%LxGu&f?^sACfuen_QyO&dh3>bs^7Nz2?9}AT_{3 zg7ZR)EYL^$X#@G{#JESSw5=1*k8B~)Vs80)ZFvbw=k>$@h3nx5p1OPX8Pz9lkd|tz zmqP9N`KmD7|FqqQ$5u_7&38p!=E6mCWxTzRLs=E_SY8w_P^>X)ohzD*G4Es~UrZsf zuvB9&V2g6z1)9}nQ5gQ&({^{`A{_WV{Myqv;M0z>*XOa<7s=}vn zmlK-Z8s7Z@5WH%u*sROi{L z8h6Uj)Tw!=1dXmZQLYrp)Txz7p`JQ5=rv?w#Y*c;r5bE%u7Fhck6K_o9-&U%Yh+Z; zT?-ZVN5!GMtiyl?C4Xy$K!-~hh0p)M-SdXGf8g%f#cEaZk@LAwSvt-$OU5loZ?;;B zbRfA)D@g8SX1`dp?o^(C$~7f*!nkiVT_o^*R~R=STF!OnNu6m;Hic>5R-oLsQUjng zsqgDb%_w%7E?h7D059Ft6pJS>g~53R8&gO!J%$yJ#1zFr4sBw&X}U-rmZSP9k#z-` zf0{p^A+5l+Uj-GoDxSRJHxGcS;m1^{tt-Nr!HNvI?#@zVoL?8^x=zc%d4-=*$b}l- zfPL*y{7{7xVRso3i-%r{?vzcYehS-6j^(=UyT?(8hk9}!uFpSnF1;@b(e%aemv8T0 z2y%^_{B>QH*L6o`d<&DP%kp}nmwa58<*`Dd@O9x?*|qtq7GqWT!0djaYPW{(+U=e- z{Egl2sl!j~b{}>CDXs%4N<&KkYqejfaGi=@_^6soamXvk{8Mgf+QpblU8dJ*t^tym zdA%>`eN`!`$qJIVd0m%j7B)@i0lmq5NtoMm8mmIKbCdc&>fGd&u?EF@g}H8S@qJoo zEl;H9@pU%k1GQ#gfO^%W$Sa$iJu`Kh?oec@mk z(lLrBc{^69Wtr&lN3bq+@t4sZNK(8N`Z)=JTiPfzsnB6ngF_4uz)EzKY0PL%ca3{>^iD&mma!^6n6@ zaTu6-oqY%>Or5SE6L*R3G7<89RX`z@M6wDD=zZJABM$m-CkZjVPCL#+V$)Jlm=Jhf zsHK_+GEFCCrZ8!L*7&l2E2jZTS#yEF|`BDdJNsaS51#C*V3m&42y1V^XYU+d@!2h zI*X@yCy++|VtC<)LZK1?%J~6JS0Y>*j`%p`tBW!YQK+w$LT+K1g0Lp%0Vw0n>@`GT z?1GjrE+!U@QqH1`IBQ5E{;JMgIZsVXp>6!;2q z&+wiXAOt+)p54<@WrISpdar{~Ru;66<2s>LF03hUDf$fXCS5#Dr>_t@7)n_F&aEgD z%f(qb#ZajE71E_;W%$v1cTZ0th*z)@Thg0@E{YzX6+J#HG&#!~n>y8YUdtC}g(YW2 zearsAPvaPAxzGjsN1m`UfS^pylTii`Ac?hSDc}Ri$<`Cb_|}c1MxeDHg|oJuK(56mmDy zz65htmZmC1)7wh-faak@#`&=pI{mGHR1c4-P^+3&sf+XbirUwc))!?3qEMY(UJw84 zi*_IO+Tu0pk>zs1WW+@i%PA8`^;OtjmLFU*laeCY4ljH0?(RuXLo2D|piuaj>IxvW zB=1EbTJE32_)c2`?>2n>i+8t^5n`!P(BkBoekBxgd&^A3qlmdM9O-N$ZG>25a^UP? z{j@D$4k)IPg{V5L)$lp@?VgkB;ERitknmHj&r^&6GJZun#W|J@X9bymav{Z*+3$5z z_>>qBO+R9#9P}gB8GhMfADP9CY%SBc&$$hhXwa;;un+80Y zUEec&>4)z=sMxy8qI=~tJUb6l$m4VDr$m5yng$%nRU-ZJv^nW;9PY-s+ABzE-Y^E{ zJXeL}{mMjyYjS;G_*YgNe#+e{s|`;p=0-kj0qX~Krknp^D>3RvZOC<|rpG($(LNt# zjz_B$97xuA98Isjnm^wZV$D8vY`S)_Sch^2#x|QsyA%>@!KBa_Ljr2UZ?1-a_K~~0 zhkx~vyN{am8CV+X?q3xWo63a50ng1Vk8>c|>k5)5EFrX9Sh>`xyBA3EGG#)dc8>C@ z?%Wk5Z`yQor|FW!A6ucuS|WL*?4HmnlXv4SKmA72bt-tSdO98}NG(Ir;<7j4>7B@2 z*^qIyug@o8^xeY~lBpx5G!6_CNNwSD^7OGbJU`s-= ziW5amFWr@a)b#!^1tvUyewa(|lft^`$JF3wKIREM#-_=HbI;3ncfYbWyF}&dsX!}f zp`wuIZZ4D=iXby`)rDLg>7np|}$OgYxjLK1-}yK@#gK(1z|4g&tF(aP=m&^%sY^lm$kezkT5?2oOkmQ;M0JB5@Tn zhZ9fDz2xDW5)5ui=&kS{o@TAtq`n$RT@*{DV#;nxGI(zaOyV(qK3x=#wf4vnKaR>Q z-lL4;D}qbNN{@ZiBWv@BP1jOq-eumNJsrO4Z1XS@lI(OwPz`W(?K@8PsU zVf!gIU|%5s9H98uP0 zfRFS0T*^oN>S)Vw3B&JQ;Ac?ll|ju2Gg}B>FOVch`;v-Qg<6C%2<#uV5G|)wGDR1>)<7#*B@S|6|XJ8kgsb=kPL!G$ z#Hzj&rnAIP6Gl>)hF<}Twq7OBn8Q!?)x^!xbTX(Ea;z1vkK^S;LgvDOQqJr&^`$_v#nV)L76fzH z{8K7U*O2KXtsqO~T;S8xz0a|%mxl~qTEbhG-?03Qr(_M88%mt4*eB}b!tkKc=BrK1 zHL>K%FHaAJ_e(=b>*rp<;LxiRbz2Q5!^7)^wVFo?HTLAvFHcj$K%ssD%i{WFgoS3O zsYd`(LrsYZf7t45fmB1ud{C!`I2CBQL72t{GM>LJC=TMILms{9hiNB8eDpnmFLC}V zria2fe40)sh{8na)`i;VbO+<7JY=Wo2Z+9?>MVt^4mPej0BKI*{AFoVNbIVp9qQ!5 zmOY8*krUmsK+_8(_od89{FJ*{Mjw2cr`TD=P>WL|=e{b; zozI0_v9t5!c~GC#XUUQfBwk%j>@cG!#H~p3Ii#FItyuET>z}H#Lh_2{!H+wAZlg3E z+Q(L?k)=YI&kY#|#GvaS@rGY^QK#jMlkn2uB+(hx_7HA{?XY)L4`4 zC0j%ggFnuG;a#4UiYQhr4%rJ56%sYxw2(4;@R-}DJ3Q#xqxLL;z98|wHN1U zg5qWITqo=o*>u{2NJ^2H1G?$_sqi8VL-)*yD=3Vs$)M}{thgT%S>#k#kclv@zc0L6 zD$Siu3}9r$r~xg7T9}kusFS;@0{b2c?Mswo%qA|z3Me8iK{cEz%u9sUoN{f?MKOD2 zg(2!C_W;f`Dr3#7^Z)1tF2+Z%G}n1tP0wFFQsGeD({vFl7}{yla9&lYDW-ar%5$B> zF0RC^oN9G~f5u&*<+?1(SVTNeVkyt-UJT#)M>zKh&V<%pa!8q3Jrol`@h#)leGIfFvqao<~fp(+Yjz zEzUByP(e6L;ghdRB3phd9%6wMQe4-WzAlD13%7=U^{U;SCr`5;KJt(4Uic(zb9eT` z>nXz6j+8?b7{IZ_a+Uaylg*jZs?r(yIQF&O$aphruKAI zsd`vGt9jMt-b@8LZ;)s(aqTe_UU#f^>9kPaCw7!_>!t`rDfBN(p-&-)xCga<`%}?< zkE6imSQMZX`fEsbu+&*9CjqI%prrW9Rmpe|T24o%Ir|rdBq`(rOVrwz>a$XWUG9sy z*cVFl0!=^MPb3{%eyYxjZd9lTx9Oy1qIMC74B(*Y1yZx0Az6SXjQZP(%(&dweg8NL zpH1fv_Zg!|OBsdQw?ejku?hPkDf_~xJqDd$|Fqo;4p`~(7N9Wg3x#RRC`<^rDx~g@ ztZP*#Rfj;PRjkx(w492Yg4}ae`f&;q89U6S$5Wu`{C-`i?o*pi*mKP%ZzCX6uN1QK zq14}3smG@2L<Jk(4a~v6mgEKPcP4hFaONl>8pVij#M@$ zAQN*#p{7-+Q0iSc==rCmF#P;y?mqmZnNRYMxK&kuwJjACz)v-=yaa&khnK&0_tN3| zwYv`rP&}S(%;*^ymEYEu& zxtM3Qm^k4lPL>{()TPyH9nZ5mo|*axQ&}zc`=Br?3aiD(*ED+{T1q~ydA%2f!y|kj z!}&ury=ggdBBK_fw+h*aU7acuu8>5w zG|_Vs*`tMAXFjX%$3CLz?5q^oKyqTMpAt(dB`tMQF)@}xcAb;dR)MAq*Hr+;L*Gk{ zT-;-Y8glWf6^g-Ek71kxRc6s_98`q3JZ{J*L9panyd4rfaNqoN?;Uu`ZB8 zlNs`g>DGv*YxU|vSwZTjI^cLTk4+E%)$4c9zi(ocXrd0+vBEVEhV7m^yzvWmC&S

rj=A;HrW+DCAMB6k$MWN_97+`2ag*9W%UpriH-4rV8oFM5V;J zQqtoXo;ZiYMAk0ij_X4}Xu3GvBZWfQQrqKBUcDAxV$_`+-1#ksUn+edxeVArv->P? z-a>PYpL%_Bq)^`!3YXHK)X+7X38_DVh6=0GAi%upy1;akonKrJAO40&Jb((e=CKrN zJql+kX%`8mYZdDTL4}-6E|3~h31}6^!RO;DFrp;<2TE1D===(j2)csImGcm+eoAHt z-CGW**b)?5g5>9DA!_(V{8x}#tf>y8E?lP0@XcSeyUbWH;s|PYC%mQVjJHdn_P1~w z;XlWV$5o{kqfq@8y4L#({8ZQWn073#jEeA}P)(QkNNVw%Tam{K z^_a7rQ^+l^TxIK@YChBF3>uZdaQ4MGgVrJx6V0PM*;R4OWuK^g>3qHXRDGr*lAjVe z!(uVA18JuqJ$jmADdcdlQzY+_77MJur9LPd207Og3l>P}v#yKGED;T2y()W)ZM$?iEX|H51FZ{S}ApiszZRsaf+oMz;F|HUss14s43 zn#?LjmxVr+XN;CZ|IFs)BG-#pKyo80gBXzNw1l#HDvO`0_qlLiiDzZ~03B-Gmcr@q zAHETOHBU@FpT%&BtmZ3PjJ&96y`}}E23Y*~^-`$)EXKUdD|}TWoeXKwaw!Gs*{%8g zEef?WOQFVEl)D}rp>nNZA$7ga#ZNWXrBI8>DFLB4ZuMN(x=@2H%~_gtZVf;G#@#2M z@J$i$>xs(W8lL~A{GmRb7>`@SH{=g>oGJ&~@UHryzL*Zmt>HiT6Jt~L7RS}ibY5-^ z-&Q~57Z{5sD)wvnLs8^PJ~r&Xboaa`gKTK6hwu2(-D_^$Lmb$+T(^dQ`K7zh82=ty zyET05mrZ|9J^cMf>Q5MsrMpDLrBIB)%~HsXt0&9IYnU6iD&&4ujLGo)FP|n{ zr{rcTuy*-FoszT%-5TCiKh#Q0_|MvX#nee^?Ow=V-!=X93)5e}HU0I1 zuO5HXY z)8WB?@O~%5fBBZ()1L^bzxmm}^_Jb|-*rgJPR9J{1fHLz_8!&4v;*iDCOklikada) zLaxs`d6FXq-Nd6H8&A}XtX9)SNJvDOoY;uMvIvf2|R zmvzb{NjBkWWbpdaPq6XhSK);^^$KU5Kb6V=b{BO^kQV$xCgjKEU>X`m#1V@_zjgQg zC!Ftx2mbW#a`^qX?tWqT*thW#{MY>H-F@-rQ--^~e)k2>%nn}uxu5s>_ds&toL+{K zS@JzwBms<2UT?8Ls}^rs==?4ZClD!o_*?{fgl`{?zW5 zhnIcpRN)^CKc1x=#W#NA?nj6F{`~6mrw)Jo&+Pt-Cm=+;`xeaclW*uC=!WKVkKx9naJSo^@Y?7sf_AnZgz zU;f&+e(l$N$y-0`Yv1&y&wTTn-%6tY)3f3K`Ig=O38$Ao`|`K#-hJ2m-$BEHz2oeo z?%WK|`_|oa?>)V`b!YxJN;H@E{E2%;7`p7!>#N~)-@3a#@RnZO5%KSyKbb#coe1%* z!N}Aq*r*KO)L?#ARA02mFz0o4yU?XqVU}L2C7Dl2Y&UE~H!-6_f0=8{Myiv7iID~4BkU`Cn z)IL|k1Ak$6@_>IDIpl3u1n)1Kn|nKjY;5aIQBYgh@V1wqoV*UjK+xdrPdKTmdpr^~ z16)GlCck~RfA$f)zb0Dx?cct8 zW>_OOpCJYYyU<``hO7n~^Y}E_c&9elm`}LD#y7PBc39B)W{mONRwU+BbA?UP(&B<* z*r*w3?U5B=Gr8vxy@yXo-_r|(uk+!5`wpl!Lp9ajkEu&HZF5nCY~Ntx?ZUnTNt;1V5fWCUDuxlyV$oDN5vzs(bckbGCsz*fkm!`^Wk5A=WhS$N0yRI zY90AmNS!wllZVP|)A03h`bu~dE|Fhwtzr-!0QE>4IyD)KR zX}Av}NP;h)yNy$J(sgN+$# zSFi)Iw5Y5#LiB-xafvMGI^9E@UJnMxX$@xbv|V)^{h|hdYj42O2e83R&(W~x&cM~w z=nvbj)xua(M{M}p-@kk6>kvaiMZ4{F(tb7ADCT#VP!tCA^m4fTuHF7HW<%V5fJ1P< zYmiYwIBE69)N2hk+EN;9)QvaT=pqPyt+5Feie||`)iDo|YNN@ZQEOH#^)*Q&G?ANS zZKGaL)ieBYLm1nR@CK`;V0+yzu<|P+nOFxt=-d4mpt|j2e|GRyu^)rJT16fg?t|gc zXkiW^@H(Tg^8HpLxk(7W{KE;RmW!PHVXEA8%)8j4HZ&(ffMQC^kB&-b@8-_<6v5KR z=d%2FWDTUZGp?r4ByBrJh80@zYK}Ycm5ZX$=y!2*(1nTKPmo6PaZiltS~NfzAteCvWHWF)`A^JO5K|bgei+KUy^6O*AI_ zW;CYBFKW{jj@3pdY#!O~2d>5ri5njH?qg#*B96fQgvZsUuN%~I8Ykyj(KtjzZo(2=x;Sg(h#T< z#%vhxyAAL9o?~+)Ew{Ql&?RW~+-oh}|uW#k? z(jzjYPIymAurVrcJ0C#8bhLSf znPTm+?`v~w7;f{Qk7y^78e{DCws{hg-wf}ddOwk56B!?ZXw}Eqn+DUp$+x2$T&p)aac*Jg zFY{WMPvki&WaAT0$Y@)6V@Pvk^&1(XJu+VRrAA-0J>djc5iZqbQ9d4%uC-B*Z+LBC zWZTeS;K&UdU#V~3a-KiDkMQ!Qh}C>_5fV>Nhx;Gm8XNsUx2@pOB1}R4YsZj_c#L(~ zev8XnBlNtYFlLt6)+2T8h_$P0j0Q^#pLw5vb?p?e(ICBT5QU7E=xU6GZS}?%xMo;f zV~h|S?aPLxLWlx2Z&VfbVoa7iH#oM3+lf{Xb-%tvp=P3m`b8b%@1fZtni@7n4Q&U* zC9T2WKrz8yuv%*PYmIO?{l~CXB#rAFDA5_Zzk%ug2B!O)fDVwdE%@p1?tcXJVCB5V zgnSCCNW9pWiQIHr5)9Je9JE;y9un#tEzfw2CXm2~Wl4z8)4aKrMOA=x;}8vwt`*z% zh}r8DSwT29fMXo0pMl z(S|oa#7kQQ1a{enF^(_BZ97GEqY0udF^uFreOIs$EDMqAK-MI_ZM#B>#(@Nn1 zqnQz)p~2u6(-9vJlNIwn?Fz;|bdkfme`L4EokK`9Jot0Flh>is*4gJO7xhGs+l3ok zfyQ?awkyiXzZgz`6inzxfKhj0jV5GZ1!m3zn6Vh$yVe0+WS-RJVns+a8bkSYq%VE3 zqW0}-DENcX5qCRpECJgk=3+&@s%yUPG8}&-o&v*q+0hI8ooBc2atYraSyfy*!~b?W zLXvI>l}M$&9W{eSVlzxe1?t@iC!yBI_z>j?D^{0^W8R=`MH~z@-oZ4rLn{A-djT6hx8mqE#GSnKv-VdKYlX zPse(-PS8Ymxs~UR#(=!m-ip#3Cf6hy9G&l4MNqwWf+t*`9T3gP@`R3uI(koTTfu!( zYmOF2xJD`^!!Xx(1R&rn+q;@cH?P7SsW`e~ZU;jM$o25RPlCWgUVOi`dJtjZ5E^`a z%TwI=jlnvogls}}_ zz6$5VTYd=k5UyDqIJBobC&DtH>9mjhVLMm&WS(9QZ~1BVvhNS9xY$}aC~=)2w}j9j z*J|$wHRP74Va_%T#(v$6BET&1u|ZuqAEH9h?F$DfMN9b;76I4COw3-;Rb8Sb4)m`1wQ%8c}8S{iKm_}Y? z&PTMj5Gmf-)qMj!5+4WtL>yB4b_|Saura&h3I?X3E792|H*>c?LLAMU!0C2tQxH)J zq~Xz`0mcFTm_BO44unKM;QZ5@2u1Y4Z`=)@Wh zqTa+(6M`eQG@cd{h7wCEJR-&B20>YWc!@D%@VqDEmOwH!YTiNW{5?LVy63U3N=!w7 zl%N6*o<=C(wqpzdn-MZVY&bsS`!OSA`*wW$Z381XQ0JKgQCqiAFv286eIcfrT|79_ z5eauRvAZZkb&av6?HGRovpdBu*j52N7Zb^$>nddk30_*mNBnmX5}4QC2*Fi-d0{5D z2oTH5Gxe@#5*ndxI(}@*gO&4w>)|f6511|!Tr%)a>C3c%z9hK zECvG8g*ZWc0`EvW6JnE)25M>;k)!?Opi>i!XlHF5u?2}UD?)N39~N9EY!13atsz9h zfln~pCbdm?0d1)ytWX%+HA0jphK&!;Zub}-S;&QSwLW6wHj#5!D+4cm(Jd6naJmXf z0xZcBr(K;0&`7r)MnxX&1CwGfk(I^uv3jQbt%@w|y* z?+G4m*0CeJxHBE-S8=Wyp8NO0DMAMywygI?XJfXL%^t!CiH{CHiN0SX6%~CT0(gSO zizy|);1SoII)j*sbY2bbeh0z`617fTq)Y5L#7XxmBR;7fu~Z#*BV(XpBYO}xzTtw+hfj@va4`L%Llq^XC3-|3kR#qjNFgJq9_zoL4w(-+rA4_RT@Rj}qN*fT zl2M4POA>6Uwq_N_{b%h--q6T7>59Z>kOE0G21~GXGU&?0^hA;(9V!%rvV5U*H*tfd z*Yd-v_v@ZJP0C$XTD%AIm{j}Be_4J)ri37jMJt16x(9;-rmrB^h=?U_kLxXt^(VlD zDE9s_EWHLpx^xd_e10R3)1)3J5LhRN#8jJ(qhAEHgH&>a>WQepSE*yzWZ?{^C0(32 z+PGt!e5N~S#ercRcE^s^B|5~;pZI>cW6+~-31K8#YRmf5;F#HKvxG$EN?ZqlWegY*g4NFw6EI5{G>xc!T?k{d6ssZ8_qQUU&NGEt`K#d;+AZ!Lb5{qV+-L>Nw@ju`H0QWM@F zws*t~FHCLS%3*01LkW2m^~FCzOlu2X-lBN z7g7|CsM#)zlky!COrwrB+$9@A6X!hykXG+aco2DZM?5om^h!+64LqG@M|hS7Gd%PU z!}!N7t)0fvM`(gHJ1b6JVymR{M-3g9cU*Og!~wB4UD}V2(zkjxXcEk| z>|hR%C|Idpxn)+O^;0GZ;bwT?$73;2RtY7s-D)xL-4~{z!0HRc&_$hq^*bC6XuRu( zkN)M|^>FsHyWMkPiMEV6sKT7l)8GDO2HGhKQOP=+crr;+CX_+Di0CJb#%?OCKwD7P z>8obObdN)5M`?EZ$j9X5XlPC}^nUoye}x!GwSXkhkrHl0LL8j8y!zQfNgFJ$1O~hy zi|N0$OlNrTCs^JvAN4)QQ9y?maxboz@SGKXP3T2Hsazfi%#bXBD{`n9KW&5Tcnpb~ z!04rW6em8>VzOD?TnzXB6Xw*3OhmtQI)TfK#0+9?#pYpuki?JsnnVxOx1|MGcnZ#+ zJQ*?U>5##I$)o{d6_c{;+4QR1cFp9Z4^P247E6b;DoPt3d%{(;3c?7~KU!7174$8_ zOS~w$9U(GeCn4cZqAdYSTu&+5B2vWU4~kgiZ00F&MK@U>x>;usu19Au*sVShaIrcm zX%J`KF8Lu-08=NNOf8|qcX6N*EknnwoF3G(_6Kbj`m^z(nQt0Cwj6+$j;>;q%ze;& zD=Q?hF}3`9i-C=gs!p)vDL`rYJ)ltDILXBaz!sr6mlYUu-Y!2dao)fb-f}^l^_cH< zJ3=_>hShwmP&r^@67=o2&|`gz>wLSKaHbj^qBa*jFVg5ZQBf&(Mo%m^Cybt;ZIcw0rqvQSUlGqCY_r1tGa=csX_ldti`9iG+h#3#J%n&blnw9y`O z@wOvIJ?RmEiEV_nnDpDj@BQ-~+Wjsj;59JjRNhVs4yDl{+Lo%DZ^svJ+P8AOKy#B) zA4pJSn~xO^0Bp>6-WrrME)A}Exbcn!#s>An!4rk+#SA2*qSRNwaOLeElOJlqpX>zY z+V$ibMSJ5@x7&%34d1xJ{nLoz=-D(*H(SE`ho@cVd-xc4Bz-K;2fkJ6Kw#uyZr`F#?{ae-wTqq< z6`;IZpwLh@HHe3OgJI^?pbRkmume@a}&>T3eGMoCvzrgl;6&0u#$a`??OPH@*_J!D~W&Ct)bO3+t+i z*xJ5`SP;78L$D$J4EO#^Vzm2N3msLzV2F3=d@oJNN(fAWPozP^1#I};-`KtQCB)UY z&4kDG#tpBzb#g-J@YX~X$B_%8GxYR%WJ^i)x;X*yEpCqeq##=9P~ch++~D@&#KpQZ z`Qfg+)n7&@Bu(uXCxpJMAo=zP&RwqE?DFD_Fui^U;l$R(U^fZf-Qpz}tp&QLgw3K39m{_O zn4|_^k{V#DVTd$9CCIvfU27uIf$a}%h&~qoO+DN*T0MNQyY4U4i(S1AOlCb`*n;2K z8pI@M)UhuSpzBtES$rT!#sfr# z>WBi3tqW_J9EOQr@9gZgGAYrqWf=_5-G~GERJbbNqJSH2|Mu=h2bf50EM{ckYJ_Di z>k;=7ElXVtCxIoS*W=#kr=8^;>uonSf<*MO(mK(Jf?a@J8XLLuR+~5tI{coNK5}EZ z0W>?jdbKhz`Kyno2DudUEv%frMI_M1ULvjZ+XKa+R{<@d>tXL-W|D)^vki2BhhDFT zWH)XJ^IuR8hbHYE9#@)4WVG%L;%DGh7~i*wfvx)3dKAR^gC6Bzzp9Aeh>!;9Ml-=A zqdg|UTBqnJCQX2ENF%IH!W7qM2S26RfeL~Vk|_MZ)S^D-Z2K!g;S6<*W`cpjgTdU| z2gn`PR|ZcgZxd|z=3CYu*KFK$TZ5RtCFJ1K0C-B4gR zOpJ-T6;Xk130Yx}yOtVGeSGwEgM=uUYa$B^0ns}$6Eb)7QNuxKCI}7vc*0bRcOmSm zaipJvDgiTZMSDQXB9I*vZeBVq*+a*_#n zfl3I9fpt<&t%(aN9d|-7gJzCmzLQCs)5#!=)ys>PWW6;baUrNyfr<=qv{9(#ypI8m!}5_^mu(6d2oo=@gtT&gMn$&%8-A((+u)h9O%PiRv_3Q%8lqQ_e3%8W?hIt0ZeBCf4$wW;SK4ZLZ~5oH=#^0c}* z+L=}%9B9Vj>D&*?6H#TH4R`%J^jDswa#?^Z$_+|#SKP6ByQqgc;l{b5tJFA^vxGc+ z<-gmV9~AQuINSYbft{*(VjG1Z@CYVW%&OlrOkg^?!zcdx-81ew9o`cQA~b@R>=VU1 zO}94+-|qjzvDM3fz)oe$BqqEF)pBcb=B00lgoS;82-;o(f}T2gC2UtD7Y%Q7Pvh3Q zM6J^C9QH?Kj<6gN0$2d?vlyYZ_Gl_3&*17kKHR+_o9L>#uK@#8I7`5E5b@;`*^JO! zt;{O?cfPgY%XK(0@`{ua6D++Tgs=MrLEUVCC9JpK-H=B3-hPUR6ZZ1MPYE%(@boRO zmG#>#-0!u;+(uXTeERm*!s>dYqG=-nz64%;Z!WHecls7mQszCxh^l3Sp08nn3b@!n>@*W3L%~cmFh}Ybz|BdDMv9QM zjV7C{Wa@;+hdK-qR|5|66B{)v#4b>CO^Lg=T2Z&w}X>VNOvGCzE22x9_=75_a4lW1)$^uY1r5>SBeSloV zu}!bykSwRv86iSX*@+A=GNSYbhtvNngd8H*g(l&S+pDA9*AC+5*(p83v*dP+HR5s! z{0mgvikmnCh_rE;uf)U2{|nM8wghkCQg6-c1Lg>~35{JzeTh!jI0E%u<-Juc8XgQ1 z`tcUlg^aX$q+>bCV&Oo^+9?NYlQgfeL#`K|G;Nzuc!^Z<+Jx@K2pWzpj`d%b3*}5F z1)PD^a^>8bkL?j< zO=zq-UAZ3K@dvvTp64YAQC}3?cC=8$KM5U~WE&E5KuI;c^8xY0(}Mn+%Y>iFfu;3{lx4ft_G4L{D>E8 z`)PqM5y8S1eI>g((y?^9gwcvBVnO%5BPr-a9I?|9dRkKNqI5tUk?hZ1APxosqdO7S zPI4gvvy^P{V(oT-&tv(qthZwVfxtjGJpDEV!cOd%@WjtYAg}fd{Y`5ao4cMeKsT<; zZWrj1CpltGAmBK>4|sR!7?4)K69Y^SBJV_edcV*|t&wLW5HOOuzHyzAiZs{rlPIpx;SV7SpbpNb(>ilcC+nc7R?+a%SQ_4%RVM^%2sXUuH(})AvJ-4`Y88CIGmiw)n=y;nF^{4xWos&v|To}6ic%xXn2ObFHYQ>42 z8F|UNo~Da(LYNa0ZQ|pOiVkGxSbWFo>H;^v(+|& z8auM0{_tvD9EjlW9V1r=h6SgS0zzv|v9U!77ogah97^f2y*0&-{J81_OKK;6@LIa) zIGo-(q`C#ub5)6YU7v`NVux>+Mu5TT|wlX20cB;&`%7zUL zC9DRsy=r6qsi+iXz(_jPKPHy7;R1uv#S2V%kbntifbBoF^F#m<>3hehS3DSq%J9I4 zp!v|4EN~zZD@zG5$!x&z{OjFEp5G3`PbP`T%;U3LTt})X4?zeEOn$FN7*nH4!KHWZ z3mATln{S`O4q{5b8lLt1llwl>NbaPT;YoCQHQe=l7Ecqy+eQmuYb#C2LJbU-downM zRxb9-t<5boNAj!|4~44E4oX`s6izf6AX)o_G)M%EN`b1~@%X?9p`&hawi_3(!N-z2 z`Bqv@U_`I?Rj?~Q?GdNc9Q61YQqw<%qtWb;Cqz}85+8p933I@pUD-!jW$73`xOIFA zol+-g{IslaG+UfxRY{E?T;MWk_3oyk9yJM?a(O+x>K^1%1*MUWetsEk-kac>`w|gX zs}qIxTQm`_zB4EEfx0kO<5MO)p6u_s9)9ZHlV|C|LwzW=1FonmIPujwa+*kN zrr{DkCrm>}l9;>D?BF`IK}YTz^rgB%aT_oapfL~+7Dan{z?g6KcsDR~Zv7(0y|9~nX;99Nz)*U&jRq#d%0seU zK;qlQqi?>5q4HZDI?IP(AZm+4eYZGJkFNV*csXr*exkh=|29fOcKHNB2sG&pqAcx) z9?wuQTSx)A1`+QiLZJ4C0=*=T#d0oCD0RE?8MpG;_3-Ww55uA{4@N@!fPTHxF)qxt zHYYmeTqx)Un3Vm%67kkz8-WsCjf4$~WO#kquzYH7VkcA&`=wx8@op5`2$0o9Lkyjd z%Ml{YR(E{|`XU*KfE`)EtMiZasPVzmsBtW~9Pa%{8>eYk?gdEVq$TVVC!>{cjVWp& z5j?c}n9Fh#R>Tbpp(>IM!5zya2iC)v_5tGPrUQb)#l#I1Q^#EFZV+Q^?*uicVTx>k zX;H8+iWTUIh}#}(ggQ|mJs&GH2$VN>XD|g*Fyl?E>>XkoiGS5n;{?(*nwYuZO?xNYh#d(-#o$4Qa53`T3voj~ z(dD2BlaUm{u6L(MJk2@deK5T3-47ou$)d(e-%mc4`yMb{^LvAkDmMtTt9q9bvEuc~ zeq;}5C3R;|QS>X`lhd*i$<|K}(X1X|gb?=uM&MaXa2bjgont&wCH?~IzI@z4I6MvC z`*Q9e#Uw;zfcF92iS`S!-!PPKL9;%3!T|hjQpqn^-IjPWiMXJ&>b8A4QBqxCN3eEy z$ESy44S7LmfD2~dUPz#LB``?0KcN`9{mJujq~2&I+DfYgs(LXn(h7I+$I$>C6or>r zr_yLQxo#HpOKBC4Q|}gU5_Ihb0%zSfGoOpiN-`cW$?*}|p`Csy3L?!8)3;6`R_V*( z{-7cV7yQ5PLv0OgHX}j zD>91aXgd*v2>8a;7EZSWwmJz6kzKJPVSF13u>066WfhsAMGIo@g*zN@O76V0Cb}^9~@a%h=w*a zk`J_Ff+w%Am6&%O2?C)eBl%hYAU;;q52LScY1G@@1Blxb=BE!P#JCQ_hi$#cqW}OU z5P4=VL|lkI@DACZoxvz>512(>BA^c9N3o1b0LSI2n{$Z~L%G&xhc&lTsc`jQ&p6~X zT=SDFT-;VLSD=3B4aSQ-g6Rdjc(BrV6wk8g+vZlz`bSi*xf%$5JVLg1r+yh0)C&7d|bQO3;`-;|My@4o14P;S)dk1UoZ*u``sC$L%;7A?yR8Tu`oho3<1Iq3<27A zM?KM=(w-dv>Xi~;dZUNQ$!U>#P`AGPP!p{nh5!w4^r{@CmK8n08eB_LY!q5Vu@-np zNrYqdr3JynF2e$_MeAO&J`t;?szkdAX<+^P@)H&3PC$=d563uNcjWxGcuf?mH~|E1 zNrU(=N*d%5U0p3ieaNQ38U${Q>pu%%ut?3&fd4&ik_-8o&UR!f>_--(S~tdV5ZTX$ zA7qwY>5Nip#ED4Mj8iA1;X0JMg!q-BX3Ipd68Fm##jdnpR|MU7#*wnKkD8)HE&Fk!DM{P$|>#{CbjRaY50 zE$=brWUUOhTR-pNHr}xx*v2z42pk?A+z5TCxjFo?HJ9A9>zU9V$ckP_gMmZP)^X@Y z81rA2AG+MB8BXy!$0*N5ZsU0sb&TFpljCk$59ayPsFkgNF5p6jcYXqLrN+dd+?PT> zLZjuK8Sek=;Dos`bf}NeUSeWbyh|Q_aw+R<5&o(n5e?*es8d9Bj@%ezVHPWYBwRJz zY-Pv-=EINWctQK~oC$9Xc@>VSFzmX+`O4#E+NcE)u-a_MttdSnYMYsi6Tw5EA1)#|gvrFLBmrMZ(kMiAsbXuB zTAE8o$AIWrxqF3E-kLIu1H|&Yp&+b(s2!xO0_Np{jP~m6MRenYZV@itCkY8*_|8|IkU6rC5tf~VE!$6kDMk|ugr3I*raV2s z@Wt-!kqT}c*829s1KD%uKGX(qraMCs(X$%#l{JKL_8WRNkpos;yGPiRznuAn3zU<>2L@gmPbvpV-tOaNiS7-8v#)&}K zkK4G|AOead7US(I<3@zxcEse#+%gj^+KbQ{HgdsC6f*iY-c+;pB+jG?^77r8nK8l{(d|o z`);QJ1Vxbt`=PMsw!RpXeNBM%3j*=V7e2n`Qj>?Vu@6PScv_D_@@7Tg=iO>y?Ao6#^B zV!DKjY?lTI?x^1IwimObAg)AO!fCyO45}kb#Ip(`i0D&S@%X2PKKfe3ZMoVlSa4w} zw7ho=V<+|u$5wd*6Of?e#?)5KR_a>9?Wr#s0k=AD#G{ET!+TcD6qH<26b^mbnEfk{ zX#NSf6G)A z6bq5QFD_0%T3pyMw}(w){j5}n8d{-D}bgaX%Vaw}JQ1m!NBOxgg9HxeZG2V!W| z33*6_I@khT53}Jslk5b~1l5B)^lOpCoujlG>%S~3f!D6M&5A*N?mynI*mfLR_tmnF zg8@c3{I(w7ZbGrg{ih;A_O>E0MX3WL1z4|#lgpZ9&?ogI&H+=-3CscqB_IKajktG$ zbEG=v5y3XQuru^40~m(r-d;pf1dRFD@>5Y53`~3&M?}OlY#IH61%?}|I^N2lvHnz~ zpI#Bd*^mpXj6!CB=?%@W-grw8(%Olj9zv~vI8QWUK}98+1*YHyG zvIw;RXXk}wOHklwWkB>sR3a1Wk%`Q&x-ad8Pu6;+S${s34IY@3W?0_z3^p*C^1?#b zSqfnCxde83`HIbGVlYJRXy2jL4Uyncpz6c#zW#)ip>kg04v=LzYyq7~0jAg6fys&t zObMZ3|0mDZK;dP9(FSP4>_JI`^0cHutQ*{i)(!o?C3Bp8n$8tQr-Sw> zY`J|2(YJc<;HW#Pi%bt4XAluOV;eT)+@=)?Bzip5JF-o2)o4SR%?@PMOfaSkF(J2tk<(<` zN%Wx%XR!b9Ud^j0JP&l!qo>{?P2dd5x(y-)4C|;Sf()z`40UI&!e#1{XmFh*d165$ zdbc4B563}jhJX6Sh}W{&(TG_H_`L2=MLqP>n|+8GnI++gr*0O)ha)58H>5Kp%ibWm za&>Zy79%(b<@jBkAP&=%PiWQrCGV>a}|fg?UIT18dvGYw9V)4cMhp+~Gu^ zxF%pGiFnNE8a)z&%eOvi@gD7jcq`Hw57PD}#PB4U21BU!lYGM>8k~Wy-GEiYWVhbp zAf1Frh~UH1&FEd&9Vsw7ejqz`!wzDias`F2weW)&+|&M=4HXqQiWibk1g76E`BP7U zqrjwa0yc*%E~FEKff#6BCepG!n?@XwoNja9|KSp;HUL74O{rGs?FdtYi0dz6k2 zzKyB}x9pD5f35d827|ASa4Y;}I_c!q6-jK&ty=sg=QPMziCtxE^kQsVxiP&LuJ6$iuGqmMM5T{LQ>E=!`W?yd!fu zD-=U*p|O*gPxFoxgMBUbN5~R!7wu}n%?&)>eEV{wHV9&GFk)}F72_>hBG88){_^m= z>H0*L*xVFxFxm~cINhp{CoMU4&lwF03-E25F3aKDz5?ggzdBR%Vmdzp?OD=C3*PN2)I&Mmi39CzZx0RU9q&w1Cj`4L~i_R%@h+!`8K>Cau0!)C(*W){FvD%s_tO-Q)DMamIO zQK(lJM3GB?<7kVLqdM>Y4Rov&)xh+m2Cy^Te*}Y$H^>9)<%c_yK!6jRIFoRKYxf%G zot@v#n7b|*gm-?;$$bYY+rw#2E6%0Tw-9Zegbx%J5>hbjNxg;}Yg(>XG62d1@$69N z?tn<*6EYlbCj?9ms=yRN3v9mNCj1N;00%v>61c95*TJh?NE`363dc}hb;20|s)i91 zq@~6~MF@K2{(!UZqBRVWQUC%2Df()`q-4L#HP7`SnGWBb9-@RM8&R}3h(=d<=Wryx zCHcYTTNpG}Mhsd6dAUJio`whiqmz?2=_lA5EgELees6L*q<_S#r#sD}@jyx%KJTq3 zFGjtq$wGuR0GxiblRP)Doh)-D7|-qLl^@=%P1j)Xb0MS{{&WiMszN6uQ{1dFwgxOk z8Z;hP9^m%PuHjeTdh*n}&W5Ld9cy9qk1V1cts^&;x<}|&Jsbl2(Fw()>cQlW!(6Wg z;@}YuUc>^Ww(_Heg+n|f+`q6NBhcplh$|7KR#F@utHEceFF5Jn`nikbbA1y?S0RZZ zf|>fpP|LKMSW%A}kyV|*No#;eOIm7_VA>MGR;O=Qv>I;+oeNSy$eh7j0aAIwu84l4 zlGOnwN_0yXf>uM#O17NO1u7wNN)d#q<%d)YMo^qqA>x7icH-$JRW~X=F`$rOV>QdA z{e&~`SO23`sZX3IO4SwYuNDm* zGmG}c8@3U5bg}ml8QOLMenhd%oKn=5+rhwUMOMapzwwA-BOHvi#_-jhDs!(J#SwJ0 z;rIhI59_`z)lk`&wRem)P8>iyCY7B=9nJ)r2??=8 z5b*Ttv%?FaDd?gPoBpw7Uf+3Rn;j-|u_L47fa)pJFf4`=JD^a9`VJ5LnZW4Y1QK3f za9C+kQN*1M`3~xhkw9|{+e+Uo)Pu$SFFVc6C_xuxfhaIqP0=WHyJGbby1jt}r*5(S zvl%s;+IJ8}TOt7(I@d#5(zkes48QYDaQ|~~{!CgBWTkF^Ll!d}EO)10T!gyixiF<9 z=de$7ZhfP}9D1OUM51p$yiq^s7~pgr5jyEX<8HY|o#9u%`Q)k6w1M?fUT`cWWwS3L zFJM^rWJRh)1naYiu*eCvLa>-euNWa~9Y!FamIWn;U@%jiEhciO(Md=NPbEpPjqJV} zbp*`x!65%O81!6EFoY*?7i`D}1{QTq8yX=o=}b=AGR}n9+_)dKf+$0TFgWwqpl3}R zGWL;fJchBY0g^}y4#Vt@Br>^pV?OE?y1A}AN$BHhZ6TRpfutKi+Tys6^ zI3Vz$*$uXKC1r3i$bAC0@OimP!^(rD-4mZdo10?DJ>Z)IvGOD=O&bnMLO8T}LO489 zwM~Rvc4h%Cn6QVog2;aoc@C%%MTZHwtP5aSLX39(C}M4w8{+ls9J0u6WWEr~1Q!*C zsd4?6P6!6-bQM#Od^va*(pz#wO#`Lv>{gui72!%v8)0-hCK%7REI3yvqP9eHqBPsB z74@tO!a+ka`Sk%lU&{(>ZJ09c&V$i`lVB{rx(`~M<+%SO+OjJlbW5B`P+N7vLGGj)aw`ESUP;xUZw}Va5qP7E967+J z#Br8P#4ZtxdNl@Ew=02|#AB}cy)S3~x$Jnz zy6^iDM{CTtMofIcG(h|_g}}o@e+qu~8XZvQ$PnXYT_UG}REsDx#J3~(NXAAk6}6AI z4_VtSxrr#-K6We=Vvh5%6!pQiQuG$LSgA(fMMW53|HNftf0B@o{JX~ViTcFjrrJu(SIf3dh9wvu(N?@{ zMJ(X!L@ZFKca1r_un7X2h*H53rvDuE{M8TYt;L^hHy^lop>WuiYzoDk{ctN zl@@4t&!2@@g&}cGEXUCvQH`LlmTD6lwJv8+z)QjgxeqW%#0u3&C(^v4Y9d6&nU1-< z@FD^3hPN(6i8%<%5+`p&jHt=!5hfdF7-KH{Tqh=67*Z~wT;Is1vC{F%lCTf6tJDyP zYE7!+<4_#5D~&))ol7DMwRQ1&YG8d(hxu}5>qK=h3riZ0>@(_^fQ7zwD9FXaqSIQ} zdoukqli`84fs5P(>))oI!o#yq5|&)sPX@)#HsPJsB^Wd}%KOUFj)v~d#fkq8!g}xc z$R)&q_4cKpkNn=>CX*H$f2nhNnS2Bpq*q>Y(HAwbBm_ANtiNPre@kTZbASFtPgN zHuYj5ZQcy;_%7B`>yA!W$a;jn!{dot#TQtloOlpvC()snurPe|;pA!eqxIFL!YYVb zBJYbIfb86y4gcfYPhRw8sJu0FSa(ek(qz~VcCt_7y(LyZ>)=r*6+0rjoU_u%)Fc1^73}!q9 zXm2z^KGymIBQ#?9I97vimG75&dJGMiXdmAmlrsZh_koN~^jtx}R>x_i3&2Pxq5+~) z>#*{qX8v_Mur#w{@d~DglBuT$5`pQ^ges{^A!w_%d8T6h0qSO_LA`qt8oJKa1B2f8 z*`Y;gNX@mtJ+rMBHvqC+2jZyKSPGa=3C?&{Tp& z`lvaHnjJSq2CG5ucsTtzZWs8ME{0NF{_MbTg6&P9Bh=W@ zT?>XV+3=J0M&J68MlB~2MY?DZmyE`aMnXFSFVXG-gb75wK4`q;mi8tVnu_2=uL$e8 zR7@Haym7C`VHWCeeP}Q&bnoNz099+pnR`O6ive4WdKwJMmacfEp5oDf$+-{Mlt&=e ze_noWkIq6<5vI4z7=K}%NN$;awUhQx%2;3h8lg!^roF}XssRoU{!EM=HLi9Gg@@J&zFuR8 zuh%j~LbbjYcqFPG$_<9Jy^2tz_s~1kHu7IVhb3(eF!_uE^S#JxJ1Zt~xb*`kx4J(` z=*V=AVDr0a097I7c=N%p9ah&b+6T43gI2rOV-`|Ke69oKMA_Yw}LKLH9K z3E0HFLV2(v7oA8#1ZQd?A>sW55uoISM7P3Sv_;`?t?%cF085=6E_0n7>1R_ z5k_F0%;@D4V}hT*ctE^lyYYY=ArJh`STvk)wC?zU2-BdgS`3sShM~UL?jv_0&lGK8 zXqvem+M*%cDtv?dBFZuc=PTb)VCIqGkh>})EbA*1QL>;|XGNtk%Xd{Vrg~Vn?M!G; zPTJH{v;{Cr!DnyeG-#>en{|MlDuo6S75ZQx@It%cfgd|L8NTKRPoDTJZC7NT8(#B6 zCog&;w$Jn7pZ;Jta~mV!BgiD1Ov@p6LcwVh-go*4~U+)zg$%CLK5;I zn_V#&xyywtM0V;zBVeF($**5F=S_EPq7V88XZbhe9dC~{_UmtqlMr%^-Pa&~{}S2h zy&H9C&tf+>axhEVdm0}oDLavKb^k?DGi{{9c<`xG+%@;#nrEM`oVe?Hs0r?zdhr+& zkzNm_ll8@))PWPL0irbTHw2n7842mcH$H|SpB!=}x)VJ-M0>cPx9!Baeq^Uu$A!K_ z>%~pOH;edO40ruJs1qTT{nTR{)v*I6&Jm`nkr6Bfkvgi6lblH%70Id*68;u-2)z`8 zKz!$s1{IQx&Qg!!1WdaX9?i=|mD~z(lRAX3ks>s><4FR);GAi8us=&D6FSAyoSl)l zIKaW^W3DR0aKG!zdOk9I8v;k3S$Bdep;NJV$S2y1^`BV-nCWg7#fi{%6w(ZO-*L57 zCvf&!1vu>0DvbM2C)Oq6Oe0-ZWFyzgz*_5I;GDneBvuG%%?kgE%lE_ead^#7#zE+- zi}ge8@?L1C^RT7jNw`V9kal*&YjchZS{Q(^8Eh|S2G1p-)kO`h0YauVal|vjx=4eh zO5P$#XT*!T6Wm|43WR!SfH=V!hJ@2s8mFe70wR*M=n5ikty`eOZ$~UU%wNBOxG~`F zw;jjjFiTP8m=5K$`2!=jWLf;MysSsG8%Y1UUm@6Clfk7;q?8OqZA#aKFsREuG?z1?f57x6YrH6a zXFuHj=_4mHc(;B^iGN+mo)e+P5Z4_a0*j@>IigUe9xk;Lnk*+WBIf!Xjgiu;8>w!# zI7Wj?It_DW0v{aBwhvBZi242K#v|bKs@0+)GxU=N!b_`)RZxc|9JtjUJbMonVbnN7 z^w~k_gikojXq$+WY^M(wA?fphEeSj7L;@roTkjY>t~y3P>W-DAlb{4bvU+>?&$mAA zCdr8DP4s&?JosOOpKikp1KyA#S>z26t>huH_@;v z`y(Zs1{hiJ=7}U{j=oWg7Wwx&zM}xp4#EYBd5OGbouo#9w_pdfQUYR@)UHCKJJlij z&h-#R`r$*&7mA^D9RG`(}xw*PLC@dhNabzk$_bllP1*gc!kvLOGLl4gka&bPHVVHlB z41kJRhuk1k&)7OHVUU(C%wwPOog495T2)*U*DLtC5LyTp)n!janNI|kO(83qbuIN| znj~&WyeqM)eLiT0l*$5xmG3<;#X?8+fa0W>C|G4*Vcm}ViLHwR=SXh3}9RrONT{9D}!NEq~;n26m1v= zc8Qg2h=glw3PKoeB5#wQbwilVR5t5V3yzBe=IlsAPDrY^Bs4;OOHhmU%NOdn;X`Bn ziOI7}8V~6T;ZPEG#KZul8yVe&w+SVTaN%NqkghDel{FKT7DBiqZXF09x;l_(B;CO= z=GAfULNtTVb|;s^>woU#C1iTinBZ*;!|${20#tiVC&vYkB#o-4WMM=`rm<#&CU|;j zXFyPOjF+ro%Ig^+nMN^Wu{CLV+Bj;w^!CFZs`X_N>Db5bS1TE)nR*;hB){P(zPU@L z-cTD1w}VDvXc5}+_GM=xvAy(zi?K_&KjMb#I!xRO%@4$jaIwR2M&>IaVnO?=0+HZ@ zi=_NAkVkc85I-Ucgg$MJZqYw3B2HCH_Tg=`>R1nJMn?}`b6c}YQ+qrD&{vh~Q_A(5 z(~q+f6G5{tA%n4Hfa5?;p4a{S$%|eJC)$reJeJwf2#g`};uK5VvotzXEmy-Y{yg?+ z!h;+7MaX2QFGTuuy&4#)FupKGtsH@-YlWxQZ zn#GwS*y&n9^4#oIU<6t^Y!ZDHpdnrbj%}}Z1l^gh7%CzrrFy_i;Mo#CLfYOBZ~29j zle;d5+kYQ%P#X)As~vSR75GOKYJIp+W^FhUl%|SKILX$%^N9_f%ne@O41ewKpWOF; zc)bk|#CWxooOF$skao?>IirHR6gh@n^j=4h@HE#&J3@GoM3Z=SSX2iUl};4TU1}l( zU2E}(6Wp!|+K~vqbVc2K&{Wu~?G6R~>=Ht}%cUb9B)Z?4j76cS3}$UH%`-_{n^s|X z$KO49=3(#Pl!&)ksm+>ki;&5YFWg)aETbp_&Mx8>h|3gK zM|fmgTj&}}g+6G)BUc+ZT@hHSHn(~_ieLvzMs46m!Bq)vyH&;m(XnbI_9{8V_?wSdsXOylf z?ypA?I;TF>u*3-F*fy}zXOxqdOSe#^$Zn^t!?RMSY2#d%sKAp~jj+Ix*xwGetcm$h zB|;xfF%a~%k&R^?S1Wvh`zpW|Yh~aHG&wk~)0TdUJREjr#q6p}fjCS_(kMMSDe-T{Nio><*h;i2n zB_PhN|6r|s!ojh&3vkDCCcD`wA0P_V?Y2f3b|<yiQC)AsvE2%& z9aE~bvGc7I)6~;@55UHpDjSzTfVhSCu|vMvy+%FRgb^QgSg zZn8DfUdz=UtGaln-1Dk5cJVO_+dDzHbH{o^jc-GGz5jm(orHdH^P&s5q-%~y5n6CG zs_Gt*L8!R+?yb-XmQxLqEJL^mTkCrAjbg}>+JSX;F3^eVL5F~x7JU^6ZR|GIaIow* z5HPt(0>jg{Z5Cwxbl>jbFf{8l1M8mO)RQ8ZC?{E4fCXE}{}#52D{>)~bq{kL65_k9 z$mHbZ9eNL;9f8@pwvdTCN6cU(*$!|1$0twfQE^mMC`xE>y2}JGy~xJ5Sb?__p&oh* z!RBO53lj2Tpa>#yNIK2v=!DfggxNVxm^r0(0M=~+AYojTZTTZvSeK2d2Oa4%!DB_p z1-0)-n;j8#K(M;rx3tD6-;{lo!HgE8H6j68+Gz(gg4@!^0+T)#7{|E}#u-qk*9|VQ)0mUAgP2XU z`$WpA9{i?O0a~CLqbzZI2x%fWY>XO2;pvYt9`zaHbD~v1Iu$A+ZCAY_H{1z&QxDi> zSHB^FDDHuJio*gXM`XU`fsXB<512LyHrxWy+bfG$h(2BA08FAH-;SyEHs=D(k{A@+ z-k>b-3jCW73kHL3AE!Yq{e6JLJO95pJm^*WVIjs+tR4>7T~=b&@<#?{v}1Le0u4DD z)eXBp>SC6$qd;x=$;~3oU0fplz6N6EA&ib4k9iDp<$ygoqLANEaxO3>o&lz?V_;^G z=tA5Lv31=Ld~EuNd01_{LqhRw|DBPes(JeS~-?_hTP^8Ny?{M775}(qfqWGEsvb z6eb!k7YI>&gA&kzh;8Fi#o>suPq^awN{m6 z>1$kw^@o1pb=;Sq)psWZ&Z(YWqr|$TWLCiRJ{|pD>LnI{RzMJ5=l$dg&|vVr6b!|W z5O_|Ff+s}@Kf!*vqMp;Z!9#(#!q@yd#Ivt1QG4o(Q}10x5)ewTS!xfO-{%uCn^|w+ zJn(1e%2KQ)N(ZF}EB|>`YT+EyYDIU8aA|3_TDGkou z9V;0(-^v6H43+41>pq^#5xIK{L@d;DAr>B4)=MHihPV6%c&r8Tv&a##A%cK;STs7; zaf>E_b9!_jVgXAyT;VZB>ssA9m!xbEcZElY@fi88twm(=?YeJZ+ovny5)8Yv?oIA+ zQ5|0U6LU-8r%{Z{L4!fJrIjIFTMv(6FpBC0hF)#E)97FnxdP00E|z#{83-nx$) zW60CU8S1m+7SW6e{1-6|Q(F6$OS79J!r5Y>hxu+pB#4w!2AGsLaS}UIfQ6zPuIXgH zD&|{`!zm&DbrCPvj~$Gzt_2=X=VtCBf+@I`(cUbP7MiR z$={+_7=G+GPwx9P9nA29?&!?Q=}JW>(&N@J6TIumm_y)xH~dntOLz|ok+78O9^^F! zT`9P?N&<&q%6x!a9h~l`ZA3eQOgnyX18D1l+V{0Uw};>2@Q&X)Iq3#Hwv^;h9cLMo zwq$tcQ=WM;e9v#4JnIn8O7>3(=FpCD?et@V*G=OiSWSF>ObVTqyay^9=;hHB$Z(y5jB$L>J{X`NSHV7XZlBst>fpjB*4n}by3aPsfXC|%S z^#O6KFF)BTG-EuC3{%FwFqaz3IoUcqNK7MHU5hQ4Opg~MpWGaz3X5k!`+>n*q8qGfP)UFS z))ngH=-2C*k&!>|f+bxkK=Ph7TtEsD(%_t?Q;t`GWDYXY=rnQ9ZIPPwk9S&Sr-+AO z*83D^mdMVP!ew-F;9I3i1Exe=tb(sop6a~p8D02TrUqaHBK4IaeT#4bA*K^9+;O^} z0)v@vzd3OSKwEGwhqnb+9sH^O0bnQ^`+Pu9%?AORd5<(|zV{i5 zi~)oO>n`(A=BcKI1|S9REM|38Vv zBlc83L726mSH%w75?)UQE#>$!4O4 zVV3*BKmZkw0HZYV^ep+j;wX{jOFxyGNdohwgP*wp_7?GQ+uWDpe671^Gk0cFRy#X67#*zR|(HovBSsmx;R1t(JraEFU zrxUrl(^&%vF62NpE^zq^Ke&U1pz*wQpE0cYrrs-K`MI-P5niN!%uT2z9NzZNA>2n| zF=-aM)0<#*)dW-Q?BJ1iK=I|akr5rx%P28LP##K%o5gR`?XCf*rZu93t$7OX8l*OIucxPm&17``6T z7vLc&816))>25=~(I6O|F!g9z9nysML7t-I0F%!)_0XFvD>&{yK&8~--ZEl`o8_dZR+Mg zFcfKvAkx0LIO3n(86NuGIGcTBFqEscn?~z*IC%sLw+wwNr&Q`$%}mv#xLv8NZIq`D%|-s+-+jhk=Y+0QRtF4pYOB@2c(jAwFniVyAYfliIB zjmSPRes~f{;Y38QNI%kv>k)b`w2QFuX=7ICM5;~g0_Rg>$2n4iW*2%r+!b_f;E2_1 z&w)0AMYj?z*HTnsZWA*^=jt9tPnQTTgJPP((F%0laxDOLi3Cs3 zH)wQ>Ynz4?sT&Os5UuCvyfc5BTnmz*2om8fk|W@F8fj%^@x$!bA&wo=O>vBb1UCoA zHSv_3VARjyw(b0g$#^%bsWVqIHC>}8RaPGro_G?;T%Qr+2wb? z3(G-AV9W+x4}d1nT1XC}^a`jPC3&L`GD4udNd#YrBNDnaA8-jiosk7<#)yfZ!Es20 z5H?$v0y>kX{UpEuS^3WSaM$~>7@ePqw?MTQyzQn%!--KR7FQQh7CbLInd6?QdaK2N zfmcN~O)&Cycrd1~V5vkpywltDHoW2ep7|W|glichkfAR*2TtdRBUn^-#!_@8f;k~B z*4F*RP<+4|#fOKU_RMEOb)+FeN$+7ok2Q2$SUhxsD>NM~WVnEhQ(VgmaeH+mT=;v- z_=)R|h#A+9q%9OlIsphd1F)i zxF6mTY&g4QGK()|Ur)q4-2q04nGnH%RVZ;HQqb%Di9pd=>ooK?&H8pcXSSpL(7k+ zb*Brwj3NaCt@0JaYns0+A|Z*aXT}}Jfe;qOE-w_K%JmfReLD^S6esk zKj&Pp>fr5kQXabk18wgoSoHbiTDp74?V-8ym>9H~IJ@;I>bP29;GD z819C{tKR>ak*dTazuE?}N?rtc;hzRm+rFs4`FL|x(=RIn;TA499T)W$AvLdPF7&f5`ctoE zO=JB5D*isWfi4|WPpRvH!SnXng$1g^(7tLS5c3|DOvg$C4s6b1Tx1NGeA_?-nA6^| zUt^=*927M3$pE*;nQ{41?Q163G;|`+OY0b;dC|VZ8E#<*mY>O~6BJAC_$lPSiiaA%8-L4m&c;ojLLIectEi_wy!p)Vt1ppS}0m zYp>sHZ8C~0p*U~BxBnWLqMN|@1HABc zB5)uSVju`xkcY69sBNP^(c%&Ii4FSkF^kb85;VMf2BX|!ZA2OYJI%%Mdz{j7vD@{g zBV?kQu(09zv|e4w1Pxxuz>%zp@ql@pUb1Bpxn8aj4ll&P$V&SH;~Z$i9a};D1dz|_ zg$PW2CHbv9(Tp3r=8nu^-8a@+?*|3CJyd*&LaM_jHO~QftRY@S~ zSGiTt!RBt^A~~_ZN`c_I!2rclc@DIFDc5&-zP8;){8)XOP@nZG2QbTU5?saKNw{g( zHorYy!Qg*Ef11(=t@I~uvcn}N3yb|qUh_HKUrJE7j-B!RaTF;MkdlfvRv4$JT!AU= z9+*-U_?|lC0^@P3W(X9rx8=k@r5yrW+~g|;aeKCEmN%k2#}twN(iZ(RVP7e8^!AYN zrY8x3Dey@ZZ4~*KK6%b2h-Gy&8gKwk2>$X0noo49z6s5z{;H2 z*NOyu4H`~+6HP^j;bz>jC9g1Z*lB!Q3uD*it%I67ODD0Z1g0q&m8jLs;I#`T%SaDo_tVj(o`p)-asAq13 zlYUVo8w&|Z-@BveQxZ3>YEPmfXV?@$0xibeiwe}c587bF3nDH8UfSKv*9biJBvhN~ zND$~Aw>FRe`fM;#G3pN@6ZApCRff@{z8Ay#?hSAKCL|`LQE$`aOjx$na(w>Eqr&B{ zlDUKdIyn*8(xztVWQW641yNZ6Wob z!U7t*urSgZ(ot!fml)xq}tQ;j0$s8<04%`=Z@wtM*?PulpDOfbJ|zt9nT-j zot}h^R>xM+888ww4$Vt2E3T-Iefj82i=$i<)BjhKM0M>+P;oU59;k79bvwpPl%L~e zX8$Yt(K&RUD7a14IJi9Sg!)c~WT!r)7w>K(!9PHStAOe82^h4JGl5y}O5*?#HQ~AD zA2b0zNEw68$Zo?Ho>Na(Y*7^^%d15(%5IstmjF`FVOycyo+pmxXQidho z&oiXv3*;E-Hn|gJ{M55QVbwb+pXdlbq_1iyeyp@}V2-2^9W*mTW!+y-^iDb@NIFq? zXTrqWDGE-VQxg}i-8#L6jp%g=U?e~6=OC8~J3}jI0$O zw{#-dg1ns+T49xr@@Hki`0aGY6sqeyNzW6XM@uyZaq@U0lUF+YW9k?|7)xoUz7zWd@M`R2aO(eOu5{f;|%_>OO%9%Z`9rs9#@bWM)*)Ve%`!U zuh`Lih0b-uu(=PS;Plqs%V?ZMsCn$nyt`eT2Q!KFG|U^XhLMiDoo9#>W`rMFT>N%<6a#Ls*F zSm%U+&_qKau=0t>Khd?Lut9bqt$pKr${U`o*C5@b*pmmqf_UAeSx=t(fL^$k;UJO0gWX5}Pi((h3_LAw@ah=l84 zU<9J=yh+&`0j+`^Qn#&vXjFo!sS*wkx$l9&V)a{xfb$G##s&W?`tgnE$CNfU|DtG2 z76CyeV+M0Vmy~868!Y_dkwAv0e(mhZ&w@wA)8Le1`oKC{o=(+|e=p?Bdn4Kc#T_~z z=l0{T$8XcP!~bd6lFqfF4$T0{-94_s2X}?D$F0Kqh0o+IYho)USZr69!xNY6v(b8@ zRF+I$g?xZH0D|C`q)0{W=9sc{1l|%FTCBs!yry*G3VQYn{YBZh!0@)zzR^si+z~RX zn}~IY7ex1+B3{X|6#Mp-#T=HJiepMHEUGAVFu>05c2pvdb?QiP*=ypv&KOKj+GYWC zERc9Zfm!@%i*-mpZesyoW8VRkK)ETYvy-|)Xwd?Bb0v)mT7*lg~KSzRfwPgb=Y(l`94M z!5uujO(1c&X<|SBkv_`u8KrhtZjUtROIS|GRqOV$|H+> zZK{qWH8Ug7&;*P|GFjjs-=H5WU$ij1{SlZX*>Sqgl;w(; zJN%w3X}lyWnUD(Kw~xYDDV{{?eOgGC?eUMNkB3MTW9P2pCMHRCsl+FhyWR;s(1ZnA z{s;?@q9?Xr{J{Y>?t!$bY0@FGAj}|4eIxC-howoDQPVn_d%^#Tel{$6qU*dCcp~Bp z{$x|qLSQ40rke{7+H7R_-#<8ee?L2!@TyUI!g!z=feaN&!X;EG;8j%N0WOv4f-c%F z{&{MQ8ujGuZ&o}?ZlVgl^7!^d2Si93dt-sdZjP_U%cAFcfuZ2<24l0LOHLZgRB{-4$+@{1eks|0SsJgQvm+*|VZNfdCge1^LWb$Z* zNCL}RAU>k~Z!sk>t))#Qt+6i|xs&oXmr69RQ zevxGG^kpO?NKU83=Cwd{gc%EqDM7eX0-R{G98CBm#a3WaCNb1p(Gfv|vUFdJR-_x5 zXrgvUPECtUd}6WU?LYRz z+zbbHem;ETkLcg9r$IO#|A`S2sTMl9p1O5xwRXTvC)meYIy^JSOblP%Z8fm&{T&#Q zO^s`}f5vtDyui8v;vv9eK#KpF&XUYZEHKxFno|)#Vs76Y%=xb7O2adh|UaY%!Dw;?O+T*JWHO2!aG%4*T* z+McAjV!pRgtB+B5fF!kwXcW0%90939A;0aXWAeRbI)NEmpQ4F*iT6xGdS#<)c<(FG zr3eoRo$Dl#FZpd3&R0<~H@-X@XQ3EoSR)oi$|>J5RL z3X8Ex$^mp*WJzd0@bW$B@LTSlU?hv|H-dUY_GMY``Eh5e1xC!Mv4!?8lE7sz7-4^H zB#nFaPxvwk0W9qsADun3O!l(1EqPV}zB$mSA=z{J^@AH`_Q#<_E}wD~&E3`jV#ji$sJGH=+*|`o7~NsGb;? zXOHXF;P$Kx4R%NAKyxM%m&!emadddq_xdq_jGL;Ox6(8reW6;<{PAPOY6I)$GJ%ch zKf&-Ku{rjgvU?M0N(TUmZ1z^()qJo$^uUIk&LJg|X1KD*$*Ys9f{`X$kQ&~Q?8t$Z`+;DU;YaqXE;^&6 z#Nj!uUbdszAwM|q9+!SK{eXHR}u6oV>oZ}`GXXP-O}*6O21V8|pUO-EOk%G!!*F)1plb^@>L zTbk!tBhq%_%g?FigYsS`8MJf%B7r)L8=Ig!seBJkx#4q}KR{S=5jX9I#Ba!_5Tm0U zSh_LxgwIL2@|l+=tbI-4?=p2M81;3+4=Gd=2-5d>Yg^;;PDVDo6<;JKiQmv0!wba2 zp-FI=GQySPO zO&qt?E1E+|l&8_5L>1K&(p1texXFn+Tay@Z2W=!Z3$;K>YBxM+<~LkE?F9R|X*E#7_y*7ey!+D+Q zCe_mu3DGi9koCQo1p4jhQyD(^4LGFq!91R-%_7{I{@AKS5&F4KjqtmyK^`laWa^UR zpJdanSPBe-sFzPvR$C&%>2c^H`Yq*CTiC}SzPP!CUn<7#4*&HS3=yu}{UpDjFiw<+ zUM{>*MIjg&m;PSY%x4ZV2w3Xlt?rVxnVOiSTv44mMrNDbwC&!r5wiR)hIjrfe5e1E z*Odh8zI^$_oyy0`RGq4xaa|`I*y7cl{Xj4h^yvL@#Q#NE$Zj#fcq&i41P^XAyA-PK zzn6)dc<}>KscM2jA=M;EFkO9eR&)qS4A?E2ImlblV%SGLTa$rSh6s|&p+QDdHD=Cl zyPXX%GG_J|3~bG;Si)QYCEc;;WOEXFk?c%`Y)=BU%kxE1YL#6P<{~Fht{zvV!p`($ zMZ6x}b2Bj8V>B0$QkNRi>)XQ{ublnr@IPNW`xVNb+F#Ab@ZR>BWBcmjQ3)%4o2Ma~ zHt<)7!3K$Qo3JaviH&*X|g+|dDn3sQ-6;2a#tu+oI()XWoi7&$6;519_{2bPx zBbGD;lweus=_D-VLN~%ivJrZje2^v8sbU!R#^WOKk9YaPqcRA)H|fAzwHRvB)kxMWI7m%*IJrfl0|CtlN#?2cJ@7sfoEzG6#y}g@(p1y zx!xSf&ILdYya%E?Yca!5zjyZJqrBOo`B9feX_z}LEa2i&@-By)mqU?wUYZDEM(KRg z7r&{BA~sEha@|Wp0THW+>x}IbMN&W7hlcN0R~r`PakQ)G#Sj-cG4YmtOca^-TKmul z;fn=OvRO8IR0Ucj?JzT{1!eC5V=axr`@|NEezf{ldbmciSjhvEP$R%0Q-pHQ6R=|~ zTJXO(c2WZ>6yjqPX4EGb^qmMx5So?IiIu(8TQYyFCp*ijs?znKa#8p9e(dKR`tv%FvvIXUMq{)xj1Lb5|H0*nS2q@}UCUQn%ahROQ&({aZ2NxD_ zuV@@DYcXd$Db>E7#i;d6V1z&m)x>aR3*x6T7VueXViHbfOfQN$ox54S~+$k!FeZ!RLF_*9-Jj1Z{-7?r{T1+Eb0GqA1A&7~Gj zJcvTmdso9Fd@SOhlz5gDNluMTEuN138g~tiM^ScargT42VY(+%K1+aWKD1fkT#<=DIANcwLLkrn2rKxfydOhors2T zD+fCtwBv?8`C?;4^5mBw*H6KEva+`{a}?1Onwn;j(Ty%besYD=2Uz3C`V}Wa6PL)p zx;k((8eR9hM?ABVGa`aJ!Bc5JDTfHPr@l?p0*;($E27cuea6(RX<~{+TahL1>2WFV z=*{t*;Y$6ta{t&JrDU1}C%t$jR=#*Zo*vUAwCgMw`e_wZFz@x{i;BI_c*iKj&!mY_ z9eWb8oG$MSkNy(Aw%ug*h}|UpCnBOh!5PTwolr(29B-IN4Cy}4G5q)U&puEu8?y*? zl?;s6G8$PVMrs52&HIp0MRadcWjbwYRb)~=x<_E7=Gp-G$h}7iIsbUl9CK`2hIwQ? zDN)q|$-UUmfg(fTen!cnipJJ$1qBsD%$B4Cu_N3)vf60@B#n$ZIodlmS_v}h9C6-j zCwRXFJK>W{0f*>^Al!T(7DXl+nRpq;uElIhmS%xUL`aM^0W^OPV`aZ#UY6z!5>0}m zK!k*oRYw8?_HTJYY(EKe*cCxi(9AFg%WH9#^oBknn)!CvvQ{BL#H| zm}rKPQ_*Y3TxUO#LaV7n@lRbA=s3L?`p1YAEhY~qYaH1of^FZB{`hpOxb+$srZT+s zcPGpu3NECB;{umC&P$Q|+OtbA+2eReq)$4H zJB5W%-b6YJH$e(ZI*_6%uUej)X`01VD1#Bd|A}!jEQcTd?b$DUNFN;D`F>~c=#eA-p_1-j-fTB&+?dp!`gsKuNp-N7#;TP3|xb^5rU za?yhSMZU*E*X_eyr&SYHF^s@vV=FIn3AXFRmH89+vSN{@i2k6XhsXwxBW%8xcF~%; z#v~fjp|ux)v_=*o0TT7cZPL5LKc?T}6B1VOypNk>|10{D7#fHNz%VMpdt%xpX=037 zojYOe?M8;5eCh1x4|tYk@A0Cm^klsKz9#7XS`D{bXUF3vS`Dw0!zGIeAS^TxsWP+E zh5P7976^$%hyB6B6dY#&wsnloOn~nD!Xfq2{$Rgzkcq)pp9v+#TUy6E@0gVnVk^uzc;-8_fZ1- zyU4UUL5Abm>v1^v3uz>(sXmF{DyJ3L;x(FIU(1>xyq<&>$zBYu(H@64NXVs&fsi)5 z^8@xw3Ss;2Sv$UCeYQ*CStc128ix&5+=Owe>H#+D3xC3qZO$yoH$5?Z5K3b3<9?Hr z#axnXHr)IJdlI3~4(9EO3;5lwTCvjeNf0i_kfGWBD4zlaLnFU;U~^!HRP%)Hc{{c)qlRz+Ms?fZ{* z-KNX=o#FL=4V7ZDYkn*pQ5rgXF>F4|@%NHskwma|9AuQCG3~aD9Uv16L5Odi7Cl+C z=;)-qm^2v?Z2OMuk+Da=Xmv4k-yTU|O3?^sZP2X=J=Vaefg7Iq#}ho4zqMz9&*Gvg1mRcL>C>;Y?M#N5^`&Ta+Rl1cNwR1Sg?T$C z4iGj#Un458=^F09(5T$w>xlgp%eagrN7%dBItvJ3onM( z|B36=+5j0Ht;jQ#@)UuQwX|K+xFnJQ8m0z#ES*r=bO@X4L`X%8!7vbvz(I3ydc;cA zi9@CWlJRvibbu0>&|GDA3fVDUHZ3Mz!^Q$${)^#>AA)cZJkA8|5n}eZ zeh`R@OS))}!@=IxJDg?7JlP-|{Pe_>8A*8|d-4P#>7-VBM9=N=Ar{#62JkO{(jduD zkU~Q~dYcyTQgkUz+^?W92i$&tSjcM{S6=OFyGPkv^d1;NfSv@G&;ElJ~$pjDG@kgS&J4(7UOo}ao&sbpSyQC zod1Ly=fC0l+s(zA^g>Et-EAx|MK^(=9O`>ZUcmg0BR|F;C3+!adB1VS4L7X&vnj?E zl1YzkhJzw%G92DMeuDhNURu%s1yeBEfVBtpZ6r?4tMLhBMK@^}gF-x+Rx zboS(j^rg`j;aB|(2uF+~|Nihczp9?``4bVto}W;#*2Lpb+A4Vy!XQQL+BZ(nX^vlB z93WJ0UOX7if1DGm`3dOhWLTvb7e%-im&03p;)(O&M?XG$rb}2*xRF`?^{CQcJpVp4GAOs;BrnPVQ4I!oL;k~SBS?|1DEChYm>8b3geOcfb|Gm~uR;=e(dJb&3 z1U=HUc$8-?h8MW>L1F}&m_lP68kN5LIl?6f2xt-<0-7Z4c<0ldv6W7_f+-w{jjU`r zV4P|j^=NXXWrbI^9uU5W!MU3l7*@r8cWlmuZumq92~59TxCHlCyGtE&e~{8)p`XZO z*O4)anmqvPN3YHg0Lh`)?If-#8jdy$o3BxgzgMOKNs^t_Ks^^JjQU<8Afvl*^=f12 zN&UwoUPrc0L~ts4>xW>#hZ@DMIga0?@#{{HYei_%+ zK9vB(7kdtTGe--8{ZHs;Anu6f>A=b%l5yLB!$?SR!&T^*{~vPu>$jeL{4>(ym;_Dy4wxkr+f(i^R3T)?$&qTeEi0nfAz*I-@3)R^UlJpr=R}(mtVN` Ee`z%-`Tzg` From c321b1b4f61003f286994106435577665bd40c19 Mon Sep 17 00:00:00 2001 From: James Cherry Date: Wed, 12 Feb 2025 10:32:09 -0700 Subject: [PATCH 03/16] Sdc::clockDeletePin resolves #207 Signed-off-by: James Cherry --- include/sta/Sdc.hh | 2 -- sdc/Sdc.cc | 13 ------------- 2 files changed, 15 deletions(-) diff --git a/include/sta/Sdc.hh b/include/sta/Sdc.hh index 7cd7540d..98afdc7a 100644 --- a/include/sta/Sdc.hh +++ b/include/sta/Sdc.hh @@ -400,8 +400,6 @@ public: // Invalidate all generated clock waveforms. void invalidateGeneratedClks() const; virtual void removeClock(Clock *clk); - virtual void clockDeletePin(Clock *clk, - Pin *pin); // Clock used for inputs without defined arrivals. ClockEdge *defaultArrivalClockEdge() const; Clock *defaultArrivalClock() const { return default_arrival_clk_; } diff --git a/sdc/Sdc.cc b/sdc/Sdc.cc index f15c4f9d..95a34e60 100644 --- a/sdc/Sdc.cc +++ b/sdc/Sdc.cc @@ -1176,19 +1176,6 @@ Sdc::deleteMasterClkRefs(Clock *clk) } } -void -Sdc::clockDeletePin(Clock *clk, - Pin *pin) -{ - ClockSet *pin_clks = clock_pin_map_.findKey(pin); - pin_clks->erase(clk); - if (pin_clks->empty()) - clock_pin_map_.erase(pin); - clk->deletePin(pin); - clk->makeLeafPins(network_); - makeClkPinMappings(clk); -} - Clock * Sdc::findClock(const char *name) const { From bee31c7d68fd105805a39d885a9703b2cdcd33c5 Mon Sep 17 00:00:00 2001 From: Christian Costa Date: Wed, 12 Feb 2025 18:33:13 +0100 Subject: [PATCH 04/16] Messages: Generates messages ids during build and stop in case of duplicate and fix duplicate messages ids (#210) * msg: Turn warnings into errors with more explicit meaning and make script returns an error code. Signed-off-by: Christian Costa * msg: Generate messages.txt during build and stop in case of duplicated message ids. Signed-off-by: Christian Costa * msg: Fix duplicate messages ids. Signed-off-by: Christian Costa * msg: Do not fail build on duplicated ids and turn errors into warnings. Signed-off-by: Christian Costa * msg: Remove messages.txt and use full path to it in gitignore. Signed-off-by: Christian Costa --------- Signed-off-by: Christian Costa --- .gitignore | 2 + CMakeLists.txt | 7 + dcalc/DelayCalc.tcl | 4 +- doc/messages.txt | 581 --------------------------------------- etc/FindMessages.tcl | 14 +- parasitics/SpefReader.cc | 2 +- power/Power.tcl | 4 +- power/SaifParse.yy | 2 +- power/SaifReader.cc | 2 +- sdf/SdfReader.cc | 2 +- search/Search.tcl | 2 +- search/Sta.cc | 2 +- verilog/VerilogReader.cc | 2 +- 13 files changed, 32 insertions(+), 594 deletions(-) delete mode 100644 doc/messages.txt diff --git a/.gitignore b/.gitignore index 2013c841..3d0ac7c1 100644 --- a/.gitignore +++ b/.gitignore @@ -30,3 +30,5 @@ doc/._Sta.docx test/results # ngspice turd test/b3v3_1check.log + +doc/messages.txt diff --git a/CMakeLists.txt b/CMakeLists.txt index d6773d91..d9b64958 100644 --- a/CMakeLists.txt +++ b/CMakeLists.txt @@ -596,3 +596,10 @@ add_custom_target(sta_tags etags -o TAGS ${SWIG_TCL_FILES} WORKING_DIRECTORY ${STA_HOME} ) + +add_custom_command( + TARGET OpenSTA + POST_BUILD + COMMAND ${CMAKE_SOURCE_DIR}/etc/FindMessages.tcl > ${CMAKE_SOURCE_DIR}/doc/messages.txt || true + WORKING_DIRECTORY ${CMAKE_SOURCE_DIR} +) diff --git a/dcalc/DelayCalc.tcl b/dcalc/DelayCalc.tcl index 89ea0862..b920e4b0 100644 --- a/dcalc/DelayCalc.tcl +++ b/dcalc/DelayCalc.tcl @@ -131,7 +131,7 @@ proc set_delay_calculator { alg } { if { [is_delay_calc_name $alg] } { set_delay_calculator_cmd $alg } else { - sta_error 180 "delay calculator $alg not found." + sta_error 195 "delay calculator $alg not found." } } @@ -156,7 +156,7 @@ proc set_assigned_delay { args } { if [info exists keys(-from)] { set from_pins [get_port_pins_error "from_pins" $keys(-from)] } else { - sta_error 181 "set_assigned_delay missing -from argument." + sta_error 196 "set_assigned_delay missing -from argument." } if [info exists keys(-to)] { set to_pins [get_port_pins_error "to_pins" $keys(-to)] diff --git a/doc/messages.txt b/doc/messages.txt deleted file mode 100644 index ea0fb51a..00000000 --- a/doc/messages.txt +++ /dev/null @@ -1,581 +0,0 @@ -0100 CmdArgs.tcl:108 unsupported object type $object_type. -0101 CmdArgs.tcl:165 object '$obj' not found. -0102 CmdArgs.tcl:413 $corner_arg is not the name of process corner. -0103 CmdArgs.tcl:419 -corner keyword required with multi-corner analysis. -0104 CmdArgs.tcl:433 $corner_name is not the name of process corner. -0105 CmdArgs.tcl:438 missing -corner arg. -0106 CmdArgs.tcl:449 $corner_name is not the name of process corner. -0107 CmdArgs.tcl:466 $corner_name is not the name of process corner. -0108 CmdArgs.tcl:501 both -min and -max specified. -0109 CmdArgs.tcl:515 both -min and -max specified. -0110 CmdArgs.tcl:542 only one of -early and -late can be specified. -0111 CmdArgs.tcl:548 -early or -late must be specified. -0112 CmdArgs.tcl:555 both -early and -late specified. -0113 CmdArgs.tcl:570 $arg_name must be a single library. -0114 CmdArgs.tcl:576 $arg_name type '$object_type' is not a library. -0115 CmdArgs.tcl:581 library '$arg' not found. -0116 CmdArgs.tcl:598 $arg_name must be a single lib cell. -0123 CmdArgs.tcl:685 $arg_name must be a single instance. -0124 CmdArgs.tcl:691 $arg_name type '$object_type' is not an instance. -0125 CmdArgs.tcl:696 instance '$arg' not found. -0126 CmdArgs.tcl:715 $arg_name type '$object_type' is not an instance. -0127 CmdArgs.tcl:722 instance '$arg' not found. -0128 CmdArgs.tcl:742 $arg_name type '$object_type' is not a liberty cell. -0129 CmdArgs.tcl:749 liberty cell '$arg' not found. -0131 CmdArgs.tcl:810 $arg_name type '$object_type' is not a pin or port. -0132 CmdArgs.tcl:817 pin '$arg' not found. -0133 CmdArgs.tcl:837 $arg_name type '$object_type' is not a port. -0139 CmdArgs.tcl:918 unsupported object type $object_type. -0141 CmdArgs.tcl:939 $arg_name '$object_type' is not a net. -0142 CmdArgs.tcl:963 unsupported object type $object_type. -0143 CmdArgs.tcl:944 $arg_name '$arg' not found. -0144 CmdArgs.tcl:408 corner object type '$object_type' is not a corner. -0160 CmdUtil.tcl:44 no commands match '$pattern'. -0161 CmdUtil.tcl:89 Usage: $cmd $cmd_args($cmd) -0162 CmdUtil.tcl:91 Usage: $cmd argument error -0164 CmdUtil.tcl:220 unsupported object type $list_type. -0165 CmdUtil.tcl:237 unknown namespace $namespc. -0166 CmdUtil.tcl:170 unknown unit $unit prefix '${arg_prefix}'. -0167 CmdUtil.tcl:173 incorrect unit suffix '$arg_suffix'. -0180 DelayCalc.tcl:126 delay calculator $alg not found. -0181 DelayCalc.tcl:151 set_assigned_delay missing -from argument. -0182 DelayCalc.tcl:156 set_assigned_delay missing -to argument. -0183 DelayCalc.tcl:161 set_assigned_delay delay is not a float. -0184 DelayCalc.tcl:166 set_annotated_delay -cell and -net options are mutually excluive. -0185 DelayCalc.tcl:172 set_assigned_delay pin [get_full_name $pin] is not attached to instance [get_full_name $inst]. -0186 DelayCalc.tcl:177 set_assigned_delay pin [get_full_name $pin] is not attached to instance [get_full_name $inst] -0187 DelayCalc.tcl:182 set_assigned_delay -cell or -net required. -0188 DelayCalc.tcl:247 set_assigned_check missing -from argument. -0189 DelayCalc.tcl:256 set_assigned_check -clock must be rise or fall. -0190 DelayCalc.tcl:263 set_assigned_check missing -to argument. -0191 DelayCalc.tcl:278 set_assigned_check missing -setup|-hold|-recovery|-removal check type.. -0192 DelayCalc.tcl:286 set_assigned_check check_value is not a float. -0193 DelayCalc.tcl:226 set_assigned_delay no timing arcs found between from/to pins. -0194 DelayCalc.tcl:338 set_assigned_check no check arcs found between from/to pins. -0204 ArnoldiDelayCalc.cc:607 arnoldi delay calc failed. -0210 DelayCalc.tcl:359 set_assigned_transition transition is not a float. -0220 Link.tcl:36 missing top_cell_name argument and no current_design. -0225 InternalPower.cc:192 unsupported table order -0226 InternalPower.cc:207 unsupported table axes -0230 Network.tcl:41 instance $instance_path not found. -0231 Network.tcl:217 net $net_path not found. -0232 Network.tcl:220 net $net_path not found. -0233 Network.tcl:30 report_instance -connections is deprecated. -0234 Network.tcl:34 report_instance -verbose is deprecated. -0235 Network.tcl:189 report_net -connections is deprecated. -0236 Network.tcl:193 report_net -verbose is deprecated. -0237 Network.tcl:197 report_net -hier_pins is deprecated. -0239 TableModel.cc:265 unsupported table order -0240 TableModel.cc:328 unsupported table axes -0241 TableModel.cc:545 unsupported table order -0242 TableModel.cc:563 unsupported table axes -0243 TimingArc.cc:242 timing arc max index exceeded - -0244 Clock.cc:474 generated clock edges size is not three. -0245 CheckTiming.cc:428 unknown print flag -0246 Corner.cc:377 unknown parasitic analysis point count -0247 Corner.cc:421 unknown analysis point count -0249 GatedClk.cc:247 illegal gated clock active value -0250 NetworkEdit.tcl:107 unsupported object type $object_type. -0252 NetworkEdit.tcl:174 unsupported object type $object_type. -0253 NetworkEdit.tcl:192 unsupported object type $object_type. -0266 VertexVisitor.cc:32 VertexPinCollector::copy not supported. -0267 WriteSpice.cc:1217 out of memory -0268 VerilogWriter.cc:258 unknown port direction -0272 Parasitics.tcl:41 read_spef -quiet is deprecated. -0273 Parasitics.tcl:45 read_spef -reduce_to is deprecated. Use -reduce instead. -0274 Parasitics.tcl:50 read_spef -delete_after_reduce is deprecated. -0275 Parasitics.tcl:54 read_spef -save is deprecated. -0276 Parasitics.tcl:62 path instance '$path' not found. -0280 PathEnum.cc:574 diversion path not found -0301 Power.tcl:234 activity should be 0.0 to 1.0 or 2.0 -0302 Power.tcl:242 duty should be 0.0 to 1.0 -0303 Power.tcl:257 activity cannot be set on clock ports. -0304 Power.tcl:40 No liberty libraries have been read. -0305 Power.tcl:286 read_power_activities is deprecated. Use read_vcd. -0320 Property.tcl:32 $cmd object is null. -0321 Property.tcl:37 $cmd $type_key must be specified with object name argument. -0322 Property.tcl:77 get_property unsupported object type $object_type. -0323 Property.tcl:80 get_property $object is not an object. -0324 Property.tcl:107 $object_type not supported. -0325 Property.tcl:110 $object_type '$object_name' not found. -0326 Sdc.tcl:494 object '$pattern' is not an instance. -0327 Sdc.tcl:542 object '$pattern' is not an clock. -0328 Sdc.tcl:606 object '$pattern' is not a liberty cell. -0329 Sdc.tcl:689 object '$pattern' is not a liberty pin. -0330 Sdc.tcl:769 object '$pattern' is not a liberty library. -0331 Sdc.tcl:868 object '$pattern' is not a net. -0332 Sdc.tcl:948 object '$pattern' is not a pin. -0333 Sdc.tcl:1005 object '$pattern' is not a port. -0334 Sdc.tcl:2860 object '$cell_name' is not a liberty cell. -0335 Sdc.tcl:679 positional arguments not supported with -of_objects. -0340 Sdc.tcl:73 cannot open '$filename'. -0341 Sdc.tcl:128 incomplete command at end of file. -0342 Sdc.tcl:212 hierarchy separator must be one of '$sdc_dividers'. -0343 Sdc.tcl:256 unknown unit $unit prefix '${arg_prefix}'. -0344 Sdc.tcl:275 unknown $unit prefix '$prefix'. -0345 Sdc.tcl:281 $unit scale [format %.0e $scale] does not match library scale [format %.0e $unit_scale]. -0346 Sdc.tcl:358 only one of -cells, -data_pins, -clock_pins, -async_pins, -output_pins are suppported. -0347 Sdc.tcl:401 current_design for other than top cell not supported. -0348 Sdc.tcl:468 patterns argument not supported with -of_objects. -0349 Sdc.tcl:507 instance '$pattern' not found. -0350 Sdc.tcl:429 unsupported $object_type -filter expression. -0351 Sdc.tcl:551 clock '$pattern' not found. -0352 Sdc.tcl:581 positional arguments not supported with -of_objects. -0353 Sdc.tcl:618 library '$lib_name' not found. -0354 Sdc.tcl:630 cell '$cell_pattern' not found. -0355 Sdc.tcl:702 library/cell/port '$pattern' not found. -0356 Sdc.tcl:722 port '$port_pattern' not found. -0357 Sdc.tcl:727 library '$lib_name' not found. -0358 Sdc.tcl:742 -nocase ignored without -regexp. -0359 Sdc.tcl:778 library '$pattern' not found. -0360 Sdc.tcl:849 patterns argument not supported with -of_objects. -0361 Sdc.tcl:879 net '$pattern' not found. -0362 Sdc.tcl:912 patterns argument not supported with -of_objects. -0363 Sdc.tcl:959 pin '$pattern' not found. -0365 Sdc.tcl:994 patterns argument not supported with -of_objects. -0366 Sdc.tcl:1014 port '$pattern' not found. -0368 Sdc.tcl:1054 -add requires -name. -0369 Sdc.tcl:1059 -name or port_pin_list must be specified. -0370 Sdc.tcl:1067 missing -period argument. -0371 Sdc.tcl:1073 -waveform edge_list must have an even number of edge times. -0372 Sdc.tcl:1082 non-increasing clock -waveform edge times. -0373 Sdc.tcl:1085 -waveform time greater than two periods. -0374 Sdc.tcl:1143 empty ports/pins/nets argument. -0375 Sdc.tcl:1151 -add requires -name. -0376 Sdc.tcl:1156 name or port_pin_list must be specified. -0377 Sdc.tcl:1163 missing -source argument. -0378 Sdc.tcl:1178 -master_clock argument empty. -0379 Sdc.tcl:1181 -add requireds -master_clock. -0380 Sdc.tcl:1185 -multiply_by and -divide_by options are exclusive. -0381 Sdc.tcl:1189 -divide_by is not an integer greater than one. -0382 Sdc.tcl:1192 -combinational implies -divide_by 1. -0383 Sdc.tcl:1197 -multiply_by is not an integer greater than one. -0384 Sdc.tcl:1203 -duty_cycle is not a float between 0 and 100. -0385 Sdc.tcl:1209 -edges only supported for three edges. -0386 Sdc.tcl:1215 edges times are not monotonically increasing. -0387 Sdc.tcl:1224 -edge_shift length does not match -edges length. -0388 Sdc.tcl:1230 missing -multiply_by, -divide_by, -combinational or -edges argument. -0389 Sdc.tcl:1238 cannot specify -invert without -multiply_by, -divide_by or -combinational. -0390 Sdc.tcl:1244 -duty_cycle requires -multiply_by value. -0391 Sdc.tcl:1304 group_path command failed. -0392 Sdc.tcl:1311 positional arguments not supported. -0393 Sdc.tcl:1315 -from, -through or -to required. -0394 Sdc.tcl:1321 -name and -default are mutually exclusive. -0395 Sdc.tcl:1323 -name or -default option is required. -0396 Sdc.tcl:1364 cannot specify both -high and -low. -0397 Sdc.tcl:1372 missing -setup or -hold argument. -0398 Sdc.tcl:1386 -high and -low only permitted for pins and instances. -0399 Sdc.tcl:1393 -high and -low only permitted for pins and instances. -0400 Sdc.tcl:1436 one of -logically_exclusive, -physically_exclusive or -asynchronous is required. -0401 Sdc.tcl:1439 the keywords -logically_exclusive, -physically_exclusive and -asynchronous are mutually exclusive. -0402 Sdc.tcl:1458 unknown keyword argument $arg. -0403 Sdc.tcl:1460 extra positional argument $arg. -0404 Sdc.tcl:1489 the -all and -name options are mutually exclusive. -0405 Sdc.tcl:1492 either -all or -name options must be specified. -0406 Sdc.tcl:1500 one of -logically_exclusive, -physically_exclusive or -asynchronous is required. -0407 Sdc.tcl:1503 the keywords -logically_exclusive, -physically_exclusive and -asynchronous are mutually exclusive. -0408 Sdc.tcl:1553 -clock ignored for clock objects. -0409 Sdc.tcl:1567 -source '[get_full_name $pin]' is not a clock pin. -0410 Sdc.tcl:1574 -early/-late is only allowed with -source. -0411 Sdc.tcl:1603 -clock ignored for clock objects. -0412 Sdc.tcl:1615 -source '[$pin path_name]' is not a clock pin. -0413 Sdc.tcl:1643 set_sense -type data not supported. -0414 Sdc.tcl:1647 set_sense -type clock|data -0415 Sdc.tcl:1658 set_clock_sense is deprecated as of SDC 2.1. Use set_sense -type clock. -0416 Sdc.tcl:1670 -pulse argument not supported. -0417 Sdc.tcl:1679 -positive, -negative, -stop_propagation and -pulse are mutually exclusive. -0418 Sdc.tcl:1692 hierarchical pin '[get_full_name $pin]' not supported. -0419 Sdc.tcl:1716 transition time can not be specified for virtual clocks. -0420 Sdc.tcl:1749 missing uncertainty value. -0421 Sdc.tcl:1797 -from/-to must be used together. -0422 Sdc.tcl:1817 -rise, -fall options not allowed for single clock uncertainty. -0423 Sdc.tcl:1883 -from/-to must be used together. -0424 Sdc.tcl:1903 -rise, -fall options not allowed for single clock uncertainty. -0425 Sdc.tcl:1944 missing -from, -rise_from or -fall_from argument. -0426 Sdc.tcl:1956 missing -to, -rise_to or -fall_to argument. -0427 Sdc.tcl:2004 missing -from, -rise_from or -fall_from argument. -0428 Sdc.tcl:2016 missing -to, -rise_to or -fall_to argument. -0429 Sdc.tcl:2058 -from/-to keywords ignored for lib_pin, port and pin arguments. -0430 Sdc.tcl:2088 -from/-to hierarchical instance not supported. -0431 Sdc.tcl:2120 pin '[get_full_name $inst]${hierarchy_separator}${port_name}' not found. -0432 Sdc.tcl:2177 pin '[get_name $cell]${hierarchy_separator}${port_name}' not found. -0434 Sdc.tcl:2211 -from/-to keywords ignored for lib_pin, port and pin arguments. -0435 Sdc.tcl:2263 -from/-to hierarchical instance not supported. -0436 Sdc.tcl:2317 '$args' ignored. -0437 Sdc.tcl:2321 -from, -through or -to required. -0438 Sdc.tcl:2400 -source_latency_included ignored with -reference_pin. -0439 Sdc.tcl:2403 -network_latency_included ignored with -reference_pin. -0440 Sdc.tcl:2422 $cmd not allowed on [pin_direction $pin] port '[get_full_name $pin]'. -0441 Sdc.tcl:2424 $cmd relative to a clock defined on the same port/pin not allowed. -0442 Sdc.tcl:2472 missing delay argument. -0443 Sdc.tcl:2478 '$args' ignored. -0444 Sdc.tcl:2603 missing path multiplier argument. -0445 Sdc.tcl:2608 '$args' ignored. -0446 Sdc.tcl:2615 cannot use -start with -end. -0447 Sdc.tcl:2665 $cmd command failed. -0448 Sdc.tcl:2672 positional arguments not supported. -0449 Sdc.tcl:2676 -from, -through or -to required. -0450 Sdc.tcl:2743 virtual clock [get_name $clk] can not be propagated. -0451 Sdc.tcl:2785 value must be 0, zero, 1, one, rise, rising, fall, or falling. -0452 Sdc.tcl:2854 cell '$lib_name:$cell_name' not found. -0453 Sdc.tcl:2867 '$cell_name' not found. -0454 Sdc.tcl:2871 missing -lib_cell argument. -0455 Sdc.tcl:2879 port '$to_port_name' not found. -0456 Sdc.tcl:2891 -pin argument required for cells with multiple outputs. -0457 Sdc.tcl:2906 port '$from_port_name' not found. -0458 Sdc.tcl:2924 -multiply_by ignored. -0459 Sdc.tcl:2927 -dont_scale ignored. -0460 Sdc.tcl:2930 -no_design_rule ignored. -0461 Sdc.tcl:2953 set_fanout_load not supported. -0462 Sdc.tcl:2977 -clock not supported. -0463 Sdc.tcl:2980 -clock_fall not supported. -0464 Sdc.tcl:3030 -pin_load not allowed for net objects. -0465 Sdc.tcl:3033 -wire_load not allowed for net objects. -0466 Sdc.tcl:3036 -rise/-fall not allowed for net objects. -0467 Sdc.tcl:3123 port '[get_name $port]' is not an input. -0468 Sdc.tcl:3169 -data_path, -clock_path, -rise, -fall ignored for ports and designs. -0469 Sdc.tcl:3240 derating factor greater than 2.0. -0470 Sdc.tcl:3277 -cell_delay and -cell_check flags ignored for net objects. -0471 Sdc.tcl:3347 no valid objects specified for $key. -0472 Sdc.tcl:3380 no valid objects specified for $key -0473 Sdc.tcl:3429 no valid objects specified for $key. -0474 Sdc.tcl:3497 operating condition '$op_cond_name' not found. -0475 Sdc.tcl:3515 operating condition '$op_cond_name' not found. -0476 Sdc.tcl:3529 -analysis_type must be single, bc_wc or on_chip_variation. -0477 Sdc.tcl:3541 set_wire_load_min_block_size not supported. -0478 Sdc.tcl:3554 mode must be top, enclosed or segmented. -0479 Sdc.tcl:3569 no wire load model specified. -0480 Sdc.tcl:3591 wire load model '$model_name' not found. -0481 Sdc.tcl:3630 wire load selection group '$selection_name' not found. -0482 Sdc.tcl:3718 define_corners must be called before read_liberty. -0500 Sdc.tcl:3791 no default operating conditions found. -0501 Sdc.tcl:259 incorrect unit suffix '$arg_suffix'. -0502 Search.tcl:165 $cmd -endpoint_count is deprecated. Use -endpoint_path_count instead. -0503 Search.tcl:178 $cmd -group_count is deprecated. Use -group_path_count instead. -0510 Search.tcl:137 $cmd -path_delay must be min, min_rise, min_fall, max, max_rise, max_fall or min_max. -0511 Search.tcl:147 $cmd command failed. -0512 Search.tcl:172 -endpoint_path_count must be a positive integer. -0513 Search.tcl:186 -group_path_count must be >= 1. -0514 Search.tcl:216 '$arg' is not a known keyword or flag. -0515 Search.tcl:218 positional arguments not supported. -0516 Search.tcl:339 report_clock_skew -setup and -hold are mutually exclusive options. -0520 Search.tcl:543 analysis type single is not consistent with doing both setup/max and hold/min checks. -0521 Search.tcl:548 positional arguments not supported. -0522 Search.tcl:802 -min and -max cannot both be specified. -0523 Search.tcl:822 pin '$pin_arg' is hierarchical. -0524 Search.tcl:878 -format $format not recognized. -0526 Search.tcl:1026 specify one of -setup and -hold. -0527 Search.tcl:1076 unknown path group '$name'. -0540 Sta.tcl:158 -from/-to arguments not supported with -of_objects. -0560 Util.tcl:44 $cmd $key missing value. -0561 Util.tcl:61 $cmd $key missing value. -0562 Util.tcl:71 $cmd $arg is not a known keyword or flag. -0563 Util.tcl:93 $cmd $arg is not a known keyword or flag. -0564 Util.tcl:241 $cmd positional arguments not supported. -0565 Util.tcl:247 $cmd requires one positional argument. -0566 Util.tcl:254 $cmd requires zero or one positional arguments. -0567 Util.tcl:260 $cmd requires two positional arguments. -0568 Util.tcl:267 $cmd requires one or two positional arguments. -0569 Util.tcl:273 $cmd requires three positional arguments. -0570 Util.tcl:279 $cmd requires four positional arguments. -0571 Util.tcl:287 $cmd_arg '$arg' is not a float. -0572 Util.tcl:293 $cmd_arg '$arg' is not a positive float. -0573 Util.tcl:299 $cmd_arg '$arg' is not an integer. -0574 Util.tcl:305 $cmd_arg '$arg' is not a positive integer. -0575 Util.tcl:311 $cmd_arg '$arg' is not an integer greater than or equal to one. -0576 Util.tcl:317 $cmd_arg '$arg' is not between 0 and 100. -0577 Sdc.tcl:3721 define_corners must define at least one corner. -0590 Variables.tcl:37 sta_report_default_digits must be a positive integer. -0591 Variables.tcl:62 sta_crpr_mode must be pin or transition. -0592 Variables.tcl:179 $var_name value must be 0 or 1. -0616 Levelize.cc:220 maximum logic level exceeded -0620 Sdf.tcl:41 -cond_use must be min, max or min_max. -0621 Sdf.tcl:46 -cond_use min_max cannot be used with analysis type single. -0623 Sdf.tcl:154 SDF -divider must be / or . -0800 VcdReader.cc:112 unhandled vcd command. -0801 VcdReader.cc:151 timescale syntax error. -0802 VcdReader.cc:165 Unknown timescale unit. -0804 VcdReader.cc:222 Variable syntax error. -1000 ConcreteNetwork.cc:1973 cell type %s can not be linked. -1010 CycleAccting.cc:87 No common period was found between clocks %s and %s. -1040 DmpCeff.cc:1510 parasitic Pi model has NaNs. -1041 DmpCeff.cc:1536 cell %s delay model not supported on SPF parasitics by DMP delay calculator -1060 Genclks.cc:275 no master clock found for generated clock %s. -1062 Genclks.cc:939 generated clock %s source pin %s missing paths from master clock %s. -1100 Power.cc:559 unknown cudd constant -1110 Liberty.cc:763 cell %s/%s port %s not found in cell %s/%s. -1111 Liberty.cc:789 cell %s/%s %s -> %s timing group %s not found in cell %s/%s. -1112 Liberty.cc:808 Liberty cell %s/%s for corner %s/%s not found. -1113 Liberty.cc:1824 cell %s/%s %s -> %s latch enable %s_edge is inconsistent with %s -> %s setup_%s check. -1114 Liberty.cc:1765 cell %s/%s %s -> %s latch enable %s_edge is inconsistent with latch group enable function positive sense. -1115 Liberty.cc:1773 cell %s/%s %s -> %s latch enable %s_edge is inconsistent with latch group enable function negative sense. -1116 Liberty.cc:362 unsupported slew degradation table axes -1117 Liberty.cc:378 unsupported slew degradation table axes -1118 Liberty.cc:383 unsupported slew degradation table order -1119 Liberty.cc:413 unsupported slew degradation table axes -1120 Liberty.cc:1979 library missing vdd -1121 Liberty.cc:1391 timing arc count mismatch -1125 LibertyParser.cc:310 valueIterator called for LibertySimpleAttribute -1126 LibertyParser.cc:390 LibertyStringAttrValue called for float value -1127 LibertyParser.cc:420 LibertyStringAttrValue called for float value -1130 LibertyExpr.cc:82 %s references unknown port %s. -1131 LibertyExpr.cc:175 %s %s. -1140 LibertyReader.cc:632 library %s already exists. -1141 LibertyReader.cc:665 library missing name. -1142 LibertyReader.cc:691 default_wire_load %s not found. -1143 LibertyReader.cc:702 default_wire_selection %s not found. -1144 LibertyReader.cc:714 default_operating_condition %s not found. -1145 LibertyReader.cc:724 input_threshold_pct_%s not found. -1146 LibertyReader.cc:728 output_threshold_pct_%s not found. -1147 LibertyReader.cc:732 slew_lower_threshold_pct_%s not found. -1148 LibertyReader.cc:736 slew_upper_threshold_pct_%s not found. -1149 LibertyReader.cc:741 Library %s is missing one or more thresholds. -1150 LibertyReader.cc:820 unknown unit multiplier %s. -1151 LibertyReader.cc:843 unknown unit scale %c. -1152 LibertyReader.cc:846 unknown unit suffix %s. -1153 LibertyReader.cc:849 unknown unit suffix %s. -1154 LibertyReader.cc:874 capacitive_load_units are not ff or pf. -1155 LibertyReader.cc:877 capacitive_load_units are not a string. -1156 LibertyReader.cc:880 capacitive_load_units missing suffix. -1157 LibertyReader.cc:883 capacitive_load_units scale is not a float. -1158 LibertyReader.cc:886 capacitive_load_units missing scale and suffix. -1159 LibertyReader.cc:889 capacitive_load_unit missing values suffix. -1160 LibertyReader.cc:907 delay_model %s not supported. -1161 LibertyReader.cc:911 delay_model %s not supported. -1162 LibertyReader.cc:915 delay_model %s not supported. -1163 LibertyReader.cc:920 delay_model %s not supported.. -1164 LibertyReader.cc:923 unknown delay_model %s. -1165 LibertyReader.cc:942 unknown bus_naming_style format. -1166 LibertyReader.cc:963 voltage_map voltage is not a float. -1167 LibertyReader.cc:966 voltage_map missing voltage. -1168 LibertyReader.cc:969 voltage_map supply name is not a string. -1169 LibertyReader.cc:972 voltage_map missing supply name and voltage. -1170 LibertyReader.cc:975 voltage_map missing values suffix. -1171 LibertyReader.cc:1060 default_max_transition is 0.0. -1172 LibertyReader.cc:1075 default_max_fanout is 0.0. -1173 LibertyReader.cc:1165 default_fanout_load is 0.0. -1174 LibertyReader.cc:1193 default_wire_load_mode %s not found. -1175 LibertyReader.cc:1379 table template missing name. -1176 LibertyReader.cc:1424 missing variable_%d attribute. -1177 LibertyReader.cc:1500 missing table index values. -1178 LibertyReader.cc:1506 non-increasing table index values. -1179 LibertyReader.cc:1538 bus type %s missing bit_from. -1180 LibertyReader.cc:1540 bus type %s missing bit_to. -1181 LibertyReader.cc:1544 type missing name. -1182 LibertyReader.cc:1571 scaling_factors do not have a name. -1183 LibertyReader.cc:1740 operating_conditions missing name. -1184 LibertyReader.cc:1811 wire_load missing name. -1185 LibertyReader.cc:1854 fanout_length is missing length and fanout. -1186 LibertyReader.cc:1869 wire_load_selection missing name. -1187 LibertyReader.cc:1900 wireload %s not found. -1189 LibertyReader.cc:1907 wire_load_from_area min not a float. -1190 LibertyReader.cc:1910 wire_load_from_area max not a float. -1191 LibertyReader.cc:1913 wire_load_from_area missing parameters. -1192 LibertyReader.cc:1916 wire_load_from_area missing parameters. -1193 LibertyReader.cc:1935 cell missing name. -1194 LibertyReader.cc:1959 cell %s ocv_derate_group %s not found. -1195 LibertyReader.cc:1992 port %s function size does not match port size. -1196 LibertyReader.cc:2089 %s %s bus width mismatch. -1197 LibertyReader.cc:2100 %s %s bus width mismatch. -1198 LibertyReader.cc:2110 clear -1199 LibertyReader.cc:2120 preset -1200 LibertyReader.cc:2156 latch enable function is non-unate for port %s. -1201 LibertyReader.cc:2161 latch enable function is unknown for port %s. -1202 LibertyReader.cc:2263 operating conditions %s not found. -1203 LibertyReader.cc:2266 scaled_cell missing operating condition. -1204 LibertyReader.cc:2269 scaled_cell cell %s has not been defined. -1205 LibertyReader.cc:2272 scaled_cell missing name. -1206 LibertyReader.cc:2298 scaled_cell %s, %s port functions do not match cell port functions. -1207 LibertyReader.cc:2303 scaled_cell ports do not match cell ports. -1208 LibertyReader.cc:2305 scaled_cell %s, %s timing does not match cell timing. -1209 LibertyReader.cc:2324 combinational timing to an input port. -1210 LibertyReader.cc:2419 missing %s_transition. -1211 LibertyReader.cc:2421 missing cell_%s. -1212 LibertyReader.cc:2442 timing group from output port. -1213 LibertyReader.cc:2452 timing group from output port. -1214 LibertyReader.cc:2462 timing group from output port. -1215 LibertyReader.cc:2497 timing group from output port. -1217 LibertyReader.cc:2507 timing group from output port. -1218 LibertyReader.cc:2608 receiver_capacitance group not in timing or pin group. -1219 LibertyReader.cc:2626 unsupported model axis. -1220 LibertyReader.cc:2654 output_current_%s group not in timing group. -1221 LibertyReader.cc:2696 output current waveform %.2e %.2e not found. -1222 LibertyReader.cc:2717 unsupported model axis. -1223 LibertyReader.cc:2759 vector index_1 and index_2 must have exactly one value. -1224 LibertyReader.cc:2761 vector reference_time not found. -1225 LibertyReader.cc:2794 normalized_driver_waveform variable_2 must be normalized_voltage -1226 LibertyReader.cc:2797 normalized_driver_waveform variable_1 must be input_net_transition -1228 LibertyReader.cc:3019 level_shifter_type must be HL, LH, or HL_LH -1229 LibertyReader.cc:3055 switch_cell_type must be coarse_grain or fine_grain -1230 LibertyReader.cc:3079 scaling_factors %s not found. -1231 LibertyReader.cc:3140 pin name is not a string. -1232 LibertyReader.cc:3157 pin name is not a string. -1233 LibertyReader.cc:3171 pin name is not a string. -1234 LibertyReader.cc:3243 bus %s bus_type not found. -1235 LibertyReader.cc:3295 bus_type %s not found. -1236 LibertyReader.cc:3298 bus_type is not a string. -1237 LibertyReader.cc:3316 bundle %s member not found. -1238 LibertyReader.cc:3339 member is not a string. -1239 LibertyReader.cc:3346 members attribute is missing values. -1240 LibertyReader.cc:3397 unknown port direction. -1241 LibertyReader.cc:3644 max_transition is 0.0. -1242 LibertyReader.cc:3750 pulse_latch unknown pulse type. -1243 LibertyReader.cc:4202 timing group missing related_pin/related_bus_pin. -1244 LibertyReader.cc:4301 unknown timing_type %s. -1245 LibertyReader.cc:4321 unknown timing_sense %s. -1246 LibertyReader.cc:4361 mode value is not a string. -1247 LibertyReader.cc:4364 missing mode value. -1248 LibertyReader.cc:4367 mode name is not a string. -1249 LibertyReader.cc:4370 mode missing values. -1250 LibertyReader.cc:4373 mode missing mode name and value. -1251 LibertyReader.cc:4449 unsupported model axis. -1252 LibertyReader.cc:4476 unsupported model axis. -1253 LibertyReader.cc:4505 unsupported model axis. -1254 LibertyReader.cc:4540 unsupported model axis. -1255 LibertyReader.cc:4556 %s group not in timing group. -1256 LibertyReader.cc:4595 table template %s not found. -1257 LibertyReader.cc:4679 %s is missing values. -1258 LibertyReader.cc:4702 %s is not a list of floats. -1259 LibertyReader.cc:4704 table row has %u columns but axis has %d. -1260 LibertyReader.cc:4714 table has %u rows but axis has %d. -1261 LibertyReader.cc:4765 lut output is not a string. -1262 LibertyReader.cc:4781 cell %s test_cell redefinition. -1263 LibertyReader.cc:4829 mode definition missing name. -1264 LibertyReader.cc:4846 mode value missing name. -1265 LibertyReader.cc:4860 when attribute inside table model. -1266 LibertyReader.cc:4909 %s attribute is not a string. -1267 LibertyReader.cc:4912 %s is not a simple attribute. -1268 LibertyReader.cc:4932 %s attribute is not an integer. -1269 LibertyReader.cc:4935 %s is not a simple attribute. -1270 LibertyReader.cc:4948 %s is not a simple attribute. -1271 LibertyReader.cc:4974 %s value %s is not a float. -1272 LibertyReader.cc:5003 %s missing values. -1273 LibertyReader.cc:5007 %s missing values. -1274 LibertyReader.cc:5010 %s is not a complex attribute. -1275 LibertyReader.cc:5036 %s is not a float. -1276 LibertyReader.cc:5059 %s is missing values. -1277 LibertyReader.cc:5062 %s has more than one string. -1278 LibertyReader.cc:5071 %s is missing values. -1279 LibertyReader.cc:5096 %s attribute is not boolean. -1280 LibertyReader.cc:5099 %s attribute is not boolean. -1281 LibertyReader.cc:5102 %s is not a simple attribute. -1282 LibertyReader.cc:5118 attribute %s value %s not recognized. -1283 LibertyReader.cc:5149 unknown early/late value. -1284 LibertyReader.cc:5369 OCV derate group named %s not found. -1285 LibertyReader.cc:5385 ocv_derate missing name. -1286 LibertyReader.cc:5438 unknown rise/fall. -1287 LibertyReader.cc:5458 unknown derate type. -1288 LibertyReader.cc:5490 unsupported model axis. -1289 LibertyReader.cc:5522 unsupported model axis. -1290 LibertyReader.cc:5554 unsupported model axis. -1291 LibertyReader.cc:5625 unknown pg_type. -1292 LibertyReader.cc:6039 port %s subscript out of range. -1293 LibertyReader.cc:6043 port range %s of non-bus port %s. -1294 LibertyReader.cc:6057 port %s not found. -1295 LibertyReader.cc:6127 port %s not found. -1297 LibertyReader.cc:1466 axis type %s not supported. -1298 LibertyReader.cc:2180 statetable input port %s not found. -1299 LibertyReader.cc:3809 unknown signal_type %s. -1300 LibertyReader.cc:4076 table row must have 3 groups separated by ':'. -1301 LibertyReader.cc:4081 table row has %zu input values but %zu are required. -1302 LibertyReader.cc:4088 table row has %zu current values but %zu are required. -1303 LibertyReader.cc:4095 table row has %zu next values but %zu are required. -1304 LibertyReader.cc:4141 table input value '%s' not recognized. -1305 LibertyReader.cc:4160 table internal value '%s' not recognized. -1340 LibertyWriter.cc:308 %s/%s bundled ports not supported. -1341 LibertyWriter.cc:456 %s/%s/%s timing model not supported. -1342 LibertyWriter.cc:476 3 axis table models not supported. -1343 LibertyWriter.cc:625 %s/%s/%s timing arc type %s not supported. -1350 LumpedCapDelayCalc.cc:138 gate delay input variable is NaN -1355 MakeTimingModel.cc:227 clock %s pin %s is inside model block. -1360 Vcd.cc:172 Unknown variable %s ID %s -1370 PathEnum.cc:478 path diversion missing edge. -1398 VerilogReader.cc:1860 %s is not a verilog module. -1399 VerilogReader.cc:1865 %s is not a verilog module. -1400 PathVertex.cc:236 missing arrivals. -1401 PathVertex.cc:250 missing arrivals. -1402 PathVertex.cc:279 missing requireds. -1422 PathVertexRep.cc:153 missing arrivals. -1450 ReadVcdActivities.cc:107 VCD max time is zero. -1451 ReadVcdActivities.cc:174 problem parsing bus %s. -1452 ReadVcdActivities.cc:250 clock %s vcd period %s differs from SDC clock period %s -1521 Sim.cc:511 propagated logic value %c differs from constraint value of %c on pin %s. -1525 SpefParse.yy:805 %d is not positive. -1526 SpefParse.yy:814 %.4f is not positive. -1527 SpefParse.yy:820 %.4f is not positive. -1550 Sta.cc:2000 '%s' is not a valid start point. -1551 Sta.cc:2073 '%s' is not a valid endpoint. -1552 Sta.cc:2076 '%s' is not a valid endpoint. -1553 Sta.cc:2393 maximum corner count exceeded -1554 Sta.cc:1997 '%s' is not a valid start point. -1570 Sta.cc:3413 No network has been linked. -1574 Search.i:1026 POCV support requires compilation with SSTA=1. -1575 Search.i:465 unknown report path field %s -1576 Search.i:477 unknown report path field %s -1602 WriteSpice.cc:458 Liberty pg_port %s/%s missing voltage_name attribute, -1603 WriteSpice.cc:428 %s pg_port %s not found, -1604 WriteSpice.cc:1019 no register/latch found for path from %s to %s, -1605 WriteSpice.cc:241 The subkct file %s is missing definitions for %s -1606 WriteSpice.cc:270 subckt %s port %s has no corresponding liberty port, pg_port and is not power or ground. -1640 SpefReader.cc:157 illegal bus delimiters. -1641 SpefReader.cc:241 unknown units %s. -1642 SpefReader.cc:254 unknown units %s. -1643 SpefReader.cc:267 unknown units %s. -1644 SpefReader.cc:282 unknown units %s. -1645 SpefReader.cc:303 no name map entry for %d. -1646 SpefReader.cc:322 unknown port direction %s. -1647 SpefReader.cc:349 pin %s not found. -1648 SpefReader.cc:352 instance %s not found. -1650 SpefReader.cc:372 net %s not found. -1651 SpefReader.cc:486 %s not connected to net %s. -1652 SpefReader.cc:492 pin %s not found. -1653 SpefReader.cc:506 %s not connected to net %s. -1654 SpefReader.cc:512 node %s not a pin or net:number -1655 SpefReader.cc:524 %s not connected to net %s. -1656 SpefReader.cc:528 pin %s not found. -1657 SpefReader.cc:645 %s. -1658 SpefReader.cc:61 Delay calculator %s does not support reduction. -1700 CcsCeffDelayCalc.cc:102 VDD not defined in library %s -1701 CcsCeffDelayCalc.cc:273 unsupported ccs region count. -1720 PrimaDelayCalc.cc:229 VDD not defined in library %s -1751 ArcDcalcWaveforms.cc:56 VDD not defined in library %s -1752 PrimaDelayCalc.cc:765 G matrix is singular. -1903 WriteSpice.tcl:145 Cannot write $spice_dir. -1904 WriteSpice.tcl:148 No -spice_filename specified. -1905 WriteSpice.tcl:154 -lib_subckt_file $lib_subckt_file is not readable. -1906 WriteSpice.tcl:157 No -lib_subckt_file specified. -1907 WriteSpice.tcl:163 -model_file $model_file is not readable. -1908 WriteSpice.tcl:166 No -model_file specified. -1909 WriteSpice.tcl:172 No -power specified. -1910 WriteSpice.tcl:111 Unknown circuit simulator -1913 WriteSpice.tcl:244 Cannot write $plot_dir. -1914 WriteSpice.tcl:247 No -plot_basename specified. -1915 WriteSpice.tcl:178 No -ground specified. -1920 WriteSpice.tcl:36 Directory $spice_dir not found. -1921 WriteSpice.tcl:39 $spice_dir is not a directory. -1922 WriteSpice.tcl:42 Cannot write in $spice_dir. -1923 WriteSpice.tcl:45 No -spice_directory specified. -1924 WriteSpice.tcl:51 -lib_subckt_file $lib_subckt_file is not readable. -1925 WriteSpice.tcl:54 No -lib_subckt_file specified. -1926 WriteSpice.tcl:60 -model_file $model_file is not readable. -1927 WriteSpice.tcl:63 No -model_file specified. -1928 WriteSpice.tcl:69 No -power specified. -1929 WriteSpice.tcl:75 No -ground specified. -1930 WriteSpice.tcl:81 No -path_args specified. -1931 WriteSpice.tcl:86 No paths found for -path_args $path_args. -1932 WriteSpice.tcl:139 Missing -gates argument. -1933 WriteSpice.tcl:212 Missing -gates argument. -2100 ArcDelayCalc.cc:86 no timing arc for %s input/driver pins. -2101 ArcDelayCalc.cc:91 %s not a valid rise/fall. -2102 ArcDelayCalc.cc:94 Pin %s/%s not found. -2103 ArcDelayCalc.cc:97 %s not a valid rise/fall. -2104 ArcDelayCalc.cc:100 Pin %s/%s not found. -2105 ArcDelayCalc.cc:103 Instance %s not found. -2120 Network.i:255 unknown namespace -2121 Sdc.i:104 unknown analysis type -2122 Sdc.i:227 unknown wire load mode -2140 StaTclTypes.i:424 Delay calc arg requires 5 or 6 args. -2141 Sta.cc:3417 No liberty libraries found. -2200 Crpr.cc:62 missing prev paths -2201 Crpr.cc:73 missing prev paths diff --git a/etc/FindMessages.tcl b/etc/FindMessages.tcl index a844de79..ad8fbdc2 100755 --- a/etc/FindMessages.tcl +++ b/etc/FindMessages.tcl @@ -29,8 +29,11 @@ exec tclsh $0 ${1+"$@"} # Find warning/error message IDs and detect collisions. # Usage: FindMessages.tcl > doc/messages.txt +set has_error 0 + proc scan_file { file warn_regexp } { global msgs + global has_error if { [file exists $file] } { set in_stream [open $file r] @@ -46,7 +49,8 @@ proc scan_file { file warn_regexp } { } close $in_stream } else { - puts "Warning: file $file not found." + puts stderr "Warning: Source file $file not found during message scanning" + set has_error 1 } } @@ -73,13 +77,15 @@ proc scan_files {files warn_regexp } { proc check_msgs { } { global msgs + global has_error set msgs [lsort -index 0 -integer $msgs] set prev_id -1 foreach msg $msgs { set msg_id [lindex $msg 0] if { $msg_id == $prev_id } { - puts "Warning: $msg_id duplicated" + puts stderr "Warning: Message id $msg_id duplicated" + set has_error 1 } set prev_id $msg_id } @@ -99,3 +105,7 @@ scan_files $files_c $warn_regexp_c scan_files $files_tcl $warn_regexp_tcl check_msgs report_msgs + +if {$has_error} { + exit 1 +} diff --git a/parasitics/SpefReader.cc b/parasitics/SpefReader.cc index 049928b1..17d156b9 100644 --- a/parasitics/SpefReader.cc +++ b/parasitics/SpefReader.cc @@ -624,7 +624,7 @@ SpefScanner::SpefScanner(std::istream *stream, void SpefScanner::error(const char *msg) { - report_->fileError(1866, filename_.c_str(), lineno(), "%s", msg); + report_->fileError(1867, filename_.c_str(), lineno(), "%s", msg); } } // namespace diff --git a/power/Power.tcl b/power/Power.tcl index dca43217..feebc7c1 100644 --- a/power/Power.tcl +++ b/power/Power.tcl @@ -266,7 +266,7 @@ proc set_power_activity { args } { check_positive_float "density" $density set density [expr $density / [time_ui_sta 1.0]] if { [info exists keys(-clock)] } { - sta_warn 302 "-clock ignored for -density" + sta_warn 308 "-clock ignored for -density" } } set duty 0.5 @@ -274,7 +274,7 @@ proc set_power_activity { args } { set duty $keys(-duty) check_float "duty" $duty if { $duty < 0.0 || $duty > 1.0 } {i - sta_error 302 "duty should be 0.0 to 1.0" + sta_error 309 "duty should be 0.0 to 1.0" } } diff --git a/power/SaifParse.yy b/power/SaifParse.yy index 15cfd08b..03076627 100644 --- a/power/SaifParse.yy +++ b/power/SaifParse.yy @@ -42,7 +42,7 @@ void sta::SaifParse::error(const location_type &loc, const string &msg) { - reader->report()->fileError(164,reader->filename(),loc.begin.line,"%s",msg.c_str()); + reader->report()->fileError(169,reader->filename(),loc.begin.line,"%s",msg.c_str()); } %} diff --git a/power/SaifReader.cc b/power/SaifReader.cc index 629e6008..2e717799 100644 --- a/power/SaifReader.cc +++ b/power/SaifReader.cc @@ -222,7 +222,7 @@ SaifScanner::SaifScanner(std::istream *stream, void SaifScanner::error(const char *msg) { - report_->fileError(1866, filename_.c_str(), lineno(), "%s", msg); + report_->fileError(1868, filename_.c_str(), lineno(), "%s", msg); } } // namespace diff --git a/sdf/SdfReader.cc b/sdf/SdfReader.cc index 963db575..d3f8a6dc 100644 --- a/sdf/SdfReader.cc +++ b/sdf/SdfReader.cc @@ -1100,7 +1100,7 @@ SdfScanner::SdfScanner(std::istream *stream, void SdfScanner::error(const char *msg) { - report_->fileError(1866, filename_.c_str(), lineno(), "%s", msg); + report_->fileError(1869, filename_.c_str(), lineno(), "%s", msg); } } // namespace diff --git a/search/Search.tcl b/search/Search.tcl index 1e960ad6..4a9aefb5 100644 --- a/search/Search.tcl +++ b/search/Search.tcl @@ -934,7 +934,7 @@ proc parse_report_path_options { cmd args_var default_format } elseif { [string match "src*" $field] } { set report_src_attr 1 } else { - sta_warn 166 "unknown field $field." + sta_warn 168 "unknown field $field." } } } diff --git a/search/Sta.cc b/search/Sta.cc index 35e2e644..d070df1b 100644 --- a/search/Sta.cc +++ b/search/Sta.cc @@ -3451,7 +3451,7 @@ Network * Sta::ensureLibLinked() { if (network_ == nullptr || !network_->isLinked()) - report_->error(1570, "No network has been linked."); + report_->error(1571, "No network has been linked."); // OpenROAD db is inherently linked but may not have associated // liberty files so check for them here. if (network_->defaultLibertyLibrary() == nullptr) diff --git a/verilog/VerilogReader.cc b/verilog/VerilogReader.cc index dc80c1f5..3baea0e5 100644 --- a/verilog/VerilogReader.cc +++ b/verilog/VerilogReader.cc @@ -2263,7 +2263,7 @@ VerilogScanner::VerilogScanner(std::istream *stream, void VerilogScanner::error(const char *msg) { - report_->fileError(1866, filename_, lineno(), "%s", msg); + report_->fileError(1870, filename_, lineno(), "%s", msg); } } // namespace From 0420f2f6f6de6eacaae0b5a70029a68e8ac746c4 Mon Sep 17 00:00:00 2001 From: James Cherry Date: Wed, 12 Feb 2025 10:38:40 -0700 Subject: [PATCH 05/16] Sdc rm virtuals Signed-off-by: James Cherry --- include/sta/Sdc.hh | 98 +++++++++++++++++++++++----------------------- 1 file changed, 49 insertions(+), 49 deletions(-) diff --git a/include/sta/Sdc.hh b/include/sta/Sdc.hh index 98afdc7a..80c4e823 100644 --- a/include/sta/Sdc.hh +++ b/include/sta/Sdc.hh @@ -201,7 +201,7 @@ findLeafDriverPins(const Pin *pin, class Sdc : public StaState { public: - explicit Sdc(StaState *sta); + Sdc(StaState *sta); ~Sdc(); // Note that Search may reference a Filter exception removed by clear(). void clear(); @@ -377,29 +377,29 @@ public: float fanout); void setMaxArea(float area); float maxArea() const; - virtual Clock *makeClock(const char *name, - PinSet *pins, - bool add_to_pins, - float period, - FloatSeq *waveform, - const char *comment); + Clock *makeClock(const char *name, + PinSet *pins, + bool add_to_pins, + float period, + FloatSeq *waveform, + const char *comment); // edges size must be 3. - virtual Clock *makeGeneratedClock(const char *name, - PinSet *pins, - bool add_to_pins, - Pin *src_pin, - Clock *master_clk, - int divide_by, - int multiply_by, - float duty_cycle, - bool invert, - bool combinational, - IntSeq *edges, - FloatSeq *edge_shifts, - const char *comment); + Clock *makeGeneratedClock(const char *name, + PinSet *pins, + bool add_to_pins, + Pin *src_pin, + Clock *master_clk, + int divide_by, + int multiply_by, + float duty_cycle, + bool invert, + bool combinational, + IntSeq *edges, + FloatSeq *edge_shifts, + const char *comment); // Invalidate all generated clock waveforms. void invalidateGeneratedClks() const; - virtual void removeClock(Clock *clk); + void removeClock(Clock *clk); // Clock used for inputs without defined arrivals. ClockEdge *defaultArrivalClockEdge() const; Clock *defaultArrivalClock() const { return default_arrival_clk_; } @@ -483,22 +483,22 @@ public: bool &exists) const; const ClockInsertions &clockInsertions() const { return clk_insertions_; } // Clock uncertainty. - virtual void setClockUncertainty(Pin *pin, - const SetupHoldAll *setup_hold, - float uncertainty); - virtual void removeClockUncertainty(Pin *pin, - const SetupHoldAll *setup_hold); - virtual void setClockUncertainty(Clock *from_clk, - const RiseFallBoth *from_rf, - Clock *to_clk, - const RiseFallBoth *to_rf, - const SetupHoldAll *setup_hold, - float uncertainty); - virtual void removeClockUncertainty(Clock *from_clk, - const RiseFallBoth *from_rf, - Clock *to_clk, - const RiseFallBoth *to_rf, - const SetupHoldAll *setup_hold); + void setClockUncertainty(Pin *pin, + const SetupHoldAll *setup_hold, + float uncertainty); + void removeClockUncertainty(Pin *pin, + const SetupHoldAll *setup_hold); + void setClockUncertainty(Clock *from_clk, + const RiseFallBoth *from_rf, + Clock *to_clk, + const RiseFallBoth *to_rf, + const SetupHoldAll *setup_hold, + float uncertainty); + void removeClockUncertainty(Clock *from_clk, + const RiseFallBoth *from_rf, + Clock *to_clk, + const RiseFallBoth *to_rf, + const SetupHoldAll *setup_hold); ClockGroups *makeClockGroups(const char *name, bool logically_exclusive, bool physically_exclusive, @@ -871,7 +871,7 @@ public: float voltage); InputDrive *findInputDrive(Port *port); Clock *findClock(const char *name) const; - virtual ClockSeq findClocksMatching(PatternMatch *pattern) const; + ClockSeq findClocksMatching(PatternMatch *pattern) const; // True if pin is defined as a clock source (pin may be hierarchical). bool isClock(const Pin *pin) const; // True if pin is a clock source vertex. @@ -1034,13 +1034,13 @@ public: // Return values. ExceptionPath *&hi_priority_exception, int &hi_priority) const; - virtual bool exceptionMatchesTo(ExceptionPath *exception, - const Pin *pin, - const RiseFall *rf, - const ClockEdge *clk_edge, - const MinMax *min_max, - bool match_min_max_exactly, - bool require_to_pin) const; + bool exceptionMatchesTo(ExceptionPath *exception, + const Pin *pin, + const RiseFall *rf, + const ClockEdge *clk_edge, + const MinMax *min_max, + bool match_min_max_exactly, + bool require_to_pin) const; bool isCompleteTo(ExceptionState *state, const Pin *pin, const RiseFall *rf, @@ -1083,8 +1083,8 @@ protected: void deleteClkPinMappings(Clock *clk); void deleteExceptionPtHashMapSets(ExceptionPathPtHash &map); void makeClkPinMappings(Clock *clk); - virtual void deletePinClocks(Clock *defining_clk, - PinSet *pins); + void deletePinClocks(Clock *defining_clk, + PinSet *pins); void makeDefaultArrivalClock(); InputDrive *ensureInputDrive(const Port *port); PortExtCap *ensurePortExtPinCap(const Port *port, @@ -1095,8 +1095,8 @@ protected: void recordPathDelayInternalStartpoints(ExceptionPath *exception); void unrecordPathDelayInternalStartpoints(ExceptionFrom *from); bool pathDelayFrom(const Pin *pin); - virtual void recordPathDelayInternalEndpoints(ExceptionPath *exception); - virtual void unrecordPathDelayInternalEndpoints(ExceptionPath *exception); + void recordPathDelayInternalEndpoints(ExceptionPath *exception); + void unrecordPathDelayInternalEndpoints(ExceptionPath *exception); bool pathDelayTo(const Pin *pin); bool hasLibertyCheckTo(const Pin *pin); void deleteMatchingExceptions(ExceptionPath *exception); From 20178bc9244b97b0ce37aab8bf88781a8ac41acd Mon Sep 17 00:00:00 2001 From: James Cherry Date: Wed, 12 Feb 2025 11:20:05 -0700 Subject: [PATCH 06/16] dup msg id Signed-off-by: James Cherry --- parasitics/SpefReader.cc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/parasitics/SpefReader.cc b/parasitics/SpefReader.cc index 17d156b9..88dadf2e 100644 --- a/parasitics/SpefReader.cc +++ b/parasitics/SpefReader.cc @@ -502,7 +502,7 @@ SpefReader::findParasiticNode(char *name, warn(1656, "pin %s not found.", name1); } else - warn(1656, "pin %s not found.", name); + warn(1657, "pin %s not found.", name); } } return nullptr; From e7e80ca6c28b0bf0a5b406a7bd0a2aa81f72c4e7 Mon Sep 17 00:00:00 2001 From: Akash Levy Date: Wed, 12 Feb 2025 10:40:43 -0800 Subject: [PATCH 07/16] All path groups (#215) * All path groups * Fixes * Use c++17 iteration --- README.md | 2 ++ sdc/Sdc.i | 11 +++++++++++ test/path_group_names.ok | 2 ++ test/path_group_names.tcl | 14 ++++++++++++++ test/regression_vars.tcl | 1 + 5 files changed, 30 insertions(+) create mode 100644 test/path_group_names.ok create mode 100644 test/path_group_names.tcl diff --git a/README.md b/README.md index e098e5bf..4aea9416 100644 --- a/README.md +++ b/README.md @@ -210,11 +210,13 @@ Use Homebrew to install them. Set these variables before using cmake to cirumvent the Xcode versions. +``` # flex/bison override apple version export PATH="$(brew --prefix bison)/bin:${PATH}" export PATH="$(brew --prefix flex)/bin:${PATH}" export CMAKE_INCLUDE_PATH="$(brew --prefix flex)/include" export CMAKE_LIBRARY_PATH="$(brew --prefix flex)/lib;$(brew --prefix bison)/lib" +``` Homebrew does not support tclreadline, but the macports system does (see https://www.macports.org). diff --git a/sdc/Sdc.i b/sdc/Sdc.i index c03a8165..4291dcb4 100644 --- a/sdc/Sdc.i +++ b/sdc/Sdc.i @@ -1323,6 +1323,17 @@ filter_timing_arcs(const char *property, //////////////////////////////////////////////////////////////// +StringSeq +path_group_names() +{ + StringSeq pg_names; + for (auto const& [name, group] : Sta::sta()->sdc()->groupPaths()) + pg_names.push_back(name); + return pg_names; +} + +//////////////////////////////////////////////////////////////// + void set_voltage_global(const MinMax *min_max, float voltage) diff --git a/test/path_group_names.ok b/test/path_group_names.ok new file mode 100644 index 00000000..6209a2fc --- /dev/null +++ b/test/path_group_names.ok @@ -0,0 +1,2 @@ +Initial path groups: +Final path groups: In2Out In2Reg Reg2Out Reg2Reg diff --git a/test/path_group_names.tcl b/test/path_group_names.tcl new file mode 100644 index 00000000..40fefb27 --- /dev/null +++ b/test/path_group_names.tcl @@ -0,0 +1,14 @@ +# path_group_names + +read_liberty asap7_small.lib.gz +read_verilog reg1_asap7.v +link_design top +create_clock -name clk -period 500 {clk1 clk2 clk3} +set_input_delay -clock clk 0 [all_inputs -no_clocks] +set_output_delay -clock clk 0 [all_outputs] +puts "Initial path groups: [sta::path_group_names]" +group_path -name In2Reg -from [all_inputs] -to [all_registers -data_pins] +group_path -name In2Out -from [all_inputs] -to [all_outputs] +group_path -name Reg2Out -from [all_registers -clock_pins] -to [all_outputs] +group_path -name Reg2Reg -from [all_registers -clock_pins] -to [all_registers -data_pins] +puts "Final path groups: [sta::path_group_names]" diff --git a/test/regression_vars.tcl b/test/regression_vars.tcl index 2d8ccbe1..5818e4c2 100644 --- a/test/regression_vars.tcl +++ b/test/regression_vars.tcl @@ -147,6 +147,7 @@ record_sta_tests { liberty_arcs_one2one_2 liberty_ccsn liberty_latch3 + path_group_names prima3 report_checks_src_attr report_json1 From 591eb9b351f70b82700284dcb1d001e5b17f253f Mon Sep 17 00:00:00 2001 From: Christian Costa Date: Sat, 15 Feb 2025 00:33:16 +0100 Subject: [PATCH 08/16] msg: Make tclArgError use report logger, accept msg id and be parsed by FindMessages. (#216) Signed-off-by: Christian Costa --- etc/FindMessages.tcl | 6 ++-- include/sta/TclTypeHelpers.hh | 1 + tcl/StaTclTypes.i | 64 +++++++++++++++-------------------- tcl/TclTypeHelpers.cc | 11 +++--- 4 files changed, 38 insertions(+), 44 deletions(-) diff --git a/etc/FindMessages.tcl b/etc/FindMessages.tcl index ad8fbdc2..24dcc3ad 100755 --- a/etc/FindMessages.tcl +++ b/etc/FindMessages.tcl @@ -41,7 +41,7 @@ proc scan_file { file warn_regexp } { set file_line 1 while { ![eof $in_stream] } { - if { [regexp -- $warn_regexp $line ignore1 ignore2 msg_id msg] } { + if { [regexp -- $warn_regexp $line ignore msg_id msg] } { lappend msgs "$msg_id $file $file_line $msg" } gets $in_stream line @@ -61,13 +61,13 @@ foreach subdir $subdirs { set files [glob -nocomplain [file join $subdir "*.{cc,hh,yy,ll,i}"]] set files_c [concat $files_c $files] } -set warn_regexp_c {(criticalError|->warn|->fileWarn|->error|->fileError|libWarn|libError| warn)\(([0-9]+),.*(".+")} +set warn_regexp_c {(?:(?:criticalError|->warn|->fileWarn|->error|->fileError|libWarn|libError| warn)\(|tclArgError\(interp,\s*)([0-9]+),.*(".+")} set files_tcl {} foreach subdir $subdirs { set files_tcl [concat $files_tcl [glob -nocomplain [file join $subdir "*.tcl"]]] } -set warn_regexp_tcl {(sta_warn|sta_error|sta_warn_error) ([0-9]+) (".+")} +set warn_regexp_tcl {(?:sta_warn|sta_error|sta_warn_error) ([0-9]+) (".+")} proc scan_files {files warn_regexp } { foreach file $files { diff --git a/include/sta/TclTypeHelpers.hh b/include/sta/TclTypeHelpers.hh index 506d3a0c..a70f8400 100644 --- a/include/sta/TclTypeHelpers.hh +++ b/include/sta/TclTypeHelpers.hh @@ -48,6 +48,7 @@ tclListSetStdString(Tcl_Obj *const source, void tclArgError(Tcl_Interp *interp, + int id, const char *msg, const char *arg); diff --git a/tcl/StaTclTypes.i b/tcl/StaTclTypes.i index b5f6b5e5..dd3f1c63 100644 --- a/tcl/StaTclTypes.i +++ b/tcl/StaTclTypes.i @@ -441,8 +441,7 @@ using namespace sta; const char *arg = Tcl_GetStringFromObj($input, &length); Transition *tr = Transition::find(arg); if (tr == nullptr) { - Tcl_SetResult(interp,const_cast("Error: transition not found."), - TCL_STATIC); + tclArgError(interp, 2150, "Unknown transition '%s'.", arg); return TCL_ERROR; } else @@ -462,8 +461,7 @@ using namespace sta; const char *arg = Tcl_GetStringFromObj($input, &length); RiseFall *rf = RiseFall::find(arg); if (rf == nullptr) { - Tcl_SetResult(interp,const_cast("Error: unknown rise/fall edge."), - TCL_STATIC); + tclArgError(interp, 2151, "Unknown rise/fall edge '%s'.", arg); return TCL_ERROR; } $1 = rf; @@ -482,8 +480,7 @@ using namespace sta; const char *arg = Tcl_GetStringFromObj($input, &length); RiseFallBoth *tr = RiseFallBoth::find(arg); if (tr == nullptr) { - Tcl_SetResult(interp,const_cast("Error: unknown transition name."), - TCL_STATIC); + tclArgError(interp, 2152, "Unknown transition name '%s'.", arg); return TCL_ERROR; } $1 = tr; @@ -502,8 +499,7 @@ using namespace sta; const char *arg = Tcl_GetStringFromObj($input, &length); PortDirection *dir = PortDirection::find(arg); if (dir == nullptr) { - Tcl_SetResult(interp,const_cast("Error: port direction not found."), - TCL_STATIC); + tclArgError(interp, 2153, "Unknown port direction '%s'.", arg); return TCL_ERROR; } else @@ -517,8 +513,7 @@ using namespace sta; if (role) $1 = TimingRole::find(arg); else { - Tcl_SetResult(interp,const_cast("Error: unknown timing role."), - TCL_STATIC); + tclArgError(interp, 2154, "Unknown timing role '%s'.", arg); return TCL_ERROR; } } @@ -541,8 +536,7 @@ using namespace sta; else if (stringEq(arg, "fall") || stringEq(arg, "falling")) $1 = LogicValue::fall; else { - Tcl_SetResult(interp,const_cast("Error: unknown logic value."), - TCL_STATIC); + tclArgError(interp, 2155, "Unknown logic value '%s'.", arg); return TCL_ERROR; } } @@ -557,9 +551,7 @@ using namespace sta; else if (stringEq(arg, "on_chip_variation")) $1 = AnalysisType::ocv; else { - Tcl_SetResult(interp,const_cast("Error: unknown analysis type."), - TCL_STATIC); - + tclArgError(interp, 2156, "Unknown analysis type '%s'.", arg); return TCL_ERROR; } } @@ -757,11 +749,11 @@ using namespace sta; char *arg = Tcl_GetString(argv[i]); double value; if (Tcl_GetDouble(interp, arg, &value) == TCL_OK) - floats->push_back(static_cast(value)); + floats->push_back(static_cast(value)); else { - delete floats; - tclArgError(interp, "%s is not a floating point number.", arg); - return TCL_ERROR; + delete floats; + tclArgError(interp, 2157, "%s is not a floating point number.", arg); + return TCL_ERROR; } } } @@ -802,11 +794,11 @@ using namespace sta; char *arg = Tcl_GetString(argv[i]); int value; if (Tcl_GetInt(interp, arg, &value) == TCL_OK) - ints->push_back(value); + ints->push_back(value); else { - delete ints; - tclArgError(interp, "%s is not an integer.", arg); - return TCL_ERROR; + delete ints; + tclArgError(interp, 2158, "%s is not an integer.", arg); + return TCL_ERROR; } } } @@ -860,7 +852,7 @@ using namespace sta; if (min_max) $1 = min_max; else { - tclArgError(interp, "%s not min or max.", arg); + tclArgError(interp, 2159, "%s not min or max.", arg); return TCL_ERROR; } } @@ -880,7 +872,7 @@ using namespace sta; if (min_max) $1 = min_max; else { - tclArgError(interp, "%s not min, max or min_max.", arg); + tclArgError(interp, 2160, "%s not min, max or min_max.", arg); return TCL_ERROR; } } @@ -895,7 +887,7 @@ using namespace sta; if (min_max) $1 = min_max; else { - tclArgError(interp, "%s not min, max or min_max.", arg); + tclArgError(interp, 2161, "%s not min, max or min_max.", arg); return TCL_ERROR; } } @@ -916,7 +908,7 @@ using namespace sta; || stringEqual(arg, "max")) $1 = MinMax::max(); else { - tclArgError(interp, "%s not setup, hold, min or max.", arg); + tclArgError(interp, 2162, "%s not setup, hold, min or max.", arg); return TCL_ERROR; } } @@ -935,7 +927,7 @@ using namespace sta; || stringEqual(arg, "min_max")) $1 = SetupHoldAll::all(); else { - tclArgError(interp, "%s not setup, hold, setup_hold, min, max or min_max.", arg); + tclArgError(interp, 2163, "%s not setup, hold, setup_hold, min, max or min_max.", arg); return TCL_ERROR; } } @@ -948,7 +940,7 @@ using namespace sta; if (early_late) $1 = early_late; else { - tclArgError(interp, "%s not early/min, late/max or early_late/min_max.", arg); + tclArgError(interp, 2164, "%s not early/min, late/max or early_late/min_max.", arg); return TCL_ERROR; } } @@ -961,7 +953,7 @@ using namespace sta; if (early_late) $1 = early_late; else { - tclArgError(interp, "%s not early/min, late/max or early_late/min_max.", arg); + tclArgError(interp, 2165, "%s not early/min, late/max or early_late/min_max.", arg); return TCL_ERROR; } } @@ -976,7 +968,7 @@ using namespace sta; else if (stringEq(arg, "cell_check")) $1 = TimingDerateType::cell_check; else { - tclArgError(interp, "%s not net_delay, cell_delay or cell_check.", arg); + tclArgError(interp, 2166, "%s not net_delay, cell_delay or cell_check.", arg); return TCL_ERROR; } } @@ -989,7 +981,7 @@ using namespace sta; else if (stringEq(arg, "cell_check")) $1 = TimingDerateCellType::cell_check; else { - tclArgError(interp, "%s not cell_delay or cell_check.", arg); + tclArgError(interp, 2167, "%s not cell_delay or cell_check.", arg); return TCL_ERROR; } } @@ -1002,7 +994,7 @@ using namespace sta; else if (stringEq(arg, "data")) $1 = PathClkOrData::data; else { - tclArgError(interp, "%s not clk or data.", arg); + tclArgError(interp, 2168, "%s not clk or data.", arg); return TCL_ERROR; } } @@ -1015,7 +1007,7 @@ using namespace sta; else if (stringEq(arg, "slack")) $1 = sort_by_slack; else { - tclArgError(interp, "%s not group or slack.", arg); + tclArgError(interp, 2169, "%s not group or slack.", arg); return TCL_ERROR; } } @@ -1040,7 +1032,7 @@ using namespace sta; else if (stringEq(arg, "json")) $1 = ReportPathFormat::json; else { - tclArgError(interp, "unknown path type %s.", arg); + tclArgError(interp, 2170, "unknown path type %s.", arg); return TCL_ERROR; } } @@ -1406,7 +1398,7 @@ using namespace sta; else if (stringEq(arg, "xyce")) $1 = CircuitSim::xyce; else { - tclArgError(interp, "unknown circuit simulator %s.", arg); + tclArgError(interp, 2171, "unknown circuit simulator %s.", arg); return TCL_ERROR; } } diff --git a/tcl/TclTypeHelpers.cc b/tcl/TclTypeHelpers.cc index d8da8e44..228ab083 100644 --- a/tcl/TclTypeHelpers.cc +++ b/tcl/TclTypeHelpers.cc @@ -93,15 +93,16 @@ tclListSetStdString(Tcl_Obj *const source, void tclArgError(Tcl_Interp *interp, + int id, const char *msg, const char *arg) { // Swig does not add try/catch around arg parsing so this cannot use Report::error. - string error_msg = "Error: "; - error_msg += msg; - char *error = stringPrint(error_msg.c_str(), arg); - Tcl_SetResult(interp, error, TCL_VOLATILE); - stringDelete(error); + try { + Sta::sta()->report()->error(id, msg, arg); + } catch (const std::exception &e) { + Tcl_SetResult(interp, const_cast(e.what()), TCL_STATIC); + } } void From 27a4527d004e739a112733f9869163a42923ea60 Mon Sep 17 00:00:00 2001 From: James Cherry Date: Sat, 22 Feb 2025 18:25:48 -0700 Subject: [PATCH 09/16] rm Vertex::requireds_pruned_ Signed-off-by: James Cherry --- graph/Graph.cc | 7 ------- include/sta/Graph.hh | 3 --- search/Search.cc | 7 +------ search/Sta.cc | 3 +-- 4 files changed, 2 insertions(+), 18 deletions(-) diff --git a/graph/Graph.cc b/graph/Graph.cc index 091c1a58..12561a64 100644 --- a/graph/Graph.cc +++ b/graph/Graph.cc @@ -1023,7 +1023,6 @@ Vertex::init(Pin *pin, level_ = 0; bfs_in_queue_ = 0; crpr_path_pruning_disabled_ = false; - requireds_pruned_ = false; } Vertex::~Vertex() @@ -1141,12 +1140,6 @@ Vertex::setCrprPathPruningDisabled(bool disabled) crpr_path_pruning_disabled_ = disabled; } -void -Vertex::setRequiredsPruned(bool pruned) -{ - requireds_pruned_ = pruned; -} - TagGroupIndex Vertex::tagGroupIndex() const { diff --git a/include/sta/Graph.hh b/include/sta/Graph.hh index d718e725..f6ca3ac1 100644 --- a/include/sta/Graph.hh +++ b/include/sta/Graph.hh @@ -312,8 +312,6 @@ public: bool crprPathPruningDisabled() const { return crpr_path_pruning_disabled_;} void setCrprPathPruningDisabled(bool disabled); bool hasRequireds() const { return requireds_ != nullptr; } - bool requiredsPruned() const { return requireds_pruned_; } - void setRequiredsPruned(bool pruned); // ObjectTable interface. ObjectIdx objectIdx() const { return object_idx_; } @@ -367,7 +365,6 @@ protected: bool is_constrained_:1; bool has_downstream_clk_pin_:1; bool crpr_path_pruning_disabled_:1; - bool requireds_pruned_:1; unsigned object_idx_:VertexTable::idx_bits; // 7 private: diff --git a/search/Search.cc b/search/Search.cc index 2d633a14..f2e42b23 100644 --- a/search/Search.cc +++ b/search/Search.cc @@ -3497,7 +3497,6 @@ RequiredVisitor::visit(Vertex *vertex) debugPrint(debug_, "search", 2, "find required %s", vertex->name(network_)); required_cmp_->requiredsInit(vertex, this); - vertex->setRequiredsPruned(false); // Back propagate requireds from fanout. visitFanoutPaths(vertex); // Check for constraints at endpoints that set required times. @@ -3514,7 +3513,7 @@ RequiredVisitor::visit(Vertex *vertex) bool RequiredVisitor::visitFromToPath(const Pin *, - Vertex *from_vertex, + Vertex *, const RiseFall *from_rf, Tag *from_tag, PathVertex *from_path, @@ -3586,11 +3585,7 @@ RequiredVisitor::visitFromToPath(const Pin *, } } } - from_vertex->setRequiredsPruned(true); } - // Propagate requireds pruned flag backwards. - if (to_vertex->requiredsPruned()) - from_vertex->setRequiredsPruned(true); } return true; } diff --git a/search/Sta.cc b/search/Sta.cc index d070df1b..b6f00d48 100644 --- a/search/Sta.cc +++ b/search/Sta.cc @@ -3213,8 +3213,7 @@ Sta::findRequired(Vertex *vertex) // Clocks invariably have requireds that are pruned but isn't // worth finding arrivals and requireds all over again for // the entire fanout of the clock. - && !search_->isClock(vertex) - && vertex->requiredsPruned()) { + && !search_->isClock(vertex)) { // Invalidate arrivals and requireds and disable // path pruning on fanout vertices with DFS. int fanout = 0; From d25c166d57d48356651290e5de75daf03b345fb7 Mon Sep 17 00:00:00 2001 From: James Cherry Date: Sun, 23 Feb 2025 16:16:02 -0700 Subject: [PATCH 10/16] reportClkInfos Signed-off-by: James Cherry --- search/ClkInfo.cc | 1 + search/Search.cc | 2 +- 2 files changed, 2 insertions(+), 1 deletion(-) diff --git a/search/ClkInfo.cc b/search/ClkInfo.cc index 89b10471..6aca9648 100644 --- a/search/ClkInfo.cc +++ b/search/ClkInfo.cc @@ -128,6 +128,7 @@ ClkInfo::asString(const StaState *sta) const result += "/"; result += std::to_string(path_ap_index_); + result += " "; if (clk_edge_) result += clk_edge_->name(); else diff --git a/search/Search.cc b/search/Search.cc index f2e42b23..64bed38b 100644 --- a/search/Search.cc +++ b/search/Search.cc @@ -2966,7 +2966,7 @@ Search::reportClkInfos() const clk_infos.push_back(clk_info); sort(clk_infos, ClkInfoLess(this)); for (ClkInfo *clk_info : clk_infos) - report_->reportLine("ClkInfo %s", clk_info->asString(this)); + report_->reportLine("%s", clk_info->asString(this)); report_->reportLine("%zu clk infos", clk_info_set_->size()); } From 296e293adcd4c2c726490c007f79c67e4708922d Mon Sep 17 00:00:00 2001 From: James Cherry Date: Sun, 23 Feb 2025 16:17:04 -0700 Subject: [PATCH 11/16] comment Signed-off-by: James Cherry --- search/Sta.cc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/search/Sta.cc b/search/Sta.cc index b6f00d48..d01b0057 100644 --- a/search/Sta.cc +++ b/search/Sta.cc @@ -3210,7 +3210,7 @@ Sta::findRequired(Vertex *vertex) if (sdc_->crprEnabled() && search_->crprPathPruningEnabled() && !search_->crprApproxMissingRequireds() - // Clocks invariably have requireds that are pruned but isn't + // Clocks invariably have requireds that are pruned but it isn't // worth finding arrivals and requireds all over again for // the entire fanout of the clock. && !search_->isClock(vertex)) { From e95e502227263605db70526969dbd1ec28ad7a1b Mon Sep 17 00:00:00 2001 From: James Cherry Date: Sun, 23 Feb 2025 16:17:49 -0700 Subject: [PATCH 12/16] comment Signed-off-by: James Cherry --- include/sta/Graph.hh | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/include/sta/Graph.hh b/include/sta/Graph.hh index f6ca3ac1..8cedd97e 100644 --- a/include/sta/Graph.hh +++ b/include/sta/Graph.hh @@ -343,7 +343,7 @@ protected: // cannot share the same word as the following bit fields. uint32_t tag_group_index_; // Each bit corresponds to a different BFS queue. - std::atomic bfs_in_queue_; // 4 + std::atomic bfs_in_queue_; // 8 unsigned int level_:Graph::vertex_level_bits; // 24 unsigned int slew_annotated_:slew_annotated_bits; // 4 From 1da295318f50c0380f29ea11402450260a741d09 Mon Sep 17 00:00:00 2001 From: James Cherry Date: Sun, 23 Feb 2025 16:21:44 -0700 Subject: [PATCH 13/16] comment Signed-off-by: James Cherry --- search/PathGroup.cc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/search/PathGroup.cc b/search/PathGroup.cc index 119a21c6..482936cb 100644 --- a/search/PathGroup.cc +++ b/search/PathGroup.cc @@ -132,7 +132,7 @@ PathGroup::saveable(PathEnd *path_end) // endpoint_path_count > 1 with slack_min requires // saving endpoints with slack > slack_min so that -// path enumeration can find them. Use the patg end +// path enumeration can find them. Use the path end // with the min(max) delay to prune ends that cannot // onion peel down to slack_min. bool From f5cd0b24c77307db53225bf0e18432494e1e4212 Mon Sep 17 00:00:00 2001 From: James Cherry Date: Sun, 23 Feb 2025 17:40:00 -0700 Subject: [PATCH 14/16] Genclks::srcPathk return PathVertex Signed-off-by: James Cherry --- search/Crpr.cc | 4 ++-- search/Genclks.cc | 32 ++++++++++++-------------------- search/Genclks.hh | 22 ++++++++-------------- search/PathExpanded.cc | 3 +-- search/ReportPath.cc | 4 ++-- 5 files changed, 25 insertions(+), 40 deletions(-) diff --git a/search/Crpr.cc b/search/Crpr.cc index 898a21d6..c692e731 100644 --- a/search/Crpr.cc +++ b/search/Crpr.cc @@ -302,8 +302,8 @@ CheckCrpr::genClkSrcPaths(const PathVertex *path, PathAnalysisPt *path_ap = path->pathAnalysisPt(this); gclk_paths.push_back(path); while (clk_edge->clock()->isGenerated()) { - PathVertex genclk_path; - search_->genclks()->srcPath(clk_edge, clk_src, path_ap, genclk_path); + PathVertex genclk_path = + search_->genclks()->srcPath(clk_edge, clk_src, path_ap); if (genclk_path.isNull()) break; clk_info = genclk_path.clkInfo(this); diff --git a/search/Genclks.cc b/search/Genclks.cc index c0cebf84..d76f0e80 100644 --- a/search/Genclks.cc +++ b/search/Genclks.cc @@ -973,47 +973,40 @@ Genclks::matchesSrcFilter(Path *path, return false; } -void -Genclks::srcPath(Path *clk_path, - // Return value. - PathVertex &src_path) const +PathVertex +Genclks::srcPath(Path *clk_path) const { const Pin *src_pin = clk_path->pin(this); const ClockEdge *clk_edge = clk_path->clkEdge(this); const PathAnalysisPt *path_ap = clk_path->pathAnalysisPt(this); const EarlyLate *early_late = clk_path->minMax(this); PathAnalysisPt *insert_ap = path_ap->insertionAnalysisPt(early_late); - srcPath(clk_edge->clock(), src_pin, clk_edge->transition(), - insert_ap, src_path); + return srcPath(clk_edge->clock(), src_pin, clk_edge->transition(), + insert_ap); } -void +PathVertex Genclks::srcPath(const ClockEdge *clk_edge, const Pin *src_pin, - const PathAnalysisPt *path_ap, - // Return value. - PathVertex &src_path) const + const PathAnalysisPt *path_ap) const { - srcPath(clk_edge->clock(), src_pin, clk_edge->transition(), - path_ap, src_path); + return srcPath(clk_edge->clock(), src_pin, clk_edge->transition(), path_ap); } -void +PathVertex Genclks::srcPath(const Clock *gclk, const Pin *src_pin, const RiseFall *rf, - const PathAnalysisPt *path_ap, - // Return value. - PathVertex &src_path) const + const PathAnalysisPt *path_ap) const { PathVertexRep *src_paths = genclk_src_paths_.findKey(ClockPinPair(gclk, src_pin)); if (src_paths) { int path_index = srcPathIndex(rf, path_ap); - src_path.init(src_paths[path_index], this); + return PathVertex(src_paths[path_index], this); } else - src_path.init(); + return PathVertex(); } Arrival @@ -1023,9 +1016,8 @@ Genclks::insertionDelay(const Clock *clk, const EarlyLate *early_late, const PathAnalysisPt *path_ap) const { - PathVertex src_path; PathAnalysisPt *insert_ap = path_ap->insertionAnalysisPt(early_late); - srcPath(clk, pin, rf, insert_ap, src_path); + PathVertex src_path = srcPath(clk, pin, rf, insert_ap); if (!src_path.isNull()) return src_path.arrival(this); else diff --git a/search/Genclks.hh b/search/Genclks.hh index 4b76b06d..1d8189c4 100644 --- a/search/Genclks.hh +++ b/search/Genclks.hh @@ -71,21 +71,15 @@ public: const EarlyLate *early_late, const PathAnalysisPt *path_ap) const; // Generated clock source path for a clock path root. - void srcPath(Path *clk_path, - // Return value. - PathVertex &src_path) const; + PathVertex srcPath(Path *clk_path) const; // Generated clock source path. - void srcPath(const ClockEdge *clk_edge, - const Pin *src_pin, - const PathAnalysisPt *path_ap, - // Return value. - PathVertex &src_path) const; - void srcPath(const Clock *clk, - const Pin *src_pin, - const RiseFall *rf, - const PathAnalysisPt *path_ap, - // Return value. - PathVertex &src_path) const; + PathVertex srcPath(const ClockEdge *clk_edge, + const Pin *src_pin, + const PathAnalysisPt *path_ap) const; + PathVertex srcPath(const Clock *clk, + const Pin *src_pin, + const RiseFall *rf, + const PathAnalysisPt *path_ap) const; Vertex *srcPathVertex(const Pin *pin) const; Level clkPinMaxLevel(const Clock *clk) const; void copyGenClkSrcPaths(Vertex *vertex, diff --git a/search/PathExpanded.cc b/search/PathExpanded.cc index 6eb2c578..93c54946 100644 --- a/search/PathExpanded.cc +++ b/search/PathExpanded.cc @@ -115,8 +115,7 @@ PathExpanded::expandGenclk(PathRef *clk_path) if (!clk_path->isNull()) { const Clock *src_clk = clk_path->clock(sta_); if (src_clk && src_clk->isGenerated()) { - PathVertex src_path; - sta_->search()->genclks()->srcPath(clk_path, src_path); + PathVertex src_path = sta_->search()->genclks()->srcPath(clk_path); if (!src_path.isNull()) { // The head of the genclk src path is already in paths_, // so skip past it. diff --git a/search/ReportPath.cc b/search/ReportPath.cc index 401301c5..bd23c47d 100644 --- a/search/ReportPath.cc +++ b/search/ReportPath.cc @@ -2394,9 +2394,9 @@ ReportPath::reportGenClkSrcPath1(const Clock *clk, bool clk_used_as_data) const { PathAnalysisPt *insert_ap = path_ap->insertionAnalysisPt(early_late); - PathVertex src_path; const MinMax *min_max = path_ap->pathMinMax(); - search_->genclks()->srcPath(clk, clk_pin, clk_rf, insert_ap, src_path); + PathVertex src_path = + search_->genclks()->srcPath(clk, clk_pin, clk_rf, insert_ap); if (!src_path.isNull()) { ClkInfo *src_clk_info = src_path.clkInfo(search_); const ClockEdge *src_clk_edge = src_clk_info->clkEdge(); From 0f379ffcad42f696e0317abe8eae51f7036e9758 Mon Sep 17 00:00:00 2001 From: James Cherry Date: Wed, 26 Feb 2025 14:44:38 -0800 Subject: [PATCH 15/16] report_checks -endpoint_path_count speedup commit 265f5d69051c823656fcfedfa7e086255c4df4d2 Author: James Cherry Date: Wed Feb 26 14:43:28 2025 -0800 PathEnum::makeDivertedPath rm visited set Signed-off-by: James Cherry commit bbf28deab4f01eb925b4d7c86e23f4ec39e8d11d Author: James Cherry Date: Sat Feb 22 11:37:38 2025 -0700 Search::always_save_prev_paths_ Signed-off-by: James Cherry commit fd587877c3931ea6dd05d2f21d53365fd70d4268 Author: James Cherry Date: Sat Feb 22 08:33:34 2025 -0700 PathVertexRep -> PathPrev Signed-off-by: James Cherry commit 3c972474b85875c1acaea808eb66fcfd26356120 Author: James Cherry Date: Fri Feb 21 11:26:02 2025 -0700 PathVertexPtr Signed-off-by: James Cherry commit 3755de934a8ecd292595d781ef794d60f0f5a67b Author: James Cherry Date: Thu Feb 20 17:19:34 2025 -0700 PathVertexPtr for ClkInfo Signed-off-by: James Cherry commit 3dca0c21c0117bc2fc64ee94ddb1513f3ff92d30 Author: James Cherry Date: Thu Feb 20 17:19:15 2025 -0700 PathVertexPtr for ClkInfo Signed-off-by: James Cherry commit 419f78f75d1393b8b07de1e7028960a5fb7c8cad Author: James Cherry Date: Thu Feb 20 16:05:44 2025 -0700 PathVertexRep rm unused funcs Signed-off-by: James Cherry commit 32052f32eb09e6fe7fb2e3c3953ee55b5aaf976f Author: James Cherry Date: Thu Feb 20 14:49:19 2025 -0700 PathVertexRep rm vertex_id_ Signed-off-by: James Cherry commit 89c65de68b12daa1c3869b83a5fd9afbcb2ce098 Author: James Cherry Date: Thu Feb 20 09:21:16 2025 -0700 rm Search::arrivalInvalidDelete Signed-off-by: James Cherry commit 7d5d88ed124b94e08da433e1c6ea70629aa6c6e7 Author: James Cherry Date: Thu Feb 20 09:17:35 2025 -0700 passes fast Signed-off-by: James Cherry commit e6810d64993938e912b7cf89a4c36b24d744270f Author: James Cherry Date: Wed Feb 19 21:05:45 2025 -0700 always save prev_path Signed-off-by: James Cherry Signed-off-by: James Cherry --- CMakeLists.txt | 3 +- graph/Graph.cc | 8 +- include/sta/Graph.hh | 16 ++- include/sta/PathPrev.hh | 75 +++++++++++ include/sta/PathRef.hh | 2 + include/sta/PathVertex.hh | 15 ++- include/sta/PathVertexPtr.hh | 63 +++++++++ include/sta/PathVertexRep.hh | 42 +++--- include/sta/Search.hh | 4 +- include/sta/SearchClass.hh | 6 +- search/ClkInfo.cc | 10 +- search/ClkInfo.hh | 11 +- search/Crpr.cc | 10 +- search/Genclks.cc | 12 +- search/Genclks.hh | 2 +- search/PathEnum.cc | 38 +++--- search/PathPrev.cc | 246 +++++++++++++++++++++++++++++++++++ search/PathRef.cc | 20 +++ search/PathVertex.cc | 46 +++++-- search/PathVertexPtr.cc | 201 ++++++++++++++++++++++++++++ search/PathVertexRep.cc | 246 ----------------------------------- search/Search.cc | 92 ++++++++----- search/Sta.cc | 3 +- search/TagGroup.cc | 24 ++-- search/TagGroup.hh | 12 +- 25 files changed, 821 insertions(+), 386 deletions(-) create mode 100644 include/sta/PathPrev.hh create mode 100644 include/sta/PathVertexPtr.hh create mode 100644 search/PathPrev.cc create mode 100644 search/PathVertexPtr.cc delete mode 100644 search/PathVertexRep.cc diff --git a/CMakeLists.txt b/CMakeLists.txt index d9b64958..530b3b0e 100644 --- a/CMakeLists.txt +++ b/CMakeLists.txt @@ -189,9 +189,10 @@ set(STA_SOURCE search/PathEnumed.cc search/PathExpanded.cc search/PathGroup.cc + search/PathPrev.cc search/PathRef.cc search/PathVertex.cc - search/PathVertexRep.cc + search/PathVertexPtr.cc search/Property.cc search/ReportPath.cc search/Search.cc diff --git a/graph/Graph.cc b/graph/Graph.cc index 12561a64..c0961f05 100644 --- a/graph/Graph.cc +++ b/graph/Graph.cc @@ -613,17 +613,17 @@ Graph::deleteRequireds(Vertex *vertex) vertex->setRequireds(nullptr); } -PathVertexRep * +PathPrev * Graph::prevPaths(const Vertex *vertex) const { return vertex->prevPaths(); } -PathVertexRep * +PathPrev * Graph::makePrevPaths(Vertex *vertex, uint32_t count) { - PathVertexRep *prev_paths = new PathVertexRep[count]; + PathPrev *prev_paths = new PathPrev[count]; vertex->setPrevPaths(prev_paths); return prev_paths; } @@ -1167,7 +1167,7 @@ Vertex::setRequireds(Required *requireds) } void -Vertex::setPrevPaths(PathVertexRep *prev_paths) +Vertex::setPrevPaths(PathPrev *prev_paths) { delete [] prev_paths_; prev_paths_ = prev_paths; diff --git a/include/sta/Graph.hh b/include/sta/Graph.hh index 8cedd97e..4aa2313a 100644 --- a/include/sta/Graph.hh +++ b/include/sta/Graph.hh @@ -36,7 +36,7 @@ #include "Delay.hh" #include "GraphClass.hh" #include "VertexId.hh" -#include "PathVertexRep.hh" +#include "PathPrev.hh" #include "StaState.hh" namespace sta { @@ -55,7 +55,7 @@ typedef ObjectId EdgeId; static constexpr EdgeId edge_id_null = object_id_null; static constexpr ObjectIdx edge_idx_null = object_id_null; -static constexpr ObjectIdx vertex_idx_null = object_id_null; +static constexpr ObjectIdx vertex_idx_null = object_idx_null; // The graph acts as a BUILDER for the graph vertices and edges. class Graph : public StaState @@ -104,9 +104,9 @@ public: uint32_t count); Required *requireds(const Vertex *vertex) const; void deleteRequireds(Vertex *vertex); - PathVertexRep *makePrevPaths(Vertex *vertex, + PathPrev *makePrevPaths(Vertex *vertex, uint32_t count); - PathVertexRep *prevPaths(const Vertex *vertex) const; + PathPrev *prevPaths(const Vertex *vertex) const; void deletePrevPaths(Vertex *vertex); // Private to Search::deletePaths(Vertex). void deletePaths(Vertex *vertex); @@ -271,8 +271,8 @@ public: const Slew *slews() const { return slews_; } Arrival *arrivals() const { return arrivals_; } Arrival *requireds() const { return requireds_; } - PathVertexRep *prevPaths() const { return prev_paths_; } - void setPrevPaths(PathVertexRep *prev_paths); + PathPrev *prevPaths() const { return prev_paths_; } + void setPrevPaths(PathPrev *prev_paths); TagGroupIndex tagGroupIndex() const; void setTagGroupIndex(TagGroupIndex tag_index); // Slew is annotated by sdc set_annotated_transition cmd. @@ -337,7 +337,7 @@ protected: // Search Arrival *arrivals_; Arrival *requireds_; - PathVertexRep *prev_paths_; + PathPrev *prev_paths_; // These fields are written by multiple threads, so they // cannot share the same word as the following bit fields. @@ -382,7 +382,9 @@ public: Edge(); ~Edge(); Vertex *to(const Graph *graph) const { return graph->vertex(to_); } + VertexId to() const { return to_; } Vertex *from(const Graph *graph) const { return graph->vertex(from_); } + VertexId from() const { return from_; } TimingRole *role() const; bool isWire() const; TimingSense sense() const; diff --git a/include/sta/PathPrev.hh b/include/sta/PathPrev.hh new file mode 100644 index 00000000..3643bef1 --- /dev/null +++ b/include/sta/PathPrev.hh @@ -0,0 +1,75 @@ +// OpenSTA, Static Timing Analyzer +// Copyright (c) 2025, Parallax Software, Inc. +// +// This program is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program. If not, see . +// +// The origin of this software must not be misrepresented; you must not +// claim that you wrote the original software. +// +// Altered source versions must be plainly marked as such, and must not be +// misrepresented as being the original software. +// +// This notice may not be removed or altered from any source distribution. + +#pragma once + +#include "SdcClass.hh" +#include "SearchClass.hh" + +namespace sta { + +// "Pointer" to a previous path on a vertex (PathVertex) thru an edge/arc. +class PathPrev +{ +public: + PathPrev(); + PathPrev(const PathVertex *path, + const Edge *prev_edge, + const TimingArc *prev_arc, + const StaState *sta); + void init(); + void init(const PathPrev *path); + void init(const PathPrev &path); + void init(const PathVertex *path, + const Edge *prev_edge, + const TimingArc *prev_arc, + const StaState *sta); + bool isNull() const; + const char *name(const StaState *sta) const; + Vertex *vertex(const StaState *sta) const; + VertexId vertexId(const StaState *sta) const; + Edge *prevEdge(const StaState *sta) const; + TimingArc *prevArc(const StaState *sta) const; + Tag *tag(const StaState *sta) const; + TagIndex tagIndex() const { return prev_tag_index_; } + Arrival arrival(const StaState *sta) const; + void prevPath(const StaState *sta, + // Return values. + PathRef &prev_path, + TimingArc *&prev_arc) const; + + static bool equal(const PathPrev *path1, + const PathPrev *path2); + static bool equal(const PathPrev &path1, + const PathPrev &path2); + static int cmp(const PathPrev &path1, + const PathPrev &path2); + +protected: + EdgeId prev_edge_id_; + TagIndex prev_tag_index_:tag_index_bit_count; + unsigned prev_arc_idx_:2; +}; + +} // namespace diff --git a/include/sta/PathRef.hh b/include/sta/PathRef.hh index cec7b89a..50288e28 100644 --- a/include/sta/PathRef.hh +++ b/include/sta/PathRef.hh @@ -49,6 +49,8 @@ public: void init(const PathRef *path); void init(const PathVertex &path); void init(const PathVertex *path); + void init(const PathPrev &path, + const StaState *sta); void init(Vertex *vertex, Tag *tag, int arrival_index); diff --git a/include/sta/PathVertex.hh b/include/sta/PathVertex.hh index d8726d24..9d21140f 100644 --- a/include/sta/PathVertex.hh +++ b/include/sta/PathVertex.hh @@ -29,7 +29,8 @@ namespace sta { -class PathVertexRep; +class PathPrev; +class PathVertexPtr; // Implements Path API for a vertex. class PathVertex : public Path @@ -38,9 +39,11 @@ public: PathVertex(); PathVertex(const PathVertex &path); PathVertex(const PathVertex *path); - PathVertex(const PathVertexRep *path, + PathVertex(const PathPrev *path, const StaState *sta); - PathVertex(const PathVertexRep &path, + PathVertex(const PathPrev &path, + const StaState *sta); + PathVertex(const PathVertexPtr &path, const StaState *sta); // If tag is not in the vertex tag group isNull() is true. PathVertex(Vertex *vertex, @@ -50,9 +53,11 @@ public: Tag *tag, int arrival_index); void init(); - void init(const PathVertexRep *path, + void init(const PathPrev *path, const StaState *sta); - void init(const PathVertexRep &path, + void init(const PathPrev &path, + const StaState *sta); + void init(const PathVertexPtr &path, const StaState *sta); void init(Vertex *vertex, Tag *tag, diff --git a/include/sta/PathVertexPtr.hh b/include/sta/PathVertexPtr.hh new file mode 100644 index 00000000..80c89649 --- /dev/null +++ b/include/sta/PathVertexPtr.hh @@ -0,0 +1,63 @@ +// OpenSTA, Static Timing Analyzer +// Copyright (c) 2025, Parallax Software, Inc. +// +// This program is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program. If not, see . +// +// The origin of this software must not be misrepresented; you must not +// claim that you wrote the original software. +// +// Altered source versions must be plainly marked as such, and must not be +// misrepresented as being the original software. +// +// This notice may not be removed or altered from any source distribution. + +#pragma once + +#include "SearchClass.hh" + +namespace sta { + +// "Pointer" to a vertex path because there is no real path object to point to. +class PathVertexPtr +{ +public: + PathVertexPtr(); + PathVertexPtr(const PathVertex *path, + const StaState *sta); + void init(); + void init(const PathVertexPtr *path); + void init(const PathVertexPtr &path); + void init(const PathVertex *path, + const StaState *sta); + bool isNull() const; + const char *name(const StaState *sta) const; + Vertex *vertex(const StaState *sta) const; + VertexId vertexId() const { return vertex_id_; } + Tag *tag(const StaState *sta) const; + TagIndex tagIndex() const { return tag_index_; } + Arrival arrival(const StaState *sta) const; + + static bool equal(const PathVertexPtr *path1, + const PathVertexPtr *path2); + static bool equal(const PathVertexPtr &path1, + const PathVertexPtr &path2); + static int cmp(const PathVertexPtr &path1, + const PathVertexPtr &path2); + +protected: + VertexId vertex_id_; + TagIndex tag_index_; +}; + +} // namespace diff --git a/include/sta/PathVertexRep.hh b/include/sta/PathVertexRep.hh index b31c4ce6..d6249426 100644 --- a/include/sta/PathVertexRep.hh +++ b/include/sta/PathVertexRep.hh @@ -29,34 +29,30 @@ namespace sta { -// Path representation that references a vertex arrival via a tag. -// This does not implement the Path API which uses virtual functions -// that would make it larger. +// "Pointer" to a previous path on a vertex (PathVertex) thru an edge/arc. class PathVertexRep { public: - explicit PathVertexRep(); - explicit PathVertexRep(const PathVertexRep *path); - PathVertexRep(const PathVertexRep &path); - explicit PathVertexRep(const PathVertex *path, - const StaState *sta); - explicit PathVertexRep(const PathVertex &path, - const StaState *sta); - explicit PathVertexRep(VertexId vertex_id, - TagIndex tag_index, - bool is_enum); + PathVertexRep(); + PathVertexRep(const PathVertex *path, + const Edge *prev_edge, + const TimingArc *prev_arc, + const StaState *sta); void init(); void init(const PathVertexRep *path); void init(const PathVertexRep &path); void init(const PathVertex *path, + const Edge *prev_edge, + const TimingArc *prev_arc, const StaState *sta); - void init(const PathVertex &path, - const StaState *sta); - bool isNull() const { return vertex_id_ == 0; } - Vertex *vertex(const StaState *) const; - VertexId vertexId() const { return vertex_id_; } + bool isNull() const; + const char *name(const StaState *sta) const; + Vertex *vertex(const StaState *sta) const; + VertexId vertexId(const StaState *sta) const; + Edge *prevEdge(const StaState *sta) const; + TimingArc *prevArc(const StaState *sta) const; Tag *tag(const StaState *sta) const; - TagIndex tagIndex() const { return tag_index_; } + TagIndex tagIndex() const { return prev_tag_index_; } Arrival arrival(const StaState *sta) const; void prevPath(const StaState *sta, // Return values. @@ -67,15 +63,13 @@ public: const PathVertexRep *path2); static bool equal(const PathVertexRep &path1, const PathVertexRep &path2); - static int cmp(const PathVertexRep *path1, - const PathVertexRep *path2); static int cmp(const PathVertexRep &path1, const PathVertexRep &path2); protected: - VertexId vertex_id_; - TagIndex tag_index_; - bool is_enum_:1; + EdgeId prev_edge_id_; + TagIndex prev_tag_index_:tag_index_bit_count; + unsigned prev_arc_idx_:2; }; } // namespace diff --git a/include/sta/Search.hh b/include/sta/Search.hh index efa08c19..d59e9fa5 100644 --- a/include/sta/Search.hh +++ b/include/sta/Search.hh @@ -117,7 +117,6 @@ public: void arrivalsInvalid(); // Invalidate vertex arrival time. void arrivalInvalid(Vertex *vertex); - void arrivalInvalidDelete(Vertex *vertex); void arrivalInvalid(const Pin *pin); // Invalidate all required times. void requiredsInvalid(); @@ -373,6 +372,7 @@ public: bool unconstrained, bool thru_latches); VertexSeq filteredEndpoints(); + bool alwaysSavePrevPaths() const { return always_save_prev_paths_; } protected: void init(StaState *sta); @@ -613,6 +613,7 @@ protected: std::mutex pending_latch_outputs_lock_; VertexSet *endpoints_; VertexSet *invalid_endpoints_; + bool always_save_prev_paths_; // Filter exception to tag arrivals for // report_timing -from pin|inst -through. // -to is always nullptr. @@ -760,6 +761,7 @@ protected: void constrainedRequiredsInvalid(Vertex *vertex, bool is_clk); bool always_to_endpoints_; + bool always_save_prev_paths_; TagGroupBldr *tag_bldr_; TagGroupBldr *tag_bldr_no_crpr_; SearchPred *adj_pred_; diff --git a/include/sta/SearchClass.hh b/include/sta/SearchClass.hh index fb207de5..ac558426 100644 --- a/include/sta/SearchClass.hh +++ b/include/sta/SearchClass.hh @@ -43,7 +43,8 @@ class Corner; class Path; class PathRep; class PathVertex; -class PathVertexRep; +class PathPrev; +class PathVertexPtr; class PathRef; class PathEnumed; class PathEnd; @@ -136,7 +137,8 @@ enum class ReportPathFormat { full, json }; -static const TagIndex tag_index_max = std::numeric_limits::max(); +static const TagIndex tag_index_bit_count = 28; +static const TagIndex tag_index_max = (1 << tag_index_bit_count) - 1; static const TagIndex tag_index_null = tag_index_max; static const int path_ap_index_bit_count = 8; // One path analysis point per corner min/max. diff --git a/search/ClkInfo.cc b/search/ClkInfo.cc index 6aca9648..ca7559e9 100644 --- a/search/ClkInfo.cc +++ b/search/ClkInfo.cc @@ -53,7 +53,7 @@ ClkInfo::ClkInfo(const ClockEdge *clk_edge, float latency, ClockUncertainties *uncertainties, PathAPIndex path_ap_index, - PathVertexRep &crpr_clk_path, + PathVertexPtr &crpr_clk_path, const StaState *sta) : clk_edge_(clk_edge), clk_src_(clk_src), @@ -213,7 +213,7 @@ clkInfoEqual(const ClkInfo *clk_info1, && clk_info1->clkSrc() == clk_info2->clkSrc() && clk_info1->genClkSrc() == clk_info2->genClkSrc() && (!crpr_on - || (PathVertexRep::equal(clk_info1->crprClkPath(), + || (PathVertexPtr::equal(clk_info1->crprClkPath(), clk_info2->crprClkPath()))) && ((uncertainties1 == nullptr && uncertainties2 == nullptr) @@ -279,9 +279,9 @@ clkInfoCmp(const ClkInfo *clk_info1, bool crpr_on = sta->sdc()->crprActive(); if (crpr_on) { - const PathVertexRep &crpr_path1 = clk_info1->crprClkPath(); - const PathVertexRep &crpr_path2 = clk_info2->crprClkPath(); - int path_cmp = PathVertexRep::cmp(crpr_path1, crpr_path2); + const PathVertexPtr &crpr_path1 = clk_info1->crprClkPath(); + const PathVertexPtr &crpr_path2 = clk_info2->crprClkPath(); + int path_cmp = PathVertexPtr::cmp(crpr_path1, crpr_path2); if (path_cmp != 0) return path_cmp; } diff --git a/search/ClkInfo.hh b/search/ClkInfo.hh index 977f77d6..e7a548fd 100644 --- a/search/ClkInfo.hh +++ b/search/ClkInfo.hh @@ -26,7 +26,8 @@ #include "Transition.hh" #include "SearchClass.hh" -#include "PathVertexRep.hh" +#include "PathVertexPtr.hh" +#include "Sdc.hh" namespace sta { @@ -45,7 +46,7 @@ public: float latency, ClockUncertainties *uncertainties, PathAPIndex path_ap_index, - PathVertexRep &crpr_clk_path, + PathVertexPtr &crpr_clk_path, const StaState *sta); ~ClkInfo(); const char *asString(const StaState *sta) const; @@ -64,8 +65,8 @@ public: PathAPIndex pathAPIndex() const { return path_ap_index_; } // Clock path used for crpr resolution. // Null for clocks because the path cannot point to itself. - PathVertexRep &crprClkPath() { return crpr_clk_path_; } - const PathVertexRep &crprClkPath() const { return crpr_clk_path_; } + PathVertexPtr &crprClkPath() { return crpr_clk_path_; } + const PathVertexPtr &crprClkPath() const { return crpr_clk_path_; } VertexId crprClkVertexId() const; bool hasCrprClkPin() const { return !crpr_clk_path_.isNull(); } bool refsFilter(const StaState *sta) const; @@ -80,7 +81,7 @@ private: const ClockEdge *clk_edge_; const Pin *clk_src_; const Pin *gen_clk_src_; - PathVertexRep crpr_clk_path_; + PathVertexPtr crpr_clk_path_; ClockUncertainties *uncertainties_; Arrival insertion_; float latency_; diff --git a/search/Crpr.cc b/search/Crpr.cc index c692e731..ef35c772 100644 --- a/search/Crpr.cc +++ b/search/Crpr.cc @@ -33,7 +33,7 @@ #include "Graph.hh" #include "Sdc.hh" #include "PathVertex.hh" -#include "PathVertexRep.hh" +#include "PathPrev.hh" #include "Path.hh" #include "PathAnalysisPt.hh" #include "ClkInfo.hh" @@ -63,7 +63,7 @@ CheckCrpr::clkPathPrev(const PathVertex *path, int arrival_index; bool exists; path->arrivalIndex(arrival_index, exists); - PathVertexRep *prevs = graph_->prevPaths(vertex); + PathPrev *prevs = graph_->prevPaths(vertex); if (prevs) prev.init(prevs[arrival_index], this); else @@ -74,7 +74,7 @@ PathVertex CheckCrpr::clkPathPrev(Vertex *vertex, int arrival_index) { - PathVertexRep *prevs = graph_->prevPaths(vertex); + PathPrev *prevs = graph_->prevPaths(vertex); if (prevs) return PathVertex(prevs[arrival_index], this); else { @@ -90,7 +90,7 @@ CheckCrpr::clkPathPrev(Vertex *vertex, Arrival CheckCrpr::maxCrpr(ClkInfo *clk_info) { - const PathVertexRep &crpr_clk_path = clk_info->crprClkPath(); + const PathVertexPtr &crpr_clk_path = clk_info->crprClkPath(); if (!crpr_clk_path.isNull()) { PathVertex crpr_clk_vpath(crpr_clk_path, this); if (!crpr_clk_vpath.isNull()) { @@ -166,7 +166,7 @@ CheckCrpr::checkCrpr1(const Path *src_path, const Clock *src_clk = src_clk_info->clock(); const Clock *tgt_clk = tgt_clk_info->clock(); PathVertex src_clk_path1; - PathVertexRep &src_crpr_clk_path = src_clk_info->crprClkPath(); + const PathVertexPtr &src_crpr_clk_path = src_clk_info->crprClkPath(); const PathVertex *src_clk_path = nullptr; if (src_tag->isClock()) { src_clk_path1.init(src_path->vertex(this), src_path->tag(this), this); diff --git a/search/Genclks.cc b/search/Genclks.cc index d76f0e80..573dcf0f 100644 --- a/search/Genclks.cc +++ b/search/Genclks.cc @@ -40,7 +40,7 @@ #include "Corner.hh" #include "PathAnalysisPt.hh" #include "Levelize.hh" -#include "PathVertexRep.hh" +#include "PathVertexPtr.hh" #include "Search.hh" namespace sta { @@ -856,7 +856,7 @@ Genclks::copyGenClkSrcPaths(Vertex *vertex, { Arrival *arrivals = graph_->arrivals(vertex); if (arrivals) { - PathVertexRep *prev_paths = graph_->prevPaths(vertex); + PathPrev *prev_paths = graph_->prevPaths(vertex); TagGroup *tag_group = search_->tagGroup(vertex); if (tag_group) { ArrivalMap::Iterator arrival_iter(tag_group->arrivalMap()); @@ -866,7 +866,7 @@ Genclks::copyGenClkSrcPaths(Vertex *vertex, arrival_iter.next(tag, arrival_index); if (tag->isGenClkSrcPath()) { Arrival arrival = arrivals[arrival_index]; - PathVertexRep *prev_path = prev_paths + PathPrev *prev_path = prev_paths ? &prev_paths[arrival_index] : nullptr; tag_bldr->setArrival(tag, arrival, prev_path); @@ -903,7 +903,7 @@ Genclks::recordSrcPaths(Clock *gclk) bool has_edges = gclk->edges() != nullptr; for (const Pin *gclk_pin : gclk->leafPins()) { - PathVertexRep *src_paths = new PathVertexRep[path_count]; + PathVertexPtr *src_paths = new PathVertexPtr[path_count]; genclk_src_paths_.insert(ClockPinPair(gclk, gclk_pin), src_paths); Vertex *gclk_vertex = srcPathVertex(gclk_pin); @@ -920,7 +920,7 @@ Genclks::recordSrcPaths(Clock *gclk) bool inverting_path = (rf != src_clk_rf); const PathAnalysisPt *path_ap = path->pathAnalysisPt(this); int path_index = srcPathIndex(rf, path_ap); - PathVertexRep &src_path = src_paths[path_index]; + PathVertexPtr &src_path = src_paths[path_index]; if ((!divide_by_1 || (inverting_path == invert)) && (!has_edges @@ -999,7 +999,7 @@ Genclks::srcPath(const Clock *gclk, const RiseFall *rf, const PathAnalysisPt *path_ap) const { - PathVertexRep *src_paths = + PathVertexPtr *src_paths = genclk_src_paths_.findKey(ClockPinPair(gclk, src_pin)); if (src_paths) { int path_index = srcPathIndex(rf, path_ap); diff --git a/search/Genclks.hh b/search/Genclks.hh index 1d8189c4..7d662e1b 100644 --- a/search/Genclks.hh +++ b/search/Genclks.hh @@ -50,7 +50,7 @@ public: }; typedef Map GenclkInfoMap; -typedef Map GenclkSrcPathMap; +typedef Map GenclkSrcPathMap; class Genclks : public StaState { diff --git a/search/PathEnum.cc b/search/PathEnum.cc index 0b151b07..a8dd572c 100644 --- a/search/PathEnum.cc +++ b/search/PathEnum.cc @@ -354,13 +354,15 @@ PathEnumFaninVisitor::visitFromToPath(const Pin *, PathEnumed *after_div_copy; // Make the diverted path end to check slack with from_path crpr. makeDivertedPathEnd(from_path, arc, div_end, after_div_copy); - // Only enumerate paths with greater slack. - if (delayGreaterEqual(div_end->slack(this), path_end_slack_, this)) { - reportDiversion(arc, from_path); - path_enum_->makeDiversion(div_end, after_div_copy); + if (div_end) { + // Only enumerate paths with greater slack. + if (delayGreaterEqual(div_end->slack(this), path_end_slack_, this)) { + reportDiversion(arc, from_path); + path_enum_->makeDiversion(div_end, after_div_copy); + } + else + delete div_end; } - else - delete div_end; } // Only enumerate slower/faster paths. else if (delayLessEqual(to_arrival, before_div_arrival_, min_max, this)) { @@ -384,8 +386,12 @@ PathEnumFaninVisitor::makeDivertedPathEnd(Path *after_div, PathEnumed *div_path; path_enum_->makeDivertedPath(path_end_->path(), &before_div_, after_div, div_arc, div_path, after_div_copy); - div_end = path_end_->copy(); - div_end->setPath(div_path); + if (after_div_copy) { + div_end = path_end_->copy(); + div_end->setPath(div_path); + } + else + div_end = nullptr; } void @@ -539,17 +545,15 @@ PathEnum::makeDivertedPath(Path *path, PathEnumed *&div_path, PathEnumed *&after_div_copy) { + div_path = nullptr; + after_div_copy = nullptr; // Copy the diversion path. bool found_div = false; PathEnumedSeq copies; PathRef p(path); bool first = true; PathEnumed *prev_copy = nullptr; - VertexSet visited(graph_); - while (!p.isNull() - // Break latch loops. - && !visited.hasKey(p.vertex(this))) { - visited.insert(p.vertex(this)); + while (!p.isNull()) { PathRef prev; TimingArc *prev_arc; p.prevPath(this, prev, prev_arc); @@ -562,10 +566,12 @@ PathEnum::makeDivertedPath(Path *path, prev_copy->setPrevPath(copy); copies.push_back(copy); - if (first) - div_path = copy; if (Path::equal(&p, after_div, this)) after_div_copy = copy; + if (first) + div_path = copy; + else if (network_->isLatchData(p.pin(this))) + break; if (Path::equal(&p, before_div, this)) { copy->setPrevArc(div_arc); // Update the delays forward from before_div to the end of the path. @@ -598,7 +604,7 @@ PathEnum::updatePathHeadDelays(PathEnumedSeq &paths, ArcDelay arc_delay = search_->deratedDelay(edge->from(graph_), arc, edge, false, path_ap); Arrival arrival = prev_arrival + arc_delay; - debugPrint(debug_, "path_enum", 3, "update arrival %s %s %s -> %s", + debugPrint(debug_, "path_enum", 5, "update arrival %s %s %s -> %s", path->vertex(this)->name(network_), path->tag(this)->asString(this), delayAsString(path->arrival(this), this), diff --git a/search/PathPrev.cc b/search/PathPrev.cc new file mode 100644 index 00000000..8de8d78a --- /dev/null +++ b/search/PathPrev.cc @@ -0,0 +1,246 @@ +// OpenSTA, Static Timing Analyzer +// Copyright (c) 2025, Parallax Software, Inc. +// +// This program is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program. If not, see . +// +// The origin of this software must not be misrepresented; you must not +// claim that you wrote the original software. +// +// Altered source versions must be plainly marked as such, and must not be +// misrepresented as being the original software. +// +// This notice may not be removed or altered from any source distribution. + +#include "PathPrev.hh" + +#include "Graph.hh" +#include "TimingArc.hh" +#include "SearchClass.hh" +#include "Tag.hh" +#include "TagGroup.hh" +#include "Search.hh" +#include "PathAnalysisPt.hh" +#include "PathVertex.hh" + +namespace sta { + +PathPrev::PathPrev() +{ + init(); +} + +void +PathPrev::init() +{ + prev_edge_id_ = edge_id_null; + prev_arc_idx_ = 0; + prev_tag_index_ = tag_index_null; +} + +void +PathPrev::init(const PathPrev *path) +{ + if (path) { + prev_edge_id_ = path->prev_edge_id_; + prev_arc_idx_ = path->prev_arc_idx_; + prev_tag_index_ = path->prev_tag_index_; + } + else + init(); +} + +void +PathPrev::init(const PathPrev &path) +{ + prev_edge_id_ = path.prev_edge_id_; + prev_arc_idx_ = path.prev_arc_idx_; + prev_tag_index_ = path.prev_tag_index_; +} + +void +PathPrev::init(const PathVertex *path, + const Edge *prev_edge, + const TimingArc *prev_arc, + const StaState *sta) +{ + if (path == nullptr || path->isNull()) + init(); + else { + const Graph *graph = sta->graph(); + prev_edge_id_ = graph->id(prev_edge); + prev_arc_idx_ = prev_arc->index(); + prev_tag_index_ = path->tag(sta)->index(); + } +} + +const char * +PathPrev::name(const StaState *sta) const +{ + const Network *network = sta->network(); + const Search *search = sta->search(); + Vertex *vertex = this->vertex(sta); + if (vertex) { + const char *vertex_name = vertex->name(network); + const Tag *tag = this->tag(search); + const RiseFall *rf = tag->transition(); + const char *rf_str = rf->asString(); + const PathAnalysisPt *path_ap = tag->pathAnalysisPt(sta); + int ap_index = path_ap->index(); + const char *min_max = path_ap->pathMinMax()->asString(); + TagIndex tag_index = tag->index(); + return stringPrintTmp("%s %s %s/%d %d", + vertex_name, rf_str, min_max, + ap_index, tag_index); + } + else + return "NULL"; +} + +bool +PathPrev::isNull() const +{ + return prev_edge_id_ == edge_id_null; +} + +VertexId +PathPrev::vertexId(const StaState *sta) const +{ + if (prev_edge_id_ == edge_id_null) + return vertex_id_null; + else { + const Graph *graph = sta->graph(); + const Edge *edge = graph->edge(prev_edge_id_); + return edge->from(); + } +} + +Vertex * +PathPrev::vertex(const StaState *sta) const +{ + if (prev_edge_id_ == edge_id_null) + return nullptr; + else { + const Graph *graph = sta->graph(); + const Edge *edge = graph->edge(prev_edge_id_); + return edge->from(graph); + } +} + +Edge * +PathPrev::prevEdge(const StaState *sta) const +{ + if (prev_edge_id_ == edge_id_null) + return nullptr; + else { + const Graph *graph = sta->graph(); + return graph->edge(prev_edge_id_); + } +} + +TimingArc * +PathPrev::prevArc(const StaState *sta) const +{ + if (prev_edge_id_ == edge_id_null) + return nullptr; + else { + const Graph *graph = sta->graph(); + const Edge *edge = graph->edge(prev_edge_id_); + TimingArcSet *arc_set = edge->timingArcSet(); + return arc_set->findTimingArc(prev_arc_idx_); + } +} + +Tag * +PathPrev::tag(const StaState *sta) const +{ + const Search *search = sta->search(); + return search->tag(prev_tag_index_); +} + +Arrival +PathPrev::arrival(const StaState *sta) const +{ + Graph *graph = sta->graph(); + const Search *search = sta->search(); + Tag *tag = search->tag(prev_tag_index_); + Vertex *vertex = this->vertex(sta); + TagGroup *tag_group = search->tagGroup(vertex); + if (tag_group) { + int arrival_index; + bool arrival_exists; + tag_group->arrivalIndex(tag, arrival_index, arrival_exists); + if (!arrival_exists) + sta->report()->critical(1420, "tag group missing tag"); + Arrival *arrivals = graph->arrivals(vertex); + if (arrivals) + return arrivals[arrival_index]; + else + sta->report()->critical(1421, "missing arrivals"); + } + else + sta->report()->error(1422, "missing arrivals."); + return 0.0; +} + +void +PathPrev::prevPath(const StaState *sta, + // Return values. + PathRef &prev_path, + TimingArc *&prev_arc) const +{ + PathVertex path_vertex(this, sta); + path_vertex.prevPath(sta, prev_path, prev_arc); +} + +//////////////////////////////////////////////////////////////// + +bool +PathPrev::equal(const PathPrev *path1, + const PathPrev *path2) +{ + return path1->prev_edge_id_ == path2->prev_edge_id_ + && path1->prev_tag_index_ == path2->prev_tag_index_; +} + +bool +PathPrev::equal(const PathPrev &path1, + const PathPrev &path2) +{ + return path1.prev_edge_id_ == path2.prev_edge_id_ + && path1.prev_tag_index_ == path2.prev_tag_index_; +} + +int +PathPrev::cmp(const PathPrev &path1, + const PathPrev &path2) +{ + EdgeId edge_id1 = path1.prev_edge_id_; + EdgeId edge_id2 = path2.prev_edge_id_; + if (edge_id1 == edge_id2) { + TagIndex tag_index1 = path1.prev_tag_index_; + TagIndex tag_index2 = path2.prev_tag_index_; + if (tag_index1 == tag_index2) + return 0; + else if (tag_index1 < tag_index2) + return -1; + else + return 1; + } + else if (edge_id1 < edge_id2) + return -1; + else + return 1; +} + +} // namespace diff --git a/search/PathRef.cc b/search/PathRef.cc index 70401ef6..74bb0aae 100644 --- a/search/PathRef.cc +++ b/search/PathRef.cc @@ -96,6 +96,26 @@ PathRef::init(const PathVertex &path) path_vertex_ = path; } +void +PathRef::init(const PathPrev &path, + const StaState *sta) +{ + int arrival_index = 0; + TagIndex tag_index = path.tagIndex(); + Tag *tag = nullptr; + if (tag_index != tag_index_null) { + const Search *search = sta->search(); + tag = search->tag(tag_index); + Vertex *vertex = path.vertex(sta); + TagGroup *tag_group = search->tagGroup(vertex); + if (tag_group) { + bool arrival_exists; + tag_group->arrivalIndex(tag, arrival_index, arrival_exists); + } + } + path_vertex_.init(path.vertex(sta), tag, arrival_index); +} + void PathRef::init(Vertex *vertex, Tag *tag, diff --git a/search/PathVertex.cc b/search/PathVertex.cc index 5bb20a57..9efcc03e 100644 --- a/search/PathVertex.cc +++ b/search/PathVertex.cc @@ -36,7 +36,8 @@ #include "TagGroup.hh" #include "PathAnalysisPt.hh" #include "PathRef.hh" -#include "PathVertexRep.hh" +#include "PathPrev.hh" +#include "PathVertexPtr.hh" #include "Search.hh" namespace sta { @@ -83,7 +84,7 @@ PathVertex::PathVertex(Vertex *vertex, { } -PathVertex::PathVertex(const PathVertexRep *path, +PathVertex::PathVertex(const PathPrev *path, const StaState *sta) { if (path) @@ -92,7 +93,16 @@ PathVertex::PathVertex(const PathVertexRep *path, init(); } -PathVertex::PathVertex(const PathVertexRep &path, +PathVertex::PathVertex(const PathPrev &path, + const StaState *sta) +{ + if (path.isNull()) + init(); + else + init(path.vertex(sta), path.tag(sta), sta); +} + +PathVertex::PathVertex(const PathVertexPtr &path, const StaState *sta) { if (path.isNull()) @@ -140,7 +150,7 @@ PathVertex::init(Vertex *vertex, } void -PathVertex::init(const PathVertexRep *path, +PathVertex::init(const PathPrev *path, const StaState *sta) { if (path) @@ -150,7 +160,17 @@ PathVertex::init(const PathVertexRep *path, } void -PathVertex::init(const PathVertexRep &path, +PathVertex::init(const PathPrev &path, + const StaState *sta) +{ + if (!path.isNull()) + init(path.vertex(sta), path.tag(sta), sta); + else + init(); +} + +void +PathVertex::init(const PathVertexPtr &path, const StaState *sta) { if (!path.isNull()) @@ -481,9 +501,19 @@ PathVertex::prevPath(const StaState *sta, PathRef &prev_path, TimingArc *&prev_arc) const { - PathVertex prev; - prevPath(sta, prev, prev_arc); - prev.setRef(prev_path); + const Graph *graph = sta->graph(); + Vertex *vertex = this->vertex(graph); + PathPrev *prev_paths = vertex->prevPaths(); + if (prev_paths) { + PathPrev &prev = prev_paths[arrival_index_]; + prev_path.init(prev, sta); + prev_arc = prev.isNull() ? nullptr : prev.prevArc(sta); + } + else { + PathVertex prev; + prevPath(sta, prev, prev_arc); + prev.setRef(prev_path); + } } //////////////////////////////////////////////////////////////// diff --git a/search/PathVertexPtr.cc b/search/PathVertexPtr.cc new file mode 100644 index 00000000..16fc7d9d --- /dev/null +++ b/search/PathVertexPtr.cc @@ -0,0 +1,201 @@ +// OpenSTA, Static Timing Analyzer +// Copyright (c) 2025, Parallax Software, Inc. +// +// This program is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program. If not, see . +// +// The origin of this software must not be misrepresented; you must not +// claim that you wrote the original software. +// +// Altered source versions must be plainly marked as such, and must not be +// misrepresented as being the original software. +// +// This notice may not be removed or altered from any source distribution. + +#include "PathVertexPtr.hh" + +#include "Graph.hh" +#include "TimingArc.hh" +#include "SearchClass.hh" +#include "Tag.hh" +#include "TagGroup.hh" +#include "Search.hh" +#include "PathAnalysisPt.hh" +#include "PathVertex.hh" + +namespace sta { + +PathVertexPtr::PathVertexPtr() : + vertex_id_(vertex_id_null), + tag_index_(tag_index_null) +{ +} + +PathVertexPtr::PathVertexPtr(const PathVertex *path, + const StaState *sta) +{ + init(path, sta); +} + +void +PathVertexPtr::init() +{ + vertex_id_ = vertex_id_null; + tag_index_ = tag_index_null; +} + +void +PathVertexPtr::init(const PathVertexPtr *path) +{ + if (path) { + vertex_id_ = path->vertex_id_; + tag_index_ = path->tag_index_; + } + else { + vertex_id_ = vertex_id_null; + tag_index_ = tag_index_null; + } +} + +void +PathVertexPtr::init(const PathVertexPtr &path) +{ + vertex_id_ = path.vertex_id_; + tag_index_ = path.tag_index_; +} + +void +PathVertexPtr::init(const PathVertex *path, + const StaState *sta) +{ + if (path == nullptr || path->isNull()) + init(); + else { + vertex_id_ = path->vertexId(sta); + tag_index_ = path->tagIndex(sta); + } +} + +const char * +PathVertexPtr::name(const StaState *sta) const +{ + const Network *network = sta->network(); + const Search *search = sta->search(); + Vertex *vertex = this->vertex(sta); + if (vertex) { + const char *vertex_name = vertex->name(network); + const Tag *tag = this->tag(search); + const RiseFall *rf = tag->transition(); + const char *rf_str = rf->asString(); + const PathAnalysisPt *path_ap = tag->pathAnalysisPt(sta); + int ap_index = path_ap->index(); + const char *min_max = path_ap->pathMinMax()->asString(); + TagIndex tag_index = tag->index(); + return stringPrintTmp("%s %s %s/%d %d", + vertex_name, rf_str, min_max, + ap_index, tag_index); + } + else + return "NULL"; +} + +bool +PathVertexPtr::isNull() const +{ + return vertex_id_ == vertex_id_null; +} + +Vertex * +PathVertexPtr::vertex(const StaState *sta) const +{ + if (vertex_id_ == vertex_id_null) + return nullptr; + else { + const Graph *graph = sta->graph(); + return graph->vertex(vertex_id_); + } +} + +Tag * +PathVertexPtr::tag(const StaState *sta) const +{ + const Search *search = sta->search(); + return search->tag(tag_index_); +} + +Arrival +PathVertexPtr::arrival(const StaState *sta) const +{ + const Vertex *vertex = this->vertex(sta); + Arrival *arrivals = sta->graph()->arrivals(vertex); + if (arrivals) { + const Search *search = sta->search(); + TagGroup *tag_group = search->tagGroup(vertex); + Tag *tag = this->tag(sta); + int arrival_index; + bool arrival_exists; + tag_group->arrivalIndex(tag, arrival_index, arrival_exists); + if (arrival_exists) + return arrivals[arrival_index]; + else { + sta->report()->error(1403, "missing arrival."); + return 0.0; + } + } + else { + sta->report()->error(1404, "missing arrivals."); + return 0.0; + } +} + +//////////////////////////////////////////////////////////////// + +bool +PathVertexPtr::equal(const PathVertexPtr *path1, + const PathVertexPtr *path2) +{ + return path1->vertex_id_ == path2->vertex_id_ + && path1->tag_index_ == path2->tag_index_; +} + +bool +PathVertexPtr::equal(const PathVertexPtr &path1, + const PathVertexPtr &path2) +{ + return path1.vertex_id_ == path2.vertex_id_ + && path1.tag_index_ == path2.tag_index_; +} + +int +PathVertexPtr::cmp(const PathVertexPtr &path1, + const PathVertexPtr &path2) +{ + VertexId vertex_id1 = path1.vertex_id_; + VertexId vertex_id2 = path2.vertex_id_; + if (vertex_id1 == vertex_id2) { + TagIndex tag_index1 = path1.tagIndex(); + TagIndex tag_index2 = path2.tagIndex(); + if (tag_index1 == tag_index2) + return 0; + else if (tag_index1 < tag_index2) + return -1; + else + return 1; + } + else if (vertex_id1 < vertex_id2) + return -1; + else + return 1; +} + +} // namespace diff --git a/search/PathVertexRep.cc b/search/PathVertexRep.cc deleted file mode 100644 index ebb3cd53..00000000 --- a/search/PathVertexRep.cc +++ /dev/null @@ -1,246 +0,0 @@ -// OpenSTA, Static Timing Analyzer -// Copyright (c) 2025, Parallax Software, Inc. -// -// This program is free software: you can redistribute it and/or modify -// it under the terms of the GNU General Public License as published by -// the Free Software Foundation, either version 3 of the License, or -// (at your option) any later version. -// -// This program is distributed in the hope that it will be useful, -// but WITHOUT ANY WARRANTY; without even the implied warranty of -// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -// GNU General Public License for more details. -// -// You should have received a copy of the GNU General Public License -// along with this program. If not, see . -// -// The origin of this software must not be misrepresented; you must not -// claim that you wrote the original software. -// -// Altered source versions must be plainly marked as such, and must not be -// misrepresented as being the original software. -// -// This notice may not be removed or altered from any source distribution. - -#include "PathVertexRep.hh" - -#include "Graph.hh" -#include "SearchClass.hh" -#include "Tag.hh" -#include "TagGroup.hh" -#include "Search.hh" -#include "PathVertex.hh" - -namespace sta { - -PathVertexRep::PathVertexRep() -{ - init(); -} - -PathVertexRep::PathVertexRep(const PathVertexRep *path) -{ - init(path); -} - -PathVertexRep::PathVertexRep(const PathVertexRep &path) -{ - init(path); -} - -PathVertexRep::PathVertexRep(const PathVertex *path, - const StaState *sta) -{ - init(path, sta); -} - -PathVertexRep::PathVertexRep(const PathVertex &path, - const StaState *sta) -{ - init(path, sta); -} - -PathVertexRep::PathVertexRep(VertexId vertex_id, - TagIndex tag_index, - bool is_enum) : - vertex_id_(vertex_id), - tag_index_(tag_index), - is_enum_(is_enum) -{ -} - -void -PathVertexRep::init() -{ - vertex_id_ = 0; - tag_index_ = tag_index_null; - is_enum_ = false; -} - -void -PathVertexRep::init(const PathVertexRep *path) -{ - if (path) { - vertex_id_ = path->vertex_id_; - tag_index_ = path->tag_index_; - is_enum_ = false; - } - else - init(); -} - -void -PathVertexRep::init(const PathVertexRep &path) -{ - vertex_id_ = path.vertex_id_; - tag_index_ = path.tag_index_; - is_enum_ = false; -} - -void -PathVertexRep::init(const PathVertex *path, - const StaState *sta) -{ - if (path == nullptr || path->isNull()) - init(); - else { - vertex_id_ = sta->graph()->id(path->vertex(sta)); - tag_index_ = path->tag(sta)->index(); - is_enum_ = false; - } -} - -void -PathVertexRep::init(const PathVertex &path, - const StaState *sta) -{ - if (path.isNull()) - init(); - else { - vertex_id_ = sta->graph()->id(path.vertex(sta)); - tag_index_ = path.tag(sta)->index(); - is_enum_ = false; - } -} - -Vertex * -PathVertexRep::vertex(const StaState *sta) const -{ - const Graph *graph = sta->graph(); - return graph->vertex(vertex_id_); -} - -Tag * -PathVertexRep::tag(const StaState *sta) const -{ - const Search *search = sta->search(); - return search->tag(tag_index_); -} - -Arrival -PathVertexRep::arrival(const StaState *sta) const -{ - Graph *graph = sta->graph(); - const Search *search = sta->search(); - Tag *tag = search->tag(tag_index_); - Vertex *vertex = graph->vertex(vertex_id_); - TagGroup *tag_group = search->tagGroup(vertex); - if (tag_group) { - int arrival_index; - bool arrival_exists; - tag_group->arrivalIndex(tag, arrival_index, arrival_exists); - if (!arrival_exists) - sta->report()->critical(1420, "tag group missing tag"); - Arrival *arrivals = graph->arrivals(vertex); - if (arrivals) - return arrivals[arrival_index]; - else - sta->report()->critical(1421, "missing arrivals"); - } - else - sta->report()->error(1422, "missing arrivals."); - return 0.0; -} - -void -PathVertexRep::prevPath(const StaState *sta, - // Return values. - PathRef &prev_path, - TimingArc *&prev_arc) const -{ - PathVertex path_vertex(this, sta); - path_vertex.prevPath(sta, prev_path, prev_arc); -} - -//////////////////////////////////////////////////////////////// - -bool -PathVertexRep::equal(const PathVertexRep *path1, - const PathVertexRep *path2) -{ - return path1->vertex_id_ == path2->vertex_id_ - && path1->tag_index_ == path2->tag_index_; -} - -bool -PathVertexRep::equal(const PathVertexRep &path1, - const PathVertexRep &path2) -{ - return path1.vertex_id_ == path2.vertex_id_ - && path1.tag_index_ == path2.tag_index_; -} - -int -PathVertexRep::cmp(const PathVertexRep *path1, - const PathVertexRep *path2) -{ - if (path1 && path2) { - VertexId vertex_id1 = path1->vertexId(); - VertexId vertex_id2 = path2->vertexId(); - if (vertex_id1 == vertex_id2) { - TagIndex tag_index1 = path1->tagIndex(); - TagIndex tag_index2 = path2->tagIndex(); - if (tag_index1 == tag_index2) - return 0; - else if (tag_index1 < tag_index2) - return -1; - else - return 1; - } - else if (vertex_id1 < vertex_id2) - return -1; - else - return 1; - } - else if (path1 == nullptr - && path2 == nullptr) - return 0; - else if (path1 == nullptr) - return -1; - else - return 1; -} - -int -PathVertexRep::cmp(const PathVertexRep &path1, - const PathVertexRep &path2) -{ - VertexId vertex_id1 = path1.vertexId(); - VertexId vertex_id2 = path2.vertexId(); - if (vertex_id1 == vertex_id2) { - TagIndex tag_index1 = path1.tagIndex(); - TagIndex tag_index2 = path2.tagIndex(); - if (tag_index1 == tag_index2) - return 0; - else if (tag_index1 < tag_index2) - return -1; - else - return 1; - } - else if (vertex_id1 < vertex_id2) - return -1; - else - return 1; -} - -} // namespace diff --git a/search/Search.cc b/search/Search.cc index 64bed38b..97acec66 100644 --- a/search/Search.cc +++ b/search/Search.cc @@ -55,7 +55,7 @@ #include "Corner.hh" #include "Sim.hh" #include "PathVertex.hh" -#include "PathVertexRep.hh" +#include "PathPrev.hh" #include "PathRef.hh" #include "ClkInfo.hh" #include "Tag.hh" @@ -255,6 +255,7 @@ Search::init(StaState *sta) path_groups_ = nullptr; endpoints_ = nullptr; invalid_endpoints_ = nullptr; + always_save_prev_paths_ = true; filter_ = nullptr; filter_from_ = nullptr; filter_to_ = nullptr; @@ -786,13 +787,6 @@ Search::arrivalInvalid(Vertex *vertex) } } -void -Search::arrivalInvalidDelete(Vertex *vertex) -{ - arrivalInvalid(vertex); - deletePaths(vertex); -} - void Search::levelChangedBefore(Vertex *vertex) { @@ -1072,7 +1066,8 @@ Search::findArrivalsSeed() //////////////////////////////////////////////////////////////// ArrivalVisitor::ArrivalVisitor(const StaState *sta) : - PathVisitor(nullptr, sta) + PathVisitor(nullptr, sta), + always_save_prev_paths_(true) { init0(); init(true); @@ -1109,6 +1104,8 @@ ArrivalVisitor::init(bool always_to_endpoints, always_to_endpoints_ = always_to_endpoints; pred_ = pred; crpr_active_ = sdc_->crprActive(); + if (search_) + always_save_prev_paths_ = search_->alwaysSavePrevPaths(); } @@ -1241,6 +1238,7 @@ Search::arrivalsChanged(Vertex *vertex, TagGroupBldr *tag_bldr) { Arrival *arrivals1 = graph_->arrivals(vertex); + PathPrev *prev_paths1 = graph_->prevPaths(vertex); if (arrivals1) { TagGroup *tag_group = tagGroup(vertex); if (tag_group == nullptr @@ -1257,7 +1255,10 @@ Search::arrivalsChanged(Vertex *vertex, int arrival_index2; tag_bldr->tagMatchArrival(tag1, tag2, arrival2, arrival_index2); if (tag2 != tag1 - || !delayEqual(arrival1, arrival2)) + || !delayEqual(arrival1, arrival2) + || (prev_paths1 + && !PathPrev::equal(prev_paths1[arrival_index1], + tag_bldr->prevPath(arrival_index2)))) return true; } return false; @@ -1273,10 +1274,10 @@ ArrivalVisitor::visitFromToPath(const Pin *, Tag *from_tag, PathVertex *from_path, const Arrival &from_arrival, - Edge *, - TimingArc *, + Edge *edge, + TimingArc *arc, ArcDelay arc_delay, - Vertex *, + Vertex * /* to_vertex */, const RiseFall *to_rf, Tag *to_tag, Arrival &to_arrival, @@ -1307,9 +1308,13 @@ ArrivalVisitor::visitFromToPath(const Pin *, delayAsString(to_arrival, this), min_max == MinMax::max() ? ">" : "<", tag_match ? delayAsString(arrival, this) : "MIA"); - PathVertexRep prev_path; - if (to_tag->isClock() || to_tag->isGenClkSrcPath()) - prev_path.init(from_path, this); + PathPrev prev_path; + bool always_save_prev_paths = true; + bool save_prev = always_save_prev_paths + || to_tag->isClock() + || to_tag->isGenClkSrcPath(); + if (save_prev) + prev_path.init(from_path, edge, arc, this); tag_bldr_->setMatchArrival(to_tag, tag_match, to_arrival, arrival_index, &prev_path); @@ -1333,13 +1338,13 @@ ArrivalVisitor::visitFromToPath(const Pin *, void ArrivalVisitor::pruneCrprArrivals() { - ArrivalMap::Iterator arrival_iter(tag_bldr_->arrivalMap()); CheckCrpr *crpr = search_->checkCrpr(); - while (arrival_iter.hasNext()) { - Tag *tag; - int arrival_index; - arrival_iter.next(tag, arrival_index); + ArrivalMap *arrival_map = tag_bldr_->arrivalMap(); + for (auto arrival_itr = arrival_map->cbegin(); arrival_itr != arrival_map->cend(); ) { + Tag *tag = arrival_itr->first; + int arrival_index = arrival_itr->second; ClkInfo *clk_info = tag->clkInfo(); + bool deleted_tag = false; if (!tag->isClock() && clk_info->hasCrprClkPin()) { PathAnalysisPt *path_ap = tag->pathAnalysisPt(this); @@ -1364,10 +1369,13 @@ ArrivalVisitor::pruneCrprArrivals() if (delayGreater(max_arrival_max_crpr, arrival, min_max, this)) { debugPrint(debug_, "search", 3, " pruned %s", tag->asString(this)); - tag_bldr_->deleteArrival(tag); + arrival_itr = arrival_map->erase(arrival_itr); + deleted_tag = true; } } } + if (!deleted_tag) + arrival_itr++; } } @@ -2710,7 +2718,10 @@ Search::setVertexArrivals(Vertex *vertex, else { TagGroup *prev_tag_group = tagGroup(vertex); Arrival *prev_arrivals = graph_->arrivals(vertex); - PathVertexRep *prev_paths = graph_->prevPaths(vertex); + PathPrev *prev_paths = graph_->prevPaths(vertex); + bool save_prev = always_save_prev_paths_ + || tag_bldr->hasClkTag() + || tag_bldr->hasGenClkSrcTag(); TagGroup *tag_group = findTagGroup(tag_bldr); int arrival_count = tag_group->arrivalCount(); @@ -2718,7 +2729,7 @@ Search::setVertexArrivals(Vertex *vertex, // Reuse arrival array if it is the same size. if (prev_tag_group && arrival_count == prev_tag_group->arrivalCount()) { - if (tag_bldr->hasClkTag() || tag_bldr->hasGenClkSrcTag()) { + if (save_prev) { if (prev_paths == nullptr) prev_paths = graph_->makePrevPaths(vertex, arrival_count); } @@ -2751,7 +2762,7 @@ Search::setVertexArrivals(Vertex *vertex, } Arrival *arrivals = graph_->makeArrivals(vertex, arrival_count); prev_paths = nullptr; - if (tag_bldr->hasClkTag() || tag_bldr->hasGenClkSrcTag()) + if (save_prev) prev_paths = graph_->makePrevPaths(vertex, arrival_count); tag_bldr->copyArrivals(tag_group, arrivals, prev_paths); @@ -2771,6 +2782,7 @@ Search::reportArrivals(Vertex *vertex) const TagGroup *tag_group = tagGroup(vertex); Arrival *arrivals = graph_->arrivals(vertex); Required *requireds = graph_->requireds(vertex); + PathPrev *prev_paths = graph_->prevPaths(vertex); if (tag_group) { report_->reportLine("Group %u", tag_group->index()); ArrivalMap::Iterator arrival_iter(tag_group->arrivalMap()); @@ -2791,14 +2803,34 @@ Search::reportArrivals(Vertex *vertex) const if (!prev.isNull()) clk_prev = prev.name(this); } - report_->reportLine(" %d %s %s %s / %s %s %s", + string prev_str; + if (prev_paths) { + PathPrev &prev = prev_paths[arrival_index]; + if (!prev.isNull()) { + prev_str += prev.name(this); + prev_str += " "; + const Edge *prev_edge = prev.prevEdge(this); + TimingArc *arc = prev.prevArc(this); + prev_str += prev_edge->from(graph_)->name(network_); + prev_str += " "; + prev_str += arc->fromEdge()->asString(); + prev_str += " -> "; + prev_str += prev_edge->to(graph_)->name(network_); + prev_str += " "; + prev_str += arc->toEdge()->asString(); + } + else + prev_str = "NULL"; + } + report_->reportLine(" %d %s %s %s / %s %s %s prev %s", arrival_index, rf->asString(), path_ap->pathMinMax()->asString(), delayAsString(arrivals[arrival_index], this), req, tag->asString(true, false, this), - clk_prev); + clk_prev, + prev_str.c_str()); } } else @@ -2983,17 +3015,17 @@ Search::findClkInfo(const ClockEdge *clk_edge, const PathAnalysisPt *path_ap, PathVertex *crpr_clk_path) { - PathVertexRep crpr_clk_path_rep(crpr_clk_path, this); + PathVertexPtr crpr_clk_path_ptr(crpr_clk_path, this); ClkInfo probe(clk_edge, clk_src, is_propagated, gen_clk_src, gen_clk_src_path, pulse_clk_sense, insertion, latency, uncertainties, - path_ap->index(), crpr_clk_path_rep, this); + path_ap->index(), crpr_clk_path_ptr, this); LockGuard lock(clk_info_lock_); ClkInfo *clk_info = clk_info_set_->findKey(&probe); if (clk_info == nullptr) { clk_info = new ClkInfo(clk_edge, clk_src, is_propagated, gen_clk_src, gen_clk_src_path, pulse_clk_sense, insertion, latency, uncertainties, - path_ap->index(), crpr_clk_path_rep, this); + path_ap->index(), crpr_clk_path_ptr, this); clk_info_set_->insert(clk_info); } return clk_info; diff --git a/search/Sta.cc b/search/Sta.cc index d01b0057..48ad81b4 100644 --- a/search/Sta.cc +++ b/search/Sta.cc @@ -4609,8 +4609,7 @@ Sta::deletePinBefore(const Pin *pin) if (edge->role()->isWire()) { // Only notify to vertex (from will be deleted). Vertex *to = edge->to(graph_); - // to->prev_paths point to vertex, so delete them. - search_->arrivalInvalidDelete(to); + search_->arrivalInvalid(to); graph_delay_calc_->delayInvalid(to); levelize_->relevelizeFrom(to); } diff --git a/search/TagGroup.cc b/search/TagGroup.cc index b00ed4c6..14d6bb89 100644 --- a/search/TagGroup.cc +++ b/search/TagGroup.cc @@ -32,7 +32,7 @@ #include "Tag.hh" #include "Corner.hh" #include "Search.hh" -#include "PathVertexRep.hh" +#include "PathPrev.hh" namespace sta { @@ -200,7 +200,7 @@ TagGroupBldr::arrival(int arrival_index) const void TagGroupBldr::setArrival(Tag *tag, const Arrival &arrival, - PathVertexRep *prev_path) + PathPrev *prev_path) { Tag *tag_match; Arrival ignore; @@ -215,7 +215,7 @@ TagGroupBldr::setMatchArrival(Tag *tag, Tag *tag_match, const Arrival &arrival, int arrival_index, - PathVertexRep *prev_path) + PathPrev *prev_path) { if (tag_match) { // If the group_tag exists there has to be an arrival map entry for it. @@ -234,7 +234,7 @@ TagGroupBldr::setMatchArrival(Tag *tag, if (prev_path) prev_paths_.push_back(*prev_path); else - prev_paths_.push_back(PathVertexRep()); + prev_paths_.push_back(PathPrev()); if (tag->isClock()) has_clk_tag_ = true; @@ -248,12 +248,6 @@ TagGroupBldr::setMatchArrival(Tag *tag, } } -void -TagGroupBldr::deleteArrival(Tag *tag) -{ - arrival_map_.erase(tag); -} - TagGroup * TagGroupBldr::makeTagGroup(TagGroupIndex index, const StaState *sta) @@ -285,7 +279,7 @@ TagGroupBldr::makeArrivalMap(const StaState *sta) void TagGroupBldr::copyArrivals(TagGroup *tag_group, Arrival *arrivals, - PathVertexRep *prev_paths) + PathPrev *prev_paths) { ArrivalMap::Iterator arrival_iter1(arrival_map_); while (arrival_iter1.hasNext()) { @@ -297,7 +291,7 @@ TagGroupBldr::copyArrivals(TagGroup *tag_group, if (exists2) { arrivals[arrival_index2] = arrivals_[arrival_index1]; if (prev_paths) { - PathVertexRep *prev_path = &prev_paths_[arrival_index1]; + PathPrev *prev_path = &prev_paths_[arrival_index1]; prev_paths[arrival_index2].init(prev_path); } } @@ -306,6 +300,12 @@ TagGroupBldr::copyArrivals(TagGroup *tag_group, } } +PathPrev & +TagGroupBldr::prevPath(int arrival_index) +{ + return prev_paths_[arrival_index]; +} + //////////////////////////////////////////////////////////////// size_t diff --git a/search/TagGroup.hh b/search/TagGroup.hh index eca1d26a..64082d80 100644 --- a/search/TagGroup.hh +++ b/search/TagGroup.hh @@ -37,7 +37,7 @@ namespace sta { class TagGroupBldr; -typedef Vector PathVertexRepSeq; +typedef Vector PathPrevSeq; class TagGroup { @@ -110,7 +110,6 @@ public: bool hasGenClkSrcTag() const { return has_genclk_src_tag_; } bool hasFilterTag() const { return has_filter_tag_; } bool hasLoopTag() const { return has_loop_tag_; } - void deleteArrival(Tag *tag); void tagMatchArrival(Tag *tag, // Return values. Tag *&tag_match, @@ -119,16 +118,17 @@ public: Arrival arrival(int arrival_index) const; void setArrival(Tag *tag, const Arrival &arrival, - PathVertexRep *prev_path); + PathPrev *prev_path); void setMatchArrival(Tag *tag, Tag *tag_match, const Arrival &arrival, int arrival_index, - PathVertexRep *prev_path); + PathPrev *prev_path); ArrivalMap *arrivalMap() { return &arrival_map_; } + PathPrev &prevPath(int arrival_index); void copyArrivals(TagGroup *tag_group, Arrival *arrivals, - PathVertexRep *prev_paths); + PathPrev *prev_paths); protected: int tagMatchIndex(); @@ -138,7 +138,7 @@ protected: int default_arrival_count_; ArrivalMap arrival_map_; ArrivalSeq arrivals_; - PathVertexRepSeq prev_paths_; + PathPrevSeq prev_paths_; bool has_clk_tag_; bool has_genclk_src_tag_:1; bool has_filter_tag_; From ae12d4082890847214ac627dd191e15ff29556f6 Mon Sep 17 00:00:00 2001 From: James Cherry Date: Wed, 26 Feb 2025 16:08:13 -0800 Subject: [PATCH 16/16] write_verilog wire dcls for NC w/o liberty resolves #221 Signed-off-by: James Cherry --- verilog/VerilogWriter.cc | 20 ++++++++------------ 1 file changed, 8 insertions(+), 12 deletions(-) diff --git a/verilog/VerilogWriter.cc b/verilog/VerilogWriter.cc index 57bece2a..6fe3485a 100644 --- a/verilog/VerilogWriter.cc +++ b/verilog/VerilogWriter.cc @@ -508,19 +508,15 @@ VerilogWriter::findPortNCcount(const Instance *inst, const Port *port) { int nc_count = 0; - LibertyPort *lib_port = network_->libertyPort(port); - if (lib_port) { - Cell *cell = network_->cell(inst); - LibertyPortMemberIterator member_iter(lib_port); - while (member_iter.hasNext()) { - LibertyPort *lib_member = member_iter.next(); - Port *member = network_->findPort(cell, lib_member->name()); - Pin *pin = network_->findPin(inst, member); - if (pin == nullptr - || network_->net(pin) == nullptr) - nc_count++; - } + PortMemberIterator *member_iter = network_->memberIterator(port); + while (member_iter->hasNext()) { + Port *member = member_iter->next(); + Pin *pin = network_->findPin(inst, member); + if (pin == nullptr + || network_->net(pin) == nullptr) + nc_count++; } + delete member_iter; return nc_count; }