From 1731dd0c38dafadd50f3fd3908b9e9ec17557fe3 Mon Sep 17 00:00:00 2001 From: James Cherry Date: Wed, 3 Sep 2025 16:08:06 -0700 Subject: [PATCH 1/3] group_path -default doc resolves #292 Signed-off-by: James Cherry --- doc/OpenSTA.fodt | 3078 +++++++++++++++++++++++----------------------- doc/OpenSTA.pdf | Bin 1427456 -> 1429579 bytes 2 files changed, 1550 insertions(+), 1528 deletions(-) diff --git a/doc/OpenSTA.fodt b/doc/OpenSTA.fodt index b62d4b94..cd7ae9d9 100644 --- a/doc/OpenSTA.fodt +++ b/doc/OpenSTA.fodt @@ -1,10 +1,10 @@ - Parallax STA documentationJames Cherry4812025-03-17T12:59:52.4638705382010-07-31T21:07:002025-08-12T12:31:42.963746000P117DT14H34M45SLibreOffice/25.2.2.2$MacOSX_AARCH64 LibreOffice_project/7370d4be9e3cf6031a51beef54ff3bda878e3facPDF files: James CherryJames Cherry12.00000falsefalsefalsefalse + Parallax STA documentationJames Cherry4822025-03-17T12:59:52.4638705382010-07-31T21:07:002025-09-03T16:07:18.577431000P117DT14H37M47SLibreOffice/25.2.2.2$MacOSX_AARCH64 LibreOffice_project/7370d4be9e3cf6031a51beef54ff3bda878e3facPDF files: James CherryJames Cherry12.00000falsefalsefalsefalse - 999943 + 700804 0 30224 17736 @@ -13,12 +13,12 @@ view2 - 12256 - 1005261 + 19479 + 714065 0 - 999943 + 700804 30222 - 1017677 + 718538 0 1 false @@ -87,7 +87,7 @@ false true false - 25694037 + 25749756 0 false @@ -194,7 +194,7 @@ - + @@ -4368,779 +4368,786 @@ - + - - - + + - - + + - + + - - + + - - - + + - + - + - - + + + - + - - + + + + + + + + + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - - - - - - - - + - + + + + + + + + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - - - - - - - - - + - - + + + - + - - - + + - + + - + - - + - + - + - + + + + + + + + + - + - + - - - - - - - - - + - - + + + - + - - - + + - - + + - - + + + - + - - - + + - - + + + - + - - + + - + - - - + + - + - + - - + + + + + + + + + + - + - + - - - - - - - - - + + + + + + + + + - - - - - - - + + + + - - - - - - - + + - + - + - - + + - - + + - + - + + - + + + + + + + + - - - - - - - - - + - - + + - + - + - + + + + + + + + + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - - - - - - - - + - - + - - - + + + - + - + - + - - + + + - + - - + + - + - - - + + - + + + + + + + + + - + - + - + - - - - - - - - - + - + - + + + + + + + + + - + - + - + - + - - - - - - - + + + + + + + - + - + - - - - - - - - + - - + + + + + + + + + - + - + - + - + - + - + - + - + - + - + - - - - - - - + + + + + + + - + - + - + - + - - - - - - - - - + - + + + + + + + + + - + - + - + - + - + - + - + - + - + - + - + - + - + @@ -5426,756 +5433,762 @@ - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + + + + + + + @@ -6310,24 +6323,24 @@ Variables80 - Command Line Arguments + Command Line Arguments The command line arguments for sta are shown below. sta -help show help and exit -version show version and exit -no_init do not read ~/.sta -no_splash do not print the splash message -threads count|max use count threads -exit exit after reading cmd_file cmd_file source cmd_file When OpenSTA starts up, commands are first read from the user initialization file ~/.sta if it exists. If a TCL command file cmd_file is specified on the command line, commands are read from the file and executed before entering an interactive TCL command interpreter. If -exit is specified the application exits after reading cmd_file. Use the TCL exit command to exit the application. The –threads option specifies how many parallel threads to use. Use –threads max to use one thread per processor. - Example Command Scripts + Example Command Scripts To read a design into OpenSTA use the read_liberty command to read Liberty library files. Next, read hierarchical structural Verilog files with the read_verilog command. The link_design command links the Verilog to the Liberty timing cells. Any number of Liberty and Verilog files can be read before linking the design. Delays used for timing analysis are calculated using the Liberty timing models. If no parasitics are read only the pin capacitances of the timing models are used in delay calculation. Use the read_spef command to read parasitics from an extractor, or read_sdf to use delays calculated by an external delay calculator. Timing constraints can be entered as TCL commands or read using the read_sdc command. The units used by OpenSTA for all command arguments and reports are taken from the first Liberty file that is read. Use the set_cmd_units command to override the default units. - Timing Analysis using SDF + Timing Analysis using SDF A sample command file that reads a library and a Verilog netlist and reports timing checks is shown below. read_liberty example1_slow.libread_verilog example1.vlink_design topread_sdf example1.sdfcreate_clock -name clk -period 10 {clk1 clk2 clk3}set_input_delay -clock clk 0 {in1 in2}report_checks This example can be found in examples/sdf_delays.tcl. - Timing Analysis with Multiple Process Corners + Timing Analysis with Multiple Process Corners An example command script using three process corners and +/-10% min/max derating is shown below. define_corners wc typ bcread_liberty -corner wc example1_slow.libread_liberty -corner typ example1_typ.libread_liberty -corner bc example1_fast.libread_verilog example1.vlink_design topset_timing_derate -early 0.9set_timing_derate -late 1.1create_clock -name clk -period 10 {clk1 clk2 clk3}set_input_delay -clock clk 0 {in1 in2}report_checks -path_delay min_maxreport_checks -corner typ This example can be found in examples/spef_parasitics.tcl. Other examples can be found in the examples directory. - Power Analysis + Power Analysis OpenSTA also supports static power analysis with the report_power command. Probabalistic switching activities are propagated from the input ports to determine switching activities for internal pins. read_liberty sky130hd_tt.libread_verilog gcd_sky130hd.vlink_design gcdread_sdc gcd_sky130hd.sdcread_spef gcd_sky130hd.spefset_power_activity -input -activity 0.1set_power_activity -input_port reset -activity 0report_power In this example the activity for all inputs is set to 0.1, and then the activity for the reset signal is set to zero because it does not switch during steady state operation. @@ -6339,14 +6352,14 @@ read_liberty sky130hd_tt.libread_verilog gcd_sky130hd.vlink_design gcdread_sdc gcd_sky130hd.sdcread_spef gcd_sky130hd.spefread_vcd -scope gcd_tb/gcd1 gcd_sky130hd.vcd.gzreport_power This example can be found in examples/power_vcd.tcl. Note that in this simple example design simulation based activities does not significantly change the results. - TCL Interpreter + TCL Interpreter Keyword arguments to commands may be abbreviated. For example, report_checks -unique is equivalent to the following command. report_checks -unique_paths_to_endpoint The help command lists matching commands and their arguments. > help report*report_annotated_check [-setup] [-hold] [-recovery] [-removal] [-nochange] [-width] [-period] [-max_skew] [-max_lines liness] [-list_annotated]group_path_count [-list_not_annotated] [-constant_arcs]report_annotated_delay [-cell] [-net] [-from_in_ports] [-to_out_ports] [-max_lines liness] [-list_annotated] [-list_not_annotated] [-constant_arcs]report_arrival pinreport_check_types [-violators] [-verbose] [-corner corner] [-format slack_only|end] [-max_delay] [-min_delay] [-recovery] [-removal] [-clock_gating_setup] [-clock_gating_hold] [-max_slew] [-min_slew] [-max_fanout] [-min_fanout] [-max_capacitance] [-min_capacitance [-min_pulse_width] [-min_period] [-max_skew] [-net net] [-digits digits [-no_line_splits] [> filename] [>> filename]report_checks [-from from_list|-rise_from from_list|-fall_from from_list] [-through through_list|-rise_through through_list|-fall_through through_list] [-to to_list|-rise_to to_list|-fall_to to_list] [-unconstrained] [-path_delay min|min_rise|min_fall|max|max_rise|max_fall|min_max] [-corner corner] [-group_path_count path_count] [-endpoint_path_count path_count] [-unique_paths_to_endpoint] [-slack_max slack_max] [-slack_min slack_min] [-sort_by_slack] [-path_group group_name] [-format full|full_clock|full_clock_expanded|short|end|summary]... - Many reporting commands support redirection of the output to a file much like a Unix shell. + Many reporting commands support redirection of the output to a file much like a Unix shell. report_checks -to out1 > path.logreport_checks -to out2 >> path.log Debugging Timing Here are some guidelines for debugging your design if static timing does not report any paths, or does not report the expected paths. @@ -6372,13 +6385,13 @@ Next, check the arrival times at the D and CP pins of the register with report_arrivals. % report_arrivals r1/D (clk1 ^) r 1.00:1.00 f 1.00:1.00% report_arrivals r1/CP (clk1 ^) r 0.00:0.00 f INF:-INF (clk1 v) r INF:-INF f 5.00:5.00 If there are no arrivals on an input port of the design, use the set_input_delay command to specify the arrival times on the port. - Commands + Commands - all_clocks + all_clocks @@ -6391,7 +6404,7 @@ - all_inputs + all_inputs [-no_clocks] @@ -6412,7 +6425,7 @@ - all_outputs + all_outputs @@ -6425,7 +6438,7 @@ - all_registers + all_registers [-clock clock_names][-cells | -data_pins | -clock_pins | -async_pins | ‑output_pins][-level_sensitive][-edge_triggered] @@ -6502,7 +6515,7 @@ - check_setup + check_setup [-verbose][-unconstrained_endpoints][-multiple_clock][-no_clock][-no_input_delay][-loops][-generated_clocks][> filename][>> filename] @@ -6571,7 +6584,7 @@ - connect_pin + connect_pin netport|pin @@ -6671,7 +6684,7 @@ - create_generated_clock + create_generated_clock [-name clock_name]-source master_pin[-master_clock master_clock][-divide_by divisor][-multiply_by multiplier][-duty_cycle duty_cycle][-invert][-edges edge_list][-edge_shift shift_list][-add]pin_list @@ -6787,7 +6800,7 @@ - create_voltage_area + create_voltage_area [-name name][-coordinate coordinates][-guard_band_x guard_x][-guard_band_y guard_y]cells @@ -6800,7 +6813,7 @@ - current_design + current_design [design] @@ -6813,7 +6826,7 @@ - current_instance + current_instance [instance] @@ -6835,7 +6848,7 @@ - define_corners + define_corners corner1 [corner2]... @@ -6857,7 +6870,7 @@ - delete_clock + delete_clock [-all] clocks @@ -6878,7 +6891,7 @@ - delete_from_list + delete_from_list list objects @@ -6907,7 +6920,7 @@ - delete_generated_clock + delete_generated_clock [-all] clocks @@ -6928,7 +6941,7 @@ - delete_instance + delete_instance instance @@ -6950,7 +6963,7 @@ - delete_net + delete_net net @@ -6971,7 +6984,7 @@ - disconnect_pin + disconnect_pin netport | pin | -all @@ -7016,7 +7029,7 @@ - elapsed_run_time + elapsed_run_time @@ -7030,7 +7043,7 @@ - find_timing_paths + find_timing_paths [-from from_list |-rise_from from_list |-fall_from from_list][-through through_list |-rise_through through_list |-fall_through through_list][-to to_list |-rise_to to_list |-fall_to to_list][-unconstrained][-path_delay min|min_rise|min_fall |max|max_rise|max_fall |min_max][-group_path_count path_count][-endpoint_path_count endpoint_path_count][-unique_paths_to_endpoint][-corner corner][-slack_max max_slack][-slack_min min_slack][-sort_by_slack][-path_group groups] @@ -7244,7 +7257,7 @@ - get_cells + get_cells [-hierarchical][-hsc separator][-filter expr][-regexp][-nocase][-quiet][-of_objects objects][patterns] @@ -7322,7 +7335,7 @@ - get_clocks + get_clocks [-regexp][-nocase][-filter expr][-quiet]patterns @@ -7376,7 +7389,7 @@ - get_fanin + get_fanin -to sink_list[-flat][-only_cells][-startpoints_only][-levels level_count][-pin_levels pin_count][-trace_arcs timing|enabled|all] @@ -7461,7 +7474,7 @@ - get_fanout + get_fanout -from source_list[-flat][-only_cells][-endpoints_only][-levels level_count][-pin_levels pin_count][-trace_arcs timing|enabled|all] @@ -7547,7 +7560,7 @@ - get_full_name + get_full_name object @@ -7638,7 +7651,7 @@ - get_lib_pins + get_lib_pins [-of_objects objects][-hsc separator][-filter expr][-regexp][-nocase][-quiet]patterns @@ -7707,7 +7720,7 @@ - get_libs + get_libs [-filter expr][-regexp][-nocase][-quiet]patterns @@ -7761,7 +7774,7 @@ - get_nets + get_nets [-hierarchical][-hsc separator][-filter expr][-regexp][-nocase][-quiet][-of_objects objects][patterns] @@ -7838,7 +7851,7 @@ - get_name + get_name object @@ -7859,7 +7872,7 @@ - get_pins + get_pins [-hierarchical][-hsc separator][-filter expr][-regexp][-nocase][-quiet][-of_objects objects][patterns] @@ -7931,7 +7944,7 @@ - get_ports + get_ports [-filter expr][-regexp][-nocase][-quiet][-of_objects objects][patterns] @@ -7992,7 +8005,7 @@ - get_property + get_property [-object_type object_type]objectproperty @@ -8053,7 +8066,7 @@ - get_timing_edges + get_timing_edges [-from from_pins][-to to_pins][-of_objects objects][-filter expr][patterns] @@ -8098,10 +8111,10 @@ - group_path + group_path - -name group_name[-weight weight][-critical_range range][-from from_list |-rise_from from_list |-fall_from from_list][-through through_list][-rise_through through_list][-fall_through through_list][-to to_list |-rise_to to_list |-fall_to to_list] + -name group_name[-weight weight][-critical_range range][-from from_list |-rise_from from_list |-fall_from from_list][-through through_list][-rise_through through_list][-fall_through through_list][-to to_list |-rise_to to_list |-fall_to to_list][-default] @@ -8157,7 +8170,7 @@ -through through_list - Group paths through a list of instances, pins or nets. + Group paths through a list of instances, pins or nets. @@ -8165,7 +8178,7 @@ -rise_through through_list - Group rising paths through a list of instances, pins or nets. + Group rising paths through a list of instances, pins or nets. @@ -8173,7 +8186,7 @@ -fall_through through_list - Group falling paths through a list of instances, pins or nets. + Group falling paths through a list of instances, pins or nets. @@ -8181,7 +8194,7 @@ -to to_list - Group paths to a list of clocks, instances, ports or pins. + Group paths to a list of clocks, instances, ports or pins. @@ -8189,7 +8202,7 @@ -rise_to to_list - Group rising paths to a list of clocks, instances, ports or pins. + Group rising paths to a list of clocks, instances, ports or pins. @@ -8197,7 +8210,16 @@ -fall_to to_list - Group falling paths to a list of clocks, instances, ports or pins. + Group falling paths to a list of clocks, instances, port-s or pins. + + + + + + -default + + + Restore the paths in the path group -from/-to/-through/-to to their default path group. @@ -8205,95 +8227,95 @@ - - include + include - [-echo|-e][-verbose|-v]filename[> log_filename][>> log_filename] + [-echo|-e][-verbose|-v]filename[> log_filename][>> log_filename] - -echo|-e + -echo|-e - Print each command before evaluating it. + Print each command before evaluating it. - -verbose|-v + -verbose|-v - Print each command before evaluating it as well as the result it returns. + Print each command before evaluating it as well as the result it returns. - filename + filename - The name of the file containing commands to read. + The name of the file containing commands to read. - > log_filename + > log_filename - Redirect command output to log_filename. + Redirect command output to log_filename. - >> log_filename + >> log_filename - Redirect command output and append log_filename. + Redirect command output and append log_filename. - Read STA/SDC/Tcl commands from filename. - The include command stops and reports any errors encountered while reading a file unless sta_continue_on_error is 1. + Read STA/SDC/Tcl commands from filename. + The include command stops and reports any errors encountered while reading a file unless sta_continue_on_error is 1. - link_design + link_design - [-no_black_boxes][cell_name] + [-no_black_boxes][cell_name] - -no_black_boxes + -no_black_boxes - Do not make empty “black box” cells for instances that reference undefined cells. + Do not make empty “black box” cells for instances that reference undefined cells. - cell_name + cell_name - The top level module/cell name of the design hierarchy to link. + The top level module/cell name of the design hierarchy to link. - Link (elaborate, flatten) the the top level cell cell_name. The design must be linked after reading netlist and library files. The default value of cell_name is the current design. + Link (elaborate, flatten) the the top level cell cell_name. The design must be linked after reading netlist and library files. The default value of cell_name is the current design. The linker creates empty "block box" cells for instances the reference undefined cells when the variable link_create_black_boxes is true. When link_create_black_boxes is false an error is reported and the link fails. The link_design command returns 1 if the link succeeds and 0 if it fails. + - make_instance + make_instance inst_pathlib_cell @@ -8316,13 +8338,13 @@ - The make_instance command makes an instance of library cell lib_cell. + The make_instance command makes an instance of library cell lib_cell. - make_net + make_net net_name_list @@ -8343,18 +8365,18 @@ - read_liberty + read_liberty - [-corner corner][-min][-max][-infer_latches]filename + [-corner corner][-min][-max][-infer_latches]filename - -corner corner + -corner corner - Use the library for process corner corner delay calculation. + Use the library for process corner corner delay calculation. @@ -8378,13 +8400,13 @@ filename - The liberty file name to read. + The liberty file name to read. The read_liberty command reads a Liberty format library file. The first library that is read sets the units used by SDC/TCL commands and reporting. The include_file attribute is supported. - Some Liberty libraries do not include latch groups for cells that are describe transparent latches. In that situation the -infer_latches command flag can be used to infer the latches. The timing arcs required for a latch to be inferred should look like the following: - cell (infered_latch) { pin(D) { direction : input ; timing () { related_pin : "E" ; timing_type : setup_falling ; } timing () { related_pin : "E" ; timing_type : hold_falling ; } } pin(E) { direction : input; } pin(Q) { direction : output ; timing () { related_pin : "D" ; } timing () { related_pin : "E" ; timing_type : rising_edge ; } }} + Some Liberty libraries do not include latch groups for cells that are describe transparent latches. In that situation the -infer_latches command flag can be used to infer the latches. The timing arcs required for a latch to be inferred should look like the following: + cell (infered_latch) { pin(D) { direction : input ; timing () { related_pin : "E" ; timing_type : setup_falling ; } timing () { related_pin : "E" ; timing_type : hold_falling ; } } pin(E) { direction : input; } pin(Q) { direction : output ; timing () { related_pin : "D" ; } timing () { related_pin : "E" ; timing_type : rising_edge ; } }} In this example a positive level-sensitive latch is inferred. Files compressed with gzip are automatically uncompressed. @@ -8392,36 +8414,36 @@ - read_saif + read_saif - [-scope scope]filename + [-scope scope]filename - scope + scope - The SAIF scope of the current design to extract simulation data. Typically the test bench name and design under test instance name. Scope levels are separated with ‘/’. + The SAIF scope of the current design to extract simulation data. Typically the test bench name and design under test instance name. Scope levels are separated with ‘/’. - filename + filename - The name of the SAIF file to read. + The name of the SAIF file to read. - The read_saif command reads a SAIF (Switching Activity Interchange Format) file from a Verilog simulation and extracts pin activities and duty cycles for use in power estimation. Files compressed with gzip are supported. Annotated activities are propagated to the fanout of the annotated pins. + The read_saif command reads a SAIF (Switching Activity Interchange Format) file from a Verilog simulation and extracts pin activities and duty cycles for use in power estimation. Files compressed with gzip are supported. Annotated activities are propagated to the fanout of the annotated pins. - read_sdc + read_sdc [-echo]filename @@ -8450,9 +8472,10 @@ + - read_sdf + read_sdf [-corner corner][-unescaped_dividers]filename @@ -8460,13 +8483,12 @@ - -corner corner + -corner corner Process corner delays to annotate. - -unescaped_dividers @@ -8484,7 +8506,7 @@ - Read SDF delays from a file. The min and max values in the SDF tuples are used to annotate the delays for corner. The typical values in the SDF tuples are ignored. If multiple corners are defined -corner must be specified. + Read SDF delays from a file. The min and max values in the SDF tuples are used to annotate the delays for corner. The typical values in the SDF tuples are ignored. If multiple corners are defined -corner must be specified. Files compressed with gzip are automatically uncompressed. INCREMENT is supported as an alias for INCREMENTAL. The following SDF statements are not supported. @@ -8494,26 +8516,26 @@ - read_spef + read_spef - [-min][-max][-path path][-corner corner][-keep_capacitive_coupling][-coupling_reduction_factor factor][-reduce]filename + [-min][-max][-path path][-corner corner][-keep_capacitive_coupling][-coupling_reduction_factor factor][-reduce]filename - -min + -min - Annotate parasitics for min delays. + Annotate parasitics for min delays. - -max + -max - Annotate parasitics for max delays. + Annotate parasitics for max delays. @@ -8521,20 +8543,21 @@ path - Hierarchical block instance path to annotate with parasitics. + Hierarchical block instance path to annotate with parasitics. - -corner corner + -corner corner - Annotate parasitics for one process corner. + Annotate parasitics for one process corner. + - ‑keep_capacitive_coupling + ‑keep_capacitive_coupling Keep coupling capacitors in parasitic networks rather than converting them to grounded capacitors. @@ -8542,21 +8565,20 @@ - ‑coupling_reduction_factorfactor + ‑coupling_reduction_factorfactor - Factor to multiply coupling capacitance by when reducing parasitic networks. The default value is 1.0. + Factor to multiply coupling capacitance by when reducing parasitic networks. The default value is 1.0. - -reduce + -reduce - Reduce detailed parasitics and do not save the detailed parastic network. + Reduce detailed parasitics and do not save the detailed parastic network. - filename @@ -8566,58 +8588,57 @@ - The read_spef command reads a file of net parasitics in SPEF format. Use the report_parasitic_annotation command to check for nets that are not annotated. + The read_spef command reads a file of net parasitics in SPEF format. Use the report_parasitic_annotation command to check for nets that are not annotated. Files compressed with gzip are automatically uncompressed. - Separate parasitics can be annotated for corners and min and max paths using the -corner, –min and -max arguments. To use the same parastiics for every corner and for min/max delay calculation read the SPEF without -corner, -min, and -max options. - read_spef spef1 - To use separate parastics for min/max delay, use the -min, and -max options for each SPEF file. - read_spef -min spef1read_spef -max spef2 - To use separate parastics for each corner, use the -corner option for each SPEF file. - read_spef -corner ss spef1read_spef -corner tt spef2read_spef -corner ff spef3 - To use separate parastics for each corner and separate min/max delay calculation, use the -corner option along with the -min, and -max options. - read_spef -corner ss -min spef1read_spef -corner ss -max spef2read_spef -corner ff -min spef3read_spef -corner ff -max spef4 - With the -reduce option, the current delay calculator reduces the parastic network to the appropriate type and deletes the parasitic network. This substantially reduces the memory required to store the parasitics. + Separate parasitics can be annotated for corners and min and max paths using the -corner, –min and -max arguments. To use the same parastiics for every corner and for min/max delay calculation read the SPEF without -corner, -min, and -max options. + read_spef spef1 + To use separate parastics for min/max delay, use the -min, and -max options for each SPEF file. + read_spef -min spef1read_spef -max spef2 + To use separate parastics for each corner, use the -corner option for each SPEF file. + read_spef -corner ss spef1read_spef -corner tt spef2read_spef -corner ff spef3 + To use separate parastics for each corner and separate min/max delay calculation, use the -corner option along with the -min, and -max options. + read_spef -corner ss -min spef1read_spef -corner ss -max spef2read_spef -corner ff -min spef3read_spef -corner ff -max spef4 + With the -reduce option, the current delay calculator reduces the parastic network to the appropriate type and deletes the parasitic network. This substantially reduces the memory required to store the parasitics. Coupling capacitors are multiplied by the –coupling_reduction_factor when a parasitic network is reduced. The following SPEF constructs are ignored. - *DESIGN_FLOW (all values are ignored)*S slews*D driving cell*I pin capacitances (library cell capacitances are used instead)*Q r_net load poles*K r_net load residues - If the SPEF file contains triplet values the first value is used. - Parasitic networks (DSPEF) can be annotated on hierarchical blocks using the -path argument to specify the instance path to the block. Parasitic networks in the higher level netlist are stitched together at the hierarchical pins of the blocks. + *DESIGN_FLOW (all values are ignored)*S slews*D driving cell*I pin capacitances (library cell capacitances are used instead)*Q r_net load poles*K r_net load residues + If the SPEF file contains triplet values the first value is used. + Parasitic networks (DSPEF) can be annotated on hierarchical blocks using the -path argument to specify the instance path to the block. Parasitic networks in the higher level netlist are stitched together at the hierarchical pins of the blocks. - - read_vcd + read_vcd - [-scope scope]filename + [-scope scope]filename - scope + scope - The VCD scope of the current design to extract simulation data. Typically the test bench name and design under test instance name. Scope levels are separated with ‘/’. + The VCD scope of the current design to extract simulation data. Typically the test bench name and design under test instance name. Scope levels are separated with ‘/’. - filename + filename - The name of the VCD file to read. + The name of the VCD file to read. - The read_vcd command reads a VCD (Value Change Dump) file from a Verilog simulation and extracts pin activities and duty cycles for use in power estimation. Files compressed with gzip are supported. Annotated activities are propagated to the fanout of the annotated pins. + The read_vcd command reads a VCD (Value Change Dump) file from a Verilog simulation and extracts pin activities and duty cycles for use in power estimation. Files compressed with gzip are supported. Annotated activities are propagated to the fanout of the annotated pins. - read_verilog + read_verilog filename @@ -8632,8 +8653,8 @@ - The read_verilog command reads a gate level verilog netlist. After all verilog netlist and Liberty libraries are read the design must be linked with the link_design command. - Verilog 2001 module port declaratations are supported. An example is shown below. + The read_verilog command reads a gate level verilog netlist. After all verilog netlist and Liberty libraries are read the design must be linked with the link_design command. + Verilog 2001 module port declaratations are supported. An example is shown below. module top (input in1, in2, clk1, clk2, clk3, output out); Files compressed with gzip are automatically uncompressed. @@ -8641,7 +8662,7 @@ - replace_cell + replace_cell instance_listreplacement_cell @@ -8664,45 +8685,46 @@ - The replace_cell command changes the cell of an instance. The replacement cell must have the same port list (number, name, and order) as the instance's existing cell for the replacement to be successful. + The replace_cell command changes the cell of an instance. The replacement cell must have the same port list (number, name, and order) as the instance's existing cell for the replacement to be successful. + - replace_activity_annotation + replace_activity_annotation - [-report_unannotated][-report_annotated] + [-report_unannotated][-report_annotated] - -report_unannotated + -report_unannotated - Report unannotated pins. + Report unannotated pins. - -report_unannotated + -report_unannotated - Report annotated pins. + Report annotated pins. - Report a summary of pins that are annotated by read_vcd, read_saif or set_power_activity. Sequential internal pins and hierarchical pins are ignored. + Report a summary of pins that are annotated by read_vcd, read_saif or set_power_activity. Sequential internal pins and hierarchical pins are ignored. - report_annotated_check + report_annotated_check - [-setup][-hold][-recovery][-removal][-nochange][-width][-period][-max_skew][-max_line lines][-report_annotated][-report_unannotated][-constant_arcs] + [-setup][-hold][-recovery][-removal][-nochange][-width][-period][-max_skew][-max_line lines][-report_annotated][-report_unannotated][-constant_arcs] @@ -8771,28 +8793,29 @@ - -max_line lines + -max_line lines - Maximum number of lines listed by the report_annotated and ‑report_unannotated options. + Maximum number of lines listed by the report_annotated and ‑report_unannotated options. - -report_annotated + -report_annotated - Report annotated timing arcs. + Report annotated timing arcs. - -report_unannotated + -report_unannotated - Report unannotated timing arcs. + Report unannotated timing arcs. + -constant_arcs @@ -8802,17 +8825,16 @@ - The report_annotated_check command reports a summary of SDF timing check annotation. The -report_annotated and report_annotated options can be used to list arcs that are annotated or not annotated. + The report_annotated_check command reports a summary of SDF timing check annotation. The -report_annotated and report_annotated options can be used to list arcs that are annotated or not annotated. - - report_annotated_delay + report_annotated_delay - [-cell][-net][-from_in_ports][-to_out_ports][-max_lines lines][-report_annotated][-report_unannotated][-constant_arcs] + [-cell][-net][-from_in_ports][-to_out_ports][-max_lines lines][-report_annotated][-report_unannotated][-constant_arcs] @@ -8833,7 +8855,7 @@ - -from_in_ports + -from_in_ports Report annotated delays from input ports. @@ -8841,7 +8863,7 @@ - -to_out_ports + -to_out_ports Report annotated delays to output ports. @@ -8849,26 +8871,26 @@ - -max_lines lines + -max_lines lines - Maximum number of lines listed by the report_annotated and ‑report_unannotated options. + Maximum number of lines listed by the report_annotated and ‑report_unannotated options. - -report_annotated + -report_annotated - Report annotated timing arcs. + Report annotated timing arcs. - -report_unannotated + -report_unannotated - Report unannotated timing arcs. + Report unannotated timing arcs. @@ -8880,310 +8902,310 @@ - The report_annotated_delay command reports a summary of SDF delay annotation. Without the ‑from_in_ports and –to_out_ports options arcs to and from top level ports are not reported. The ‑report_annotated and report_unannotated options can be used to list arcs that are annotated or not annotated. + The report_annotated_delay command reports a summary of SDF delay annotation. Without the ‑from_in_ports and –to_out_ports options arcs to and from top level ports are not reported. The ‑report_annotated and report_unannotated options can be used to list arcs that are annotated or not annotated. - report_checks + report_checks - [-from from_list |-rise_from from_list |-fall_from from_list][-through through_list |-rise_through through_list |-fall_through through_list][-to to_list |-rise_to to_list |-fall_to to_list][-unconstrained][-path_delay min|min_rise|min_fall |max|max_rise|max_fall |min_max][-group_path_count path_count][-endpoint_path_count endpoint_path_count][-unique_paths_to_endpoint][-corner corner][-slack_max max_slack][-slack_min min_slack][-sort_by_slack][-path_group groups][-format end|full|short|summary |full_clock|full_clock_expanded |json][-fields fields][-digits digits][-no_line_split][> filename][>> filename] + [-from from_list |-rise_from from_list |-fall_from from_list][-through through_list |-rise_through through_list |-fall_through through_list][-to to_list |-rise_to to_list |-fall_to to_list][-unconstrained][-path_delay min|min_rise|min_fall |max|max_rise|max_fall |min_max][-group_path_count path_count][-endpoint_path_count endpoint_path_count][-unique_paths_to_endpoint][-corner corner][-slack_max max_slack][-slack_min min_slack][-sort_by_slack][-path_group groups][-format end|full|short|summary |full_clock|full_clock_expanded |json][-fields fields][-digits digits][-no_line_split][> filename][>> filename] - -from from_list + -from from_list - Report paths from a list of clocks, instances, ports, register clock pins, or latch data pins. + Report paths from a list of clocks, instances, ports, register clock pins, or latch data pins. - -rise_from from_list + -rise_from from_list - Report paths from the rising edge of clocks, instances, ports, register clock pins, or latch data pins. + Report paths from the rising edge of clocks, instances, ports, register clock pins, or latch data pins. - -fall_from from_list + -fall_from from_list - Report paths from the falling edge of clocks, instances, ports, register clock pins, or latch data pins. + Report paths from the falling edge of clocks, instances, ports, register clock pins, or latch data pins. - -through through_list + -through through_list - Report paths through a list of instances, pins or nets. + Report paths through a list of instances, pins or nets. - -rise_through through_list + -rise_through through_list - Report rising paths through a list of instances, pins or nets. + Report rising paths through a list of instances, pins or nets. - -fall_through through_list + -fall_through through_list - Report falling paths through a list of instances, pins or nets. + Report falling paths through a list of instances, pins or nets. - -to to_list + -to to_list - Report paths to a list of clocks, instances, ports or pins. + Report paths to a list of clocks, instances, ports or pins. - -rise_to to_list + -rise_to to_list - Report rising paths to a list of clocks, instances, ports or pins. + Report rising paths to a list of clocks, instances, ports or pins. - -fall_to to_list + -fall_to to_list - Report falling paths to a list of clocks, instances, ports or pins. + Report falling paths to a list of clocks, instances, ports or pins. - -unconstrained + -unconstrained - Report unconstrained paths also. The unconstrained path group is not reported without this option. + Report unconstrained paths also. The unconstrained path group is not reported without this option. - -path_delay min + -path_delay min - Report min path (hold) checks. + Report min path (hold) checks. - -path_delay min_rise + -path_delay min_rise - Report min path (hold) checks for rising endpoints. + Report min path (hold) checks for rising endpoints. - -path_delay min_fall + -path_delay min_fall - Report min path (hold) checks for falling endpoints. + Report min path (hold) checks for falling endpoints. - -path_delay max + -path_delay max - Report max path (setup) checks. + Report max path (setup) checks. - -path_delay max_rise + -path_delay max_rise - Report max path (setup) checks for rising endpoints. + Report max path (setup) checks for rising endpoints. - -path_delay max_fall + -path_delay max_fall - Report max path (setup) checks for falling endpoints. + Report max path (setup) checks for falling endpoints. - -path_delay min_max + -path_delay min_max - Report max and max path (setup and hold) checks. + Report max and max path (setup and hold) checks. - -group_path_count path_count + -group_path_count path_count - The number of paths to report in each path group. The default is 1. + The number of paths to report in each path group. The default is 1. - -endpoint_path_count endpoint_path_count + -endpoint_path_count endpoint_path_count - The number of paths to report for each endpoint. The default is 1. + The number of paths to report for each endpoint. The default is 1. - ‑unique_paths_to_endpoint + ‑unique_paths_to_endpoint - When multiple paths to an endpoint are specified with ‑endpoint_path_count many of the paths may differ only in the rise/fall edges of the pins in the paths. With this option only the worst path through the set of pis is reported. + When multiple paths to an endpoint are specified with ‑endpoint_path_count many of the paths may differ only in the rise/fall edges of the pins in the paths. With this option only the worst path through the set of pis is reported. - -corner corner + -corner corner - Report paths for one process corner. The default is to report paths for all process corners. + Report paths for one process corner. The default is to report paths for all process corners. - -slack_max max_slack + -slack_max max_slack - Only report paths with less slack than max_slack. + Only report paths with less slack than max_slack. - -slack_min min_slack + -slack_min min_slack - Only report paths with more slack than min_slack. + Only report paths with more slack than min_slack. - -sort_by_slack + -sort_by_slack - Sort paths by slack rather than slack grouped by path group. + Sort paths by slack rather than slack grouped by path group. - -path_group groups + -path_group groups - List of path groups to report. The default is to report all path groups. + List of path groups to report. The default is to report all path groups. - -format end + -format end - Report path ends in one line with delay, required time and slack. + Report path ends in one line with delay, required time and slack. - -format full + -format full - Report path start and end points and the path. This is the default path type. + Report path start and end points and the path. This is the default path type. - -format full_clock + -format full_clock - Report path start and end points, the path, and the source and and target clock paths. + Report path start and end points, the path, and the source and and target clock paths. - -format full_clock_expanded + -format full_clock_expanded - Report path start and end points, the path, and the source and and target clock paths. If the clock is generated and propagated, the path from the clock source pin is also reported. + Report path start and end points, the path, and the source and and target clock paths. If the clock is generated and propagated, the path from the clock source pin is also reported. - -format short + -format short - Report only path start and end points. + Report only path start and end points. - -format summary + -format summary - Report only path ends with delay. + Report only path ends with delay. - -format json + -format json - Report in json format. -fields is ignored. + Report in json format. -fields is ignored. - -fields fields + -fields fields - List of capacitance|slew|input_pins|hierarchical_pins|nets|fanout|src_attr + List of capacitance|slew|input_pins|hierarchical_pins|nets|fanout|src_attr - -digits digits + -digits digits - The number of digits after the decimal point to report. The default value is the variable sta_report_default_digits. + The number of digits after the decimal point to report. The default value is the variable sta_report_default_digits. - -no_line_splits + -no_line_splits - Do not split long lines into multiple lines. + Do not split long lines into multiple lines. - The report_checks command reports paths in the design. Paths are reported in groups by capture clock, unclocked path delays, gated clocks and unconstrained. - See set_false_path for a description of allowed from_list, through_list and to_list objects. + The report_checks command reports paths in the design. Paths are reported in groups by capture clock, unclocked path delays, gated clocks and unconstrained. + See set_false_path for a description of allowed from_list, through_list and to_list objects. - report_check_types + report_check_types [-violators][-verbose][-format slack_only|end][-max_delay][-min_delay][-recovery][-removal][-clock_gating_setup][-clock_gating_hold][-max_slew][-min_slew][-min_pulse_width][-min_period][-digits digits][-no_split_lines][> filename][>> filename] @@ -9192,7 +9214,7 @@ - -violators + -violators Report all violated timing and design rule constraints. @@ -9200,7 +9222,7 @@ - -verbose + -verbose Use a verbose output format. @@ -9208,18 +9230,18 @@ - -format slack_only + -format slack_only - Report the minimum slack for each timing check. + Report the minimum slack for each timing check. - -format end + -format end - Report the endpoint for each check. + Report the endpoint for each check. @@ -9312,10 +9334,10 @@ - -digits digits + -digits digits - The number of digits after the decimal point to report. The default value is the variable sta_report_default_digits. + The number of digits after the decimal point to report. The default value is the variable sta_report_default_digits. @@ -9323,7 +9345,7 @@ -no_split_lines - Do not split long lines into multiple lines. + Do not split long lines into multiple lines. @@ -9333,45 +9355,45 @@ - report_clock_latency + report_clock_latency - [-clock clocks][-include_internal_latency][-digits digits] + [-clock clocks][-include_internal_latency][-digits digits] - -clock clocks + -clock clocks - The clocks to report. + The clocks to report. - -include_internal_latency + -include_internal_latency - Include internal clock latency from liberty min/max_clock_tree_path timing groups. + Include internal clock latency from liberty min/max_clock_tree_path timing groups. - -digits digits + -digits digits - The number of digits to report for delays. + The number of digits to report for delays. - Report the clock network latency. + Report the clock network latency. - report_clock_min_period + report_clock_min_period [-clocks clocks][-include_port_paths] @@ -9379,7 +9401,7 @@ - -clocks clocks + -clocks clocks The clocks to report. @@ -9394,13 +9416,13 @@ - Report the minimum period and maximum frequency for clocks. If the -clocks argument is not specified all clocks are reported. The minimum period is determined by examining the smallest slack paths between registers the rising edges of the clock or between falling edges of the clock. Paths between different clocks, different clock edges of the same clock, level sensitive latches, or paths constrained by set_multicycle_path, set_max_path are not considered. + Report the minimum period and maximum frequency for clocks. If the -clocks argument is not specified all clocks are reported. The minimum period is determined by examining the smallest slack paths between registers the rising edges of the clock or between falling edges of the clock. Paths between different clocks, different clock edges of the same clock, level sensitive latches, or paths constrained by set_multicycle_path, set_max_path are not considered. - report_clock_properties + report_clock_properties [clock_names] @@ -9421,10 +9443,10 @@ - report_clock_skew + report_clock_skew - [-setup|-hold][-clock clocks][-include_internal_latency][-digits digits] + [-setup|-hold][-clock clocks][-include_internal_latency][-digits digits] @@ -9445,7 +9467,7 @@ - -clock clocks + -clock clocks The clocks to report. @@ -9453,29 +9475,29 @@ - -include_internal_latency + -include_internal_latency - Include internal clock latency from liberty min/max_clock_tree_path timing groups. + Include internal clock latency from liberty min/max_clock_tree_path timing groups. - -digits digits + -digits digits The number of digits to report for delays. - Report the maximum difference in clock arrival between every source and target register that has a path between the source and target registers. + Report the maximum difference in clock arrival between every source and target register that has a path between the source and target registers. - report_dcalc + report_dcalc [-from from_pin][-to to_pin][-corner corner][-min][-max][-digits digits][> filename][>> filename] @@ -9483,50 +9505,50 @@ - -from from_pin + -from from_pin - Report delay calculations for timing arcs from instance input pin from_pin. + Report delay calculations for timing arcs from instance input pin from_pin. - -to to_pin + -to to_pin - Report delay calculations for timing arcs to instance output pin to_pin. + Report delay calculations for timing arcs to instance output pin to_pin. - -corner corner + -corner corner - Report paths for process corner. The -corner keyword is required if more than one process corner is defined. + Report paths for process corner. The -corner keyword is required if more than one process corner is defined. - -min + -min - Report delay calculation for min delays. + Report delay calculation for min delays. - -max + -max - Report delay calculation for max delays. + Report delay calculation for max delays. - -digits digits + -digits digits - The number of digits after the decimal point to report. The default is sta_report_default_digits. + The number of digits after the decimal point to report. The default is sta_report_default_digits. @@ -9536,7 +9558,7 @@ - report_disabled_edges + report_disabled_edges @@ -9544,42 +9566,42 @@ The report_disabled_edges command reports disabled timing arcs along with the reason they are disabled. Each disabled timing arc is reported as the instance name along with the from and to ports of the arc. The disable reason is shown next. Arcs that are disabled with set_disable_timing are reported with constraint as the reason. Arcs that are disabled by constants are reported with constant as the reason along with the constant instance pin and value. Arcs that are disabled to break combinational feedback loops are reported with loop as the reason. - > report_disabled_edgesu1 A B constant B=0 + > report_disabled_edgesu1 A B constant B=0 - report_edges + report_edges - [-from from_pin][-to to_pin] + [-from from_pin][-to to_pin] - -from from_pin + -from from_pin - Report edges/timing arcs from pin from_pin. + Report edges/timing arcs from pin from_pin. - -to to_pin + -to to_pin - Report edges/timing arcs to pin to_pin. + Report edges/timing arcs to pin to_pin. - Report the edges/timing arcs and their delays in the timing graph from/to/between pins. + Report the edges/timing arcs and their delays in the timing graph from/to/between pins. - report_instance + report_instance instance_path[> filename][>> filename] @@ -9600,7 +9622,7 @@ - report_lib_cell + report_lib_cell cell_name[> filename][>> filename] @@ -9621,7 +9643,7 @@ - report_net + report_net [-digits digits]net_path[> filename][>> filename] @@ -9629,10 +9651,10 @@ - -digits digits + -digits digits - The number of digits after the decimal point to report. The default value is the variable sta_report_default_digits. + The number of digits after the decimal point to report. The default value is the variable sta_report_default_digits. @@ -9644,74 +9666,74 @@ - Report the connections and capacitance of a net. + Report the connections and capacitance of a net. - report_parasitic_annotation + report_parasitic_annotation - [-report_unannotated][> filename][>> filename] + [-report_unannotated][> filename][>> filename] - -report_unannotated + -report_unannotated - Report unannotated and partially annotated nets. + Report unannotated and partially annotated nets. - Report SPEF parasitic annotation completeness. + Report SPEF parasitic annotation completeness. - report_power + report_power - [-instances instances][-highest_power_instances count][-digits digits][> filename][>> filename] + [-instances instances][-highest_power_instances count][-digits digits][> filename][>> filename] - -instances instances + -instances instances - Report the power for each instance of instances. If the instance is hierarchical the total power for the instances inside the hierarchical instance is reported. + Report the power for each instance of instances. If the instance is hierarchical the total power for the instances inside the hierarchical instance is reported. - -highest_power_instances count + -highest_power_instances count - Report the power for the count highest power instances. + Report the power for the count highest power instances. - -digits digits + -digits digits - The number of digits after the decimal point to report. The default value is the variable sta_report_default_digits. + The number of digits after the decimal point to report. The default value is the variable sta_report_default_digits. - The report_power command uses static power analysis based on propagated or annotated pin activities in the circuit using Liberty power models. The internal, switching, leakage and total power are reported. Design power is reported separately for combinational, sequential, macro and pad groups. Power values are reported in watts. - The read_vcd or read_saif commands can be used to read activities from a file based on simulation. If no simulation activities are available, the set_power_activity command should be used to set the activity of input ports or pins in the design. The default input activity and duty for inputs are 0.1 and 0.5 respectively. The activities are propagated from annotated input ports or pins through gates and used in the power calculations. + The report_power command uses static power analysis based on propagated or annotated pin activities in the circuit using Liberty power models. The internal, switching, leakage and total power are reported. Design power is reported separately for combinational, sequential, macro and pad groups. Power values are reported in watts. + The read_vcd or read_saif commands can be used to read activities from a file based on simulation. If no simulation activities are available, the set_power_activity command should be used to set the activity of input ports or pins in the design. The default input activity and duty for inputs are 0.1 and 0.5 respectively. The activities are propagated from annotated input ports or pins through gates and used in the power calculations. Group Internal Switching Leakage Total Power Power Power Power----------------------------------------------------------------Sequential 3.29e-06 3.41e-08 2.37e-07 3.56e-06 92.4%Combinational 1.86e-07 3.31e-08 7.51e-08 2.94e-07 7.6%Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%---------------------------------------------------------------Total 3.48e-06 6.72e-08 3.12e-07 3.86e-06 100.0% 90.2% 1.7% 8.1% - report_pulse_width_checks + report_pulse_width_checks [-verbose][-digits digits][-no_line_splits][pins][> filename][>> filename] @@ -9719,7 +9741,7 @@ - -verbose + -verbose Use a verbose output format. @@ -9727,10 +9749,10 @@ - -digits digits + -digits digits - The number of digits after the decimal point to report. The default value is the variable sta_report_default_digits. + The number of digits after the decimal point to report. The default value is the variable sta_report_default_digits. @@ -9751,86 +9773,86 @@ - The report_pulse_width_checks command reports min pulse width checks for pins in the clock network. If pins is not specified all clock network pins are reported. + The report_pulse_width_checks command reports min pulse width checks for pins in the clock network. If pins is not specified all clock network pins are reported. - report_slews + report_slews - [-corner corner]pin + [-corner corner]pin - -corner corner + -corner corner - Report paths for process corner. The -corner keyword is required if more than one process corner is defined. + Report paths for process corner. The -corner keyword is required if more than one process corner is defined. - pin + pin - + - Report the slews at pin + Report the slews at pin - report_tns + report_tns - [-min][-max][-digits digits] + [-min][-max][-digits digits] - -max + -max - Report the total max/setup slack. + Report the total max/setup slack. - -min + -min - Report the total min/hold slack. + Report the total min/hold slack. - -digits digits + -digits digits - The number of digits after the decimal point to report. The default value is the variable sta_report_default_digits. + The number of digits after the decimal point to report. The default value is the variable sta_report_default_digits. - Report the total negative slack. + Report the total negative slack. - report_units + report_units - Report the units used for command arguments and reporting. + Report the units used for command arguments and reporting. report_units time 1ns capacitance 1pF resistance 1kohm voltage 1v current 1A power 1pW distance 1um @@ -9838,44 +9860,44 @@ - report_wns + report_wns - [-min][-max][-digits digits] + [-min][-max][-digits digits] - -max + -max - Report the worst max/setup slack. + Report the worst max/setup slack. - -min + -min - Report the worst min/hold slack. + Report the worst min/hold slack. - -digits digits + -digits digits - The number of digits after the decimal point to report. The default value is the variable sta_report_default_digits. + The number of digits after the decimal point to report. The default value is the variable sta_report_default_digits. - Report the worst negative slack. If the worst slack is positive, zero is reported. + Report the worst negative slack. If the worst slack is positive, zero is reported. - report_worst_slack + report_worst_slack [-min][-max][-digits digits] @@ -9899,10 +9921,10 @@ - -digits digits + -digits digits - The number of digits after the decimal point to report. The default value is the variable sta_report_default_digits. + The number of digits after the decimal point to report. The default value is the variable sta_report_default_digits. @@ -9912,7 +9934,7 @@ - set_assigned_check + set_assigned_check -setup|-hold|-recovery|-removal[-rise][-fall][-corner corner][-min][-max][-from from_pins][-to to_pins][-clock rise|fall][-cond sdf_cond][-worst]margin @@ -9923,7 +9945,7 @@ -setup - Annotate setup timing checks. + Annotate setup timing checks. @@ -9931,7 +9953,7 @@ -hold - Annotate hold timing checks. + Annotate hold timing checks. @@ -9940,7 +9962,7 @@ -recovery - Annotate recovery timing checks. + Annotate recovery timing checks. @@ -9948,7 +9970,7 @@ -removal - Annotate removal timing checks. + Annotate removal timing checks. @@ -9969,10 +9991,10 @@ - -corner corner + -corner corner - The name of a process corner. The -corner keyword is required if more than one process corner is defined. + The name of a process corner. The -corner keyword is required if more than one process corner is defined. @@ -9993,7 +10015,7 @@ - -from from_pins + -from from_pins A list of pins for the clock. @@ -10001,7 +10023,7 @@ - -to to_pins + -to to_pins A list of pins for the data. @@ -10009,10 +10031,10 @@ - -clock rise|fall + -clock rise|fall - The timing check clock pin transition. + The timing check clock pin transition. @@ -10020,7 +10042,7 @@ margin - The timing check margin. + The timing check margin. @@ -10030,7 +10052,7 @@ - set_assigned_delay + set_assigned_delay -cell|-net[-rise][-fall][-corner corner][-min][-max][-from from_pins][-to to_pins]delay @@ -10071,10 +10093,10 @@ - -corner corner + -corner corner - The name of a process corner. The -corner keyword is required if more than one process corner is defined. + The name of a process corner. The -corner keyword is required if more than one process corner is defined. @@ -10095,7 +10117,7 @@ - -from from_pins + -from from_pins A list of pins. @@ -10103,7 +10125,7 @@ - -to to_pins + -to to_pins A list of pins. @@ -10125,7 +10147,7 @@ - set_assigned_transition + set_assigned_transition [-rise][-fall][-corner corner][-min][-max]slewpin_list @@ -10149,10 +10171,10 @@ - -corner corner + -corner corner - Annotate delays for process corner. + Annotate delays for process corner. @@ -10195,7 +10217,7 @@ - set_case_analysis + set_case_analysis 0|1|zero|one|rise|rising|fall|fallingport_or_pin_list @@ -10211,13 +10233,13 @@ The set_case_analysis command sets the signal on a port or pin to a constant logic value. No paths are propagated from constant pins. Constant values set with the set_case_analysis command are propagated through downstream gates. - Conditional timing arcs with mode groups are controlled by logic values on the instance pins. + Conditional timing arcs with mode groups are controlled by logic values on the instance pins. - set_clock_gating_check + set_clock_gating_check [-setup setup_time][-hold hold_time][-rise][-fall][-high][-low][objects] @@ -10225,7 +10247,7 @@ - -setup setup_time + -setup setup_time Clock enable setup margin. @@ -10233,7 +10255,7 @@ - -hold hold_time + -hold hold_time Clock enable hold margin. @@ -10290,7 +10312,7 @@ - set_clock_groups + set_clock_groups [-name name][-logically_exclusive][-physically_exclusive][-asynchronous][-allow_paths]-group clocks @@ -10298,7 +10320,7 @@ - -name name + -name name The clock group name. @@ -10351,7 +10373,7 @@ - set_clock_latency + set_clock_latency [-source][-clock clock][-rise][-fall][-min][-max]delayobjects @@ -10359,7 +10381,7 @@ - -source + -source The latency is at the clock source. @@ -10367,7 +10389,7 @@ - -clock clock + -clock clock If multiple clocks are defined at a pin this use this option to specify the latency for a specific clock. @@ -10429,7 +10451,7 @@ - set_clock_transition + set_clock_transition [-rise][-fall][-min][-max]transitionclocks @@ -10440,7 +10462,7 @@ -rise - Set the transition time for the rising edge of the clock. + Set the transition time for the rising edge of the clock. @@ -10448,7 +10470,7 @@ -fall - Set the transition time for the falling edge of the clock. + Set the transition time for the falling edge of the clock. @@ -10456,7 +10478,7 @@ -min - Set the min transition time. + Set the min transition time. @@ -10464,7 +10486,7 @@ -max - Set the min transition time. + Set the min transition time. @@ -10491,7 +10513,7 @@ - set_clock_uncertainty + set_clock_uncertainty [-from|-rise_from|-fall_from from_clock][-to|-rise_to|-fall_to to_clock][-rise][-fall][-setup][-hold]uncertainty[objects] @@ -10499,18 +10521,18 @@ - -from from_clock + -from from_clock - Inter-clock uncertainty source clock. + Inter-clock uncertainty source clock. - -to to_clock + -to to_clock - Inter-clock uncertainty target clock. + Inter-clock uncertainty target clock. @@ -10518,7 +10540,7 @@ -rise - Inter-clock target clock rise edge, alternative to ‑rise_to.Inter-clock target clock rise edge, alternative to ‑rise_to. + Inter-clock target clock rise edge, alternative to ‑rise_to.Inter-clock target clock rise edge, alternative to ‑rise_to. @@ -10526,7 +10548,7 @@ -fall - Inter-clock target clock rise edge, alternative to ‑fall_to. + Inter-clock target clock rise edge, alternative to ‑fall_to. @@ -10534,7 +10556,7 @@ -setup - uncertainty is for setup checks. + uncertainty is for setup checks. @@ -10542,12 +10564,12 @@ -hold - uncertainty is for hold checks. + uncertainty is for hold checks. - uncertainty + uncertainty Clock uncertainty. @@ -10562,82 +10584,82 @@ - The set_clock_uncertainty command specifies the uncertainty or jitter in a clock. The uncertainty for a clock can be specified on its source pin or port, or the clock itself. - set_clock_uncertainty .1 [get_clock clk1] - Inter-clock uncertainty between the source and target clocks of timing checks is specified with the ‑from|‑rise_from|-fall_from andto|‑rise_to|-fall_to arguments . - set_clock_uncertainty -from [get_clock clk1] -to [get_clocks clk2] .1 - The following commands are equivalent. - set_clock_uncertainty -from [get_clock clk1] -rise_to [get_clocks clk2] .1set_clock_uncertainty -from [get_clock clk1] -to [get_clocks clk2] -rise .1 + The set_clock_uncertainty command specifies the uncertainty or jitter in a clock. The uncertainty for a clock can be specified on its source pin or port, or the clock itself. + set_clock_uncertainty .1 [get_clock clk1] + Inter-clock uncertainty between the source and target clocks of timing checks is specified with the ‑from|‑rise_from|-fall_from andto|‑rise_to|-fall_to arguments . + set_clock_uncertainty -from [get_clock clk1] -to [get_clocks clk2] .1 + The following commands are equivalent. + set_clock_uncertainty -from [get_clock clk1] -rise_to [get_clocks clk2] .1set_clock_uncertainty -from [get_clock clk1] -to [get_clocks clk2] -rise .1 - set_cmd_units + set_cmd_units - [-capacitance cap_unit][-resistance res_unit][-time time_unit][-voltage voltage_unit][-current current_unit][-power power_unit][-distance distance_unit] + [-capacitance cap_unit][-resistance res_unit][-time time_unit][-voltage voltage_unit][-current current_unit][-power power_unit][-distance distance_unit] - -capacitance cap_unit + -capacitance cap_unit - The capacitance scale factor followed by 'f'. + The capacitance scale factor followed by 'f'. - -resistance res_unit + -resistance res_unit - The resistance scale factor followed by 'ohm'. + The resistance scale factor followed by 'ohm'. - -time time_unit + -time time_unit - The time scale factor followed by 's'. + The time scale factor followed by 's'. - -voltage voltage_unit + -voltage voltage_unit - The voltage scale factor followed by 'v'. + The voltage scale factor followed by 'v'. - -current current_unit + -current current_unit - The current scale factor followed by 'A'. + The current scale factor followed by 'A'. - -power power_unit + -power power_unit - The power scale factor followed by 'w'. + The power scale factor followed by 'w'. - -distance distance_unit + -distance distance_unit - The distance scale factor followed by 'm'. + The distance scale factor followed by 'm'. - The set_cmd_units command is used to change the units used by the STA command interpreter when parsing commands and reporting results. The default units are the units specified in the first Liberty library file that is read. + The set_cmd_units command is used to change the units used by the STA command interpreter when parsing commands and reporting results. The default units are the units specified in the first Liberty library file that is read. Units are specified as a scale factor followed by a unit name. The scale factors are as follows. M 1E+6k 1E+3m 1E-3u 1E-6n 1E-9p 1E-12f 1E-15 An example of the set_units command is shown below. @@ -10648,15 +10670,15 @@ - set_data_check + set_data_check - [-from|-rise_from|-fall_from from_pin][-to|-rise_to|-fall_to to_pin][-setup][-hold][-clock clock]margin + [-from|-rise_from|-fall_from from_pin][-to|-rise_to|-fall_to to_pin][-setup][-hold][-clock clock]margin - -from from_pin + -from from_pin A pin used as the timing check reference. @@ -10664,7 +10686,7 @@ - -to to_pin + -to to_pin A pin that the setup/hold check is applied to. @@ -10688,7 +10710,7 @@ - -clock clock + -clock clock The setup/hold check clock. @@ -10709,7 +10731,7 @@ - set_disable_inferred_clock_gating + set_disable_inferred_clock_gating objects @@ -10724,13 +10746,13 @@ - The set_disable_inferred_clock_gating command disables clock gating checks on a clock gating instance, clock gating pin, or clock gating enable pin. + The set_disable_inferred_clock_gating command disables clock gating checks on a clock gating instance, clock gating pin, or clock gating enable pin. - set_disable_timing + set_disable_timing [-from from_port][-to to_port]objects @@ -10738,18 +10760,18 @@ - -from from_port + -from from_port - + - -to to_port + -to to_port - + @@ -10757,7 +10779,7 @@ objects - A list of instances, ports, pins, cells, cell/port, or library/cell/port. + A list of instances, ports, pins, cells, cell/port, or library/cell/port. @@ -10775,10 +10797,10 @@ - set_drive + set_drive - [-rise][-fall][-max][-min]resistanceports + [-rise][-fall][-max][-min]resistanceports @@ -10786,7 +10808,7 @@ -rise - Set the drive rise resistance. + Set the drive rise resistance. @@ -10794,7 +10816,7 @@ -fall - Set the drive fall resistance. + Set the drive fall resistance. @@ -10802,7 +10824,7 @@ -max - Set the maximum resistance. + Set the maximum resistance. @@ -10810,7 +10832,7 @@ -min - Set the minimum resistance. + Set the minimum resistance. @@ -10823,7 +10845,7 @@ - ports + ports A list of ports. @@ -10837,26 +10859,26 @@ - set_driving_cell + set_driving_cell - [-lib_cell cell_name][-library library][-rise][-fall][-min][-max][-pin pin][-from_pin from_pin][-input_transition_rise trans_rise][-input_transition_fall trans_fall]ports + [-lib_cell cell_name][-library library][-rise][-fall][-min][-max][-pin pin][-from_pin from_pin][-input_transition_rise trans_rise][-input_transition_fall trans_fall]ports - -lib_cell cell_name + -lib_cell cell_name - The driving cell. + The driving cell. - -library library + -library library - The driving cell library. + The driving cell library. @@ -10864,7 +10886,7 @@ -rise - Set the driving cell for a rising edge. + Set the driving cell for a rising edge. @@ -10872,7 +10894,7 @@ -fall - Set the driving cell for a falling edge. + Set the driving cell for a falling edge. @@ -10880,7 +10902,7 @@ -max - Set the driving cell for max delays. + Set the driving cell for max delays. @@ -10888,12 +10910,12 @@ -min - Set the driving cell for min delays. + Set the driving cell for min delays. - -pin pin + -pin pin The output port of the driving cell. @@ -10901,15 +10923,15 @@ - -from_pin from_pin + -from_pin from_pin - Use timing arcs from from_pin to the output pin. + Use timing arcs from from_pin to the output pin. - -input_transition_rise trans_rise + -input_transition_rise trans_rise The transition time for a rising input at from_pin. @@ -10917,7 +10939,7 @@ - -input_transition_fall trans_fall + -input_transition_fall trans_fall The transition time for a falling input at from_pin. @@ -10925,7 +10947,7 @@ - ports + ports A list of ports. @@ -10939,7 +10961,7 @@ - set_false_path + set_false_path [-setup][-hold][-rise][-fall][-from from_list][-rise_from from_list][-fall_from from_list][-through through_list][-rise_through through_list][-fall_through through_list][-to to_list][-rise_to to_list][-fall_to to_list][-reset_path] @@ -10950,7 +10972,7 @@ -setup - Apply to setup checks. + Apply to setup checks. @@ -10958,7 +10980,7 @@ -hold - Apply to hold checks. + Apply to hold checks. @@ -10966,7 +10988,7 @@ -rise - Apply to rising path edges. + Apply to rising path edges. @@ -10974,7 +10996,7 @@ -fall - Apply to falling path edges. + Apply to falling path edges. @@ -10987,7 +11009,7 @@ - -from from_list + -from from_list A list of clocks, instances, ports or pins. @@ -10995,7 +11017,7 @@ - -through through_list + -through through_list A list of instances, pins or nets. @@ -11003,7 +11025,7 @@ - -to to_list + -to to_list A list of clocks, instances, ports or pins. @@ -11020,7 +11042,7 @@ - set_fanout_load + set_fanout_load fanoutport_list @@ -11033,7 +11055,7 @@ - set_hierarchy_separator + set_hierarchy_separator separator @@ -11054,7 +11076,7 @@ - set_ideal_latency + set_ideal_latency [-rise] [-fall] [-min] [-max] delay objects @@ -11067,7 +11089,7 @@ - set_ideal_network + set_ideal_network [-no_propagation] objects @@ -11080,7 +11102,7 @@ - set_ideal_transition + set_ideal_transition [-rise] [-fall] [-min] [-max] transition_time objects @@ -11093,7 +11115,7 @@ - set_input_delay + set_input_delay [-rise][-fall][-max][-min][-clock clock][-clock_fall][-reference_pin ref_pin][-source_latency_included][-network_latency_included][-add_delay]delayport_pin_list @@ -11104,7 +11126,7 @@ -rise - Set the arrival time for the rising edge of the input. + Set the arrival time for the rising edge of the input. @@ -11112,7 +11134,7 @@ -fall - Set the arrival time for the falling edge of the input. + Set the arrival time for the falling edge of the input. @@ -11121,7 +11143,7 @@ -max - Set the maximum arrival time. + Set the maximum arrival time. @@ -11129,12 +11151,12 @@ -min - Set the minimum arrival time. + Set the minimum arrival time. - -clock clock + -clock clock The arrival time is from clock. @@ -11150,7 +11172,7 @@ - -reference_pin ref_pin + -reference_pin ref_pin The arrival time is with respect to the clock that arrives at ref_pin. @@ -11161,7 +11183,7 @@ -source_latency_included - D no add the clock source latency (insertion delay) to the delay value. + D no add the clock source latency (insertion delay) to the delay value. @@ -11169,7 +11191,7 @@ -network_latency_included - Do not add the clock latency to the delay value when the clock is ideal. + Do not add the clock latency to the delay value when the clock is ideal. @@ -11197,20 +11219,20 @@ - The set_input_delay command is used to specify the arrival time of an input signal. - The following command sets the min, max, rise and fall times on the in1 input port 1.0 time units after the rising edge of clk1. - set_input_delay -clock clk1 1.0 [get_ports in1] - Use multiple commands with the -add_delay option to specify separate arrival times for min, max, rise and fall times or multiple clocks. For example, the following specifies separate arrival times with respect to clocks clk1 and clk2. - set_input_delay -clock clk1 1.0 [get_ports in1]set_input_delay -add_delay -clock clk2 2.0 [get_ports in1] - The –reference_pin option is used to specify an arrival time with respect to the arrival on a pin in the clock network. For propagated clocks, the input arrival time is relative to the clock arrival time at the reference pin (the clock source latency and network latency from the clock source to the reference pin). For ideal clocks, input arrival time is relative to the reference pin clock source latency. With the -clock_fall flag the arrival time is relative to the falling transition at the reference pin. If no clocks arrive at the reference pin the set_input_delay command is ignored. If no -clock is specified the arrival time is with respect to all clocks that arrive at the reference pin. The -source_latency_included and -network_latency_included options cannot be used with -reference_pin. - Paths from inputs that do not have an arrival time defined by set_input_delay are not reported. Set the sta_input_port_default_clock variable to 1 to report paths from inputs without a set_input_delay. + The set_input_delay command is used to specify the arrival time of an input signal. + The following command sets the min, max, rise and fall times on the in1 input port 1.0 time units after the rising edge of clk1. + set_input_delay -clock clk1 1.0 [get_ports in1] + Use multiple commands with the -add_delay option to specify separate arrival times for min, max, rise and fall times or multiple clocks. For example, the following specifies separate arrival times with respect to clocks clk1 and clk2. + set_input_delay -clock clk1 1.0 [get_ports in1]set_input_delay -add_delay -clock clk2 2.0 [get_ports in1] + The –reference_pin option is used to specify an arrival time with respect to the arrival on a pin in the clock network. For propagated clocks, the input arrival time is relative to the clock arrival time at the reference pin (the clock source latency and network latency from the clock source to the reference pin). For ideal clocks, input arrival time is relative to the reference pin clock source latency. With the -clock_fall flag the arrival time is relative to the falling transition at the reference pin. If no clocks arrive at the reference pin the set_input_delay command is ignored. If no -clock is specified the arrival time is with respect to all clocks that arrive at the reference pin. The -source_latency_included and -network_latency_included options cannot be used with -reference_pin. + Paths from inputs that do not have an arrival time defined by set_input_delay are not reported. Set the sta_input_port_default_clock variable to 1 to report paths from inputs without a set_input_delay. - set_input_transition + set_input_transition [-rise][-fall][-max][-min]transitionport_list @@ -11221,7 +11243,7 @@ -rise - Set the rising edge transition. + Set the rising edge transition. @@ -11229,7 +11251,7 @@ -fall - Set the falling edge transition. + Set the falling edge transition. @@ -11237,7 +11259,7 @@ -max - Set the minimum transition time. + Set the minimum transition time. @@ -11245,7 +11267,7 @@ -min - Set the maximum transition time. + Set the maximum transition time. @@ -11271,7 +11293,7 @@ - set_level_shifter_strategy + set_level_shifter_strategy [-rule rule_type] @@ -11284,7 +11306,7 @@ - set_level_shifter_threshold + set_level_shifter_threshold [-voltage voltage] @@ -11297,7 +11319,7 @@ - set_load + set_load [-rise][-fall][-max][-min][-subtract_pin_load][-pin_load][-wire_load]capacitanceobjects @@ -11308,7 +11330,7 @@ -rise - Set the external port rising capacitance (ports only). + Set the external port rising capacitance (ports only). @@ -11316,7 +11338,7 @@ -fall - Set the external port falling capacitance (ports only). + Set the external port falling capacitance (ports only). @@ -11325,7 +11347,7 @@ -max - Set the max capacitance. + Set the max capacitance. @@ -11333,7 +11355,7 @@ -min - Set the min capacitance. + Set the min capacitance. @@ -11341,7 +11363,7 @@ -subtract_pin_load - Subtract the capacitance of all instance pins connected to the net from capacitance (nets only). If the resulting capacitance is negative, zero is used. Pin capacitances are ignored by delay calculation when this option is used. + Subtract the capacitance of all instance pins connected to the net from capacitance (nets only). If the resulting capacitance is negative, zero is used. Pin capacitances are ignored by delay calculation when this option is used. @@ -11377,16 +11399,16 @@ - The set_load command annotates wire capacitance on a net or external capacitance on a port. There are four different uses for the set_load commanc: - set_load -wire_load port external port wire capacitanceset_load -pin_load port external port pin capacitanceset_load port same as -pin_loadset_load net net wire capacitance - External port capacitance can be annotated separately with the -pin_load and ‑wire_load options. Without the -pin_load and -wire_load options pin capacitance is annotated. - When annotating net wire capacitance with the -subtract_pin_load option the capacitance of all instance pins connected to the net is subtracted from capacitance. Setting the capacitance on a net overrides SPEF parasitics for delay calculation. + The set_load command annotates wire capacitance on a net or external capacitance on a port. There are four different uses for the set_load commanc: + set_load -wire_load port external port wire capacitanceset_load -pin_load port external port pin capacitanceset_load port same as -pin_loadset_load net net wire capacitance + External port capacitance can be annotated separately with the -pin_load and ‑wire_load options. Without the -pin_load and -wire_load options pin capacitance is annotated. + When annotating net wire capacitance with the -subtract_pin_load option the capacitance of all instance pins connected to the net is subtracted from capacitance. Setting the capacitance on a net overrides SPEF parasitics for delay calculation. - set_logic_dc + set_logic_dc port_list @@ -11407,7 +11429,7 @@ - set_logic_one + set_logic_one port_list @@ -11422,14 +11444,14 @@ - Set a port or pin to a constant logic one value. No paths are propagated from constant pins. Constant values set with the set_logic_one command are not propagated through downstream gates. + Set a port or pin to a constant logic one value. No paths are propagated from constant pins. Constant values set with the set_logic_one command are not propagated through downstream gates. - set_logic_zero + set_logic_zero port_list @@ -11444,13 +11466,13 @@ - Set a port or pin to a constant logic zero value. No paths are propagated from constant pins. Constant values set with the set_logic_zero command are not propagated through downstream gates. + Set a port or pin to a constant logic zero value. No paths are propagated from constant pins. Constant values set with the set_logic_zero command are not propagated through downstream gates. - set_max_area + set_max_area area @@ -11471,7 +11493,7 @@ - set_max_capacitance + set_max_capacitance capacitanceobjects @@ -11500,10 +11522,10 @@ - set_max_delay + set_max_delay - [-rise][-fall][-from from_list][-rise_from from_list][-fall_from from_list][-through through_list][-rise_through through_list][-fall_through through_list][-to to_list][-rise_to to_list][-fall_to to_list][-ignore_clock_latency][-probe][-reset_path]delay + [-rise][-fall][-from from_list][-rise_from from_list][-fall_from from_list][-through through_list][-rise_through through_list][-fall_through through_list][-to to_list][-rise_to to_list][-fall_to to_list][-ignore_clock_latency][-probe][-reset_path]delay @@ -11511,7 +11533,7 @@ -rise - Set max delay for rising paths. + Set max delay for rising paths. @@ -11519,13 +11541,13 @@ -fall - Set max delay for falling paths. + Set max delay for falling paths. - -from from_list + -from from_list A list of clocks, instances, ports or pins. @@ -11533,7 +11555,7 @@ - -through through_list + -through through_list A list of instances, pins or nets. @@ -11541,7 +11563,7 @@ - -to to_list + -to to_list A list of clocks, instances, ports or pins. @@ -11557,10 +11579,10 @@ - -probe + -probe - Do not break paths at internal pins (non startpoints). + Do not break paths at internal pins (non startpoints). @@ -11587,7 +11609,7 @@ - set_max_dynamic_power + set_max_dynamic_power power [unit] @@ -11600,7 +11622,7 @@ - set_max_fanout + set_max_fanout fanoutobjects @@ -11629,7 +11651,7 @@ - set_max_leakage_power + set_max_leakage_power power [unit] @@ -11642,7 +11664,7 @@ - set_max_time_borrow + set_max_time_borrow delayobjects @@ -11666,13 +11688,13 @@ - The set_max_time_borrow command specifies the maximum amount of time that latches can borrow. Time borrowing is the time that a data input to a transparent latch arrives after the latch opens. + The set_max_time_borrow command specifies the maximum amount of time that latches can borrow. Time borrowing is the time that a data input to a transparent latch arrives after the latch opens. - set_max_transition + set_max_transition [-data_path][-clock_path][-rise][-fall]transitionobjects @@ -11680,34 +11702,34 @@ - -data_path + -data_path - Set the max slew for data paths. + Set the max slew for data paths. - -clock_path + -clock_path - Set the max slew for clock paths. + Set the max slew for clock paths. - -rise + -rise - Set the max slew for rising paths. + Set the max slew for rising paths. - -fall + -fall - Set the max slew for falling paths. + Set the max slew for falling paths. @@ -11715,7 +11737,7 @@ transition - The maximum slew/transition time. + The maximum slew/transition time. @@ -11727,7 +11749,7 @@ - The set_max_transition command is specifies the maximum transition time (slew) design rule checked by the report_check_types –max_transition command. + The set_max_transition command is specifies the maximum transition time (slew) design rule checked by the report_check_types –max_transition command. If specified for a design, the default maximum transition is set for the design. If specified for a clock, the maximum transition is applied to all pins in the clock domain. The –clock_path option restricts the maximum transition to clocks in clock paths. The -data_path option restricts the maximum transition to clocks data paths. The –clock_path, -data_path, -rise and –fall options only apply to clock objects. @@ -11735,7 +11757,7 @@ - set_min_capacitance + set_min_capacitance capacitanceobjects @@ -11746,7 +11768,7 @@ capacitance - Minimum capacitance. + Minimum capacitance. @@ -11765,10 +11787,10 @@ - set_min_delay + set_min_delay - [-rise][-fall][-from from_list][-rise_from from_list][-fall_from from_list][-through through_list][-rise_through through_list][-fall_through through_list][-to to_list][-rise_to to_list][-fall_to to_list][-ignore_clock_latency][-probe][-reset_path]delay + [-rise][-fall][-from from_list][-rise_from from_list][-fall_from from_list][-through through_list][-rise_through through_list][-fall_through through_list][-to to_list][-rise_to to_list][-fall_to to_list][-ignore_clock_latency][-probe][-reset_path]delay @@ -11776,7 +11798,7 @@ -rise - Set min delay for rising paths. + Set min delay for rising paths. @@ -11784,12 +11806,12 @@ -fall - Set min delay for falling paths. + Set min delay for falling paths. - -from from_list + -from from_list A list of clocks, instances, ports or pins. @@ -11797,7 +11819,7 @@ - -through through_list + -through through_list A list of instances, pins or nets. @@ -11805,7 +11827,7 @@ - -to to_list + -to to_list A list of clocks, instances, ports or pins. @@ -11821,10 +11843,10 @@ - -probe + -probe - Do not break paths at internal pins (non startpoints). + Do not break paths at internal pins (non startpoints). @@ -11840,7 +11862,7 @@ delay - The minimum delay. + The minimum delay. @@ -11852,7 +11874,7 @@ - set_min_pulse_width + set_min_pulse_width [-high][-low]min_widthobjects @@ -11897,7 +11919,7 @@ - set_multicycle_path + set_multicycle_path [-setup][-hold][-rise][-fall][-start][-end][-from from_list][-rise_from from_list][-fall_from from_list][-through through_list][-rise_through through_list][-fall_through through_list][-to to_list][-rise_to to_list][-fall_to to_list][-reset_path]path_multiplier @@ -11908,7 +11930,7 @@ -setup - Set cycle count for setup checks. + Set cycle count for setup checks. @@ -11916,7 +11938,7 @@ -hold - Set cycle count for hold checks. + Set cycle count for hold checks. @@ -11924,7 +11946,7 @@ -rise - Set cycle count for rising path edges. + Set cycle count for rising path edges. @@ -11932,7 +11954,7 @@ -fall - Set cycle count for falling path edges. + Set cycle count for falling path edges. @@ -11954,7 +11976,7 @@ - -from from_list + -from from_list A list of clocks, instances, ports or pins. @@ -11962,7 +11984,7 @@ - -through through_list + -through through_list A list of instances, pins or nets. @@ -11970,7 +11992,7 @@ - -to to_list + -to to_list A list of clocks, instances, ports or pins. @@ -11999,15 +12021,15 @@ - set_operating_conditions + set_operating_conditions - [-analysis_type single|bc_wc|on_chip_variation][-library lib][condition][-min min_condition][-max max_condition][-min_library min_lib][-max_library max_lib] + [-analysis_type single|bc_wc|on_chip_variation][-library lib][condition][-min min_condition][-max max_condition][-min_library min_lib][-max_library max_lib] - -analysis_type single + -analysis_type single Use one operating condition for min and max paths. @@ -12015,7 +12037,7 @@ - -analysis_type bc_wc + -analysis_type bc_wc Best case, worst case analysis. Setup checks use max_condition for clock and data paths. Hold checks use the min_condition for clock and data paths. @@ -12023,7 +12045,7 @@ - ‑analysis_type on_chip_variation + ‑analysis_type on_chip_variation The min and max operating conditions represent variations on the chip that can occur simultaneously. Setup checks use max_condition for data paths and min_condition for clock paths. Hold checks use min_condition for data paths and max_condition for clock paths. This is the default analysis type. @@ -12031,7 +12053,7 @@ - -library lib + -library lib The name of the library that contains condition. @@ -12047,7 +12069,7 @@ - -min min_condition + -min min_condition The operating condition to use for min paths and hold checks. @@ -12055,7 +12077,7 @@ - -max max_condition + -max max_condition The operating condition to use for max paths and setup checks. @@ -12063,7 +12085,7 @@ - -min_library min_lib + -min_library min_lib The name of the library that contains min_condition. @@ -12071,7 +12093,7 @@ - -max_library max_lib + -max_library max_lib The name of the library that contains max_condition. @@ -12084,7 +12106,7 @@ - set_output_delay + set_output_delay [-rise][-fall][-max][-min][-clock clock][-clock_fall][-reference_pin ref_pin][-source_latency_included][-network_latency_included][-add_delay]delayport_pin_list @@ -12095,7 +12117,7 @@ -rise - Set the output delay for the rising edge of the input. + Set the output delay for the rising edge of the input. @@ -12103,7 +12125,7 @@ -fall - Set the output delay for the falling edge of the input. + Set the output delay for the falling edge of the input. @@ -12111,7 +12133,7 @@ -max - Set the maximum output delay. + Set the maximum output delay. @@ -12119,15 +12141,15 @@ -min - Set the minimum output delay. + Set the minimum output delay. - -clock clock + -clock clock - The external check is to clock. The default clock edge is rising. + The external check is to clock. The default clock edge is rising. @@ -12135,15 +12157,15 @@ -clock_fall - The external check is to the falling edge of clock. + The external check is to the falling edge of clock. - -reference_pin ref_pin + -reference_pin ref_pin - The external check is clocked by the clock that arrives at ref_pin. + The external check is clocked by the clock that arrives at ref_pin. @@ -12151,7 +12173,7 @@ -add_delay - Add this output delay to any existing output delays. + Add this output delay to any existing output delays. @@ -12159,7 +12181,7 @@ delay - The external delay to the check clocked by clock. + The external delay to the check clocked by clock. @@ -12171,17 +12193,17 @@ - The set_output_delay command is used to specify the external delay to a setup/hold check on an output port or internal pin that is clocked by clock. Unless the -add_delay option is specified any existing output delays are replaced. - The –reference_pin option is used to specify a timing check with respect to the arrival on a pin in the clock network. For propagated clocks, the timing check is relative to the clock arrival time at the reference pin (the clock source latency and network latency from the clock source to the reference pin). For ideal clocks, the timing check is relative to the reference pin clock source latency. With the -clock_fall flag the timing check is relative to the falling edge of the reference pin. If no clocks arrive at the reference pin the set_output_delay command is ignored. If no -clock is specified the timing check is with respect to all clocks that arrive at the reference pin. The -source_latency_included and -network_latency_included options cannot be used with -reference_pin. + The set_output_delay command is used to specify the external delay to a setup/hold check on an output port or internal pin that is clocked by clock. Unless the -add_delay option is specified any existing output delays are replaced. + The –reference_pin option is used to specify a timing check with respect to the arrival on a pin in the clock network. For propagated clocks, the timing check is relative to the clock arrival time at the reference pin (the clock source latency and network latency from the clock source to the reference pin). For ideal clocks, the timing check is relative to the reference pin clock source latency. With the -clock_fall flag the timing check is relative to the falling edge of the reference pin. If no clocks arrive at the reference pin the set_output_delay command is ignored. If no -clock is specified the timing check is with respect to all clocks that arrive at the reference pin. The -source_latency_included and -network_latency_included options cannot be used with -reference_pin. - set_port_fanout_number + set_port_fanout_number - [-min][-max]fanoutports + [-min][-max]fanoutports @@ -12189,7 +12211,7 @@ -min - Set the min fanout. + Set the min fanout. @@ -12197,7 +12219,7 @@ -max - Set the max fanout. + Set the max fanout. @@ -12217,21 +12239,21 @@ - Set the external fanout for ports. + Set the external fanout for ports. - set_power_activity + set_power_activity - [-global][-input][-input_ports ports][-pins pins][-activity activity | -density density][-duty duty][-clock clock] + [-global][-input][-input_ports ports][-pins pins][-activity activity | -density density][-duty duty][-clock clock] - -global + -global Set the activity/duty for all non-clock pins. @@ -12247,7 +12269,7 @@ - -input_ports input_ports + -input_ports input_ports Set the input port activity/duty. @@ -12255,7 +12277,7 @@ - -pins pins + -pins pins Set the pin activity/duty. @@ -12263,46 +12285,46 @@ - -activity activity + -activity activity - The activity, or number of transitions per clock cycle. If clock is not specified the clock with the minimum period is used. If no clocks are defined an error is reported. + The activity, or number of transitions per clock cycle. If clock is not specified the clock with the minimum period is used. If no clocks are defined an error is reported. - -density density + -density density - Transitions per library time unit. + Transitions per library time unit. - -duty duty + -duty duty - The duty, or probability the signal is high (0 <= duty <= 1.0). Defaults to 0.5. + The duty, or probability the signal is high (0 <= duty <= 1.0). Defaults to 0.5. - -clock clock + -clock clock - The clock to use for the period with -activity. This option is ignored if -density is used. + The clock to use for the period with -activity. This option is ignored if -density is used. - The set_power_activity command is used to set the activity and duty used for power analysis globally or for input ports or pins in the design. - The default input activity for inputs is 0.1 transitions per minimum clock period if a clock is defined or 0.0 if there are no clocks defined. The default input duty is 0.5. This is equivalent to the following command: - set_power_activity -input -activity 0.1 -duty 0.5 + The set_power_activity command is used to set the activity and duty used for power analysis globally or for input ports or pins in the design. + The default input activity for inputs is 0.1 transitions per minimum clock period if a clock is defined or 0.0 if there are no clocks defined. The default input duty is 0.5. This is equivalent to the following command: + set_power_activity -input -activity 0.1 -duty 0.5 - set_propagated_clock + set_propagated_clock objects @@ -12323,11 +12345,11 @@ - set_pvt + set_pvt - [-min][-max][-process process][-voltage voltage] - [-temperature temperature]instances + [-min][-max][-process process][-voltage voltage] + [-temperature temperature]instances @@ -12335,7 +12357,7 @@ -min - Set the PVT values for max delays. + Set the PVT values for max delays. @@ -12343,12 +12365,12 @@ -max - Set the PVT values for min delays. + Set the PVT values for min delays. - -process process + -process process A process value (float). @@ -12356,7 +12378,7 @@ - -voltage voltage + -voltage voltage A voltage value (float). @@ -12364,7 +12386,7 @@ - -temperature temperature + -temperature temperature A temperature value (float). @@ -12386,7 +12408,7 @@ - set_sense + set_sense [-type clock|data][-positive][-negative][-pulse pulse_type][-stop_propagation][-clock clocks]pins @@ -12394,18 +12416,18 @@ - -type clock + -type clock - Set the sense for clock paths. + Set the sense for clock paths. - -type data + -type data - Set the sense for data paths (not supported). + Set the sense for data paths (not supported). @@ -12413,7 +12435,7 @@ -positive - The clock sense is positive unate. + The clock sense is positive unate. @@ -12421,15 +12443,15 @@ -negative - The clock sense is negative unate. + The clock sense is negative unate. - -pulse pulse_type + -pulse pulse_type - rise_triggered_high_pulserise_triggered_low_pulsefall_triggered_high_pulsefall_triggered_low_pulseNot supported. + rise_triggered_high_pulserise_triggered_low_pulsefall_triggered_high_pulsefall_triggered_low_pulseNot supported. @@ -12464,7 +12486,7 @@ - set_timing_derate + set_timing_derate [-rise][-fall][-early][-late][-clock][-data][-net_delay][-cell_delay][-cell_check]derate[objects] @@ -12472,18 +12494,18 @@ - -rise + -rise - Set the derating for rising delays. + Set the derating for rising delays. - -fall + -fall - Set the derating for falling delays. + Set the derating for falling delays. @@ -12547,7 +12569,7 @@ derate - The derating factor to apply to delays. + The derating factor to apply to delays. @@ -12566,10 +12588,10 @@ - set_resistance + set_resistance - [-max][-min]resistancenets + [-max][-min]resistancenets @@ -12599,7 +12621,7 @@ - nets + nets A list of nets. @@ -12612,72 +12634,72 @@ - set_units + set_units - [-capacitance cap_unit][-resistance res_unit][-time time_unit][-voltage voltage_unit][-current current_unit][-power power_unit][-distance distance_unit] + [-capacitance cap_unit][-resistance res_unit][-time time_unit][-voltage voltage_unit][-current current_unit][-power power_unit][-distance distance_unit] - -capacitance cap_unit + -capacitance cap_unit - The capacitance scale factor followed by 'f'. + The capacitance scale factor followed by 'f'. - -resistance res_unit + -resistance res_unit - The resistance scale factor followed by 'ohm'. + The resistance scale factor followed by 'ohm'. - -time time_unit + -time time_unit - The time scale factor followed by 's'. + The time scale factor followed by 's'. - -voltage voltage_unit + -voltage voltage_unit - The voltage scale factor followed by 'v'. + The voltage scale factor followed by 'v'. - -current current_unit + -current current_unit - The current scale factor followed by 'A'. + The current scale factor followed by 'A'. - -power power_unit + -power power_unit - The power scale factor followed by 'w'. + The power scale factor followed by 'w'. - The set_units command is used to check the units used by the STA command interpreter when parsing commands and reporting results. If the current units differ from the set_unit value a warning is printed. Use the set_cmd_units command to change the command units. + The set_units command is used to check the units used by the STA command interpreter when parsing commands and reporting results. If the current units differ from the set_unit value a warning is printed. Use the set_cmd_units command to change the command units. Units are specified as a scale factor followed by a unit name. The scale factors are as follows. - M 1E+6k 1E+3m 1E-3u 1E-6n 1E-9p 1E-12f 1E-15 + M 1E+6k 1E+3m 1E-3u 1E-6n 1E-9p 1E-12f 1E-15 An example of the set_units command is shown below. - set_units -time ns -capacitance pF -current mA -voltage V -resistance kOhm + set_units -time ns -capacitance pF -current mA -voltage V -resistance kOhm - set_wire_load_min_block_size + set_wire_load_min_block_size size @@ -12690,7 +12712,7 @@ - set_wire_load_mode + set_wire_load_mode top|enclosed|segmented @@ -12727,7 +12749,7 @@ - set_wire_load_model + set_wire_load_model -name model_name[-library library][-max][-min][objects] @@ -12735,7 +12757,7 @@ - -name model_name + -name model_name The name of a wire load model. @@ -12743,7 +12765,7 @@ - -library library + -library library Library to look for model_name. @@ -12780,7 +12802,7 @@ - set_wire_load_selection_group + set_wire_load_selection_group [-library library][-max][-min]group_name[objects] @@ -12834,28 +12856,28 @@ - suppress_msg + suppress_msg - msg_ids + msg_ids - msg_ids + msg_ids - A list of error/warning message IDs to suppress. + A list of error/warning message IDs to suppress. - The suppress_msg command suppresses specified error/warning messages by ID. The list of message IDs can be found in doc/messages.txt. + The suppress_msg command suppresses specified error/warning messages by ID. The list of message IDs can be found in doc/messages.txt. - unset_case_analysis + unset_case_analysis port_or_pin_list @@ -12876,7 +12898,7 @@ - unset_clock_latency + unset_clock_latency [-source]objects @@ -12905,7 +12927,7 @@ - unset_clock_transition + unset_clock_transition clocks @@ -12927,7 +12949,7 @@ - unset_clock_uncertainty + unset_clock_uncertainty [-from|-rise_from|-fall_from from_clock][-to|-rise_to|-fall_to to_clock][-rise][-fall][-setup][-hold][objects] @@ -12935,7 +12957,7 @@ - -from from_clock + -from from_clock @@ -12943,7 +12965,7 @@ - -to to_clock + -to to_clock @@ -12983,7 +13005,7 @@ - uncertainty + uncertainty Clock uncertainty. @@ -13004,15 +13026,15 @@ - unset_data_check + unset_data_check - [-from|-rise_from|-fall_from from_object][-to|-rise_to|-fall_to to_object][-setup][-hold][-clock clock] + [-from|-rise_from|-fall_from from_object][-to|-rise_to|-fall_to to_object][-setup][-hold][-clock clock] - -from from_object + -from from_object A pin used as the timing check reference. @@ -13020,7 +13042,7 @@ - -to to_object + -to to_object A pin that the setup/hold check is applied to. @@ -13044,7 +13066,7 @@ - clock + clock The setup/hold check clock. @@ -13057,7 +13079,7 @@ - unset_disable_inferred_clock_gating + unset_disable_inferred_clock_gating objects @@ -13072,13 +13094,13 @@ - The unset_disable_inferred_clock_gating command removes a previous set_disable_inferred_clock_gating command. + The unset_disable_inferred_clock_gating command removes a previous set_disable_inferred_clock_gating command. - unset_disable_timing + unset_disable_timing [-from from_port][-to to_port]objects @@ -13089,7 +13111,7 @@ from_port - + @@ -13097,7 +13119,7 @@ to_port - + @@ -13115,7 +13137,7 @@ - unset_input_delay + unset_input_delay [-rise][-fall][-max][-min][-clock clock][-clock_fall]port_pin_list @@ -13126,7 +13148,7 @@ -rise - Unset the arrival time for the rising edge of the input. + Unset the arrival time for the rising edge of the input. @@ -13134,7 +13156,7 @@ -fall - Unset the arrival time for the falling edge of the input. + Unset the arrival time for the falling edge of the input. @@ -13142,7 +13164,7 @@ -max - Unset the minimum arrival time. + Unset the minimum arrival time. @@ -13150,7 +13172,7 @@ -min - Unset the maximum arrival time. + Unset the maximum arrival time. @@ -13158,7 +13180,7 @@ clock - Unset the arrival time from clock. + Unset the arrival time from clock. @@ -13166,7 +13188,7 @@ -clock_fall - Unset the arrival time from the falling edge of clock + Unset the arrival time from the falling edge of clock @@ -13185,7 +13207,7 @@ - unset_output_delay + unset_output_delay [-rise][-fall][-max][-min][-clock clock][-clock_fall]port_pin_list @@ -13254,10 +13276,10 @@ - unset_path_exceptions + unset_path_exceptions - [-setup][-hold][-rise][-fall][-from|-rise_from|-fall_from from][-through|-rise_through|-fall_through through][-to|-rise_to|-fall_to to] + [-setup][-hold][-rise][-fall][-from|-rise_from|-fall_from from][-through|-rise_through|-fall_through through][-to|-rise_to|-fall_to to] @@ -13265,7 +13287,7 @@ -setup - Unset path exceptions for setup checks. + Unset path exceptions for setup checks. @@ -13273,7 +13295,7 @@ -hold - Unset path exceptions for hold checks. + Unset path exceptions for hold checks. @@ -13281,7 +13303,7 @@ -rise - Unset path exceptions for rising path edges. + Unset path exceptions for rising path edges. @@ -13290,12 +13312,12 @@ -fall - Unset path exceptions for falling path edges. + Unset path exceptions for falling path edges. - -from from + -from from A list of clocks, instances, ports or pins. @@ -13303,7 +13325,7 @@ - -through through + -through through A list of instances, pins or nets. @@ -13311,7 +13333,7 @@ - -to to + -to to A list of clocks, instances, ports or pins. @@ -13319,66 +13341,66 @@ The unset_path_exceptions command removes any matching set_false_path, set_multicycle_path, set_max_delay, and set_min_delay exceptions. - + - unset_power_activity + unset_power_activity - [-global][-input][-input_ports ports][-pins pins] + [-global][-input][-input_ports ports][-pins pins] - -global + -global - Set the activity/duty for all non-clock pins. + Set the activity/duty for all non-clock pins. - -input + -input - Set the default input port activity/duty. + Set the default input port activity/duty. - -input_ports input_ports + -input_ports input_ports - Set the input port activity/duty. + Set the input port activity/duty. - -pins pins + -pins pins - Set the pin activity/duty. + Set the pin activity/duty. - -activity activity + -activity activity - The activity, or number of transitions per clock cycle. If clock is not specified the clock with the minimum period is used. If no clocks are defined an error is reported. + The activity, or number of transitions per clock cycle. If clock is not specified the clock with the minimum period is used. If no clocks are defined an error is reported. - The unset_power_activity_command is used to undo the effects of the set_power_activity command. + The unset_power_activity_command is used to undo the effects of the set_power_activity command. - unset_propagated_clock + unset_propagated_clock objects @@ -13399,42 +13421,42 @@ - unset_timing_derate + unset_timing_derate - Remove all derating factors set with the set_timing_derate command. + Remove all derating factors set with the set_timing_derate command. - unsuppress_msg + unsuppress_msg - msg_ids + msg_ids - msg_ids + msg_ids - A list of error/warning message IDs to unsuppress. + A list of error/warning message IDs to unsuppress. - The unsuppress_msg command removes suppressions for the specified error/warning messages by ID. The list of message IDs can be found in doc/messages.txt. + The unsuppress_msg command removes suppressions for the specified error/warning messages by ID. The list of message IDs can be found in doc/messages.txt. - user_run_time + user_run_time @@ -13447,7 +13469,7 @@ - with_output_to_variable + with_output_to_variable var { commands } @@ -13470,21 +13492,21 @@ - The with_output_to_variable command redirects the output of TCL commands to a variable. + The with_output_to_variable command redirects the output of TCL commands to a variable. - write_path_spice + write_path_spice - -path_args path_args-spice_directory spice_directory-lib_subckt_file lib_subckts_file-model_file model_file-power power-ground ground[-simulator hspice|ngspice|xyce] + -path_args path_args-spice_directory spice_directory-lib_subckt_file lib_subckts_file-model_file model_file-power power-ground ground[-simulator hspice|ngspice|xyce] - path_args + path_args -from|-through|-to arguments as in report_checks. @@ -13492,15 +13514,15 @@ - spice_directory + spice_directory - Directory for spice to write output files. + Directory for spice to write output files. - lib_subckts_file + lib_subckts_file Cell transistor level subckts. @@ -13508,7 +13530,7 @@ - model_file + model_file Transistor model definitions .included by spice_file. @@ -13516,7 +13538,7 @@ - power + power Voltage supply name in voltage_map of the default liberty library. @@ -13524,7 +13546,7 @@ - ground + ground Ground supply name in voltage_map of the default liberty library. @@ -13532,25 +13554,25 @@ - -simulator + -simulator - Simulator that will read the spice netlist. + Simulator that will read the spice netlist. The write_path_spice command writes a spice netlist for timing paths. Use path_args to specify -from/-through/-to as arguments to the find_timing_paths command. For each path, a spice netlist and the subckts referenced by the path are written in spice_directory. The spice netlist is written in path_<id>.sp and subckt file is path_<id>.subckt. The spice netlists used by the path are written to subckt_file, which spice_file .includes. The device models used by the spice subckt netlists in model_file are also .included in spice_file. Power and ground names are specified with the -power and -ground arguments. The spice netlist includes a piecewise linear voltage source at the input and .measure statement for each gate delay and pin slew. - Example command: - write_path_spice -path_args {-from "in0" -to "out1" -unconstrained} \ -spice_directory $result_dir \ -lib_subckt_file "write_spice1.subckt" \ -model_file "write_spice1.models" \ -power VDD -ground VSS - When the simulator is hspice, .measure statements will be added to the spice netlist. - When the simulator is Xyce, the .print statement selects the CSV format and writes the waveform data to a file name path_<id>.csv so the results can be used by gnuplot. + Example command: + write_path_spice -path_args {-from "in0" -to "out1" -unconstrained} \ -spice_directory $result_dir \ -lib_subckt_file "write_spice1.subckt" \ -model_file "write_spice1.models" \ -power VDD -ground VSS + When the simulator is hspice, .measure statements will be added to the spice netlist. + When the simulator is Xyce, the .print statement selects the CSV format and writes the waveform data to a file name path_<id>.csv so the results can be used by gnuplot. - write_sdc + write_sdc [-digits digits][-gzip][-no_timestamp]filename @@ -13569,7 +13591,7 @@ -gzip - Compress the SDC with gzip. + Compress the SDC with gzip. @@ -13595,7 +13617,7 @@ - write_sdf + write_sdf [-corner corner][-divider /|.][-include_typ][-digits digits][-gzip][-no_timestamp][-no_version]filename @@ -13606,7 +13628,7 @@ corner - Write delays for corner. + Write delays for corner. @@ -13628,7 +13650,7 @@ - -digits digits + -digits digits The number of digits after the decimal point to report. The default is 4. @@ -13639,7 +13661,7 @@ -gzip - Compress the SDF using gzip. + Compress the SDF using gzip. @@ -13663,79 +13685,79 @@ filename - The SDF filename to write. + The SDF filename to write. - Write the delay calculation delays for the design in SDF format to filename. If -corner is not specified the min/max delays are across all corners. With -corner the min/max delays for corner are written. The SDF TIMESCALE is same as the time_unit in the first liberty file read. + Write the delay calculation delays for the design in SDF format to filename. If -corner is not specified the min/max delays are across all corners. With -corner the min/max delays for corner are written. The SDF TIMESCALE is same as the time_unit in the first liberty file read. - write_timing_model + write_timing_model - [-library_name lib_name][-cell_name cell_name] - [-corner corner]filename + [-library_name lib_name][-cell_name cell_name] + [-corner corner]filename - -library_name lib_name + -library_name lib_name - The name to use for the liberty library. Defaults to cell_name. + The name to use for the liberty library. Defaults to cell_name. - -cell_name cell_name + -cell_name cell_name - The name to use for the liberty cell. Defaults to the top level module name. + The name to use for the liberty cell. Defaults to the top level module name. - -corner corner + -corner corner - The process corner to use for extracting the model. + The process corner to use for extracting the model. - filename + filename - Filename for the liberty timing model. + Filename for the liberty timing model. - The write_timing_model command constructs a liberty timing model for the current design and writes it to filename. cell_name defaults to the cell name of the top level block in the design. - The SDC used to extract the block should include the clock definitions. If the block contains a clock network set_propagated_clock should be used so the clock delays are included in the timing model. The following SDC commands are ignored when building the timing model. - set_input_delayset_output_delayset_loadset_timing_derate - Using set_input_transition with the slew from the block context will be used will improve the match between the timing model and the block netlist. Paths defined on clocks that are defined on internal pins are ignored because the model has no way to include the clock definition. + The write_timing_model command constructs a liberty timing model for the current design and writes it to filename. cell_name defaults to the cell name of the top level block in the design. + The SDC used to extract the block should include the clock definitions. If the block contains a clock network set_propagated_clock should be used so the clock delays are included in the timing model. The following SDC commands are ignored when building the timing model. + set_input_delayset_output_delayset_loadset_timing_derate + Using set_input_transition with the slew from the block context will be used will improve the match between the timing model and the block netlist. Paths defined on clocks that are defined on internal pins are ignored because the model has no way to include the clock definition. The resulting timing model can be used in a hierarchical timing flow as a replacement for the block to speed up timing analysis. This hierarchical timing methodology does not handle timing exceptions that originate or terminate inside the block. The timing model includes: - combinational paths between inputs and outputssetup and hold timing constraints on inputsclock to output timing paths - Resistance of long wires on inputs and outputs of the block cannot be modeled in Liberty. To reduce inaccuracies from wire resistance in technologies with resistive wires place buffers on inputs and ouputs. + combinational paths between inputs and outputssetup and hold timing constraints on inputsclock to output timing paths + Resistance of long wires on inputs and outputs of the block cannot be modeled in Liberty. To reduce inaccuracies from wire resistance in technologies with resistive wires place buffers on inputs and ouputs. The extracted timing model setup/hold checks are scalar (no input slew dependence). Delay timing arcs are load dependent but do not include input slew dependency. - write_verilog + write_verilog - [-sort] - [-include_pwr_gnd][-remove_cells lib_cells]filename + [-sort] + [-include_pwr_gnd][-remove_cells lib_cells]filename - -sort + -sort Sort the instances in the netlist. @@ -13743,7 +13765,7 @@ - -include_pwr_gnd + -include_pwr_gnd Include power and ground pins on instances. @@ -13751,24 +13773,24 @@ - -remove_cells lib_cells + -remove_cells lib_cells - Liberty cells to remove from the Verilog netlist. Use get_lib_cells, a list of cells names, or a cell name with wildcards. + Liberty cells to remove from the Verilog netlist. Use get_lib_cells, a list of cells names, or a cell name with wildcards. - filename + filename - Filename for the liberty library. + Filename for the liberty library. - The write_verilog command writes a Verilog netlist to filename. Use -sort to sort the instances so the results are reproducible across operating systems. Use -remove_cells to remove instances of lib_cells from the netlist. - Filter Expressions - The get_cells, get_pins, get_ports and get_timing_edges functions support filtering the returned objects by property values. Supported filter expressions are shown below. + The write_verilog command writes a Verilog netlist to filename. Use -sort to sort the instances so the results are reproducible across operating systems. Use -remove_cells to remove instances of lib_cells from the netlist. + Filter Expressions + The get_cells, get_pins, get_ports and get_timing_edges functions support filtering the returned objects by property values. Supported filter expressions are shown below. @@ -13777,7 +13799,7 @@ property - Return objects with property value equal to 1. + Return objects with property value equal to 1. @@ -13785,62 +13807,62 @@ property==value - Return objects with property value equal to value. + Return objects with property value equal to value. - property=~pattern + property=~pattern - Return objects with property value that matches pattern. + Return objects with property value that matches pattern. - property!=value + property!=value - Return objects with property value not equal to value. + Return objects with property value not equal to value. - property!~value + property!~value - Return objects with property value that does not match pattern. + Return objects with property value that does not match pattern. - expr1&&expr2 + expr1&&expr2 - Return objects with expr1 and expr2. expr1 and expr2 are one of the first three property value forms shown above. + Return objects with expr1 and expr2. expr1 and expr2 are one of the first three property value forms shown above. - expr1||expr2 + expr1||expr2 - Return objects with expr1 or expr2. expr1 and expr2 are one of the first three property value forms shown above. + Return objects with expr1 or expr2. expr1 and expr2 are one of the first three property value forms shown above. - Where property is a property supported by the get_property command. Note that if there are spaces in the expression it must be enclosed in quotes so that it is a single argument. - Variables + Where property is a property supported by the get_property command. Note that if there are spaces in the expression it must be enclosed in quotes so that it is a single argument. + Variables - hierarchy_separator + hierarchy_separator - Any character. + Any character. @@ -13850,7 +13872,7 @@ - sta_bidirect_net_paths_enabled + sta_bidirect_net_paths_enabled 0|1 @@ -13863,33 +13885,33 @@ - sta_continue_on_error + sta_continue_on_error 0|1 - The include and read_sdc commands stop and report any errors encountered while reading a file unless sta_continue_on_error is 1. The default value is 0. + The include and read_sdc commands stop and report any errors encountered while reading a file unless sta_continue_on_error is 1. The default value is 0. - sta_crpr_mode + sta_crpr_mode same_pin|same_transition - When the data and clock paths of a timing check overlap (see sta_crpr_enabled), pessimism is removed independent of whether of the path rise/fall transitions. When sta_crpr_mode is same_transition, the pessimism is only removed if the path rise/fall transitions are the same. The default value is same_pin. + When the data and clock paths of a timing check overlap (see sta_crpr_enabled), pessimism is removed independent of whether of the path rise/fall transitions. When sta_crpr_mode is same_transition, the pessimism is only removed if the path rise/fall transitions are the same. The default value is same_pin. - sta_cond_default_arcs_enabled + sta_cond_default_arcs_enabled 0|1 @@ -13902,7 +13924,7 @@ - sta_crpr_enabled + sta_crpr_enabled 0|1 @@ -13915,7 +13937,7 @@ - sta_dynamic_loop_breaking + sta_dynamic_loop_breaking 0|1 @@ -13928,20 +13950,20 @@ - sta_gated_clock_checks_enabled + sta_gated_clock_checks_enabled 0|1 - When sta_gated_clock_checks_enabled is 1, clock gating setup and hold timing checks are checked. The default value is 1. + When sta_gated_clock_checks_enabled is 1, clock gating setup and hold timing checks are checked. The default value is 1. - sta_input_port_default_clock + sta_input_port_default_clock 0|1 @@ -13954,7 +13976,7 @@ - sta_internal_bidirect_instance_paths_enabled + sta_internal_bidirect_instance_paths_enabled 0|1 @@ -13967,7 +13989,7 @@ - sta_pocv_enabled + sta_pocv_enabled 0|1 @@ -13980,14 +14002,14 @@ - sta_propagate_all_clocks + sta_propagate_all_clocks 0|1 - All clocks defined after sta_propagate_all_clocks is set to 1 are propagated. If it is set before any clocks are defined it has the same effect as + All clocks defined after sta_propagate_all_clocks is set to 1 are propagated. If it is set before any clocks are defined it has the same effect as set_propagated_clock [all_clocks] After all clocks have been defined. The default value is 0. @@ -13995,34 +14017,34 @@ - sta_propagate_gated_clock_enable + sta_propagate_gated_clock_enable 0|1 - When set to 1, paths of gated clock enables are propagated through the clock gating instances. If the gated clock controls sequential elements setting sta_propagate_gated_clock_enable to 0 prevents spurious paths from the clock enable. The default value is 1. + When set to 1, paths of gated clock enables are propagated through the clock gating instances. If the gated clock controls sequential elements setting sta_propagate_gated_clock_enable to 0 prevents spurious paths from the clock enable. The default value is 1. - sta_recovery_removal_checks_enabled + sta_recovery_removal_checks_enabled 0|1 - When sta_recovery_removal_checks_enabled is 0, recovery and removal timing checks are disabled. The default value is 1. + When sta_recovery_removal_checks_enabled is 0, recovery and removal timing checks are disabled. The default value is 1. - sta_report_default_digits + sta_report_default_digits integer @@ -14035,7 +14057,7 @@ - sta_preset_clear_arcs_enabled + sta_preset_clear_arcs_enabled 0|1 @@ -14068,184 +14090,184 @@ - Alphabetical Index + Alphabetical Index - all_clocks6 - all_inputs6 - all_outputs6 - all_registers6 - check_setup7 - Command Line Arguments1 - Commands6 - connect_pin7 - create_generated_clock9 - create_voltage_area10 - current_design10 - current_instance10 - define_corners11 - delete_clock11 - delete_from_list11 - delete_generated_clock11 - delete_instance11 - delete_net12 - disconnect_pin12 - elapsed_run_time12 - Example Command Scripts1 - Filter Expressions80 - find_timing_paths13 - get_cells14 - get_clocks15 - get_fanin16 - get_fanout16 - get_full_name17 - get_lib_pins18 - get_libs18 - get_name20 - get_nets19 - get_pins20 - get_ports21 - get_property21 - get_timing_edges24 - group_path25 - hierarchy_separator80 - include26 - link_design26 - make_instance26 - make_net27 - Power Analysis2 - read_liberty27 - read_saif28 - read_sdc28 - read_sdf28 - read_spef29 - read_vcd31 - read_verilog31 - redirection4 - replace_activity_annotation31 - replace_cell31 - report_annotated_check32 - report_annotated_delay33 - report_check_types36 - report_checks34 - report_clock_latency37 - report_clock_min_period38 - report_clock_properties38 - report_clock_skew38 - report_dcalc39 - report_disabled_edges39 - report_edges39 - report_instance40 - report_lib_cell40 - report_net40 - report_parasitic_annotation40 - report_power41 - report_pulse_width_checks41 - report_slews42 - report_tns42 - report_units42 - report_wns43 - report_worst_slack43 - set_assigned_check43 - set_assigned_delay44 - set_assigned_transition45 - set_case_analysis46 - set_clock_gating_check46 - set_clock_groups47 - set_clock_latency47 - set_clock_transition48 - set_clock_uncertainty49 - set_cmd_units50 - set_data_check51 - set_disable_inferred_clock_gating51 - set_disable_timing51 - set_drive52 - set_driving_cell53 - set_false_path54 - set_fanout_load55 - set_hierarchy_separator55 - set_ideal_latency55 - set_ideal_network55 - set_ideal_transition55 - set_input_delay55 - set_input_transition57 - set_level_shifter_strategy57 - set_level_shifter_threshold57 - set_load57 - set_logic_dc58 - set_logic_one58 - set_logic_zero59 - set_max_area59 - set_max_capacitance59 - set_max_delay59 - set_max_dynamic_power60 - set_max_fanout60 - set_max_leakage_power60 - set_max_time_borrow60 - set_max_transition61 - set_min_capacitance61 - set_min_delay62 - set_min_pulse_width62 - set_multicycle_path63 - set_operating_conditions64 - set_output_delay65 - set_port_fanout_number66 - set_power_activity66 - set_propagated_clock67 - set_pvt67 - set_resistance69 - set_sense68 - set_timing_derate69 - set_units70 - set_wire_load_min_block_size71 - set_wire_load_mode71 - set_wire_load_model71 - set_wire_load_selection_group71 - SPEF30 - sta_bidirect_net_paths_enabled80 - sta_cond_default_arcs_enabled81 - sta_continue_on_error80 - sta_crpr_enabled81 - sta_crpr_mode81 - sta_dynamic_loop_breaking81 - sta_gated_clock_checks_enabled81 - sta_input_port_default_clock81 - sta_internal_bidirect_instance_paths_enabled81 - sta_pocv_enabled82 - sta_preset_clear_arcs_enabled82 - sta_propagate_all_clocks82 - sta_propagate_gated_clock_enable82 - sta_recovery_removal_checks_enabled82 - sta_report_default_digits82 - suppress_msg72 - TCL Interpreter3 - Timing Analysis using SDF2 - Timing Analysis with Multiple Process Corners2 - unset_case_analysis72 - unset_clock_latency72 - unset_clock_transition72 - unset_clock_uncertainty73 - unset_data_check73 - unset_disable_inferred_clock_gating74 - unset_disable_timing74 - unset_input_delay74 - unset_output_delay75 - unset_path_exceptions75 - unset_propagated_clock76 - unset_timing_derate76 - unsuppress_msg76 - user_run_time76 - Variables80 - verilog netlist31 - with_output_to_variable76 - write_path_spice77 - write_sdc77 - write_sdf78 - write_timing_model78 - write_verilog79 + all_clocks6 + all_inputs6 + all_outputs6 + all_registers6 + check_setup7 + Command Line Arguments1 + Commands6 + connect_pin7 + create_generated_clock9 + create_voltage_area10 + current_design10 + current_instance10 + define_corners11 + delete_clock11 + delete_from_list11 + delete_generated_clock11 + delete_instance11 + delete_net12 + disconnect_pin12 + elapsed_run_time12 + Example Command Scripts1 + Filter Expressions80 + find_timing_paths13 + get_cells14 + get_clocks15 + get_fanin16 + get_fanout16 + get_full_name17 + get_lib_pins18 + get_libs18 + get_name20 + get_nets19 + get_pins20 + get_ports21 + get_property21 + get_timing_edges24 + group_path25 + hierarchy_separator80 + include26 + link_design26 + make_instance26 + make_net27 + Power Analysis2 + read_liberty27 + read_saif28 + read_sdc28 + read_sdf28 + read_spef29 + read_vcd31 + read_verilog31 + redirection4 + replace_activity_annotation31 + replace_cell31 + report_annotated_check32 + report_annotated_delay33 + report_check_types36 + report_checks34 + report_clock_latency37 + report_clock_min_period38 + report_clock_properties38 + report_clock_skew38 + report_dcalc39 + report_disabled_edges39 + report_edges39 + report_instance40 + report_lib_cell40 + report_net40 + report_parasitic_annotation40 + report_power41 + report_pulse_width_checks41 + report_slews42 + report_tns42 + report_units42 + report_wns43 + report_worst_slack43 + set_assigned_check43 + set_assigned_delay44 + set_assigned_transition45 + set_case_analysis46 + set_clock_gating_check46 + set_clock_groups47 + set_clock_latency47 + set_clock_transition48 + set_clock_uncertainty49 + set_cmd_units50 + set_data_check51 + set_disable_inferred_clock_gating51 + set_disable_timing51 + set_drive52 + set_driving_cell53 + set_false_path54 + set_fanout_load55 + set_hierarchy_separator55 + set_ideal_latency55 + set_ideal_network55 + set_ideal_transition55 + set_input_delay55 + set_input_transition57 + set_level_shifter_strategy57 + set_level_shifter_threshold57 + set_load57 + set_logic_dc58 + set_logic_one58 + set_logic_zero59 + set_max_area59 + set_max_capacitance59 + set_max_delay59 + set_max_dynamic_power60 + set_max_fanout60 + set_max_leakage_power60 + set_max_time_borrow60 + set_max_transition61 + set_min_capacitance61 + set_min_delay62 + set_min_pulse_width62 + set_multicycle_path63 + set_operating_conditions64 + set_output_delay65 + set_port_fanout_number66 + set_power_activity66 + set_propagated_clock67 + set_pvt67 + set_resistance69 + set_sense68 + set_timing_derate69 + set_units70 + set_wire_load_min_block_size71 + set_wire_load_mode71 + set_wire_load_model71 + set_wire_load_selection_group71 + SPEF30 + sta_bidirect_net_paths_enabled80 + sta_cond_default_arcs_enabled81 + sta_continue_on_error80 + sta_crpr_enabled81 + sta_crpr_mode81 + sta_dynamic_loop_breaking81 + sta_gated_clock_checks_enabled81 + sta_input_port_default_clock81 + sta_internal_bidirect_instance_paths_enabled81 + sta_pocv_enabled82 + sta_preset_clear_arcs_enabled82 + sta_propagate_all_clocks82 + sta_propagate_gated_clock_enable82 + sta_recovery_removal_checks_enabled82 + sta_report_default_digits82 + suppress_msg72 + TCL Interpreter3 + Timing Analysis using SDF2 + Timing Analysis with Multiple Process Corners2 + unset_case_analysis72 + unset_clock_latency72 + unset_clock_transition72 + unset_clock_uncertainty73 + unset_data_check73 + unset_disable_inferred_clock_gating74 + unset_disable_timing74 + unset_input_delay74 + unset_output_delay75 + unset_path_exceptions75 + unset_propagated_clock76 + unset_timing_derate76 + unsuppress_msg76 + user_run_time76 + Variables80 + verilog netlist31 + with_output_to_variable76 + write_path_spice77 + write_sdc77 + write_sdf78 + write_timing_model78 + write_verilog79 - - Version 2.6.0, Sep 23, 2024Copyright (c) 2024, Parallax Software, Inc. + + Version 2.6.0, Sep 23, 2024Copyright (c) 2024, Parallax Software, Inc. This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version 3 of the License, or (at your option) any later version. This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details. You should have received a copy of the GNU General Public License along with this program. If not, see <https://www.gnu.org/licenses/>. diff --git a/doc/OpenSTA.pdf b/doc/OpenSTA.pdf index ac92e30e8ef3c026fec53c7d1a3572463f224c07..9da64cb88d05b3696b43a88a3dcc3c8a197c9e93 100644 GIT binary patch delta 411093 zcmYJ)Qxat$_bhA~LY@%y8)H|qS} zX@1Rd9f-Iq!&5P}rn;x1`dZIw|D)5h&g1v?{`?(Bka?rtR<(z{TF;8oYRRe3J%n>~ z*cVr)w&%Ort$lM*i?Q`e|NBZXp!fUr_8E2sxWdri@oTVNpP6w>Bb^7t*{f}Jr63g# z$CsqJbWjn>!#eXLfw?wW~_Pg5%> z1fZa?0HYIgA=e@th}W?gqWCQXh3fuOG0_0l=)%RrLhRr)x@0^~W$u9Mi}Hfc*%e-t z&LbX=xqn$LYhv_0sSAPL6cz!8@*%JVOd4X>z(wJquYj>SE^y5CE~Y%O!ykTDG81UAM^%O>oT;IoR4XI4OWON&rrROI95=k6i&(3T2@TAkZO0n7=$w6gKFf{yKHQiYi7PWE zo>`dD_CWD+yTV&iKLVbXD;jd0zst?2Kwwe6*FVx>6+7nRgm{Zay=I>QAZIp_vioB) zHj!GhR@w&if?tOV4gmvZhy!tI%nS2GLh>EM1Gz)!he}hL=VP#RE!iuj{ieFhdBO3) zoY@}jbyt#*lIatR>xJU3!KAb6!SQqrTC-(Kh=ChfNQ?d_vqF%kc4Cm8EJ29VE79?p zPUe#}Gq~6s<|`t%NN`4g^bpK_8(Fp~V)+*mfbyDumEnK8YWDGu{8;TiQXxcp`mOqf z9?*QE%_*`c!|ZSyVcJ3i6wggw4Qmm>;c?rVj`vR=W(W}K zyyS#B!=vh^GikMbNHH5lqCrU87v)ux1sVzAF)cIhs!xXmjW;C@@`c&iVIdaWltYEt zub`K5>sy_z68D}*_44;d3ZDp8sT4@v0oN5au=>Z#dozH$Qnu<;=yVUxK=yRir6Yt3z1jQS zLSQichyny6u2YV66^``FqmEQxnwr4@flbF{r8KQ014=g}h%9V~*I~WbAla0lkjz;i zI#q@&;P22tEGj?n545o-a-v@E5xdd@pIf3O2Y0KZ3mzM29^A@WCg40age_o%ELWo3 zA2$Ggt1A9!|M1j9ea$1o;`f8cda9>}1`98@<V46fNQ1wTQyiqTI;^Hj3Gu|Ul8$e_0OtdDYKLAJVKkXP$Jw_ z8PZ3FWvA4IOQ~Z#b_w#XMDz-OvZaov^kmq#%d(#Q)*~NkVrzYeqsLK1%5d&53jov< z3y8eb8yKSyTPqWL&EeHY8w6Dgtue!jFUW?2`d-rn=QxL=C`Oadmyh%OKHzyALg8sp4nUaldv+>xkj;(uQR+Wl)-Igx>dw26|Bct(sw9u; z!@*A;oypI|f)uu8sg2lHPrd*4$InMYm>GkVn9qyA`>A&t#?rRu&+MI84!g$wThE_~Z*FnSL;&-`KA;Vgc;Wut z*b2olz0F2&d$831$Q>1Vfsh#k5zdc*2nkMHxSs+E&X1P}CB|cPgMhw!0x`m@@*TI3 zs(Ug%9NhEOhW3x&9#PAm`Q)=Q-0lq_GCysGi=`u6te2+PX=V#3bX+&A?t6R#4QBG3 z{mvHKr%@w%h{R#a>bMs`95LVF`CXeMSRPH0m*lmDrq895n}45Lth|7S2E1?*^PSCNb% z`K{Gqj%NvO*7hPm4xCFsGh!N@3E&Ii$2OU>vw6GaB(XaF_*Qn6(Jv|UGHY=o4i0Kc zlBlYy`?|hYX5r<3uHDYuf4qme%L6{QbNW2jzl)6VxEbuY;T+E4TuKps`}ppDzEocw z-AC+uI({DiJuZcv9X-sj0}uf1F4rzx`lAF#eQU2>Pe-#3$@Ut1yuCNO+bR&%-ahUo zk-F&t%qa8BQ*Rd!V>{1|ueR}@Z(mbqdyX&PWo^H)jRA=>f&{^ru$Sek8Un#!KTgSq z!*Mno14x)%IWKRaM>sDIo5F9|Sg&xukalHX1>SYr(icC+XOv_70F$2294Y-?VG)f- zr%jl$a7@+C&Q}KLudAcAsnq>svS7t9KcjFPOAe5FAQp*ct}*okzzzS+K>a_H6_`LR zZARsqoNR@34x1Df&$~QtZ=3Z#9U)-jFu&5^Sn7v;daUSJ{1wd}dUtGrl7Cn&q9uFZ z_aAd}iq=}FrBw6+pC&t=RY0(QVXtd1#BvN6@tx}BD+bq@8?o?lCRkz1ZSj( zegjSQ^>Qs-?oI|0l_qbuQ1fa(4KDqUL5j^`{)W9G1VBgqfQ4{u=8_PcAXon9Wi6!! zuNrT>5KIKYKz%m9r6DyBp)MK=Q+U@-r!4oa(MA6dpuHUsWx^>?N?u)?&QC__=oLYc z{)yjJV z#1VgOxA_x4fNL$7|LCzyVFIh#{uX#rBBwqir!H|u^4_2wyM4g)nNTALr4s_XLrN6{ z81O$`ZX)M;P`w%z)jCGR?4rA8_>2s)OgWa;(Af@iVH34fG4;nPI8Pa=HXT#75Z_My2f4rtk3;YiTTRTk$O>XpoqP9>s^Pkci(F7M9 zGh1on%|9Z`4oouQ+6)qj&PvW;$c}Di2;t0K)ofUag6)l=4F4KAn1DIYXdTUED70?` zz_G`!0gNd**Z#5~kmPqw5LnKEtTzqO#)9Hn40TAand-0X1KB8v&9lW^#vQT|}`LFU^DyU)cpaiUux0*ue`zxdn*GW5#9Czi&htn71`^4J?YnB=q z;A5$uAOVUjqnj6%Oby*zop{?nD+GPA27;O-)%RcQv`o8)3Q7l$GG#BBhQk5_h-QGK z=cIruf0;u=Kzv#+0i))p0{XQtqqRh%1(BOuV=dA|yJF_G6IzBKH$cg{Oe`NMp#w4GhDkZj(1}PxWKhz5c zNqkO0!LzFeF)A`AXj#>D=XY0qJ{x#Hv4-cuPt3*@6T`@LXIj?GH~#h_{90B5=m;jo z0gGb^h(F)rOIX^(5sR76i{@->f|O&lvp4T^@4t)Ad!hJq3f5)@1nlMQqIp5{10 zRD;>lX9l?^rz!ULL-e1{6OvlqlLX&Kb{lk!UomFrnNTvmS&CE4pjtvrVet%q2r>jW zH`cz)8%V-HSPY2l-5kU{?~g0bD4wnD0G}pILEBPE)qo{`p|PztoZX}jU{2a5x(N=N z9cD+xj2cKqSf?evphL=(8P2hT;K+)FzrJcthrM|eS#wzyJ8W=@m3(O%!i7Mb$;y%J z*y`tbUp+WQGgPmW(BBH>igv2#0`#nfC+EOYQ9ej^CRH)kF4|=4qxL#aCStc}`;i5K z%8V1HRj&skKkJ6I@@Dl2&~)j@cS6qYNUQfEQAP2aiN7m#6$*S@*>MS@YF16O0yI87 zU1sVxoa=;e6j3*;vjvTI2E;fKG%P26Y*%D-xpZ4t4!uH~=)zBi0m%KCg4P}pJ_m>fbV@Iy%@4tAF|p!(aZYe-e< zOAqwGWDjJ+*!J)aAZ->F#&5b`n z%n;`RkU-^jNH^p|d%p0~|13=j@i@g_lc8^94iEVBF_C7)fHAPsp;xJ0pqsg`+LvjQ z@2tbHM2=TGHzw4lR?>`4hW1BQ&lD@yRcaI1F_)=qD+@Px^Vw&siv%-s9+m+n-f5U5 zN&ul)xFA{ubR43q1!tQy0Hh=0Z}&7vzR`McT-j;V>oMIt8&RvC60=HewT+hE6)KWZ zC0|@#_E9)-@U(T+i#Mw`BnT1UG3*%QkkDDKl&z?`j%c39a=G#gzdoo0~mSZ<9Btf~pOuWGPR1^N+%|F@D-BGs8j;M!QMU6~ow? z(0A(_A_AYz{C`*q&&j~@zbs{AWk`$hgv3abK?H&XW?)DoS_38p^k{8a9g89Q=G7q{ z(;9SieGo&10?kFEHrB_>!g@k_GBosTnBMGg=p}ynR^HX9Nis9Z)i;PMRaJg2pQyMZ zRCzwHojzV(ybpcP*w3x}+!#KIkNj0*wxFKz++ppu;(GnPuzPxHTRAEnQ%w8bV@J^E z+p%p+vq#t?pl;m)+{FEuetCNJZRp!$3%tWwU&*PiwXK}Yt7NOLy=v28nP)!B)Tg>u z8$xv7rki}>ALMNL_MYW$))wtk_^?;QgwNeEh zX9J8AnmjlF7Bm|Bf~bZYrzCxkM_QkWc(DMw{vuE_QWmJa0a(oIPraxSCY>igBWMun zraLg@bZ$NUh*wGihBSoqbARfc%geDlSWI%~{Umm@IzHkz)gf*aLIfPsZB8A(NyPK5#ywI%smKXxp<0W-3J z9~YrS$eaT81tn{@;jvP6j{;qK2_%j-v1ob>6kg64Sg0#{L3U3%bGtJk8eTuwy3)F6 zf*2#q!a#Q&mO7B+=%;FWBaFgbe*Gw7b}?juX$^|V85phUAGyS!*r+vcKwy?B?&0>1);4sRCSgN`@fs0e5F|nf_l`v|_{etdBsKuK2I7=}S@p`Y zZ0lq=uRv~^u>NZuwS;IAGy4pDBfJwQjL^$GuJr^n@Vr6iU~|>(0JPrDq4u~L#~)2pP4Ev$GwlnuA(}%lEiR6lvo}B zrT4eIM1?sJ>`ER=Z?ZtiL8?B3eh;U~F==UDem;5X2gn63Cg)EL_|h@dP{KO{W^6k9 z4m=VSerl!4L{>x{)%5u~QwVM!&&|66DlM{FK%f@77`X1z;-FeE&O8}reZ%q6f?MVq zD3(9|jg0#Ai4Ye$iS-)uzXhbfESV_)C5(@t^eFxO#8_Q2*d;|S6m8+csK(&wb4s<0 zSa%gtoN;cHQ_WfQDMhPMrrd>DS|P9u&S1KDA2bT0rZ5u*a~7EBSZQZ}tw7luL!0IY zXMX$>=^(m>95g%q+meo*Go37zL?5L7|q%2h@PW{#A1?H1@TOuXk6MHLw0;hkh zG9p_+c;0wHFBZwv_MIAWxezZx#ApiapzDR($lM^;C5_jpz2lC0XUi}^ z@{NH(*+dC-1tZLCJLnMsP}idBHdfgM_Nkbs|57x$(B9E64A2I)$J1t`%;Hk#W}F6;8~A4~VY2?ZZe} zR1mgW5N>M%t;Az`5pFerib_rfJ3LfIH2H_dqe&Qh$J`;mdfZ>^**Efxni;7>=u<45 z{E7|I0yf2saIWm4duHUxiJLnIB}tHxRh(oT{R`acXQ>a&ITv#^mFP%1%HZcL z*qCz;W^NqL{zy7(7pkWF1mnP=z#VR33Yk(*&RneF54kf*O70PW6qze}UD#rFg^oF; z+i`Ib&5bUnYrbD5k1AG%4XfP95%C^+302!B+%W@k{xyZt9WV-cq%il)->)$v{IbVU6pLxpjcHdXLe{qVwx&WqhniK1k09< zL6Su$;Z%Q3=RXoaIGU6dA<>qo{H&a^aDh z0l8`pzk3d-XQLvxj-Qu(-KQjNfD||vY{Klr>(CKxYrQ+*0tUf^Y$XFclwJ2rPpZ|@ z%1h&)7f0#+u=f~`h++SkfL+DxbYQjNHjN98R%bdE>5q#TFK&rWT{K@?+oX!J@Pzl` z?|$Hnl5C^Ej{5qj^u&EH=lorLHgI<-0*2YCpc;uC{t`+w2ONX`M#DP$D0Ed?o#d{& zRYL&6w7<=9<3moiG*rdHty+-x6H{;fIxZroKSP&!obD}_|ZyF;PqF`c1w2i_jeU)9M-;U zktONnM2BD=v!Etp4+_CU{TpWGP{RLz0y1Y8C)2bESs++=28RC&&lp*m(*(;PF<@j& z?aW;)2$>lEi_Qw_$pQA;Vz9k;b%^uY0V$mFlKu_-d|Tj@^UdI1lwJ&06t0Ne6<7H; zee~vHLs*j8EvRRR9BwZKWSl-e1d_DNg&*dw4Zc2|-p=1+$}OLceIHeU&{pxbrXl5B zVk)v2KY(uC?+@GOdagrNj4?pn9e;1%w;1qGmv?)IuSaK32cWzA&GC1rAaKUa{ao~5 z?r3Y})@lXF%37h6b!A1?)sZR1|MGH%?EIc&=Jays(xb(TS2%>S>QDKK$`J8IBjrx3 z?T#bF`97$(Cj+5;xeT2`>EvW)X=``W{vQgWd?Z?l zKo9{sK_9Jkib7k-(NP>XUvvI^FgUzWC?+WTdD7@!2>khEsen2F36+v0=3-MTS?*Jb zB8urNeRcKbjBBIc=)Z|t#^~~gOusXGKA95kLMHh-AkZyWVBULnb+oT-yp^dLtLotn zW89y~35(?Fv}BxYa^AGL{8`~k8@i6=T#wz^p{V<9Vx$@lAA|m5$3w? zIwbraz%=<-SDp_(m_iQy=(Ng|j={g5a#Xgu01Ak(cpxooo4$G;i;(Y@$%uvcjBx@e zSbNyz$ThrwU#^UAU=Fam#{u{gmNXGxr;)uMxM`M3A< z`L_+YYzX%JI>!=AS7S?HFaFNMq7G-OK`>ajNAU)Tk8MP1#KxB!7LSud7|I!dZf^Vq zXk4f5h=ApsaA>o@>@3KFm#*T}=We=5m^%$%Y5YOZBn1O{bC$z4O)xR!&di%2JKlvm z!L!@b0eJlega5jGdwjnrMyz5OF%&K_~5I?)gn!axA>wM3A%4&_3hD1O}GtgCg* zbc2^Ffe89`DzS->Uh3=(RK@N`@Jbg1_-j&gHA2w)(0va9RYhc1-46lPkJj5GLwg~m z7K;OO1y6-PGmYccmq5%AYC@>U7)7A*j^jtXF#SMJk``Fm$pKQXAFvdcr_f%I3uY{a zj|GTCj)ON79C@wcD1V)sLPeJ}Am*1Dp!O}3%IP^pFHOYu6C^AW>YCt9g!IV)PEx;x zF(CrIeDkIUk;X5KO4m%lJCnQwG&C2(Lyp%VF#!*Nr5Rgu>(#C7R1`MBu5xH zB$4>TdQpw+X3vZL70xUrTqDWe`F06L36I>)>geFtitFg67S;$mCYbWpH56If_2JcG zklBZ6g;hosvK?&xynQe`GNXzBnikJd#Z``9I>J^g3PUJ5F=WY2IpPht^ur9`qylw( zl1mr?;fLdkkCVg^`ZDa1#R_DiI*yXwRaBE1FQBJSA=CzjjZ?RJ(2#miuoX!|8|$jP zKIhO5SE}BpO5_!8nRKZm|CrUCy6Bdc2>CtrzHA&x6;D#d#o-W&Aaz?T<;uJU&tCCVppVT4YWA=l7j9fmk>)zeCVF>LDDz*q6a{-3 zZY*N!OeaE$%7!3K98XXczowbc`;JS4miwL_MY}L{mypemj#=k56W|-FuA-5_0t$+2 zDT6cbd>goUUj~_kfwyh|6p7MH;Y3Z(wV{05-4+=E2&)l!3yIk)7_Dmt$iSbc z$#2yP@t{eHBmFes@(l_1bF${)($~o23m1&w7JR!~5OVW{mvw*>kv}qdaceyr857*} zE$k4t$a0cS+SLZQ@G+ju5?U@U_3c8X8bWzAv(8yAfNKT7R?H~tq={ElQ?-x)nJm5e z&(@KV2mX^&$tCsqB%kHb}#l}XOWeV6O7^y3Zu=40 z!*;=!>gE7)XlZyOYq9W6^;+v|58FC7>w7b8)HD)I8Afv*J595hR~9k2-m<=oHyvcr{T7z7UgIO$=GZ$xRlZ|A=C8*U^Y%wlg zR(WA>o8h~LScK$W=r+n46O9yAp3aeQTrM*$k^dRqTsnIyneh;9b!J?Xl2Y2dYW
    8yOAviNYF~ia^4J(`? zL)M%B!BqLe-%{sp^-*ox)K~un5h;yLOB;{{LWTd28~+=aFfy~G)eS&mBw2qz0kI?v zu#y9`qqfyx{qpn;&$SJ6oZAp+z~jtISrE@n3lItrq?@wHP1rBk3U2!9X1|R#jwBxu zk=;gL8j49SB=U-hXl;KscyaRhdOP~QkBplDj5j}462e-8A7YA|m$7U)Zh7+VU3z^O4{y)zjlCNl$OqnqHf>gx`b?AZn!@7t zwtf-_C$bdPL2(cQ-*)#7p1m7C_u|rLSlHH>`W_<_U(e4!hu1eohXPCtG1U<<`@pEjW0B=`ix6mQpVbPDb)-40l|MEk-!YS9%;?r62A@}`|$V?-n@3*g-R+2nai zKHlFLEPNZUC75wu|G8tIYa59?i7!sKxR~Z|#SK(?|9q|ciMm!ZN;2w3W9B7@?sZXt zBw-e<=N1(k$w;eEHc`=$TcbmM$wb(-OK5kaW&jY4T2OCh zsK2~i9j{V-Lc@IVLZQR0k#VK6ng{3^Bz^|Iw(Pz~yKD!^2$C5VxGN)V$GzCKxdfk- z6rr{b4s|u5EjVj)hOt2dAGlr|%7IsjBHn9I$(H7rj*kDkkQIXQHTPuxBttcJ`r ztz~R-#qz{K8lbI9SI39^TMKAv%A(RS(KDoa3$n&qGt1E; zTO!!*M2jleSk#oUiqN3BNYPGRI5DVFKDj#$#)*ig>E?qyI3T)JFBYJb!eLglG=naS zc5PV_d#wj*olk8eeR%YWOS-N1lAIBg>Q&(3Ne=@C&fxY{(N(s^#{l%69kq8DhsX#G ze499O+=(TB@6UPfhm^aGcu~iTyr4Gd;Xi|74cla?@9NB^nzIZva)<<4GLO`?zib5Y zM+Jz=)GTG_W>;vX{`|R%p~4`q$Z73a3Q@r3xl_nwRTjqgdhV}Jw-ib%vM@F~{W!Df z416rUM9j*a{DV(yMgZvXb$PII4%7$tPrPdFf;7t=Q$!sJ@f+%oTgEB%I+cZ?pcoch zC5t-R7&PC*oSuQnHW~0Ds* z&5E@GIVW&as!$7_a-XT|nDZyU+vEApQHdDTH|G@6QnOaNKL>Q{eLzCS-vB)E-LVfd0+s`!oKSe( z%HyxjvYVWHdUQihyykt;di_YXRSa;-btc2kz=2tBvoT@gT#_U4h&= zw^aYlC6|+c+HdPXhK>UZHqlDwrgm#;9RYV20?H=!6$SJ~8+L$8hMGYqcN;oWE;^hQ zUuo-lGf9#mUN0K4ClXz2ZVG$S8N(2Xa!Wre>n)1xO*6RBR6{qX4XVpnjJarB2F?TX z%HdUU`N^~9*Sp6-d413fMzxnUagBa7Z3T`55{-gaXD=$OL&i}N#tlg$(p{q4*K6)H zs`xPXPcOFN}#*drnog9HoZe? zG4kfHTMqBla*M&e?UZvcH`=TDVvW$`x-tbp1*qW|dlPrVE#5ubulE_E{<;9I23q5}?Dx^Bq+_=67!H5yR08ekwf3v$RoMKNM zZ4Ef?T&AeK<%Oj62;yDAElwR^^CPRmz($MG+5Aj+qY#0@k3mIO23%x`3UDv+EmG|Pi zYF>&hZmAE*MYun;vz*pO9#FL?$}PsC(c?FW>iee6zxc z-N5)w7tRx2$)naA?MW;fB^dL1tk;XPyf|-UB%pUr(6I%xecP1T$xFSvnh9>hu@2IV z>vw7*Hsbo^%U12EV&i^qe<8@331t00i!d;e~SQ!5AO%W3l)BhTUhll=ua}p*d z#xz59ATq#C6iEjXAg^yQzOCOw_2U}cxSp4d)FD17#2eBRv#}`)uB|I=0$=jyGr2fb zrH0P3GYksKFpjg!Hmy=hweLeg^4jn@JU=@8|vV_G{?m)}Ffo#hWNB2W&kK z-pwxh7vR_VdC}HY=dS*>+I7WG(D(KDv~+NP8wQxV!*@IM;Nh3y9|g?i-Ok?KWs7{s zMR&atxZT;AK^VRM90{f$efI6@?(Kb#D*>l2_A3TvIlS3}LIo)pp+f%xP?WX>ATe@t z4TjHtF2J^ZcEZZy;`)BRzS)(&4j$egmY$C20RwAo_WFGkYNTV4Dnot(dZ9g$+vVdk z1OS9fr{Ext5`Kf&*Gs}}Mf`ZA3j+Ob6rWfEEgzcEqn>{s{c-qT1ZR6kX|7+Kd{A|v z7g6lLI9TO+u@Vx)1p8$XQlt#uOuqEUNXg?Iw8w|^-|QfJKf>*ycVMCPW8NH;C~ApI zTLSQX7gXDg!w*IGw2z8!mr4i+5s4RRR)7y#_y# znyNUfC8H&`C94zaNH!bulvxwDjWy2qD1u@?&Pv^$n7`EQB$>-nM|F!gj%j0(vc!rj z{m>#drxfsf%it~y^=y7HxPZEn!F>`AAI^xTh2bz+H-TdG?qn-%NJ3OgmWqBW5MZqV z$oj?D6vRPNPwjne8$J=PC}LZ_iy)^x-R|GpJ*wOWbYlbQ*cq*FV>&3}$kP*Y5_8B{ z*>oJNzRZ+v5wCd|T{)sC7n*lZBA8h=A|%k|6Ohm;FhZTfLO~NRIQkZfC{wW zu1#TR_*5IcK7OB{K!Ds_p5OPQN^qbXO{>zKDPGJ%MUIC*qs^(9ItZJVd=}Npt_t+> zHCQN07R+XV!O$qpn9V?=N@*h(Lz9DFh?Bsh)eL$ju(c|ZM zK@@kLrAD9tAo zm7!3v5>RrhuNSU4b`Lg{`huxQRZ^VF2c+!2{JyvgL^?qQ8L&bJ>jQWQ`N;^qb$$XF?)@ZsDoVAps2Z<%t zj&+VR>fs7TW~YKQboFQ_Rw*aNPhur%b&5tyLq!Bv7(|K!Ah3QVK|K# zwshSnK}`pyKAwjR@(qy*OF|W?*_A~)-O@veQCX5ZUK^bYO;0gc;eDJcNoGZ{A&yi+ z$oTKLbfhf=2X82HfMEafyC%6#l8yDC=T0dVx5~4!(2cm&0H7vx<)447+S}#k`L_kO z7Z#*SlHO8vh$vn=S`ibB&AFKM7CT3Ht4OA8tqMA;MR_*i)d(9J^_-8suls@}5)anSE6tJCeZ4M32nl zhXO&TJ&B0NN1E+`<@BhAt4}k?>8s5NoYam5*bxZ0ES^z)JUVN?Mz*PgyT-%dV$U-%K zNu1o9UuypAOu)#yMa-LfdCY;AiBo{nc>;1tFW`|iqu$&2ymFWy%E^@{YD8`R2hyBs zT5$I=yZcbN=?z+ph%8qY9Ed6?b410#PL9D^d)1O6#z+^<`bS239R5ldbonbHsu^yz z=x*GY4?u7v(+#E3!b0;EJBULi#@)~$&w!_4kP`Uo`}1*darIi(hz>L7uL|9#K@!ar zLo-8CS1~ePQw=fP2h?VEZ6iJAOGX1-<$n^$7HVX!hWH0b8GNRIMV1DKc1$8x(i(@7 zFG&6VTxyY7UZyP6vG&47$bkbdC)#ftP8yWx6W}&#o^6y~wQ1j7Y001)N2 zY+{GDh#gdw&L%21MqK4~@Rg)BusVLBfy%mXHJC+W-i2|xInq4b7ZuS`?ZtE>HTtD> zW@a4=YsLDMUoA8iec#&4~?`5vu5U`Xy>|M2N8jI7C6&zV@Ms8^D2X9-b*oWwlQb*XnpDv8u6&mbyn zd7=+u<{`aN8T!#nJPzQqj*+ZXXb=X82e-jZ{Nv>RL!-gmaR|#Xy~ZjiMyqSEAR#wp1UOub^tX);0^UqNKEwm6j zq)pRNtMDF427~O~MDOjJij=s`j#g&e(D%=)NFLSK+*d~&;5eC{pR7efAh#2s=Pc0- zo?PwHX*?LpE8hT5TMBiHXcQC-p_0fo8^cpcl}zCV49G2x8#+1yM8O?KLtf`1xw+yq zU1aNzLaGR~&A_{cVlYKFbIk~K$EY&{VV%}sp)QcCcxcS_^ty*LQ#iB!kP6dIb-AU4 zKeKL1ZJp?CzqG>!_7$U-;YRq4$7{Q;VUk8HFA1>laffkFE|v-P_)j5#1eT}Pffv=K zBtP%p0KlI`T)k@m#x6NkmiZ%%TxX7^Ctq~;X-kJz1{msXsqAi(g3v%cl-wEam0R4` z`Dm8-nV?Nr6yyzdSi2`QK;^ZNa!Y=uy=}l*tF}hHIe)&;+7$ev>&r`)MXgDWDIqRc ze$=Er7mJ2r_4o|P`SWa7$_H4^XB_01v9KhRZ7*#`bN=7R=_)>xqvtNi2=NHevfP z^%U|15$ACnZe-8)_tWjp{maSG=UAWbMous9-nTD>n-P8f7OT?jr`ql2?ys+R@8`p7 z*IE}+o)`Pi)x$}e{9GSM!0&&Y@%8$TXkRWI-JdUCWm9svab?nkA-}tP+d25RUIE)$ z#T)56Lrb?iCT>dC_`3wln$`s)qEd{KMC6XCHS>)qHRmGkK5y@KKJA}&AljPd)Wdo+ z?LS}}LSZ)EQ}YeV9yRxOq<`w>YCR+;J53kX^qA(MxnTjmAM^-|1N$E32$K?e^$Xtm35NYbM)qyE$;oOhAy6#`beZ_8f*p{S?UpB)E098h;s;dcZUU8JSfS$D9MR?A_jds z@K2!A3*z6c2>$I(HU|Lop*Ggj#*=dXe2%Omh)Y{|Z*)m}W8|kLUboh4$6M*BxWeW7 zqH&?4RV+jA^SSYS)})n7rVBSp%--cF@`c}aniF?bAcw92|N0A%?;cs6c(CF%z0qS= z)XqQKEb8k*puFZZ+PWYyIhpp_eIXnWDZDh5LCtlOxhai=oNF6#u^c(Yk;dWRpI>a6yfS|-GXxgi(t zN^kOrqf&zcm;C?;>(F7sF4JAGRd;uopd88Iv2ih3V@fa*$opgad78 zbSC=*%3%Nu6|7*=Xm}R0uo^OOuyvWN8oi3o7wmS-&~5Yr{RW6@Ot)n+WmOerWt){YT1{;`s!@D1PIQSuewu!59v_Dnr}j_LFJ3I_c5$NA;$;N~GM z@80Vp8w|p24@6*s_-@{5Dd(m{mI`0vy`LO+wgn0>Hcb%6oiQv*$LPYB*X7|`OX~W$ zfmnT2I2v-uhTOj7{#q}0&UHwuZB14{%!oiSih3@gaL37WHbV3!?`?2>K6&a7&Wa5r zHp<2;m=zoLi&;6D5!MI?Zgyno`fS z;PxGMvw?DXQhs~9yPb^6Rvj$wu$zdDqSFSbH_-o!E;r?MZMu8#Tyneqi#*rZ zKXbi)T~WLl1>H42kiUc2I!@xhXD`{R%y^{&y;p%+31OL8yW#r_L7jeMc}Tx^eSB-~ zl9D56n*XkMOB2oTa8{z?9>%WyUc^EqzD~qcmP?843 z+$EvQ$ZWFqT2mIZdP_ap2qh>K*(dayYI-DBD%!LUiRdoKUM~skBL5ZdV%mw9s6LXf zXq{j1osYOd0ZUb+(>=1U@!f*>anu_-pr-ON`$_YZCW)W+g~ljfp(<(Kripe5khrs! zPeI8qMV#i4^ID~!4ne$V)d^yolClFBQnCG9fxqC{SMU)r)N;2F&W-x+uvy0nIQg)6 zs}v7;F?k>_Orov7z2fdrqZxIyy18H8Zy9hlZz~ z`2Vt#ArZ=o2WDHGwGPXOkl?>YSNP&(;gDTFW-50$PaGIi4f$>6YxT-sF!KS*bUaIc za#8<4b#oqk6*|!d1W>uwEe(z};;@jJJ9TMJY)9(95Sho6FbXx4&-~K>8nIJ^%Sv%{&sukD*Jm(-K_(;+ty)2?T@Oh&n{<)~oCo&Nu^ z_10l+F3y2aeP%b;&fIhF>~XWfje5A^7ljhijRqfr$XaNvHZ@G;iI2jS&thwGu+GID z0sfMzfkxA|0Se8KX5=p?ewlfL$jTY$`}1cuel?XvpWKxOHRk0F6kq})L_wiFE?u8# zK+7re-n{{C^ELkF=sU@zCYf!9CSgL&>MuqK+#~CBc+0G3o9CH#>)z+YfU_%aLw^w3 zO?i4zQ`$oo72m>0dm?|KL9lIWQZkVLs8qDO`xAVETQ5;ia+W7bw_{QB0l8Y( zE$Ziumy+@oEWv8FhF34_m?MW>MG2ZW)EK*L8^N4?k3Vp@j5(>t_$FH{C-5s|)BH_& z=?pmM>(#3RTj# z6l$e84$F_}M;tLKJs>$+QB{3#ZhdpvEWXOyX1(xZ7bXL7L$K^>?o=3hZGP<)4FQN{9q`%)KKEYo2>gD-&<<6(Kcdqgu z#LuF>@90|=XSH4|@KLyp`;XY>QO(+@W8RQ*1;JEKUxAjGPjv&L>U3g{BPSiE#4}o# zId+05@d7BsiAa>Kty)!by*fJw&2w_2ZOHnWX-p#BtJ*5C6St68PDdTxxvY1S+fw9C zYJ@fMNr_^8byDI9-vHi!|2XBW%d)!LW4R#t?IBb_zU}1Gvmc5Y=>o*rkA4F512kq2 z`+vYLx(+}mMs+W;{hS?_ts1nk>YUM+tIU-N2^nL|$=!q5-hoC^WeqVnzu%Fo7rKh2 zahp98Q1cx&n@*>sDEmQ%$wo4z49_~br$p+08fqNnv%*0po0ag`6RtNcm| z=Tj**3(9LGMl$2L-ka4U3A^rQ?SCkO@L?4IE+s$cH{#6)72^Akvn&Yu+gSz(!k_B= zeVV~3Zdn%p;mCw;x8?obMWBu;_B$pxDp1A$170Dma*7g>n}T20$BQ-Zi|5G#9m(8% zR5s(E{b&xG_@^flr0w`V`@5{dMcBx!Wsb#G= zI%+9lqFyADWhHPO@b;#Ktgdd8*k_r)VPg*DHy3J-4g*l5a)?X*(Lv8DOLZ zwX(#EGFpmrXF%6Q#^K<@<=gW$+Wc;duVv?3*Q;CC^PAt(dF0Y9X3BHLh zuldiuYO=v&EA+TrUX2q?V^?E>3c$KB)7mAO=9_(J(u&IX;)_l@ckz%yK0WNdVR?=6 znE)tPB-Oc#|Am)5O=E6aV!>C}HNw54YnjKi)gt!tC_%#Sp8K54xrV8__G=#u7;ZuRzO{T+&l$9 zazb0EEXR1#cB6Xh_UDhLvNB?I2DBLXZkOa`H|R$ev4d}&*+pvhPN;98T?l!Zft@jE z-LUoL$7kgP_VOIKTkFpVK7e~s$mvcVfAkBH(cWxZ7vM4Cv*c1$^%!L;j-8?uL*5nR zxz^I{mgy`Ge@CTzXm03 z)a*{WO@>2kGX&q`LaOSS=?;gQ1BFe=iVv(*EFT&(ILaFwH78$cuqyh%Bvdim5|(=L zUqA}KY7J^e6%|dCyNQ?RPQICYeqtP)Q&If5vSFr`u_BgSPlp%8Z%_;}+zEBUP2I4f zT0d-DDz)tdT+VTif!goOunkql2kl6zXLPxY8 z;(2iko^q8ZWi=(hE55YDg7{Zg-Q!C_jl=jd0!;`*td3e}hf z66+>_|A2P)Xb}bCMP;)B$o7ln=)@&II_^eXX-k8mWUa2h!=9qf;L8FYQ zES(!4Y1ABSk&h-z(UPlf4dqPJ71QiVLtP0H-k{|0r*${T2`jcE>`A1}#D+$h!W7lP z$1&7Xe5?lvf!3gklSf!eDE^`ed&rhRIH=2^RTf~0BDG6JLE+7_T!CHk)He8&+ z`Wp&NjhHiHYmw*uFicy4v{L&}i5{Mxoa|j`UGCCbLGKp}R`6bh=kO4)hq=zXpM)?x&9a?X|iF;JQ&Oe z<(-vf&YyG=X97tzq^7dp&Ar;wR5Xt?gfe*say%VeA-4RH3G3rIw~JATEG_K|vKGiJ z{badOo0VnhCbiaOt4XUINK$;wIhfJg_-PQ=S)i(vbFe_V!#>@OegB(Qcn}0mQ>FAS zKk3d&TxmwOl#>LSHj(~OZuz_7@;GwmA2_7ENnt^Q9{CBMWYlI(<(XNWyh+*`=DZhpvTmNHj$?%|L!1p&DczDF%HIx? zrO!!SEI)tw_^L>HHhdlIK)wj6^CXF2#-34@XCjB1v-epEJiT@7g z>r?o?0#RN*ziKv&y%^w!O zxE1RbBK5txLe@CD9WBOIs@w<(XwPy{Z*0CVpUGWvIggM3bOeaFidVkc%};t78D=rB zLUAbZ6Yo=JsutR-4mlX(p(3%NdfeQ-fxp?p((ElBddVwAG54zp{qId33yfo)!mSJmjY>LDJ zpUldh(uO|zKB;&UNi}skX)CW%)$;)}gvs9a{{3HkdU8uDXXj~Hkk4IYQvMX)4)m|hapQJx z>)wJ`3Dgi@nWcp9Xip=3{^aJT{t1pj%3)+}ML6Dj2w8y21?82X6Zd*@Jxuh zt4wR+{Wg7&7z*-bPKVGP>-{fEd5S*i%ei&~z1FmLmJPXu`d{A`=yF1RV3~U-${o*E zLvDVmZ@EOQrQS~ZDQ~)%9)+?03SM?i$|~%VOzTIUSPa%@JqFIaW)OsP9d^=?W^_4Tn^bQ zEv$%@X}L6#t={V8xc|8QWb*NP0NW&S+pW(%UhkJ~|EuD`G#Lpk%}>l|Z_@Kt^ql%n zFHb2|LZgpersj{2)}-k$iOk*UG%7w-Ooooxo>;2y(4_8ngIe7RusIb%C~&Gv%V0y+ zVYe+iQLOsK!=uu6VY#L6QQIyxzg$-yWCytx547m*ELZGAM0r}Q4L_RnAL#?Ml$_73 z*KW`qidygV9QAW45Tmm`IJn+Oz23e6P_(bB1oIgVq0io|OrX1O)Qs{(vGW4a=IP+r zba^3c%NgsQN1AS*#JFOuT&q7N%fd9+vYc5-Pz(pyS-6sl+9LLqW!DI29Sf|>vx*8| z>!U~P%3f^Q_l41!p}bw(mn|mWdJ}xh=vkcfYEfDCjWa2quW~LO%+nVl3`UOTrt#3@ z^$YXge(XG*Y$a*s^v*@I5~r`I7`wlkiWc`&$3tnN$;WWOQEnQZfefM!RfH)yQiRy* z@3GOoy6XETRHagTtD5QlSxDY-xM$isUwq~5$C(1!5+c2n?Oo4!K{Pky+2j*%ylt7j zaIU-Q(@`;@P!JM4T;y?KeNEnCmmhMmxP*t-D_LPV=^RU=@7+e{VP&H5{%dL`fcvz~KdwnNOJ|PlKx*yZloC*goaOlU3 zw)AZ88?XBB{etZKvpHFzvMf_*EY=$Vj1}&04smU%@Gr%zF@u{@Ncv%7YB7Yo$u-QW zeZz*YrS=-s$*3-y3gYO#I6ik>sR(7qU%_}Aij@S+V%lM6jqpkC;qSuk7^p9gN2@cF3aDk> zbv#B6pRZsS%dSbX3CF4uSz;<1g<_qJr`9V+5DWZT%bBm0ZClhS&@KG5G+F<982c-z z7hP6uxc84@iEjftA_gaceI-0esXxL{i}$mr8=GbLS|4{@yt*IamOUnsw6INa+I&G` z`+8^SGoe_2OkSF_6b#zZhzy0_DT4PgRF!&DjH<}3Lx*I|+%qjy`d?fJ;wuF1g%(u1 z)8;iyC7F)BqL6>I-=htrNMG?M4^i-0z1U_W#TdpRv>AA>r_OF~oylbX(*Y2*AoTu`1@kO27&9Lfb<1_z?IfqVGVwt@@ zaEe{LRh`gBC`=Y(OG$UBu%qf%x9u3fqb+nRoZjkY1%uLYKr(TF-FlRWqoz(uV49-x zDV}}!ASjHAc&$Bnx%2Cf*?;``b|cr>T(^eR}_}|U38_UM6;JS`&Ur8@vHs1 zoEI67-reh2+kvy39GNX2IB|rox|D9W73|`e_Y`n;Z1pb>j13vw!85^F z?qD(kiH%1M#GZmGQ4=C@7qZREV0ZAh&*L_aQ(qbf>^@e~B(XWn4&RXuW1VxHn0$#% zB38aoU)&F4vFTiuJWD1b(HH+1cInG>r5O9}Eq`3~^120ovQ1GQ6l!tOM!xX+J@jq< zeAECWyyqemr=kI8GDkTkGwO0jHW}4?tu`e!#mAiQKHc+)T{8J|<=Gs8HeFBZ(oNBo zuP4!c*)%KXgoaXwZ?x9fHjC|4B?DcCaZLqLQ#L&KTw;nTv6+k{?ocf&Li}1 z9*r0LpFA3b|F_2fNJ97_f0N`|2KWGUl-p`B!T9 zbXVT26h&;CK#wEgbrJzScgyqJcZc_r5)?DhFXZPR2fu6iu#oqFs6}u+>ykYUWeD0| zy&B&-SIf^kI{E?&^#66M#GqPsZgpdEcX@WYedlVyG}cn&Lh}WBTx*0>8Mt zPvn*JvG9)7T4v==%sMHNDSw4I<1S9Jvdn(D?KzfTbW-1t2x+|Q*VOgO3px5cg(bNI zbVuugUQslokQgs*n%`)hB=5K<@4Ir9X>I&`{53m;vlI2a0;^6X66Z(KdZ6I_w~cJh zPcrPI_Uz;=F#Yp(OCZJ-QNEz)c;A2~WsFsh{jG_G`KU7eYWozRu)`gj4#qvdbMkRA zCx}D?s7Bys^$sm8iRPTtZm6i)gj-|wV9AR6P)^51X1=yKj-0r0)c{%5lky9&0lsV` z*3xYE&C8kRy!Ex?esUTollya^rYmf)8rVsTRZ)$hx_%%OS*Xq22U|I{e z%YC=TZB2d9*%zb?oL1^FiZStOw)BAO8;sO>Jo4+_QWF;N)zs`BD(U3JQ9QF$+(gQh zN*uW>Q7=FF9$CHXw3atR%ho*}?fFJ3+5l~0TuAr${)MbOGXvzK2&o^tBy+(RoxZ6j z?A9XJFgql9bLEQ@@_qMef)DLZ!K6>(dxnsxa;;h-^%zciT1x>R@DByx)QbO9ipi{u@clhP6 z1kFV=8{Fh~HNLauNjCPb?>?7(N}YAGv)G{{K%UZjT=vsp&wUEt%TiObSxF@M_%g8n z!j5D;gD9r2bF+TO^=nw*2Ip4}N4DxU9kB=kHobx8L(PqZ<3A~s#9FZr@cpljhDM8c zN?{TiKgZfK&ywYMhOx$1d$g32Bi>Y`CtDIF#!QYBI(h~q!wr-)-*5~L5PY%u_X^;JE?HRM(t6MK7Cs? zD0SU+dAOm&sq0a>UGG03cFioq`FcWzl@W<4$dfi8i1zbfq8O@udwpeqa2U2!F!Dk; z_w#(7w{s3(EDRp26}86J$F+vyMAxI$$8tFW7nB=k3Ju+Qm?0Cnq40X+*ATX?jtQ&qI{vP$@_b7%?w9$LhF+El zCL0j1*9GRT?{916i(aaxlUNv1m@t&3(?MsJc{$h8wPQ|`8<>m97?1ZOhB7qAn08~w zx25-aFuvjdgFTs+%}3LWT7r0NixYI{u$H9=^myy|PxbJc0a|zJ$9K;cV0w4;P8SWO z7Pkynxm6ag3ZXnqb!mgeIj6{xAs+=pL_H(KF-9@vKB}|17pDHyBaN>TH8YC+jLSm{ z3kp(ndTU|ON@xZf#a8`_e)Z;X&pfUmkZoT7bA@Bo#rqKNeM9UzNT`z|<_`Jzk}Jwq zO)xHThE#gJkt#=<_S*^ENZ1{$+03zOHdj`q+U#)_!}dwIvP=3VmOG zlbPWzh|JfJe6_52C6u2UT*=n($dw?^rbz@7J5H%Y@I$Ll`b7H< z&~u-6QK_-^n(Ess2R~tXu{Z&bbQEHQj*TnKk*IrLXqvy6iE7Rtdi-l_YZEP#I>HNy ztDvexUi+~i;+VPrOao=>qb#);r0Sw?1at?!ZC8Q%4a5_PSVewE)KEulQQXI!l+hS5 zcXH-3UjDCE%VCeW&E|ZkX9Ju#jG_%Y>CU75hls|{utrKi~K%u>U>$0EP;{*P1cO;D>J!m8(Gfe?OCI1~5bgAbPX`kUZw&{hc5Bk1{@9 zP|8LqbBnYs01X=n@eQu(0U#s(J)HkO3D=kaGPUG+0DRG~e>;UBK_Py4-eW8>xNraf z6CjAt#n@sO0PsWQ`aNZ_)p#2LDrMs|6ee$UsAQl#{UlGLqlbF8=eJ z04T*2hq;9|4q$-B{jYvO$bT#b`9F?*@I(0i@`agNCX)eQFmV2G$^;NJvb6=Qe~~|a zDDU6iY|DKP;1e1Tl=t@(gMH~M2$MC0F!_QwFA2bEmt_o4+sdH+ls7zBog zJOGH0K#2NifKmVpj1r0;IIqL`p#^AsuFCxc{X?^D@FNw60z4Vo@F7*A0fkCo+uKX( zWdGUy*Bw>DPt9~c+jsHzZwN*87wV42^^mrFpPgNf+Hz4F-2UcuD%Xekf^P$}-&ar1 zc!B*vY?UYI;oVjJ!Ypj{=$E*7kRPna@JshfP!Gp~ee>cR9&C1cZ_?bor9Sk)V0%oF z%)2gbIgCq?qb2ZH~sASu~A8RMp`fcfYzx;xU-S(Kp!(uMHff zp0)hd>uu;Nmq&eHUX2D2Yu&I_=BJoK)ajpbvDF4=ReQ!%D`m#R@|)j$T;xp~vkJSt zJHMCb2ETQQf?cRUn<9@#FVY>CtF4gEdyUx70%#nW@e=w()l<>3L`2LNtvD&exLnZ3 z!Z4}nY{9HNg2zR4j)>c^Q7w1{*% zW2T!9x2n*Ui_Bx7PT?41%$F;WH>zazTwudh4~`ultAqjg?U#Ql?Ek}6R_7j zBJ>C})nCSD5Yl%(d}!V&C|DCBT@eNyne| zSU4ktGijsPD`S@^`FY&Lf;p;?0ZY{m6;s^%g_|_4<40~Ss-zUF@{PPM7L60%_LUau zM4iuMK`?(3rN>qsokAm@)Q@Z%E6^D7OoAmF-Gn@Nn}Lg~5>ygBup8NW+Jv&L?Ag=V z;~x(vr~O*rLBlqBX|)%u#lt4)otsf4CXLOohAPSi%b}jtTIbzn{g_hX4ZPVZ$~_{A z2Q^MM6o+3J7|AC*KNz_FvQq&@ry|HR@-;W(S@gHx24gz^ zWFk_@&u8&_*6B0qz30=_fsdawh4$8HCeB`J`};LWG9n2KMIBr}P37t>e2*;1#-ij8 zD6`hf5}VJ=>hm`zYil}Grrox|fa4{Xb)uxcW|;0p9w^Bl%^tdc4Z4zAK$SkeT(R=yoTd2!(w%Kpe$h>^Zy?PQ*(p*IQ) z@inw&7FL)Lc}7@))Fp=Id9ux`i6+<;DXa?vdY;sGGkdx=3?%n`D<>*?WfrMsLEhp_ zTK0X>qM%oSK@p3^E?f*XR?jlgrO3FR#RaD_(UZ+V>!uWS48^7&-(6)3!*0@Xv!sIA zX#t7wBI$%C>gGYbc)w_)<6v$}wG^XJpPNAivWYe@iCm&L7 zN`TqBG{M{+qEBLA6L>zGwM(>~#FlgOpzkB!jCnic_r-0 zT|d4O)n~U43sGnY6#83w&sJEhjK4}q{4xQgtneRAR2iF>Oa$oFM&)n02S)_qh z`WT0lMy9C4l?+%`g61EL^WLaFg*_;ttDD%dsR<4oHWzAinpm+5#ESAz*PcF8^?1-G zr3ELbRgF`T-VhkR<9Z6@MnI+VW=BNFi(B zWi>FGl8xUl!huqfOcsrVhLkq3v_0o$H+6U)bIDM6zG!$=P*jOd(vmGbex@FH-F;O7 zYQMg^p{4lQzdW12T0g4^8$-fx!j6nEzeV9F&zkG?;Be{wadLK`mVhVzigEm%$Xkhg zHE=2>W~Fz;@W+E;ztTEUvZ9e{v;0>x{Hq_iwL3TBAfL$)MR8t}5X8rlN`7DXF7lSF z!7wpGn!{|gc<%+y7t1xc_M6<%eI zgMkc!@bbY6Ug40z9Z`VjKmmcjTnyNpfnFTLC_&J%3EfHdQvqKcW-zc>o6lJHWC(#u$iym)F>*vNKnVvte7r^Cn_H6Y02|TJv2{aF$m)mz|-*zt5Bm#v* z17K~p!y#1iav9>RiXyYKQZ9GLaKvRI_xBsKtB;QT`0sA_uICevkB-*@VArs%pOUI& zQQxo3u6(auFHd~t`3u&F+mk7+%RgXt09y=?j-pa$eG<@(ps0uToXWIp#V!g!03-Sosx%WB3{F299GMS!*AdvZ#9%Kq5_4{9k-Do)ViK z5M2CH?Rdx`otLoE?TjL6_p<1{t>v6;Ds%3$iEUYKgGt%BNm;uunJ$))zi}gsm%T`|OodFK0$`L=9OXYXUEdxfIVw7gPc&nbbWW86FeZ;x=0>%a`3! z&(8O|7^RWM7{#fsrhTjoL+yY&)X3oC`APcTr$heXskzc(=D}#pMFxhd!>sr$vEuS5 zj^xOEnu&y5HZ(F9&Msg|fH5DYIbH;>&X?kt1?DY23-{3KY$;_-cHP zx3l-+q9!32Q~NIFo<-IcCfK;*&w|PnTQ8nO)%44K*QovW+xhwVY*|Y|^Z9txTHYE{ zR{!aegDU#>cvVmPMjA}7XCo*2U9fpTmlH+`B)i)6{b5LA9v*A9mvdWw z2E)GY2slA_&DU5V z`F`t`ujnNykP8w%lpPi<9d^*gE|& zEa=*%Q$cJZCU0s}(oEO(TKKNJxe4k`6v+r+&hi+oNNK4Rlxs_+FGD^tuV7`f_3&dXC zd412+_j%1~QUKZ5k<|=|vvM8ZV?kTq_*o6D)>>e&E;DvTW_3FbgHFw{m(#40GU|kSEl0om7;>r`iR(IHPfMRAh%r z1R>u#d&N5(M+xc_bcbHXt`#UCeR6S%O3KY6ezCYC2ib%(?08zEC9whA;-qqsMAjIq0^ZT`gTmqu5-TqpJ-&SWwnGj>uM@z*yRm>{?Pvpd_k%pIfBW zswFa0`t|t$eHWw9C%ZmrdzRWb=Z%9_2C02rGmnNMKqEkHUbhkFbBIM(!e&>7Y#C^N zvsqifqcvH(Ip?`e{HdVIE#?l}_YIJOJ^ru~rz%V&#>By&ZpJi$A?!$G&RpDT2j{&{ zptSb47eQ|g-#uu>ihbcNZZ1|unPp5U8P4|r6K$y%UOyXZGsJ(%cc>~3f;1;T1Qwy^ zZ+*7joGj`d*22ITwe_2Ab~;s#W?h%`nN*mMC{HI|E52e7e7d{lo^WA{#6@h5+_ELC zjE@DQUO&iSO-JVq_=1ldNA2dgxq0){YzgKGv52i?Ve4>SkQ5eSj1{3dc_KT(JRP&4 zg0%jwu<%21bB&iKY6mBd9BH58CZ$tN=QE~je8_|LQGK5>7+2U)HmIubNlJzy650Zm3VazX@raH#$xN9!%ufWCcOLY4^HIl6^V99>4V}=Jjkl7Awvat9 z0o-UC4b4SnQm=tVhjepq!|??WX@3B>2%E76#tg=f0tFaf$ZO^(`xn;ijk+=9BP+@} zpF_)EjU>%I7`;0%_dy(~nR3jgo!b6hrxlRH6F!BQmwI3A^jv-S1=ve-*Q$%P-+U=zq)xTuV7!FA-_f2k2CENKZ`IS_T?mpRE;vW(@&I*4UjAer65QKm z>GC2G)0g2CwmEqtOZE87o6dGokekLm`YomCh;PkCi!jz3;~jo@frxqFf+|Cp113<) zylfH}ip7;pCbMKqf%Oz4vC-xmMN+KcIE}JG7w)u|&6iKn{ro7rT4s&rN<9sFb};hk zSPHR-2unqA73vhW>)87|Td#A0?|NRDfomhw%?#m`sBGCUq9lIx8TSV9V?3O%hR_57 zW~eh}ykc(ySkiz$D1Y7gTq!wJTc|5v#1_0Es_MR_Gq=u*uV^|_Ws6u!eopg@zhL6? zhaJ7An0fuk?+uyvx~54|_?`^{&IDk)h+97lIF0Zrfa}cPs>Twt7@sM8&A4FNwitgB z`nZwEr*nJYh1@N@9UJuFoK>r`SC5|X<+zLO>l^su6BrK8HR+=^MPJ)c|2`=D~0hzBO##_uQVqClon71nqW7s%`JE+`5 zjZOArmSM|pp_H%UPyU2e^+L$nCtOV{MUlNeTfi5uE%L4i+OH6a@Vj#REgYzpMWL$9;yt*T(@&E$t70 zL{#kGASehTAO!!lf$)Q=eju<_DClo&H46pUkB-1rjd6iwaBL!6tl!mm!Ga(`_)9YY zV@n+_P!%0PyMG`>JW?Zri}jBJL}vak09Ta^xQY(1mcvD7MnKE|0o#QBk9C zV;}egsDTO;0>M8pBa~Lb5lRCb5#BlP|M15rEWi}ZfAL2?h~S@B761wUzm7km@h{Ai zsRe}(=!AvyFD;T!2%#|q2k&11Qh@J&5yF5N@D^bOK6sxjkdzeq|5{OiANmipO&|v> zME}=}dxHgg}`Qg^w6pOrwF+=l}>j=rv;XoQ5EfV?OBLt8wZzuon}t zoD;MEZw(0WIz@w)v1L6QSd8(ny$~spKco-{Sm6J)7ozc>^)*)tY{dp5W;C%8(e-T< z!M}ePhyeJXxma%mzQRTf&bbFj`cIYw0{JIP0&6x{j%92k2t2N1J;^S#_n20;#cZr< zbmZamnMZ`3LAIh~$9!;4f6`^x@dvRI?B|t!e&o9qRm7MwIqvi&=4jow)2rFn*WcBS z^B+zZ;sijIAAarp9d#a^uLAS^+;7hIW@mR=E-ziWCGKH+ zofgAe{XzkV;*zjde;)*+BVMB3?()j(a@*W1=a6P?ttlxn=jBw{*4DK5_|_`k*XSII zBz`w}Q1r&WX(&~ERn>)9s#M-u7wqQe*8CdA#ZK8y8Q!#7&m9qsNq4{lg-y~Y&QMAb ztgDZ<5=lRZ?hlPei(75Zlh&HXU*f^=$Zk(OR{BkxXmKwBU#^V%7p}Kyo}LH%SX;*y zxA1%C2aG7i&zGy7E6DMBQWvRRc`dDzeZ!vJ8J zjZHYc99CFS|46kkwB-DSNfj8&S5;9yc)gH)zj*^2&iVp@~p0%>b=ah`S?t z{l{gxUT0|NfN@`@%KkgbUVsu`zg$P}42|Am$%)6^cRo`!W(Ya+s3Kt>Y;d6R^(PPn z6~fzxGJs~opZ&O(NYunRfq-zj)b35F_tb9gh9>mxImS`E+Sq;EYo!ma)883K+w2JM z{UgFZK*F-*UIo9oeG*;^IK`Zre{#G&7FBT;I^IVh#V`HJtBFjW`Rq~YFj5b2&3#D8 zCIOQfb)*qBG)7BCW8|xn7c6#d@!R8a)@~YJ!8W>yid>>rg)3Z>EDK3|)dpp6@koq) zYUReXYwval@v?EBu7{$22mj`Qog%Tb)}l#YXIF7Wt7YyRV~uHA-=(L?A@{h7>8ats z7bj-b3Hp622VZrHkdeAwXHYTAbG~hiFaIj_tyL#C%{iOJj?r8gA%%HG;tTSlB$;L) zKhb;itkwVL0GKnYG6nq^5o2M@OHP)=j8bc_O)O;*z6{b6MSZG$n)0V4%%O)!_D8gJI_}Wj)PF4NAc@u72f$r;EAHm*~E^X^~pzCYrlTn z9Xqlp1UPH`=*2Z>x-5eQy}@V9SNXXxv$wt<$AISA>M3dx3>G}N{@U^gVp!hDru$EPNEStP#p^KqKn4aI6y3t(Mu*`IY8g zRXOilPA<(8_B+Mk3?H5a7J5`BO1Gcf_{JnC4#W!_<3E$?;N-C|Dd#7yIU$GZbS=qW z188$4xwX2Im=m~PL*d~$wq1HxHmmuj0!U|bSLr2>9#M$czB-Hp)Z*wYIil5lk=G_? zZQ*VkSc!$S%MxxqH5F+b7Y4qn^($eInot^#JkL4OOGMSi8igyl*2xE`)bROapqt27 z4;dH0j4TOKn&x(n0}$m-Vya=)X=i|~=hgi$1BdFL8j~o&x(Hot3F6>p7=!rjWkxDL zB%%5rV>jH{J)ad<#|h|5t2nGKJ`I#rVb2&FdlLKMd!Mvsll4KtsHr)1pY+FC)TOx| z1zxA7D95I#v$Q~~Bt?LoTk1AyY}};uQXBnKYDQug_Q3(B@^Et@zBhqWI6wB%g34XP z;+HrT=&K9tVd1u4oD{>0a+?#j+fITdF)gXbjR7Y=&y@YR)fJky4UD#4Tkyy*3 zc0xcLTKMih?N2B6F+ox>Og*Ju8jQ=TKNl*z+Jc`@z@GA|tREFJSY}Nu*WbTsiUDKA zoYmt!8v4X8=$(9YuwTnhEAn}!91*(qPpY)7XpLgV>{v*rEeW<-rBKSDd^^V4m3|Dh zc;ap%3wD1t`B3B({^=2Lxt#>wQ4=t_{=Ic=ecPlksMCpACrH>Xp?#EVv63=XWsLPe z-`2qFBWzni=(zwkHcJ5nKb`iGOE^}*@+`@Pkhd7&^3EaKCjlb~6^6Xl#j~DvMwMrc zPNj){UF9^s#o0UBJ_Bb%D!q*7GJA|%Ry={Ncc>kKxEau;t*Pz$x+wCS)_6midTI8LZC$S>{>LP{xvnnQ@+^(YtLnqw3f*V$`mG9Wuo=Cl zPqk{I}!Ff>@lbE z=P-)4;U6`qOyd}{%u>{x?!{^)v#vYt-tX0~h>VjeT)FX>@s&mgl4r_FC<+gthaJz8 z)h-H}p4}^lF&pG%r?GCIxoZ>71HP&5Ct;W1&BjvVm+MFoYgit}ia`dq`4(6;Ff!O- z+QO*gYNhmwXiv3&+9iHDe>vZ7^kGJij}fM)Xmt$QP_dH(Np7sL1mGwXE1uZb!e_ea z>{LUlA??kn&Qx_f^yKPsbB0MMbXYB`QksRNVN4kwc)I= zN-L08axWF{a-T4INwJIW2|aXYMO3gy11x*!XAbjLI{Iu_;9HY=KF!B+GZhYiGuZxH zOOv=Ew;YEZ%F(y`0T#c^XKdxg3M2bud=iqa ztS50%v2$EJ!Z%eDUfDPwpB6$*KJ5H3K-%RcGWcbPKuKv%I?PJMlc`B?>GpAKQC$(fmkxw_fSx)5sG;<(Bd_Vi`;G~p> zQ3w4GPK^_9?jljFm(z2$m*@gdKFU6w$v+h~>S62UKnlDFpG|@0ynG$F5ib1v3#C;R z75&1If63qn=UhxspUE>lueB;g62F)En(O0|Z)4-{i)wi*RS9qGX)JZ4llU%R+zOYd z9saRh0wQKbgK%2s?;f8rUkOv+&I_f{+TxT`ITT8^mR|F})>pIBd$l;KCDpM(r`!E< z&e^B)^{d*qVkez-Q?d`@e&!6nJmOw0O=3G=-5Ars5qN8-$|jU^%41*7tZ$q#IQR@ky<4E$`P7!psxVaX%5mM{>Qdhyh0 z(>(FQ9aWBHk4Q4p@YbHw*Q}bT+upo>#2RMNt@vH_45f3^Kys5W_fJgi->nCXx}G=ar7`l@h7lpUGV|~BWm4V#tc03{TWwla;Rfg-ulGFPTu}*HUfqMUB+ID1l!{2 zzB=5`kMlUTK&rye`+9Lfb-DgopDtwR`yA%iF-n$>T1*)S7ZU8}_n?nZ+i+)WGzIUP z7mt_WhRjJfeO2QfIg~o|tf<5rM^jR<&rVJ2elB5k<&b;p?S3>6jklcKj6<^=ahS)g zCl&FkrhY$bC~{YSD-nd0??CuBkdQS%fW^!Y68yvJfj}X@vw;5zxS)`K!gcQnU;ri# zM~g&=`6D3}O9F+2;7_EHND*-` zf)f>n!~!>!Mv8_PHY2gL;K?Ax1L2SsBv8wQ98w7Y4s1n;iKru2Vw3+%mEz~){S(1M zLA-F&FeFwuvk~$uxa1*1$&wMW004e*jKm94-E9fQ#ZIgW#9WNOy2W0%X$`Emx#-LO31=GA1$tJ%Yli zIgr8d=rE*o_!b93Mm8LY4FC_}LdX-pUQQY>6R1(~JA<`5|n2;Wmh=51jsMJD?(Vn!N$mXG0LX4*`F6QGefa=FZ)@n{4>w`NH10bNZQc=A4=9 zS&%`Iv7TO3biC(9x__*vocdnV5-o=@>?0JeKkb^9GfTtKn1fd|j`OsSF7ULb^RH~# zo(k5ryrE?@7N@Tlcsi`#w65ia87<0DFCXhErL)(!ye+?+t!cQ`>x9WCPC9qO^*S=agVaB2YIwh?y?m`6kTkRU_QkNtlV)IGc{!aw&NDDiO*H(> z>62$pn{eiIeo{d>=R=1-8|U#;{&-K{!>h|=mu)zJ#hD`cgXfe#c=tyBsG?)XdrnC^ zV~qZX$7E$vPx~0?MrpY8Snpw{JFPyc2f zKid4`%JZ6i`?=;GPfrUrO0S*o8PLq*p%*m!_`~KOpEj}Cx4)X`Ih3qpy%8#&;0ZT_ z#RZe_ShhfiD`ON}L`yTxo9OA7{>8&$RaUQou+cLo&6ti4q@RN> zne2&VvO^nAMVq}H4uWfbEN+5~N)PvNI{vGJzDd_j@r1LagLyc0FY+}9No+VW)f2W6 z`y_Vo;WX6~%90wuaH8`MKEA^=Pq3gOA+E{fdL=gL)3b~*%PTiopbjX4d8-c&v&VFh zBtDRi`}ttQVQd^(x#StIpzYVBQwiZ(?-^i&wC@k6V@6pA<8ofLNejBSL=i?`d(ao@ zsF|RJcm0RciuDH{zBM^~zoC6;pd_4N6 zL-`1DQ~6H zLokM1?K1dq3cPjDH|h239J)*YJ?QZKYBN%-id8FTwY4hepd9<}^>FgCo*Jrv!gpCS z`Xj6!djohOMf2fw&b0>z^z{u6MTHxh9WIH~q#L2wDqb-Wt42FZdMC$k9vU@yt~R*L zAPc)z&Cw>nFP+c8Q$1`|Jl>q5?Tw%!MLYy@r-jGa4*vHO8{xqBF`Cs+OPz}W|QYI`g@Vb ziYQm*pjkn!Z}nW#-i1Sj2&>nSGiM1Um1HgTw5K<2^-MohMa#~kR9^|YD`@;;&pJKO zrmB&D#K210bSnrgx*6zAU*hRm=Egzo&}bY|{UqM6Qt$6w;yIp=LDrF{V+t8+LOn$_ z7~85n&93+4)1&0+-lD3UJ|R!>A#S)$K#H$#ztnSSMljK{to(#%RqwLu-qHB_dzX3Y zTeyFtBX09VQ+~SQHcz?xr}dj}^IVlnyVrXP)}OlC(B(RJ z!dg#hMhm1c@~@VUd&)Ar_dma9K_F4Xu;aAqiT`D--}_wlbK_ei zV)RG{@9%V7rZ+@ScJxl?U%D52zonsHS^4V^FY#WULERd>;q~hd@&1uPovO17*1vd| z_p%In_HbW#{m{8iM(hZw4!|UgD2BF8E$5eB zs=-WGRpO;#j{vi4tN6!>h2A!_CGP!ScB~l3SQ8br%J0it|9S!s{nf>ZcyS_8%$vi% z_woLn6~&*iVmkgut7v^*KkuO}d{y|R8vlz^U9I;(OKj;rbe|(_pMPibX4m z?kql;d({B%-xgj#a21WlVX=cSaMeH_h>5Yy7)4iFG*&^|{^R{SrxJf+FcK$heBytJ z^*fIAuFs$&2YZXDcxhH3oKX7`ROC;0fX4mp35D!ET}54t8rpdaDdS8~-_+Ax3A9@NFbr^;dOG%ahmmXugSWi8yQ+5%3cPyrE^6}alsM|=MyUEA%P74<@3FAM8i`qFPvrS8u zMoCt%_6W#D1x3ZhkYhq^9~T!xr4lNd0Yf1Bg!JzX$3LI|iKvJS|9}c45V@BGGYe?b z5P(-=m+gB8durGK?iW8C3DfC3Mu{Ezg#=ntNEs((_NE(iGXsq0+8eS9=!4_ED0Up< z%?hjiZ9Ozbq4hp&yT6*9LsdgDW~V1R5>B`W(H)OxwV_!jDp>>@P0>&lXiFnUXok68 zj#2$6e<8g*9FHNZ#&4Hh=_{d0!@Y+$4SU=l!6N$NgmiE3+Tbgsi;l-*#Vt9xpX3B{ zt1=<&pUm%s6fx9an(YbL9hfIiWo4GX;`G^x(4V5?@t-DnT#^~;L#GVM4#EmH%?FD! zhk!qG-(xGZO9I+b*(Cf4B}bl|>UTx*>5GvzO++VqLm4sJyVGh*Ek`+|l*?MHC8Y$v z_BgA6zGqe|q;w_3_6ZRDku3A6PhlmSbTWoTc6d656LN=Fk}KQmGK=WX6O)i+v35h6 zG79#0!bpew6i6U{5JT#J3rHVvLUW}15{i=IVj6prw}@t)f=O8wLglhy>cBnjRjVD* zsY$flS}mHtZz9~ug(ukzpeIlAhFRT@%!tywNqE$PUuU8t*g!Fj0%fXiB87BKlD{gJ zaiH_`kY&b+aDHF&DCV#Nz{JwnQ-J%5#2ZrY*T7m;r81?>Z*~U0b&pTf*D5 z<>xl2Cb+us;gW}w2m(j`tY2Y;ecG*^H%{0yTybF4#y%9$K!nqAR0!0Ghy z7^c`&siJXbaVUNl4kgIXZLluM30PkD?t^rhD|*L%i}L&y@$}a^S#-j zCjEk^?zIQEZa7j-tq zhylsu%nw*-#+XO}eLJC9b|~D19nq^GsvCwfw66lVSOm-L)ZelOQC)SUHFa+p2@k1= zmX`v@%Brf;s$%qrmsXCkkjC~JJQ_=>HpPT;T|8yE0B0A!5} zRk>7yO8&)4w3rEVR{e&bGi$SWc}GsTZ7?F4&gbH>5?-nqZq6*EmFJ{;99fiAL=T?l zh(6`*O&`Hu)Si#W4s4D%4o4K3sFuj7xEQv8e~4<}9|$HBaxW1?UKyvQ7kGQw8jX0_ z3>GAgeEqs$gvL#RWEP$bMO8apTJs2DWIqc3lWED?vJ?*T&54>2wFjby#^~v9JcrO` z##GsloIrQ$B8@)-dQflyrclPCoOz5+n+m5{Fe6E-TZNfac&}(yAfLuearhs1`#3vm=>IL4 zxp4*vU}r!}X2MIX8H327)rHRdYHQcc%A6@!OrD&cZgw+$h>nGYza)srg3<)-{zTj{ zTH3_pi_T;4hDSK9Hdb05$G**g0h4EqhSJB%qotMFEtFT5mBy29LFpQ6ixP>_U;{M~Rj{J_QdJ5!cB+BG;kj~=`N6z`mYl>6@s zVHFuWuyDS8krx{{fKq|0rZB6`B$y-qVY@z1h<}JHDK17s2?~I=ub`=w-d2=xG3MR4 z6FPYBCEhTgm$l6>j+tf7hHr`N^|a#rk5TFhg#s)Y z1Hf_qhgdFhp)&r5y=r6c;LpqO?wVEJV9?0Y(3n(G?yKSKHbOKTeBHMmmQf}xR7xxu zvZ(+=#4F(+`@>M_F3cyUCyZ}$I8F}m=s+OYh9Z~Yv4WM1B^0dM4BV&D9}CyTm!*L= zuNcrK#(aMI=`t+CduGE`)b;T(R!JMgWc@3AJ^f{hm}1aM4Z9JaEt-dE8MBCLrPD-| zRnmAqHCQ~yK`m*EHfq?+f+5c25sD2eV-%yq5U}BBV88YVUjg03Iezg;zMhdX)goXI z0+>0!2ipF|CJ8$ZWuQ4Yv%;> zVJ8_EM~a(~zRov++uFyWm$NU$da>=e%vK-=R3XdWZG~vc%~`Ff#}+Hx5;xLO$BBXb z0x-q4R-8q&{RE!nU|)`QF`LHi^tJ{YP2qu!vKzAN8lcY4LA&cY?LR!Xh|akhkIOcC z+fhl6NNt;HsHTpK68yIvH$|M#yPY!vs#r%G zU(WP`MVqdGv}W7@pseE>FU*~PJ58#9yNVvw}|YLNdcPs8d6Fe#c}bGTJRb8pfNP`lt7X&X%cXAx_x zz1iWl3yQYJr!nSBMkPJ=TB1b7z+}AA;8Jc%v*pt6(j8`4d;cJl-0;rRv|pI(TE`j!Vx-jxrU@NR2Prd*5lQGmfAFi%j9-U?QbBKG6Y@= zmf^9;!AMta65&RMorsum7GaE105=q&XW??_$a#yM6}>6hSJ~=MbCzOFTe<>+70kwp ze$FMC5qe>zLzXEw@eX_vzkdb4S0QPNkrnoT?}ji)1$zjwJJEfh#*{03$3BXP)D zk)+H8NGO}T0+cOX+6-m>vMNUjXn!e!h<YR;F?edGjjF!^9VsRhvxIHH^WdX-+1VfJB3a=b}&h;v>O? zdPYf!*A0)E0Hk)c$HvvN+b}4dar?`38VM}xFMxla!M>oem;B&%Z}^Bd~rz|AoUp&$17x{%t%Yc%gF{8t#G8|go~$~+3UE0! zJfi>D=IxlnkCd0e%SRtk46C`*n~!Q}L54ky68NjpIBeEnT*&oqqKV(4wDnGJLD)4e zifc9Sa>|dfrhY7!9~qtU%#xPCl@jHd9cU#p1iZ_q=rpTFEu8D_Rbp(fev_s0`TKbs zQX~5Mek@QqZv<;-=b%U~ZFtCbEg_X#+D;=*!^T@tS{29+IB8Mz0vL4nhhU9rB~_WB zej!e`|6`TVTlZ-|Sq!Ltl+{mU)i0D!JsAnMtZ-Qhu$;GoQJNb;_2|C);So37V@F^Z zXy#tHI^?}z_du*n=e@A6wI5*Swhv-v!OA|1k;H2M&zSI)2N>)1-e5@eVCu&aMQMLG*xQ{C1FATksP;3m!t0F`IGtY4huhJB zhp`zTNlWTD%HVbPrAO^~B8{Gx48Xcu{)mIUXS5Omdrf5lKIE(tVxD@Fl&?^SmQlv$#33ZB&zC?nul?c z;NkGKm_k_WO1>46%rePcUu9Ahi%mCevv}zB-qzUKmHhkk#~h}p5@!u_EC}F&Y&nQR@w zb+D<$Y0d54&e%VSm!lnB?;n*{RF^_sRS;YePn1^a{UfNch*PANoLtJ_M>2IA@5r=h z;a8SF2hh!|2G&31RTTI>VxGfci>241=>X%@-VOb|Jg0~{Yz;(c!c!Oo!GwZtr7n3F zK49qfK$wnw93w<1Mtzux5+6|W4EA(*vjUQlq<+jgZ(lf~;>idHwyx*NIg#WJgk<2# zhaCQa;XS_2+eg%tx?T9D6T|z04AdWJ6OzcS}kLi^#jvdwn#}|&woY>-<1<5g^wc6 zE!a1F>;;Uo$xh*L*%s`)jN#{E|E}y@**{nueHuyZsTcX`_x(NZCx0Ho=-ON+q$V+6ItPNuMp)|4@2ql#oSPa=r`|(qWtMdehHK9)S#3 zY)t!HDiOtRo8U{3g8{sqU7+NLf7mVtDF^;hNge-$ra!S+zcud~tCm*(i4KqkXPoaulV+V4o#f{s0~S3K(|VWKRz5oR)Q1ek^L{vQd=? z(dgIUu1we)SAjDoN1zi6pf_2OTccxGk9V#8;V2$TKgh5?193GumF%r--nbjK z3301J&~Pfkk3met7T!dB4O1zrF>^(Lqy0swJf8K624xgzq5aHt28HS>iW)qq2|(aP z9j&>@=Ywa?>EX}k7c!pr71PiznOW)BAr!%2sN^#w-g|^pQExLHX8h3jR7jV-;oxT^ zXi5AeAzt|wVn|+OgR1%0W~nF!eft_pJ+~}Jgz9^XjrnztHyn$q)I|>z9ow@219xmg z$LRt_O6YaZCz6->T5R^^)Az61&Y>w%v0$qVUipSUv9q;!E7Jm6!%;Iqj#kjz)51mc zE1Qz&q^f(G$U&SXJHf7;H#KIeP^!nk1O_qp=dFNizi1oqQZiS0pgjsa-FY`Qn@YAh zfSOYNjJKdSZ}1plfg6x1^62f@WtznpNg~>``s*4lx}e{N`fq*_qLSTXkzp6wI6KrO z7u|HpfTc2q3K*4447BP9>#?_T@t3pGD506ed%%j`;cYhKg|ZKj632^jBGip>QK_Yk=bBGEooK}5 zT;$CE#WPV2qq=EZDb2Yt5}}g!Fhb;|QddJUN@?7;V8hMtLcM{__D-*0sN8k^G7n$#jgdV%Tp}hDG5oAeB8|!)<^qX#X{m9OjF}fG>TC$>7F< ztx_KI95nX|6iYjQVWUrHeF6JpqysT+VoO9JUPS2zF)F8WJxsv&gPbsf)8QAtDvH> z)dWF@jdsy$GfM^>w6cCfGo#U;6(sN0b>c0iHAPb+~aseFw zny(iv+{5ng6AQaI7|sRNiQiy2t3nu8hqzwYG|r37rkGQK#e#?WF?BbvFjN*;G>rB3 zqh-5;t?0h*H4cWdrV<^X9M0KFreF<{LVL`tH-cy?IpAwYJ^$xWZ6=&H)wJ+?DE{*Q zH4V>AGqu`-2;KLClU%1EIO!EOJq?0mdw~F}f5@z@0{&^oW4htI2rHo&t-(+VEfh}7 z#6o9ec8Y+TZYFCrXrt26=l5YYr2$4qFJ?>7u~%Z-XTXn1Xl~ZpDll~z-1eP6+J@vx zeSwnZM_ambPbiN@dNM+^vS)Uy^a=oHpvXe0ZuMSR&CZ{^ofb!YIbpNT%J@mOH~N1L zerCd#tS|4yD7HMx-scTs9ZYgTs;Z-Ywz)vp{Ok>Jr<~MypZLYm1f$8a8xzl>TXcY^4kuw%`l;Hl;c-qfkJV^Bxa9lA+e>Y%t2Km~ED`h*%pMLY*~!$m z_SXd9;`mZ)nUfZ72pmeM{lZ*8sHex!@E!kds9NeB?^2p*~vqyE1lbpHY~3GG3*9~=6CuMG|S(_5PI`>qw(RJ`er z6pHhZ*~enK=nEm>A1G{a3+r!~BWxzVEJ6u%hWzF2ym$&)o?Jc}&CtAa_2U76ko7k8 zUD;|{b^v;j@?QP&%)-Tgi%UNcWQPt@373)bi+U1o*^^EgUp7`gc!U2lfvaN7#y>Y znHLvVp}+25Z{M()$TDwB&d0fgMgM~9sZZ)cVC=X5dJ~PFL?`(R7a!$o{7Q6ScH!cc zzQ%7zXJ~dyaGNcp(S&QqfN$OwzD7b?Rhom1!WKSJ-;f(a8ha7QeyoYNrXCHrLyOt|rIJX`0VBjLu$|8*J}ro|AZ$>n_?@xk|x)3IN&S9zK*R zT`rDpS_d}A`KhTr0W|Bz%I%I=+8*%b(cJE6`@NroO0M;{#Rq&{i-_hbpFBM`=o`q< z2bR!IQJhA_O!hLwR}6B=%U$O$r(qBJ-ReuNeh=j!^o|y1r>;8-cX7$t!5?jjnpR;%GfMwF zCmL=~9dr5T9A7AC(q00z*H=91C->Ym04Eb=nX=K@&t2@ z(W7;(d||~2qa0qYYH$bg0Slpfao9}G7}TDfh}>7?!^-R8J{%i$@jgyFPlFkDCje}CGh_veQtU|h=jpm!x1zP2VFRzb=gNs=9{h(w4k&?NBKc(LPC4R+&690i)4z5^fMn zs_J7VY*5ST$KYa2p=`%Y^%xa7{@JgC2615r8$=6m zN)o)4{7e~i3K*q`Z$m8#I>M@}+rfK?2-I;;wRC~Iwn01nwh$S23NJncRwNB|Xe=;w z35C{QQID)U#6~KFjx8s5Ff>HYQGU;(6nbVNiD9NjHgGoC^)LWjHOreBE?4=LgP}AK zJbgtlOv?^UH=Uz$R8oaqyv;0?bkx$Og}Hf@sLKk{;&vFporz#sQl(yG&f*xQuz|QPc zR~)2(b~ya1vw<>trxSt#S)}dv=(P9!Sv31RzmLYegYEH?I)SI-0>1Y4#JB?D09@cJ z8Q3`*!#KHKp8ZZ%gih(oKg0ip%(A5|S6n@WhUrVYYt1&hf3-}FR<3etKn0V&>87X; znRyTMM)nV99$#LBU*}Ouky3z)&;f7h{OyR~b?gjoMilMR|n~l4y9+FA= zD;#*P)!Ydjq<8nOJ{TpzR0=rFj6#z3-Cc~A_=TpKp=gq@Myj4~b;kHc{vk}oeSMuv z5w@1<)d!nG@D)k_pp=v+(g{25ErlDNRSwNG7a!v&sxX;zVVcN1u@@iioXEkhQ@Kte zk=a)z=%*^SeYR7x5Lh0kT^qAyFFc19Rsfb#5p(|)W1`1o^`fycWQi@~FqiSOvpXv9 zr8^3F2fsXCCY#~DDkzYGWG(~(2|brsi1=jYlqyD9^f3ik&cmTzw68Z-E-_G?(9EaZ zELD+%YW1~!Jxria7Pi81Fw$AG_Xn^V-O!umz{!ePbps_iwFr(1<9I3q&9PVvG}@faS0QTePSa(l>DkD)uhIAW=)z1FA7BQ|G@HB{Wma4pYT`#T0I0{fkE_ zrlf$>OleCO_V%@2l7OU8_s9-4%??GDsHGG#Pn&47BWzX+Auzsy2Ae&79e$WDMDqg| zccVnelIuS;jGgjweKoj}dI~!@O5vv3yndK;;jxIi&3utzmXu*z;P!qXb?E7wPBtZj z3RO^izZR2-ou=X@`5lKmLdL>q+xf6Z(HXTj9CtSfc z+UTfSx!(Q&(MDLvt-ZC3n%Err>wba_9s2;haZMFU_I>-~k)q9Q0rQHy=xPe$dZMud zF(fhq*_?6X5$o34DgG!;>5?7rSH~*#J~lNBM9}cs0KBtm2!aN)la)Ct_uJfFgME{Q zgF*q?xEAGrlk33XZBudrkywRU!2}F8nik-L^s_!(hgsK*M&_1+Nkd^Ey5!-kKuaJ( z9hjub8kOW_hbzp!Vtd8_;HYr77;{TC^W`HPjE!8MF_uFX-yVs_!Y5bKsPqmCW&NOF z0c~f#-Ol_9L7){W#QI&pu9ZqQsRYgAo#E|Kf1nl_e(`AuX|k2WzgUz)CXWrNK~& zp+`5T6fh5GCjjDXPK9tz)!exMf={5;XS|_`S==KzoD*s(_aikTf|B z8;{vU8_e2ck_GjrUfY!(n~qTu3bK8(W<_f#r&uTbP5SVhdY6sqvvX|^i3>W?6F>Mk6Fopl;O z+F^}4)T#L-VGTHtaXO-g2oF=3xuqypA$tyc#~}S5FadpjU!H-%Vj8X#7QEorPA+ZW|5|o+e9PQ-0Qq*311+MWw=1l17z_ChUy&EMdssQrYA#kX=Qu57#;wJp&eAe74|oE=cS8al>9TVa4ahwuh;}5Vy{r^8 zPndvFA~Kb^I-^8n8_$B_onDR*_q(%gezkHZjxsfxb#hzN*=ITc8wWU0k@554%U6%j#MUWV_+-c;Wj2FbgnI|+W5~z7h zK~wg_lN|Jng$!7YzZX4pSc*BT+&Tq=1Ua)K7lZ0wK!9%8^)%RZArBsR6Q`g?j>!Q3 z4&a)z>HHXt0)a?n>T|iT=EZ^fbmHJ*F#I9Fj zv-u2uuPGYFKZFkIeV2GWwl!9&Otts<7~h!D05tDDZx4Tkz7`2-n)LE+tigDD6AGt+ zo(T_N-Ha(I;E^|PG(j(U6K{fVWSGCd{yCGiBaKf`B$jLpCzkXh2Ro&Y*XR1 zl2qs|DA-9=ah7sQ6rFdio_RNUzNR zfofr`G#hi3nsukW=jUc+Bb7t?igSr8;6OXf#2avQS8y91c zpk?N)1_R{C=+Mi7yfDCQ;AKd%Kl90KOtNzhVvolDjfTwuX;qi9WLX7Lz%cd9XDJ?6 zT*5p`BYz*hQKI4%s=Ewfa0^_`Zj*1e?J`npzrbDjQ?AfR8BxA1d|V$dN%2XSVa$}d z2+-YvW9ei0ujBiCKbeOyOnb;IaAKl{-*64(*>g0MCV4EE18v|jO+XRJ$LE5UpYDW9 zSo0zGY&2GUf2ETM?U-s-qObhTt1#5|R%Uh#5_nOBB1m$41`ktc zy5$IN^rf<@0NU*VoUiyZ)lTx`R@d9OMeuDKg${3j^Yb4jWp|(}ud`oo zo$^?tPI6r2@aufNa^3Iq0F_1Dc|$rB_)IPoxBkXp_Xs+2UD1rVy^=~@=fz_Y8ZRn3 z!NgxxwDLxXjIMU%=4J@w){oXK&Jl*lJy9oL18kZRp5#>wMP=|0&xesKx20NcRs7Bj zDxCoaZC!xrM5)X|aZ-EbMyC6i%OWqcYHMh(6o`BCR1j5(+2P25j+>FwmY!ij3LrC4 zP6Cn}ciYZS14xYb*7@*lc{eocww;k|Zoza4En@>Z ziIx;oYe5t(tcT-r#UNt5G*`3S8cY8mpEe*ffA>O?l$=W#e7MSh> zHv^F-UK3jyPio0?g#{b-+Il@-ZnY(~oS+agEuDjiKqUV30@k-{mI;*ITPR0^k^ z2xCO>W|>$CzArtv5UIKpitoD>)#iO;GJP-uv;K>bYoGHb=s)$?eadTvdq0QYnr24P zbeS=>9CCYu<(5v(txA>hgIJof6#EL@I1E&=wE{ViwmoaLol{+kUZ3PyRrFvRcQ%)! z?(39#?U;?(=Y-ppPPkp^gxi%)xLxUl+m%k4xY8-ZS2Dxs>J{Ex8o8JSk-VIv(kvFU zir^B~LV9K~NI)Q*YaU_Xr{PbaK;b2Ls@5R)+q9LtgwI_95d3nkv8{ra#fiy1uCM6{ zB8|XvKQO%5&O5M0#dEZ4JO^jUsA(dzr=gEnDC}`u4eMFB1dFJ9IE`BuER)!6UqHf$aT# z@|AasRXSC|N~aKAse7aGRNR5`lw8cdb_MR^!x6M&snu=1YCdAqhr-JcwBLFgl(!Qa z+xfs&4b=?_oyxVm08N<_>PB}|`%7r-a$hT2x6+5t487*-lZhUqvIKR#-8aZp=*C^x zd`65OxZQUcjlBcbERM%4{$j|~KO;4CZf|@-=B5TGqcBr*j1lDCz5~dWZ^nH*=d6Nu zpvv8m7O(R0=bzsGZ@3%%&c7~vE~S=>xB2K3UDN=o-nbpy6Z=j9uxS_C7{|YenEn3S zFpC(XS+loE3B)R$*5oRc7T#S)wB;$RT8b*epW9SrRH+JQgpnwI9&wP_&CEzMU3K@s-~Qhn zdL3zkE(_;V#MR>zSMgmiu&?fcv;?Yo_7M{beY4L)d3V$!NY||U6_E%njo{p%5**=6 zdB46FjAw~Os?3Cvsq8l{*o9`^XLHfjxNB2MKi`Lia1^5?G^T)J8o>y;rYL?F3z%d4 zrm55?tZ3Z5pt92g4wY`+3YA;$!K!ldLtq=E2m+1uQWLmpH_9|sBt_e@2OUA02_cjC z3RA!NL0<4!J(a^%%actaCaLFK@TU8&W@%n4?%}7zPEWnsvH}FT=f7*Z1J79 z8!dSlmLoJ}F~xU13Un4e49zq`Kuyw49y?$d4&q<>h)qylm6=w7AaS!DuE{Cn_VN$~ zWj?0NY+X)LCdW?y`;ey0?6k%pP}Yy;K8m{AqR0JtwEh8%ODDlVtjalVTBS1IYhxKf z3NFp+5WzDe*ucy=??q~9#KWNYm1&*`+8KQLIBjKkSA%BsOmi)C&1#$GNR@fml!Z9r z5np%uaIF@inW`p9R_8{rG^GKOg0AP0W^|P)6>8wH@r^Y;XZp=!EH-Ad znJVJ@X~UNKYUz&ESRGog)7om@GK3+~j%b~lyEmH`zA4XQziaQ~HlgjS%rve_7kLMd z#%&3>0sOYQ?u$>L6aOiMNe=)9LRd(yraa7Oovbx_ zUr$Sa&IQ!U8P#r2YHH2WtI$W za;f2;%vN-4`%sWJ^FT$fVegvW0Z+k`E?=h|Q3}{3z0w*yn_1jQlWlr^`sN{2ik?SA zH{odo*R{!ku?TPZQl-?q7eS@sf|)HcVz@ZD4KAwE zPSq@>YQ*HC+>Vn+ry?!Dy|W&-LBcw0O*nQllmJ zL)%jT3EA6zMNrfE9f(~TuG5iiIW^I0RTI77eayyU2TZpQ z{`^gJSuFSvk8PBUE$<{sawpKXcX$-{tXHd&Zlg64`w`W&eG7Eu+z&986@Zp}p!5t) zeQoK$2M~Y_s1b{6Xx4||&y}D0(Jhk-B1!(VZ(v_>W^x+xd-$uJt1YVK@*@PF+yBVK z-f^{=Rf4=-lMvIL@;(Bb7e9tnhVJHk%Sb3OL|j~*-ztJ+B&EFlBa9T?#%G94H-PRD zzM!Gk$Dmr#Xx1l_%#=HYa{tR(Ak0hwD3oILZwr8uTekQBL(|i$Q%kU3F2LRXi6cz2 z?5U}}@xRcXZ99OYqSozy9BOfW7pg?{pV?xJRGYaa>#}rC);G#l>WhFxHWGNP2n{g=R}|G6{CE7#i< zG@aQv@Jno6D_)uDs?Dp`)w&LdST0uW+`dB5FVHX0@e4$!BCixO6bnzZa_EsAh)xgv zDws!SeF|vlxQM=b*{#Hq08{0AlX~s8Wk@4;`$BMOlIXntIT%v(-C6cS zH1~Hvm8|mTFELh`h0f)aPp_aCclzSA>?_AC%m!MmDg|FdRqpx1Q5CZz8Dq4nNJtEb zd`xx*Gdw+=Dp3|X<&^A=Ut+l8e`>zi8M#io-Ds{V{W6JwONI`~g*5joV0y@}I0K}p zb?2uQYM1%$?*MEZpFXcnea`n#EaAsW)7+0~6@MJ8&o@a_8%0Sq`PEM4u-ds3s9Js1 zH1WMs6t8(%vgV(x9+Kp~$TDw+b>f?abyDhG`mKYHSun-C{fn||sOvXiU7v3q)}54vP?KjpdHt?{29lK3`W zW?EIN@+#BkB#A@!VBc9RECqYfJmH)i(cQ+PNzXaO9^sti;urtuVB$`O73cn3i(|U? z?bF6>)=t4(7vsinK}*XOdoi6N+?~l*kX#RvyDtgOz0M=Bs-gOQP~AOy96_bPOOlGO zl;WTQb1^-sPQ?}LB7c|l@%>5IZlx6^xpyP_*WUj>hg6r`+@wl!yyho|RCkVAK`2{v zrgw6)x?w?2$rqVS4x#vd-fn`BIDfO8>avD`Kf}#M_ClS~5$dbfrA~_e1l^m^68Eak z{n?jo)+jM2LWzEK*M8I|hD_I+e%0n#(^P@V;nrP0+g`Ixwb`4B1y(s++_F+d|Mx5Q z!j$JSzE{eLhe&npUw%}I;LTt4qf;{#W>Tm>6(Bl2irJcuR)4iqSF2VZ)d~D=3pH46 z7B^{FW}sGGKV9}GMzG-o%%qocItF@CS*FENjFdR7KOA}DCLJexs;ul>zdT#PUpTNEf*XnUIeFE(;#{$f)YFt_4)o-VX+ohRF}DS?sF zuxu=VegAT3G*eau`S0I+IW*yK;HGFaG+P0l@;gHE@tn8zJ7CK)Qf;P?OyU0D5&XV= zz+M4^)n-bk;2iTeC|vhvlETJ->qyj02$^17kl2C#{+Fo{iJHy8 zLhs^PxZ~}cza4TL!zue4aO5uB?JOTw+4OV@G2(S4cV3Z!lBa^4sspuDcN8|~o^NTX zfGS{i3cIzIPr)v0Vd<#GJlM)KO5fz+Et8OpWI)OE-j*OTKf}_@Yz#`)6{NeZ$@6dX z!nCRtC9Jje5oqn3o+M<$mnz8e3BLtd4vmJvxoDJ}c6=sgTIjdjeZov)#+g`*|KP{M z%($7aDnJ)y!F2n3z$B$F<~dab3H$JEX<-mw87OOkiJFz8O3FbZYAAdyZ%($=hlXbc zYUpW?l}8QPRwd-_!Rd^k53|6v6faS_( zl^0-};kISd#R|1AjGJqF1(L8$tB2#PqbEusj*mfv4cp9olO$6#oQv=EgfNT=Haiwr zogTgp9Tw+C@RYNtNZh=aiJwc?m-oOn%EAg8SqMSL!B z{u6;Qh_o7`ZnI6Qsgs$;X4vMBJ9jyuz|S9Ky`~jwzi5h?hbozEcooj(<5r9``_s6Y zzB!iIjt|Zyr7^%4X35h9X7IAM=}mzzPp#lv{PD zGIyIH&x$+gA!W3I(Z-g+`Kpc|R2xyt?aW^`?KCGEE3HP$kNOfjx)XF#S?Sntm9q&` zrFJ+VmMT?U=D!pt~z~#IVXUs)auGl z)w)!SHUo8D0>9ZCOZ=CER<(mxwS!i*bIVe-y77qLaEfP>t5xjGKRR7WcId^wIek;r z&LIGME#;@q1qIdiMx6!cr8#KZHO9r2s`6jz0QAlIRu}cfs<>HBW)+bGy``)M+@!|)i8fCpXD_Q;VE%?$LKHa1Qj^?Q^Lu57wWC52ww9cZ;Z8ijFfe6?b?0RUsc3EpA18&W@MmdnZ{ygtc~_L0 zujc+>E}dU!b!dsOn2tLh$Go0zr#(#S?ERdHCHa1LI=)bwewzRh zyd>bSws+)V-X9gm@p?Ht^le8xXWxL2`5C@DUI8Qa*HH8@`1fdA9>eKS+)QyXCVG{h z`@$D?1JzqPV3Y&{W)Ct0LX_SHw|3dfA|^4S4h`s?j##1wwzngmMu3KqpFhD;R);SG zEM;`$SdWVfm}%29p6-6!yeWi$2h_ha(Ep{_A<;}*735m__ct_zv^x}I*vW^?9$}k8 z7Oa$we=C7tg+#N|%OuLVr)v&L0y086O=9MuAaPQ$Lt+XfCXpdYN_;9avpE_aCqmIK zpt0LwNziWY_FoJ!mK~BEp&^}ZH4B+{@)$JA;oGjAFcZ^=ORcP63()pa2?B>_J8|?N zdSZ4dYue(S5zVbS)Q&L1@e~M}HtAKqzN9(Y0=OwvyLB*w@3lImi$k257Ax5Oka<{H z)c!ClOqX>9+9E}>c5P57=VVVhTuaf+iCK!FP7uy_hqHtfrG~LY%#`g^2`=#d9CQ?_^0dCpzbwlvu?0uB>x=Tg6LyTduY(OGqo1fozVwi zWj{G#mULM?y_l`lc&@Y(XOZ};INPdW0nLz>aIRX$ zDJ}kf>*9Vk&?Z>AaUKNsWGzS=cRH4NvzQII9LThYp?{n|cvD}Kq|L>FsQQXkNv#d0 zv1g%GwV*BB50aa5jD_ZAcTAX6_AOh!&Xa1dL<`Hm8q@3@mr(dwBv1+!Xplk{pe~b;-%muXo=iUfsa>Q|3fM%<+L7+4W2aa_J zG)ufppftd(!E<;M^bt4VPR5-CR&P97t7ZxuQOz~Sfd`KbYECtA@351%<3u!n()I4a z8mgPEBEH_oKyQZ6^>qp-%v?-SrjJO%q44?CSB|q4v@l^Ngdk6?|AR-Np%c;o{q6{dShE3|bwai* z8cwu{b?3DbiJC zZIg@)R?>~btl%6`5RP-5eV({V@Ax;B4DnwoS&+Y+{YGc^Deio!BJP}giaXn5_DYF& z6Z+r_{H7>Sad9{NDgKckJNs}6d-ukITy#pDP}Tkbn5Q~Y67FoBiW|^|eAEcoqnz1- zR2m-j*JLB7jwLF~sB{EAQ{8!_mB0cd5}p5aAeYvRw5sq!$+1Cf4smNtk8D2<#6k*O zdw%33tB+c*`q94AvwU>Q1)G@uD%mS=$~qR@V{awPW7Po1Glsfm4Hg8T_Z1KWi<2z$;67_YXaA>g&&dlJ~~^u{_SoE;Tgg8YheViy5s zDPrf^)0JJc1EzeGk$#>1W@MO;4`{6NH_ z+B1Ve`u7YOCg*DBuJv0jV+lL=TYRR~)x2-eriOky(;BVk*~(4Rcbz4YEd&(_N}yUO*rREfheb)voFA_viqDclRMT%`Fh4y#sq#( z%QqWdHI_)=nu+tQC@q<2#TEB!NaF5xleC=iMeXcNA1$8*#cey;8Mfp(99()}vY0C< zuB8rBtfS2aE1O1IH3f=w`&2-WY_bC3GBc;L8M)+ne3thAct}}wCNc{*t051;!OJ($ z_dg77qi{NgZrlmET{y!EwE&57regPuBoHGxv4Qa0k^}X<8UmX#1J>t;qp9$doCRGo z3A0JWm@w~^Qe<`a<6}7X_B)`@fb9Oqnxd=^W3=qr(@9gUuG#hqM)i}C8H~6os{;)k zpBadhndM3r6+*&7x_KIAGDJm_r&(E9O7gU!4}|^7OslsxmcS-3Z{&p{F6E=Df*zVX z9TR|h01He?Jen!8RuUG zYu`A7*RX+byt8Iu4KurRZN|{Yv*7XuT!;^eUVAYh&waq(I#On4K}_ec572OX?`%h2 zW^Q6*hNjD$D-go{a3MyCUYMsad5}0{n^=oh__G3dXVa}PyLp%39afM?nVB{*WR!A> zD0eofR6H>gC(V3h z;l>_Cdcw!|$5HW%=R&076Yia>e1cqB`G0eh@Z9DuE5OuwAb89ihv3HWG{NY>pA*TW zW0=2b3AXTcE0I4=*o~iysW;E@b*1VnBxn(UiSC&ZqAMC(t5RUfzY?mq$2$?s zXXHCzfkZw#=VE>Aa+MSLXdFj2X^?HNMo>9zWfrU8imp}21FX}r+$O2|B|Fkp5%8-(`BO-PUM!r}JOk(QD6^zPMG*O<;YV6Aq}Q(mSM z4*X@WypV{UaA4B?6S;fvdQ57%>kY!f8?4?GTOE|ZHcT(vU{%v04Z+^D^Vl5rQ)?H& zw{^Y=ud-CIo0i;1!Nl^-?kNwUHDud|mXA7q;tA7^=h5Nt=Jnb?AAb}6IpB$4A3D4= z5X2{jq`LO(O$e`41F!fC%r$HoK6KxH0lf0*oU*i?j~SF#Hc|}ms+x8_27u4pn8YdR zK{QU`o1oPv-e?<4&}g{D2z)ks5KD93i--+s7uW_FDKkSh0l`SV0i;c*M}GSKM|~> zRYAWGJd`x%iustD)e2HcdUK3qPC~16(u-dti|NdmDk&OgJ#AEI{Q_v}Yd33-8Q*`w z2+c9n)Cy?uElG|g9g%RXeiS%1WO0&XDbHn>^MPA!j)CJT&+8_DqaWR3<4H3YV;N`V zEGRG2g)IDKr`*76?KfDYzF2Jg;h-C7lVKT~QO`N;=4Kd%NJ-}!Xb64~t8<+3RQxjf zXEndJ+=8Ix<3(!m^#zjtLb0nK9lHps9J@V`M`tc^2sYYhg<;Px0>kGn<^MQ4YfhP}q;Jr1Qfyt$iUgpARBEjc=N$rPk;x6*}cox;A$w>2$d{mZqn? z40*VG?s(NDL zL+?RlA9(VzV#VdrvNC$R0RnmT9v}#_4hD@}RN-1f`bJhD4207I`v+~Zp@WTIn`W!XyXt50JXoj>AAq@qc{$D1aUyy`!tKA#_?E8qgI@# zlWImOCl|_|d6%2TJ(hF{4x|D1SZ(+Vsr@nHm-{e6*c4Tl%-YcaR(X6!8rjX`X`jmn z%YJ;&W-~%cm(i`gH`s=HK43qMxSwKne4)9B?z}(k?DAdZmzisggmh#z3(5AbIADTx z9gzWkvfV5e@^b#pt8_U+Yw!7A3v58f({MD{yVr%a4Esyf)=Ujs92GW2TQb z*5J#{C>(mQN*LxW>V+QS@3+9#tK?SjVFJ7$;lJ2;>VF zKMoF-_07yCK^jqPtwdn7jSpXzK8k6@;Y6EY;eC(t{sP~L^xw4_ypc+3A(eH?%A#}E zV7w< zqdTTP*HtiVA@Oy8bo}^?*7Vk8z7F_$u2J!`vG(9ce1oYzw+(e25eU&aGtm`U_kdN9 zg1WOJ(XMB~FpF_a$FKnAv~33LpZXNO8MOHU=!M~-d(f)4a1uav8CN}Fwae8RPE>b{ zm(!ZZpw>C-0Z7>`rWz6GOp%+jeKd3(_EEb(g@k9?2wWNZd?gO>J@yo=>Zftxp12tR z974WUNYnNPyVA!`qc|etE{lf4bn`FSL8^I14emo@UVsvpyntooy=Sb$gGR2($TP$# zn_+X+&jOJvUeHErv|XxUHFWgPUL@L^m7aM6h7ZHn!N-M5Y22HB_Lg$d>krRkI%OzE z;R1}qiLXxhm|ppFR$sxHO-pVYuPV_7)zX-6VyNU#*nY#n?rZ2?{O zq$89l?(h#JXw_J&2Mv86`QM+jtaBVR$>5z7Y;! z_@EIMwg_B zXZ$jVX8}KFP_+IHSIgg6fW!A;Z&0LL75mgbhu6 z#gJi`=1;f$^zAEHvMzWPOO}kg?IkG1U$0t~N@6|wqAGULYgYe861FYnr`JIL%GV)g zk%gIXDOu1-uOP;L{!P%s9yw^HF192V27);>ccC}3wA)!C0C-CI`s z9G#^`byQ8^H=#+ftr7Vo0peqEGqv>871(UPcDr^ar8`2cDDsZ>-gj-qsWKcnL}TQ; zICv(G`||@qTsgT79{rAYl_K_}cemk-*ODETzh`wdcUWxC!9)+zrA*CI1c{H}WR2cq?Q3>yi=`Z~+rg!;=$OmxhTlS(cMLD*K)8l>?|^a*_!RK=eQNoP>MoBMAJn}& zAdU z(y%Z@_v$Xp22Cs}a&g7x;^5IZl|&7r{jKSOFC4IDIAW9h{THy#IiJHVf@FLa!-%L; zXm0owG*4g_H$$^Nkq4TG-2yi{c9-V2nM+`1;rFkfV;3T@OYs|DZZNhzO`0KJNi1f5 ztw_eHJQtb?+Pf13obwezi#m@#tI=b*?(EyIfC?Da_-$M|hA%pOso7-IpR{J=$5f^Q z(}_9x1wL^$2OoCa_$B5Q=B428C`=1*qyI2O1$RJP>A7dc6pwiIuKykZcHa*%TA>{P>L>({RV9~3c|* zi%P~7$Ql?bjCLlCAPIO&mT>cTfX5kh3q^Am>i8Hy?0ePYqg%hRO6h^`mDA}*@o(X) z%6~xcg3F=%(R1IzVcp5?fxZ5RHA61D9r+#pdM5#Q#uB1YKfs;webr08M~Zgko=_f* z*n^6)zT?4mKH{IA+ynn~{*O>B@r1wb!>fFqEEee#_FHAjB^lK!rb;fU#*M%M7P;Jv z+I1hZhAsajOaRV3`Wm{`-{N1z6&RH%o`B5)>W+TB0{Uy-#J$=l80~-#jkqFMXpEi& z$F_Z6NL*2I*eqPI<2`OKq~GRe{D(J%+Tt@qrjvJk6}De!>`!tI66TpQh$8!t$;I}E zP{_kqF3bspU3~F+_C02=`aR3UaX8e&;OULcb3Q_!bNRIU(Xxzer5e^Qb6IUSw z`0OuR#`Iwee^B9!+cUxxZRtNm6u0pd3Q?XSqY%XY!oVc(h^0Z>k3+&N3~@O)2L`a; z;vL6d?YhD{h{|5hX+!&dvjSaoa*yxV>9>@G_TAuG_>#KSRU^>;N5KVTiL zcvNtYH;48f&~ilrQp60AzPk8w#vcCZR%zxo_g!5C@`rFbM|5~*{Rnt*wn|j{{yF&mEjjv44LV%5LDB;97mzG{G6H! z&X7sXXEnp+P_0QChQh1ZG{|AGEB|&>EDh&c;`BEC)e^`an~SALtivoODV(VGar7b; zdKh-*{}XoI@lh7d|3e_{?w-3#AOsRhBE2ZN~glhw^rA zM~0Auh}6s=3hXTlpf~7td*p4fswI{8r=mcidU#xxqq;k21Q#n1L`Ixoizau!gZq3w z8W5Yy2FS4(vCVQ2Y1*5EzO)$AAk0M`sg{Zg1^jqHH3)4q)IHj^GAsW`^=ck)F6!;i z_5^w)&b(M=p}Ibd@e$5e2tf%5HYEdh7`ENyhZmfOc7~WZ)-arXG!Nq$MB~}j(T~89 z2wWSA1}Y~Zb|&7Yg}SO&QQ`lv6#KUMntx?Yf;b6QIB6GpirH1OM z=N{syHTh8IKMT;E7Otqsi3K34mS^RlSV9YYFDdssq14YPcF;<_-aPHkQ3SJ7Yc zN;}XL_DuPm`Tid5Y!RV~a#MK%KX5np$W@#L3v)^VO{(PVRzp9>InrOW$64LZU+hSa z!zjcFg93T#heG-tiA2-_wTsC-#Rt@eD2{sMnK`BuUlZOf<4pJA%#%;F*{H+^n*_5PU3&N z5W2Rv2-4yp8=KJHYQ=_Tg=#@7e-|~q7$$H2)u{hg(i-=Fek+D4o7B%VU^PP*GtoP~cB?r>!P+0h^!b-0&d5AalK}Le1+9PX0`SP0J@Jf*TG}r7^UB zr8Ny7Nfb?q-)mN74yU8tZtdJq9)_Y9Lj2V31EEC6Vj2CpD-DVd6Y$$6+j39|qyk>H zzq`M$o^W@p!Bvi%yZhtGDVg7cwxc~TCD)9_VlGQPcDJ5(tzS{}O-c;8f=tj#y<}RWcL4h1xS4wT`p4)P1 zBuUjff0^nFs{zX}(XSD^_N#D`L#@A?p7DL1MYuFw~E^lpk~0+F7Z~zkF?<2$;i2Czf__ty{!-zUeFb;M9@>MXV7;{%b=)(#$UOd*UW_Zb*RGo zYxOo&iO;G^j?-%tSQ@8R`6&trxx#O}-_j`BkeHDOpgMn#() zxE;PHhBho_8F$hg@2wtamxDte#Fyv=hMmL}_Ax7D-WsudYYZOo!YY5ypod*C17*Ez@t;4gT?)lMA-t{ zY0O+9qMS50gPJu&l$XwL`@vex?gNWij|J{#6dh3z>GuUqg6gg5$Wxkk3O3`?$KpwK zB9gf-I}d3hv<(f)lsK0UIF%*u>Sp4m9#VZfMXz3}x=W7szyXJqHZD2X1Gm4=RAJA;;C@glF#CtC%V2SPH zeh_0dwnps+p%L*w4IYFA9ec$YS%vEG!Dbka3|ry8ZbdG#43JAh@t)2D2-65$YC3rU z?l?{Eh(`{~Zh@D*`*5t`j~;<8*knbes}n7&RDUgW+N$1%YZAeL0IDgd)q^3(vsWR@ zqIM8;nY&+5k{eMarSQjc24P=&^8jOw@lu$lgZ|N6WRv5uMzfCN`2ccKMh`JKL*h~t znpM)R(n2+Jm>rE+iIaG_UgKI~72$Pcd<#cT9dWQsz;Q2`gF+*QQbQP#9K#azu z-dYQV-$894XwMmxCJ6a$`w!&z8VR8Rdh<(i%b63P$Jd_P6RSzkX?WpYyukQ&U{cN* zu4y~!-r*43Ar*L?4y9`I)rygjD6!m;j*=H42YN0TK|`Yr{=Fk$XX^e1qTG?DS4U>O z8Hrvw5)%Dw7^Wrz@^D`BhG(1#P&IkbXiG@EjfeR_^FqBe8Vh=dBcYcXqlb{h$Z??j5#V(? zjDBqJtpcl+=isdC>pGXRu#blFvLiv+cQh!o@Z^CuLp0gWXnhps?H6N=Eec1aA4YRw z!vcgDe#pdu*x5(9^u!`(=vzy+ zO-Y<9X^>>*I81fz(ZnvA_FiJHMAgc%Fi01VX~ZD;Dx%h%P>YTM^Hv`R<`HG}{&9K= zB2{w@>L6_Sj|RoQc)x8bF$%&DN2Pa1_;=kAYNxK6YzfK$Mv~iW=t9;WYSaL=Bnu`_0jIv0*tqz0 zkdDrr`cJ|BXTmA|u4?sUe|}KfYYYt87{%(TQ_TE#q$8Ca7<+wzlkC7`HlLRboH)4S zRBEW%AXgnw8+Q^;5%!#6s3|qwEcnp43E+ZggV$ZO#81fd6{>{Etgk^&d*y!Kf zh-=i1xJKQxrKr|xYG*FYHN_vb*FX9UjFKGy87E}zp|{G8J{8)fPiL{&PlN|F!+BCf z#ZSeQ>3X^qpma9P%zE=wY^L5hBhPH6q_4@+>!U`VhHcZ7g;;IZpGjlDObJJ&pGkw@ zOZBIn=|4Vrqw|Do5{Jr?&j{Z(1<6gbT?Dx0(qRXXHr9652VLQOa2~Yrer0F$$6=FaF?Bp z72}ub;9LWgk~1PQ1CWN20Mc;)7SZ)Mu}LS7^S*Ojl=aqQ)oTjE99_!~R`G48 z&`dOJ45HnlqCL67@6HB9O}ajB)j8OP|9(EE&gvx;Iuys_5~6uy*A*Pr!zUMUY ze3wqWWhA4bQiqmC%*Nnxvl0cGy|i4IYVrl(M!$10q;w#C6_vJjma01s%8`FL{0N39 z^D7N^2c3o&O?>Agc!kR)1t^y!0*VqNJEYAnG#EWOiBQuSL-7Dy_lqq=kMnFCa6lZ0 zN4NoX+o}L^Y*Q$?2qDP+v$0H`c3vZb^yBB&46FXj;A>RQF~mI?ih^Rvi}@_{BW)d zGKCVI?U2mZtOZ9mk&H-f8-y4@Y=x0v*@F(y<*3y-DRNxe246;>#YXJ zbVZ`YHL()cxU}l4Iq{FP6K}c3)qoUQtO0q~0@alJG8@+b4Td#dy zI5DgfeP<1jKBZs-$`7_w>#uc(Chx5YHlVnFf%@Mf;A9Put|igppyAMc`f>#vc%|fVGdZ%Tw!KK^j@s5`P~( z&6huiVno+3v0>naVQiaG5RUxSYnR$aUH(L_a@mKd547th#D3Z;S zL4`}DlS=H^eO{=Q8oZ3yMeCJxQjHd=SC(OhR?=9srbq`IZLFrSgL=qP^aM@GZ2%P3 zDvg8X#G$+&Z#9Hf;ceP@)~GF~!ejbrIX*BhvGD^ji!1LoX(K*VU*!o>hj7@_LmeBPERsR>juV?Rdp?Q}`R)@`3VROCX zeixcY&aSTWgL;GSCusL!0(&Mp68BLcOl`ggUw1v=m!wA7U=;8DGf9S_k&C-5F7A`bsBt}jn`z=HSkkEBY3I013P10?$f2r>A? zg8=``eT{)PFkrh>>0Makf{*ySF1^_o4##xedL756`B!>IxRsjn2nJR1C?w*M7poen z(!J>73*sM-Nji`MJo}Fi+=d{q?}$JpD5YpMh4Bet=@I@Op_sh#X87E+B4%#c-FUt( zg;0QY!dpcpx=aL;mK-o4{3l`iGu$DhHkZZF&bbTs`b> zJIHlT+{AEWZD|p3Hw0$F(Z9Jlq%k*#6uLsUB3G`#*k){}lvTle#P#xFevnC@fD>~wPf{`b15OMihT9+Qj8YyB?Xu;R)Py+=O zPUhmt_2Sj&38KgjnRo59hM^(d>dKAT(vWJ=V_3;fdD7omtD|(YXjuFJRo;_!ShCiK z85W>%r1F_3^&H8SiKA!(9>5*K&YB8EPI(GD8@`fO@;Eji>z=Y;gd?oM3_-u_uHE-o zV@UilHz^N33^|^2R=Zk-p6qo&Xw?(`Zaz084F8M#_f+k3;i|COOUZ3nJ)GF{u>7oaG7}X|!0_Hn zc{>@?cir;V8#sm1tHWUQDu?8ny!D|jD)A{U7_OwYtbx+qLa(fWP1a)#yMlPeh9`wE zRFF5OAvNGx%(#=+K@eI6Wk{4DLj`>?H#t>pdkQC|Ltbzx3pNZtXhXene2#+ipef;&hgjbL=kY{350`|NkVV(6l* z1vccYGN)aLBcqpIb3u70I!O)-+OAiiSIb{Dm_jlNNrK~>$-Q2)43tipWnlle5ld}N z^ff3i83Lsu8xWz)CB~(NlpC`VO4a^VEb8Sy)4hBWnv5`7n%VmUA+_%f4Glrqe-m^Gn(F)(TTsp= zz3MFsN&18qQuY==n!VXVO6lEbAbW&UHZ_jQbqTSJq3q5@Z@YB8Mubt-9*MhmYqnWk zl#ZK0MGF;shi$h35nB=I9g;HQk&q&F=N1T*6+?Q57T?A#PRpe<64u2OGOUXiz6}oC z^rqf2VvTOVK>A}k3m4lOtc2tpj@oWFjq>&%Pmmb8yJx$VsB|99n8<9Ax72Z~9hP*# z1bX%!m%NKs8oh^&u%XCZ#e}WfoJX5;=^Z8saY@MU-zDWC1Cv6?h?lPpUbhvE(kiVl z=K6XnZ!>g!=Q<2aZx}(1Z`Rhvu`*-B*kiEGoT-Spc_uM8*COVIcVlkF!%3vZE2N??d% zQ8j)aHY2*v6m8&ogRg$z-OSL&IWq&--m!BoQs;aO5%Vo2)I*_U2d-mK`=P&s`r_T( zZpbwkkECP!v}UHiTJ^pGuItn|CExlWJqf-uYoA;!(69Agm-Hjl3$Ip^9 zg|fIbIZO2dYLu4dqVo{mz~d9S>Swx9J@->%(Hdfj-yj1^P}z; z(D;eZU3xOU=+fh))*KpiT6(M_q0ga~mwtiMI%hX}BmAoTGJbjR^}Q||0m z@bA%MI?N~=#|KG>Os?4r27M7S&$28%;CGr5Q1;fk9!LVMCjufwg;!4e5rp)(q=TD; z+D%{0>;6Ai-qQCYV*G?nyS#u^E*Y^jT&>#+d2iqE%DVwl^BjLS42lDnuh5{K0KvtRGD(Ln*MyEATCYPEHPXEP!m|FcmOdpc4 z8vQ%2nVGJjakwT)?=N8arWeiD|L*S|@T_FC6swXyP#G96vf-i8gCJ!Ks$i=i9Zli} z-<}`$7r3FvBRx&61|Y@vB+Gtt6#+XYx3-8G8J6O1I>Yt#KZzpcT*jpfNyKPxNQb#i zbGoPvzxlJhBM0|rTf%P>Jn#oP(F)!GG158|dcmB(^*7hbh==6CXT2lQXrMG|e*p~m zp1X0m>BPq}+p0xh`M?aB`%2?`badt+Dz8~i4{VvF+iK=RNo(}+=D{Qz>My<Uq#$Lkf1_M0!3;=Q{3YvtyW((wGd}?Ml|oA-*SWMh%6VUbRH#6 zb{`8flQ^Zkfs;>)*U)!8ccgD9aH_H_Tm?_iXtYK*K+m9L7qLqd@FN{cKW?g8>!|hx zP%Q4_Tz3F63}*%~`g+iQv?=ZluWg1bdp!X8B7p#m!{E}USX~C^q9twI!*Ln-Bhm7m zu!nLCOF}8%)rvMqblQ}K$NE^INXq`WcHCI=xM2U7>_E4Gh^d$n@T7h_8^b-i8CaE$ z0fCCR@kC*Nb&dXPC&>XRB2rmYs_BgIE~ly;PUAKlkb6y`+8jGPJAihKKOs{^2|uT+ zVXq6-FA9_dy`R?m=7JJ#dQK$04C)ELN#S0+-VQ-|fDNWwYYFX01CR6br!_)yAz%^o_q8R}ue* zuZ;4i)hz?Vv|-uR9P{OamVrw8D^7p%v7`sj3Ha2QLKyx}3sKFS4G^3AmIaX2nXPPN z_E+0ZaeQh|t3a)%k`4>7r<&9{&`|}8pq#AgGHz)^ykT9qSZ!XH>8L(!?8NfAsI2N( zEoowLnrX{oAcxT?4NB7ozO5?^bWryf!{lme9_KTuzMb1(#$4VSgJSh2YNBV#Jo{#zRoe z?AP{a#-fz*Qsx4-&$J#KD8*3})+AFXvrrxu%jfJM!Qj%r|A9}YAVGCC;*$&Oz44xk03o&tRm z=zz}PNAptfBOOp5NSIxZD(i?TKCFw?AsO;Cq)X=Gs4v(FQMgmPS($p=-=s{{n*P{2 zZ|`a)DkGI7QFf2UcE)F(9x`$$adL$6DQdYmVfQ)mFesv4!aUA0@GoA5t>j(9 zLA%}(=D_EwNa(w!lzV<#xVhSL7SlH1mw;iET+V`FoC znQfPRf^pM%Sz+~UnfnVW$Oy+js7{LnY64;^Ca_%LiH2Pf%*LC_?W~Y>brNdkT&G%v z=Y{cfN-uZIZlW|HfICds4NTA{9IJ&tnfddtDJG`vQ^y|nVUJ?@r3!_AwXL;j}KY2nwu(Y3XVY@^f-7np*_r~#V&VrRr-@J-M zDq%i$3@2PBi`|aK*%iNW`Qm1WCTxfhUu}GFYd_4^JLvAX7KPYr7>4KqjtPB{a}2Rg z+nD;&fQD(7Yr9J?{0;7l_H5#0`lk^F*EQYV$Etz6P$^VRHR&5}sZOs(vyvmQgvx3< zBn7^TeSK}Z&mH<=PXAkjVKn&b-7g~*{b%Z&=fP98W*)SxsM3BZuvA(1vjWd1jKcP( zLw~&@dLAV;L*{~^2&|G{d2*jdxCP(LbrIB>1ZH`!0T{-jAt`PsGpk1J%E}L^35SDl z*)b^|s9+YQqLpHVy$8DdkY*0-`k)58qPGUZ=x9pyjE)*|IQ9k)^hb-5p();{z^M99 zz@mR;4d|rThbq_m#JE}_&~(Ni4KWxHr=JF4$pF;eynZlP#t5Zv=|#xCmBLHIqS{G1OE zatYNl1Q}4-#T4$GPHiC1T=~k20I9wm0z)wQ4D4D~L;^YW7e_w;G7d}#HGc|Z|JVq# zr>c?4?CnQO4)Sg6nRN^cqKoQLj1lC!0F~17q7&X{{%tfs|X0=<*s5tz3#kyToS2kNdJ2|OJ}2YO>^e(u^}v6?$NFqjtl9{O#?mUV%n z@aa41*_y0XK)7=TC!D-gOL+;MYz_MmXu`lUgA~_p~wieBGItcV6oB^BMSg=VJqXRAhw@ z@1G9F`8z*Vu=dzMOzpo8qoN=brO2vYV_`RL8VZPHMAeS5_z(Z8ta;W*6+JF6Q2&5K zP4kYynu6e7jf~V(QG-KO)$wq4_Z$yyur-!pwkqA@=nHWgyy94t_VbUkrct_U+!4nV zy24Pgv$Oj@07?~bFYMCIs*0k}KfS(v4}E5|(!)xkZJiM-S1Vq?gHsE~5zlD7Yk;D`%3jt5 z#N0-(G9sG4+Q}L+5zX}o>hpWiBRK6l7z*X9vnShyUAjvGuxfH5c0q?vqEXT=NIu#? za5?P#DSg(&#H>+mlc3UJ^;KC%4S~T45bOLYmbwfHYU)dS z<0XrS%JakOo~bTUv04eF6hNT@r*lrSSY_OguyXJ@Jk_NpRt|wRBaY%DlQ6S>n~dqM z;nt_f0h9cb;q&aAh-T@)`l~c17A%GS)Z-_+^waQq#uQB2PfoRKymr2H@G%80)wEfC ziVIhck~H~O)Xf}ts!Oi^oWZt+H<^sK_a> zcHf<5jg*X38h&pm!Y!1toxwbnbEXxq3|I=h8F<({LK_?OEUs>zH&p1#Gg-2qwDyGg1aKbAwk=ABvt1fy6hda@Ws2)mPpg1JGM`Guw>48I4cqSlm7ODAV z?K{o7(@ulxz0&`i4L_Td*`AVZE$tOYg<4}zgJGIo9&&$A zI~Vh9hR+Fv%cOTo!{~Pk>Y8726*Nf>W9!A4Jy7)+b#3sd!?}S((`Z?l+BOV@_j$*0 z^{haY|EbB?%-$++4g&AFvvC%3)$Blw|9#~Pcow8~aImLpdrq#S3eGd_`@fDSlgiEv z`_(gh5VF7Nyg+|(zkrDB{x;B4Ip<^7@xu8e?o%@HpkC5|QWNg{+*zRa*@c0UrKe|R zg~~)ckeaOd6T8U%=VA0uUjS&MXJxiWo8)GL+LVUykkF6|@mUi|E`RhDV5`+@7hI2WJ?D}@djl~!nOul@3*b6@b+HRkJi|wA7B>gPS#vBA;heb;f0+X!lP`jW zG7!B~JP;R?qc)lt+6Y85X#sKOqqzN2IG5}RNl`dqF2v%eP%}P0KX8bKC>${h5n7n{ z82V{G5B-oF!)3z7LMyC4vtZosqVJkca=CL+md=MD7hU2CGKG)_C7%6jsV=z~-K9rq zuNeX*+$(rlenTs;HehQShM_8Z+TxvuaF4G|`w&{$rb_({{@6dNf zDZ*tg%DT&da{c@yN-rT7CF&>6pNsYN!MTl!(%wKMQ9ccA{rGa1xR=FEj>24tE`YoF z&y`kNMbuA#gad^ObXOXXp)!$gNv$US;S{OwFLQb6ofI@Bp$^;HV_FZt9F4TbrciQG zs+TUuw&2qRU`KjE+G~!$xHt#9oQtjwbXB!eGxI_sTa@{~dknN}+tqe#A}VP4kFg;^ zv_MU}0{HaUL~u}W0WbC8@rG;Al{QSGW(~F4KwNOHb18W(2^*%;tHFaVi>*ebka1Z+ zc4={UENt)7kkWI(URgwQBv#^u#dAo~Uj@^RO0aTc=%v&l6vCiiz~fUoxvh z5K**DC$3`6#u>u(Sj<-35C}TnWr4L2ch|6mUxekDmj&S$5{0~I=Ar7?YjJeH_9k#8 zgm!B6bpd%*AI-|u#BXp}{f6uCmFYCFGUP3$eL*D0EI$p=`D7tZ-_N)n%hWa3S{)QI zLmH1>6|DOS?!)~oP+2zydZ^mVGo5gmNF3#X@m6~(W%cpE8k`@TGTPz>w9z^!{6)!w zu=?~hpAV)WOc|ut+=TX|jjhT=awyTX=ap*BLPWbpE3ByHvR$QIqwFjIxA`AUDaBz{|c`eNhqntB;%Nnfsvea{n13i3p z3kX*7P0w{V6C2F)rvAKWnRW@2R<&e13bQsL@IvQmvZ7Eq9Lemt5vsC%iP_Zf%zKLp zv5Z?P_CI)hC_ob}vI$t0-(sh&@G*%$8%G9f)Gar|`8cpNP|lkqo~9*Bph)ab&QgKy z;w2RN5pRY*RM-p^-vSk4z6)p5@V%cJx)iqepmG;+k!VV7XgAcPY)L7YxAitVHB#Ex z!T8i)mxWuX%l?azZhbX?t(C~{wPR{p7Ozm5foJns1GwcCcOs{+(u*3 ztVp4h1i9)q9Lc@745=agh$WaDxecgBpv*cjC>yIL%>mGon@JwY$uUs9d3#`peLy5y zrln4%+Ir7mQC(9E>*<%9II7=ynaz!(AVQCfuQr(73f7|TF+3^^o7pPpZJ^G|#;Wz) zMf7MyAhRng_~KBorhulBjk-aVa4tlHZ89dWP<^x#*r>0Nc>IuwO*{{qo#n(#+-=L( zE(O+tY)mS=ZBVA>(x*f20#Y~ug;Iq0L&U#L4S^`33sBad+){sm4g|roVy^9 z+wQ@?8xXn+b$u2pWNm*IUCZ`$s_)%_=4$)B&<pV0QPH-jKg8}b2#O5MD4m8 zyFYb5e(oXH9@p}ac^8e?AR*4}@fUN)A(Bu(-$(1IU}K%Svl)Vptse;Vfm7L}2p1Rc zptqgwd>{~`f9Q%ApOA;=S0xXEBERttl@F-R4+f~z1pi>yeUvx!39gxwrmHs|;7@f5 z*H4S5I1XM}=1jwv9)iu2`x`jo74RB6uY%1x=S4_|dJaWA9w2<)wir{{7s&nD_XsaF zveFmvs{diZ!JxrmuFVgF(>!M*9uE*oz=x72Jc93rj9lr_%My_J_z{2{x(ZU{IUDhK znpFyr24_T3Plhi3#U4agtDnYXt&hoXKw$1gwD!k= zZkfiXA`fE^-(-zJhguuSkR|b8*BDI58`o&V2OfI7KLakj7OT&!M=_5KI4>Csjvc=# z#K$z?d=Z=12@CNk_7mlgx!62@ALw3$%ekZf3gO9=Cs=l#>M}xj?DkbZj`3^ZdE7n- zZ`W$5*w)AOx=8q>Q=;)f1voA9fwdToM(FYUlHy~hZ}DmnOM@^sVtqdK=wr}`8Bgkk zFQAq_$-2$T!a?vGp0>E8|D}b75wW9p`cp=1;fTl411yQqWoT`Q%>(=&3r;AsqD z&l9dvigy5sgCsX9lK(7D2VNyfqygoRxISJ)`*_RO8M^A#bxBx=e23KNXR+pPSVs{E zH)tco#jaiXGf7w;@R%U)W2f~jeK)YYD$nfe{VZS=taD*`Tss$5WF6dy3}R4vSk_BH zQ5JIR23$;UYQ7gJ4to4H!_9^3a1j3WbM7qgxKpm&*lVkMHrOK^jfi|oVgXEEKjn0S zS>Lo7d2>HL8*ELDyz|irrIsFluUeme^uCDej;S(w-SFi5h-tj0^D>8*!Kj=R^58=1 zyypUKU6;(*CbBTDOBQimvWV-FMUpPrBDy0vWCIB3HOJ$M0{YhoU;q0hKI`qVUcs{A zu>f9N?K%N}DB)yz2QZ%{AKg6`JO1G#GEOR$w!zXBj+Ow^OF1VWUv{X2a6qE}rLDS~jor%Jcu z=l^{bDE@xQRgM%A+g{G2saEWXy-BUUv|o)%q=7=CWlSnN*nUBpuj{ z&h&a99U+4G=+tav8_@I73*Jmp6lVk$Ym>Kt^}t`gj+mq30wB?|(%c1U9{GBsFm1dI zFxODLt-}^WRDJz6CMN3+F9%0$g%BsO6@2^+5o&(n7;LXoLS z!zN--1m4Hr3RW5+>OhBfb`3c7!d8Hvvl;4~PJ+1+>l&yPTd=cTum!s~O;$wKELp{C z!wuVwnu-XQM}BJY7AWcI?-+!|5fM{ELo>05pWtRi9i*m)s@Rnou7Wh!K2Gz_2T;$qSzy)h!GJq6mEFW zfNT{JAv6h6{WJyDOb&hDMJQrvmaiEorZVX#bl3b{SDqrAM$PP`L@W*Ovu!&?#`e{2woS1X9FR9t@CVdX~#aX2EfC_FxtI8vWC4}M7;lVX!>)%0)>T8V%2e7R|9Pjo8g}vykxToeo(3tJS<+z8s39 zMpnTC?z&5JuC%3RQFN!giZn+ZnWuKSL`7nyWr2$AhCr9>w5xC`Rct0|=$157#q*5F z&LEDb(-dH4@{E}s(GxyTlK0LB#$5X#s*Yd$rNt~N-OvaDTC!=S8`#r)V_N&VI}bgaeyImwuaLCWdsaXzovZ0=ug{@8DQz z%a^|92CT?#w8CvtuDAltCfcOWt8of|xxO}IlePFe_%lC|q|%}Fmuo~U*u1sS{e909 z*slN^g5)?xCzLn|bU)KQb&u#B9extA*#dT7K>wx}QTmg6*cP$pS<#5iSjV4u$V6Dr z2>O#29d3$j{Q}}#^(}^iZMG8Bq?GVl!B ze}}7)by+qF|KxYrM(=krduQTOD7=uK--9zxe~^<>e@Oj<|cjy*PIHb}uZk)=W`81_M!%DS>LWfu5)K?=#29+7I@vfIbbk2>ESpGU(Q24N2yljg zkPZfZ4-11c(rmo1lFUD*5fdsDN99QffvEX=vBbCB?-G_lJ`3=U=Wwpn`)51XrNfv6 z$br6s11> zN{`1PJo;A{?H7KA)@u=_5F&(XV-d>dK1dR244*ruq-_eTD|eROIHTjEZr>=vO?-?YNNR-omRAgLFlXAJmB~dM+W=%9R&M%5=tMF zbd;a#&iWhuuuV$+{OIpMcEMlxPlLZkHdrfl;h)Koid~TzX+gQINf<5HK_tdlM?U1O zf7|($GJLSDpPF-L(8v3S%b*xE*$4qW^ z9D{#hyp5=bO#l$vsJAI}1W*~G=amg<8Hl$K%P zN_Ud*@vV)MnX1 zx|eV@W%yDY7<`$Dv1|R8K{T{6D-eh4c4VO^Mku3ogb+_3;L%$8tVJm90a_7)O&qVg zGCLh9J;j@%{O+_2^psYHfpT~2P|k6>0#&sKy2WRD81l+LGou{DUYhB2JJLSo5;bcp zX|{VJXpA-eo3=OfCq0GuPwuwX-t17LNKUo6j@f$1s2wqaUrLB%WB7c+M@+sCFPTrs zata1E0@OJ!zSS+XmfGb1P{xx5o(u%+13B=W}VHTM0rxC&EdnleHfHD{-M#r z+bUKsJ_Lsig9@g8jSRz(f6B#>bp*|fsfMDC<#wkBTY$f?k5EEaN}#>?yK&19cg9>g zk?M0yop#dn$*22#n6_NJH0*OIFVkLk;Bjuv#+mr@LCvFJ>er2rKu7ze`?}i)D1Uy8 zXAt^rryNs`lbR8iqDB%1W#k9t!(WVMK+*A+Ds{adYBAl>n7rsuaHiS}+D0Ph|w}~*Rzy4mM)>k@ts%;A_62u(ofEnhX%6~}pY3UrI z$1B5=hB>%xk1MNzU@rAZxt{kHfXIq&I3bY!9x;f^E%j{flxk|irY9N1j^4FsqovUR zL9SCctr!(gvRYtvbj`!m(maw8OU)yHw8FY3c%QQn2JH4iFr%cmlP7QRfs@F=qL%{> z6xz{=6*W1!#grKOXbX3AVmD>jQFcY3D>%U^p)FfO95}W)oL zP)#XxihXVZFUE5OT!}x5&>p4)YA<~{;u}8)xUd!IOU;_r%E`7jm?9C?v#ryMr7i<_ z8ml_%6e!`p#4DbF;?YDqCtMv<`{@yicS}G_4@SleX)sNuBDL?=~o zml}N+Z)JcIl{*Bdbd(Zn4Cd5WEb3pivC?i}U`bs(Fc6!)2U@#QkU?Bm7u~>=nn*at z7omA_x|))ayL@?J^+X%kl1q#8TBsTUR?ZlJoj)Gg3)|bG6T$gx#QuQ-_0%1Zn16`T zF`0mB_&#qb_Flb3^x}x~DENzN5*;uY=6ves{@Y-N@4(Ml z3uMI5<-F_M!Aw$mX-|w@t3(4sOLD&MO6c=`61moY>C3y8(iWBhG8C36Q1`S?!+Io0 zl{#(JUG2g8D>|W()-&nXGTK|Pf)){4!Fn9$fc3UAXnBQtri;;fQI6DTnyPs$999cE zYKXbwnr}VktI`-2o=6Wf7?m~L2~yJNipR2AsqebtpTY6gDrYzzZl-^}0Pb3^W0}+P zcDEr6lW6OH;<4H;SQXCcl70|LHt$~yHb+Kgc1FUmD3F6*X)!{tHgh$q=Jm#Se;{ZL zDK}mh=>iU~Jq}47ugE)~MsVJ8g38S(^H+ z242wPBqp|F4H3N`k@F34C!m5oU}<$yXg61LWyIChTwC}ajOMJ+>AlPxvN!C>l{Yhb zYbU%+d{acj&Jo4j(13R`wIg_Q!QskjWf&>1PSR&1+&gyV6sv#mS>tYaBST4`Umu3C zGg#?VTUrKek!n)z6smW7VrQ;rXnmYeAJ>IeqmO~%dTlrI3#L{0oAVf?<7u#t@8#sH zkLKWAMx3O!bY#TU4nTulLqW^silOw;9!&l^E8p-i~~^ElPED=>=xqnEn)pG>Nu& zm5Ey9U6a{MjUM4pslfi{V4Zq{+;kSFbn#FxkM^a-YFr%bXG&7ZnGzl*jKh8muEa)) zgc;O@NA(dHNR{3XQqbCBb?M;j7OJ8Ggh-H>vr;wC@SB_-kfeM6QSD2h_Qqcci%~cQ z79%#GaN_%5Y_uOnT_rICy&(DC+6%p1kaE%kJ@DnnVq~PMLFuAdl;0A zeKkt?Tqk7C&r27n88v{wYphK5q|f}y)u!q+Ki1DS_tV2vOKV_&pk~ztxG_jfryY-V zqyTF7Sb)l?H5N3OGGxoGBK_$7;;R3LTek8II^LEYDo~SXkbEG4ELe}oS@Vbfk`q=< zsEy{AN7khX@L^Qh}< zA=sn&rWOr$s_2S}9*@-<;t>~*={FEPrsYupJYuBa5j-LacRn^GIRvluY4UnbwHo#Y zURK*V%p3|Lye0W4*uhTCGeb2yO4XjBtSGzIBAPLDSdxNA3_M-b>%*WnhYrzZ1kbXk zknkX+dx5QvXiN)yQ3T!$t0F_;oa`O$3fbc{#uek^{N%uq7N5s+Oxnw0HJ}bv$i5i? zBoJb$Ja8dHfhQtL)p;XboF13Xn9E;B0_RCI8d4_|hxASliIZ;z4kczbCP_CxFRCv( zwjPBaXl3#^g$C&=2dDon$GAwn8gG}sBE=;_KOL=+mV`VWAE2(J%(n$~E-8=Cr=cz# zgJXocVZKh`C{E-&3L{bS)xf#L(zGZsr;{$;%5&i3&mNtm>|NW~(3LeoA%+4Pyk@d^ zGD9x%MQY~pSmOT}V^FFtN3o^DTN16+vN2AT>UxxeyC(xs)VSp_DUDF045f~bN{+)j zZD6l|jizbU0%pwhZ4un3dy1cR!vO1fN6(z> z56lI-tj)tySVk;(^vL~_6%|T7+JDo_GcV!xD=xm6uf{}?4b_vF|72+x@zTFBN_Fg& zsQ#7l9{?iP7xM5L!N_nZ*{{T3a?Gfte-nBkz3e!rnNMG9G;Ppxw% zKvVUV4h#e@(2iFB{SWq9z7w6EsYb74IEI2-j_8e;_&;V ziH0lTXzDL#4#jTf*9kba-1;9UE9m`f^kux4^?z<)N3a}nxm#V zC?8Et7EOjH@!3=d8~dWbVXBsDmEtN-NF6mbw}qN{veV6x-Xb<{VPuqSKiTQ1=AD9Z zkQEC?Wv~wRggWM`{STj}S2R3LD&`gJTTuXs$M`?_a#x)SKGW_iBz?Ik9#J}UD!vq* zW{f4sh*jB;S$Z1m>2D`vBxJi9paV=NJ8h5^j*D~!YUfGDbfKlNyc!lPm+Q@814cIk z*PV_L7>r_fWr*V}x4GJNrj9yecw)^$(8%|EEl14<_zf#598ddcH{O; zvk0Z5MpFFezuCp={OL(DVo5Y)ew_}4Q_gY`)}vz(a$f34;$8T*>bdkj$3-ai3rU1{S^^11XG3>Ztc0G*D}03Tt!bD<_tNKy3n=U+oIC@t!#UV> zEuKNso7Ge%)G`?cSd5x@T%6^SX^pF?4f{nasp4mWdiY#G)U@j}7sY48YRhamx4Ukq zD4a*{^-M%P>ic0ide3$dN;Uu?AG1Dxj*GC~T*$ysIEvTqTr>(V!4Xb9LK>q|=fVQ5 zo(W;67h@qu*jw@%59zH+=O2-9% zw9=Mkgo-S~F=63kG+%uesyoBPnt12-^PFydZCXIo*jd`&xcjB3yI+dBM;?`0IA~X? zqqKuA0{?hTt&~0<@s~@K^dYYB;WVCzj+&Wk zFJA&K)D;)vjDQw5u-(lzRV!};+aIS! z%yu9jqGsHXRj3fR3N4*O<1K(VUYkqWN1^=}$&a;bG0d6;h999y>C!k? zm7>JX)vo?E4Aqz#^f|K=v}@Q6H0>~epm?DmS_uxgHO*!%HZl#$kQvRwT|Z1`f4(VU=+a%nQv7&~A4+ju?tAdsnqN;88))aQ$_{y(x1{G#cF zSuB=mbVL#BXtXFOLvQ&1GSjMoYWlbqX-OoZgMZ;$OSi{Wg{x0*@cc(=_07e+S|~aCx9%!f{syXZlty|myTjs?E~3U+t@!Y?n1;&pPkM<4 zEJnG8YHJRoel%Q}F^N_y2+*_3ttNTDmg_xGI;u0BwyR>2Rgh~xuHS<;|$2Tjr@JfSX z5u$0q^)b%7)3Q{$edvJeA^mzMMm=?fS)_upkc$BZMzG&EW;yyvtFeJfo97v=G&djb zyxYYlRs)NT@1gugO<0!Fm$cv_%K>ioy%wC=~?C?pHVQ zy;9%!rgm;Fb)|c+wbEwOs)=`EMwQ;@^bE(OV@k|evk>Q4Z{ADHpyNw0fNHO)+Nxl) zoS3N`qw0$C+Ti7J6xNw_AI49o9RY3oDA@wPK{YO9Yi(#2%!s4F>6T`l)V`y!m%n2i z%0Ml@Gbc;kaXNmi?k5lJAp|nC@234G8U^R={+9OhHahcLz8a)?#~(}mIiKm>xGL>; zI?hvLA8;y3YN$;#n2W`Oc`%m9vWKb037}ywOf((O#mdYnh&i5%+2dn6o{O0%ocito zr<|=ti!@F?Ha&sT($6VL14(5ltv2-BPX6{W7X5m9yG`pZ+}d0@5=@1FV?U zI%gHpVyb6z#iNFoMKK+Yb46vBysDVWei*(S$0%abA=Ct`alp+%#WNm;ZgJEgmO>TN zs74`iHCKK4FlPGr!%?Ig< z8WJk}7{G0RGZR$@V$##ZcQzzAdac81`0FF+g{@>m@cl8@HOzw2G#P_!`vp(9@<<_@RGI^H)28cVcAF5Bek;1; z5{of6{D_D>)mgoEozsT|_sAwZBDZTDu@J^A6w{{HYPl}khF~eVmbX2LR$75m_T;=t z+V*&;WkxinBC|59!!emwN`~!@b$JwzD0x5A#CBsccQc8avq{gIiy~@#4>p!Ui4;Z6 zcoy5SX2fN!CJh31*AVC|-Nn4G!Eqo`*f&J6$p!{gX)R)kR%}mxSFf$;4r>I-0b6-gk z5I%xS0MAYosGLnWrhWws6&pH(aN<@38SCzYPFz5vARTHLrhC5#+$Z1nz_TPix<$dX z%&FoJe`aelNO#nM*TMObufoV^sp$xwZIaZSmtTeE{PmJq!Bpp$q32p@Y?u#YV^{gQcU=Za zZ;}|qiCW)maDOqc^I?{(x?9ZBL&>PAmhQs@j+4{ySi2^;JLaCX8! zdD9hybT$b%d)e>5f$vGpm@y)!AQO6lnC1&_KnNe<>ZZ{N>1i6BIC3)%2rt`;CbYkC zHz19kN|QaEG_deLn3 z(gPc7)#$fyBj?2}$n^bXGkVk0P1Z`aRz;&>tHN&a@!P;sQdo7xHZ%R{D#5q(&w5VC z=$_GwQ{DlK0`Fo#j9=b*bk%|%yq~o_*^O{~xErk?su@wzxV-&X^>7sDgr4y>+NHh~H^5B_n@?W7l|iKPSD9@5$LQ zIuvS=h3tzM9xXZYeJI%#A0ea<^{iGL%nN>ukUrMYK5G02NaaKJ02O#?{s~UWxzVk! z`0%n*d!#~?edtuHyFYPo{_$y`ulnpmBu*W&!>JW7dSM2WEmODda5|{LpTfw^_?m*4 z!a3K|aOo+C1yB17Y%Tj3YmhinGD;ZN_wt7AG(uCecWM}Ef?)_3dQq)dbJq>id=4V&MruZH8C#Bjgkbr?gY9OpIda&J<}SW5jQ@~ z3Be4XI=Cmh4HC6v6w|EX1|j=sAASmY=@9zk?u|9bo~|LT`hpbfYeay=5p7&;d=6LR zOIrJzscE}#T)gEgunoe?mM^Cxh&2C&5CR;?E2GPD_kU@`7mmvspYaI9yBkuf`U;bq zNr_zu?%=+GOWaR>g$5nIaK$K{GLTsx>Z=yb&LoXxuPASKgCKd_otG{SWc%aV_rpX& z{FNM|9ba3ZV!1cemwn+BEd3Uv(-4IMCn0j-&=0>sC%gyC+=DpA?%BBPeCi(Cu~>9X z$KUJ$th1YDb%fkxV9X1N{iND2{~t)cxZc^5ZHRmho09vTChRz}WT=td>tDlP>iL5e zn{>UBn0RZS`Mtpuj?0=VBsT1Xiq(I=w+bq~Ap@|*4*+=Sx31h$=m@!SYUT{$Uw!42 za&s>hLe%thd(~bSrH8-JP#hD`f&bng(Sju>ov0*AcA~cIb(K?QDH2LP>_6^Dd}sS5 z{TqYw{U5Q6KKcU`L>rkDIszp}`j&r(zWyJSjD@w6FWOE2$?2?{U=lJQE{$aHw?UBQt0Wgpn8@yaM2^R*vU6bYz-2e8DP{WJKY4V-jdh_jp{fBFB= z&(_~?yyFN+WJqE>eCzq;-R&iE}l);m* z!jpq!O4u`5NH7~0md)3MDPfm!^>6N&#Dc`Ut5%#A^wA$K@8sp5q)xI|w(fVdBJ82K zjKaa_e>Oh5wK|?Yvxub2q@iQnVDdaM|srB<(L+a9|R<5mV<+u^yjYD${~g)WMXLA@%nNMIib+<_g?cL5oDIgw zZQS~P;0pd?4w!MEg}<27Wt6SLUyKh8mfK|7>b?i}Zm{>pgjKxQ;-jWmXaw#jI^vsM+-1QfD zFe3v*c$TGfL)tg`73>-7vQeY0_rD;=b1W{MTOPAnuHC>VrX{Wus#E@gY+3g-vZY<% zKtAygXs)4)iXS!!vQYs#&vM>>E1sC$PUIx#={O+^Ny_nfLTw#RcZize=Zs7`a)AFX z78OqEn41|i_Cuzq8so=KBa8thdVbf;j3gwzA}Ha~Ok^+a%Ww%v73ED2^nzn{M>GYS z^&C#2MO<7x*@uWV3Tz<^xnv)DGki>444IFCxW+?s$+)A(WubSTB(mfRO%kr^^G%jJ zKo28%S2i^pr)a)hbigu}vCX6@V=wNU9NUd_npkwkeas+22Bmj{B`g(}EBj1gu$F;mrZg77NstW4z= z1@SgSASmA^*QN*F!l+PK{S%~dwGJYQQ-rjcv-<2-?e2!Ut+T>t#{CFqkhP^+{T>Lm zQCH^&xsX&akSEx z38D(;zU~;@{ycQaK6gS#_l$7_r|6MI^#hUga0(AitF576W-DW)VXVD1f7G@>xJM|O zkiMINtIol(<%RQ1smowOW}zm{O+e?N?rm;{)*>OjMF^1ti!+bUFH}xTv;l9ug=);U zA)y0#2U}+N)yJy>ZR^oXnw*4o5Oawe#9$T6!>$l}R77!!t?oJxw$k(Q=N6i=g%dLF zmWagEwi!r#yty^LFKQL^T;fjPQI4x|4}l%X1uaN0=sPF_VrVj{qwk0e)Wuv(;kJKU zp&y=@3F&4soffbLH@3u@#B_uKuyittFyFqW77fvfJdG^P(gM`z+tb3J4kcs+7v0e9 zZ67Wam`S1b7ea5oE(&&{jY_s7HiT$=)=Cta7*GsYJRo6V?SR&-1*9B;gaLUT^+5}Z zn|;s8ZCu$AIB^We`)Iv@oobXnvM~Z@h7B(;qb+=v)?u^j0h~Krv5XCi>gmhd9 z2$w$kxENj2{K~)>anY$dggs9}hrUWmVkL3)VS8{?qFLHc4Y?UrEwT@>pruo* zdCE_eIzY~$u(L}+PEU84Qc1(9XdCRRnzo0w5MP5bil>Ry=rSqCwkKwg;-(PWR_W`b zP9Sl>2}Sv{Hn6dp$`cL}RO_=YMYOWXC4+MeW%5 zGT?SwaIkBkukgHDM;C5aL;N zdH4J451iaH&N-?Fr|2WCI(m5PH_7aeMF>+V^` zzZ?n;pVy-?4aW?(z8_YzIx2j6OT=IeC8P@lF#DsZG5$=29gB3FEL1LzvYfcZGLV5m zG_j!BVd_L3Y0%P{BcA?99XwTrfiq}*CBzGw>x23t26Qlm5W~MT0{Ed`{||hP0;@=Z zV~L|GgMBD`T!szks!DeR-bIu*2pph0tlS-eSM@Dae%$Zvn31o#C(hG`9|V3Yfq!Ly z(&aOpw72{Q(oZ!ny#$Otv&Xlj9QXlMHJwZA&F^<)!wl9b^{GA^v zJ+wFYpv}4W_v-Cqa9jHBD)Tv<@P3XdzWw|_pS0h1pNA4}ha~V_XUt*5bVGU=G6)jd z?Zs_tB*`Ug?jx3REcVfa_EsS(oUtU_m>umm+{=JCq)Aj&sB5d>mORkU>Zo|W9s3+r zw;ZW*6MEqs_Vt>eE(nm2fk!lfj`pQ+v!l*AE~|wqM?1qT>5maJQFE!CdbzJls@`;{ z#bb7lXy91*JQr&79kriIW|*(K>k>d0gXwVFx%aEm3A&$)BLJ$ zrZ`A_-r>Qv`R1e&zqB`pRR0NZn5&22hdl4AWQdbw$aR&!or>?m%v5LLtQwaK)uQF7 z{jqUKkV7A)lGm`IK@NTN<`A`deJI2?W>~OFM@MQ02hTt%R z{pjT*0YodSd|03gCj)qW@DSDVNIfe+MW_m-N+Hghj|7r~!-MUhC%FMH4f486j>AS} z@-axZ+c^|uNLx`Qi``5;SCe>h?bS(W3_Fm|jlwS&p7f{;VSaV$(>e=JhUi>)6UPGH z)1wVM_0?!BoN;y1$Y4h`yw0*t`YfjJ*XjVOY!rjaaZe>(S+S0(|w7@*|36rq6JW9K<__5YU`IZ8~qLTFL5&F(*A)Pu63)6c4lXGcBF}2QmJHS zrtbZT)5hhe!WUS1TCf9TBEvRJO2_MHNS~&<48oF|afa1N8NbD7p?uj6OXUMi~V4R@24=>Z|f`WO0#?rWM5(@%|bQ$T}rm zCWAC%Qu#3Wz6oT?DJ9Le?a(+(Tr@GzA%&IBRB9p+y<%rnVGlixIjHLGcoo? z#%HWHoR`jlYIBsfaiXU>GI}BobDR2IX9Jwxw-Ub(xhR;Y`qFnTp~Uau>8QQya~8fc zrZOPX5~^3G!#TK?Wj42k?kU*EwoC}b6*il-hMaUjKj^S~9Hy;N6LV}f5Ob>)W3fKd zCL5-y>nCF#oYMAE-Od89LQ@Q{WYia1V*J2@|J)dz($0cU{FvFA$sV1;-7rgR<|KHF zr(pzJ#{?*z9!@+P9?84JuNn!<^6u}c#ph#3dZj;p!KaKYe^X<8-lRZ>)4lqhGQD3D zqrK#^*$e3ZyySA3cf3;Og;ZtU{n9ds zMC72^QcaYi;vZ~b^11I&St*jAQ(#(qOoM6F31|!CFnXV&t59qGtU_oyLIe?*qAs!E z5T1tJJi}PXfX-|g52sY^`q1sJW<25`=Lz5DMOfL6GeC#twM@j7m6p*Z>lSL$G{}PC zNE?Xs(74QAGzn+ooavZk%XFNu3%?u49PAMspl+Ow4QtbRAcd4ueSIGC99zfJfoz$5 z*n#HBLd{jXSpYVEW17zm$*6{q;j`=h7Xi-jOX0uEUM>JMZ(mmLS|PP78iya949j$9{!y2BD2US%Lo5s@!p`SrVMzW8 zbVdxx@D5`jXeKuJIXvEN7h{+vR;I{^*!^XwF?!9gHK6{u2m!QVCbwp3PN*e?Kx8U4 z8*1A6!s@aGk!H^Wk^JUgw@WdTmaPn92nn=|ZLGFj3RXe3i3&~F)W#jAzOSHF_x{Ua z39}sBWt0(~pqGm@2V<0;DxPr#4RVfG9}!zMMG12-MO*KH+t`;FR!wX=CzziPislV~ zqMdkU0F}POGMlJhuhanjfw+jm)63w~s0AH)SqyM;&8(mVT+g`}M#56XOIR7k-;4D# z{2}Z>toib`o6!7cAm>U#!;lsafk`X45|;OQ!lD{!Hs40egUUJUoli5-nHoS~skc>I zt_Tcm=jF-E^q~sz!WQ*LjY#l+DmWjz%iULD4lVGwm=6L+O<-fSYEH`Uz1wFuR;{n5 z@06$l4i$}ZdN)JR9u3({8Ki-K@Q#UVPbk%$8#sYb?X6H>=c+;T0?3S#xoa{PTlTB- z5M`V&9}WT!sT$y>sggN?S!(Zm_+AtIIX(2aQP%|e$R^hSO}0wCeGP2x71u)1-D{zu z@wN~uTCPs~9ANIf-s)#uG#6Wse7WtC>p+=ak22}S#%EbtGnIKg87G1x9RjUQ>iX-k z(qsJRB`~*P8`h>rQf_^d&^DKTW0P_$RF>9K9Xf|Ya9f*DZ2K-?44fw%~g#awh zos5#H1FI2KytTj*7#GUL2t=8ew}3nb8_(03NASQ@w{h5X(<&@^aj{&CJWjQ}d25WkIP+PiHr)iVUAP#3 zFq~?Optl;n3f6PZ&6q)xmjH;#n^gf8Ouq?CN=aT$G-PW}VNB@FhqDPQwtsQa91^)i z-k&!If-3!1OliQzTR*!Q&g%#rUr+%)v3-5Ig6%5@8<*XI`H?$sr0oAvEz0}18(!G| z9Xk_&N$@rgm+H%;LCEHyq9I#+2oZ7GEU?5AKsiry-g(aY0>7^tHBSH6Z8cVE0V)1Y*A^E+~U?S9Zm<>t4$+ z6Y0X#bup0zP175og}}k3 zzP9*X0{(_`eEIG{l1oa?`SDwC$)GB$IkveWcY@c%I={Hx1{{Om2imI8u`YV4tj!9l z^Y7Mj3xvd#Gd(PS=>viG@b)J3haWfKE{}R~!wtmX;9S!9YmVTdb5#*Z(z9-+xu`$R@gGOPhw70_V- zR(;rJjG|#3BE9jTC$#ER;C-ML^onUtEu_d+f|b21Yi1=gjExK0$)L7wmB!4cep`io zGWUBbH~TiDsk-NW>?`$NfUi~c4i#O-c2>hctp1Mb$u($mfAzzLc1I+Qn02Ue$y2w@ z%I@HRtm>j7RRP{3X5;woH9{l>D6K1D#SvX^;&e(~p_TxKk7`9j1P0HLD{bBR{qr*i z@cWl@O1qXsqUN>%oIw^jaYeh7^f&E)@!ve2={=qP&%O#|tupdsTx4jhJ|)3c4NYrGn-^~kmG9SW(jUcl=y+=M6{lrrc!__TlOI%0;vZ%U zL-2!tudVIvwXnkFHwm^^SFFLAF8+zK{|EwstVf}aMQc!Hc zeDVo0lY|^=eiEShgR$kIWamUI?OkhJTt{1ilf$s+e`Dyxi-Fc}P&=PN4Ep+$hHWxs zXa=hV>rjp1ZZMl&SjUolR2D~V_IfZJmk7~g5|)u@El`b~#ucZix@vu(gSz}_y{m(- zqH;8j#NVIBwm$SpjMF5>{4@&*B(_k~KFrFl4U5N*Jai$(Vu{OSrolWXY&)!AFxQv1 z+=#)`o(wqR`mY|`Sv~b6_$mQ2y)!~$ns>-iLPZPw{9Wv!mUWJIQTWR@km_9>(- zo_@wtBT*izr)sNMqTy!&@%9Z?qRAjWhL1mjiR$wWP6IWb7@LY#&l-hwYnYMG0X?l! zB0RJR%xH^^Dr+P7eaR-I-pGv!i^9`5l8qkk`VM+k@oim}Z9d)_@J^(e>Z~ff%3bw* z3}}kQQ~o?oMe?|pWouKT7-`lIuktW1=N{;w#~{{++D0r@c8Wz z%QIUI!RqU+fdE$S`7dHq9=y$Ct>{8(Au*k=ZUdd&wpcooKw_cJ+yWrYh^xtkniKYR zO=$5i2t9t>9;324kD1Dcwm^%2dc`hW(d4umm9UPSi(LP*p;JC1$bzlh3SAn7$&6q{ zO==v$@4W(`6SrGal?12}EXSV1iLc2?&JKI-fhza}#{z>0H?377rD=ily4|}Se)o?r zS#FE^(_|ELOg-&Yk78+65pwN%2^3Fz9h7MAK;%1_BjK0v{k7Ndowu*V&s)~x4Du+= zZg5WSx-|&f7vrO0TQ>+zrhs(m8+Jt|A;fTT#SyrD^Il5H#hkErhyYXH6^3fuYu@72 zL9*Df+A3z_*RMjkkM6KC6qT>l*r^%uyO5g(e0j-|vVZ3_pV0gqq({w6L4 z5Hs__DMy9X{WOYMnlOm^e>GEYgmF2h*Tk$AaEI;mXlQ8lfL2|Du)cOkHo@~J;rWKK z2dnvL*H!gppg|y-HLFh)}YJ&e5>FH4!yi41Lw6kp8BP1^>++JcPZ&A*4F#rsd{$fTs3tb*4~D zGhB*8%O|jtEqV)>k@s0A8pio&kMvG0LYUen5)1Q-;_pJ?xNLW}Hr`HH)OpgcXV&qZ zs20Afl@eb?Ace2%XXJEOt6OCHpbh2UV!fWT8>s1}BO_Nr4XuM3t6%9mtqn{iEEuL* zu_Dl0-F76SK~^+u!dA7Sc4j^G=?mF@_2EwZ4qK$Wjzj3JXzc632#^c3bsU(p>TQgK zb;*=ZGBO`t4Rp!Y)De623mZ${^Y9T_Pa3{|OGYm>d>6dE9UtgD!wHKkgFNJZ_|hDP zuY4aI=anFeP%*>=#DmX(gR0h5z_|>65CbTDTg!lopTI;vAw~Xxe3Fp>g4zK@DCa$Y z-36E_3AI@lj7G|~p?dd2jMj{ok+dar^E|wq@%LUUX8k6GRV%gs{=k4*g*r^$vj-E= zXU(ir~+8mCX*3o0rWY$kz^4T)}{ zjLS)hH|#-5r}AS9MVNO&8U1*Nv}fJlZ|E$-(~hDm!cC`#G)D+h0PmFi(x0#Lu^UhAd2{ zFH`;;1>kw=^v^vcs~fNSg|_}BTBzeum>E^^J>)#`D=U?F#4W$p--|QQ4?hL)RLTH; z*-b_5`U3pg`h`VBJb~yfuM<9p-8%aV%P(;$lZF^w=g@f2*PgD+Se7`}>kAxcfAdwK zREBi)A$&46nf~7a0mJIJ)r;NmOYmyn*Lsm4y&W%5S|xbugBb`872-gnFCAWd@*SJm zj6edmmPvf$@+Xo&DkkL=YF^N+YUV&}=smu*@|N)=(a_~<$ou1O0GD-L)aZIl41EV( zzu+6q#4M5B6g=d1J!8N3SRtcZ0<-BGd}{SU8Y-Qkb%FTLD3Qr&qJI7%<*)f}^EWiR zMlwNrz{m!Lwr2+z>9JJp9|qzZ{KRS3jDV;CX5x4kflZ;FsVl$5?5P=Q%YTWX^pIoz z|12`1XqWjx+vSJfL+yqgFmjZEc1-Iy*W3PQk7kkU#XKSP#t(sZ>iM6o^2os2GeQR- zn7e+m+MNK$)0gMrC0{|zO--Jc?#1Rt>E5jep)bs9QM~Js=l&1XdtV;r*ovOyiiP|! z@;Dkj@vujp$o4XMb$`a9+I_(4oeZTdd0U%ezYZP56l`M?;1GFg^+9ZQ8~+Cm)Igi{ zAJICTa=rKc3dRmUio@wnzu_PXuHFA|46y1qz+s9-DKAmX{#f`={P5&M78My<8X>(B3S<-#o7AeVc5F-sSjj_7{cP zNYVe<3dd-nJ%hpDtg*(j`56w;JHH3I$oCUj6)NW=Tip5&6g84ms(tSM8)SUtAJ7zX z^_@bI!zTHJC=$KwcM#`!`tuHD^sS56e!{Bb@3+y7p6Z<< z0iJrDzAyeW(8)>slK&_6klWmhjzJ(UJg7pvTEjuk|Na8$L`5L}yE?iQj0yg0zQ*H3 zzviOAFaCfMRX&$ikF)}O>zx5d5|E;5-H|{T_a8q$66nyxNHL6!9p607K(C+He+7O>iYoY$il;mgk?Ah()%VwN|PbYPwI5uH$ zL=RR~;k1SjC?O4Mm*nBNmzJt*nhL|rFA?z*jmOq@s1^lXRmBP`)Q>QsfYT4>l;h#Y zYf)R-fo;`5Tfoz`kcZOdmF3wvPrWyUsy zXmU7R)w;vbYR*G9ujLe^qTPn$z>1U5CuL~b8>@^g$FCMq2JoURki!(q#B>amcW^6Z zP+g0PjJP$)b)to+3I7T)O;x62J!5e%k#+0Nx*+*YBbG+Z|sJz6y` zQXHoGT@bHn6wwIr4=+46R#S2fAXU;3yx~QH*4};K75&+j?L>N#={1eDfH~!sKL;Yo zg_%?2MW;nNy*&3HGO2VPhF57i`o^i20jF~tZzHrd?a)Y@GcMG{B3jVQnG%s3Q)NM%yk8 zvv?cD@x9!SbYvI55e@Q&u!$2=NG84(+%46pArQ(TAKs4-}Pt`#I2 z=3VujJoQLpAkTp0PR-0vZJRhv)Ex~mAQ>3T3RHPv*-<_6cl7}&6(h2Xyck;@>;*S@ zXj3imfV#A)A?}PrLp=eTB0rA;bs`2B^M9Kqfoi|knmSc zp=+tcmQ`dAy_dGY*bW6+FY(r(IUv;z20Jn@eu&eJ9<4pizzd_q!p&+ zbMPV=zj^EPl#7CB3fanXU#3D0_ZJ@nsGAGCbtB_sBjzp3vjXbQ0>@D+PeoBoq>bf< zj4AW1p=}LQJJO;H(X~ulyR?IKl?|)KB|sZg=Ac7eC}aaWVq@`JK&=g zD1IhOE1R|zXF@4Px5Z?sDYgA_p+kHd^{LJtr8<<;C$*jqjcN}fFYRjAl1$EeI?mO> zcXse}Tn3SnSWe9D?*f3N1bCb!&Klm;2nD{6cfwcF0rgF%m_Nb!)YgQl=0Q9Fj|VV= zyE>wMYJhA7n!2n z2d}Xiz#>r$40UCTvh)2cRB9C|Dri>CLxxVjC|1TuwoVV1X8P3IU9h=c6$0Z8%}Gsv zK+S!eN^|gN1|{tV5p!#x+-?9x`o`&TK@6AP)Gghdjzeul7`8R*M463;c;+3}6?sR2 zX8rNn)UhYVX&J%o8rKyz=7KU1f(4bW7JrqU6O0zg3~UkNSq`_4hG`*iP7yqvw<@z! zI&z9dB_-ft2AKxW8Tux$`Qq~#EhIZ*?Qq|O20!^N0| zw4@rq@VmY~>b^WTOSLM&bp0a0ozw#ZMZ|*nh}S+4>4}d6UA3~iQzpZALTqs{L@~6x zu?PVfry0bsqSl`c2cuSx7+!G_Ax8AasL(i!TF@J#m`0f#HB+hab&xZBe}u=-_<2Ad zB5rJlRHpn^37AzAbzV#WD^EdfWdxA<)DF-f>waqI^LVOw`D=I?B)7Yhm+gfU_(3}S zp*2Jh=fsO-d`nzGMQ9WCLoZ;URV?v4N1MexiBze;&8S+l$QGZPYRw`OvZxWe;bkxF zgGqPJfDXvgX3Zbu8|S4vYD-_s9~tCgNX3i3ZmK+z7Eq7$bm*-g4i!81#f&J~^g9t5 zxMDoiptFkfLaWbv%AKw<8=>L#Qui!!ed?25*jN38m)5!js1qK|?4oA$1k^FT9e;L2 zHxhz*P<_i`o=@uqE@(N)6tD*0DF?4zTC<~e^@ex&=CK&B86f;ei%`S5ZczPk970IC z9~IF7qqg4|Vj195D5(qR4Cn5Cm=7m22;1YdsYqR3PKOl7VUcKXA~Lh!s*Z#9_dgax z)(vrxcNKdW4Kb9vYI$F5UnkAZuCF?l{};K|`A{qR;Yqiw{+3+P3W%%`8yM#eC#X6P zwB*VdwmP}B`{T-6`T$F=j8|D_`+?j$2Uv1t{Aj_|9|&@n(#vRpN8u+i~|42G-I%u#Xo6AaA(9m;w7Fymj` z1$v#nvV>(gYDpeA7?yI~K-f2ya00Xhl6Q}Wb9o<0EG5alXhetjSO93sbuUcbtP|~$ zmT5?fz>E8`(y38Ou4+3}`;SgU)!>U>VSZS0g;rt5~mc@7PCO7UslhrV)k&s{#hdSN(^O^`sZ5l$a*8kuP|Ca3mDJ)3xXoQF*%4FcEM9fqehw_`3r7dEIAFs7)x3FYxslvQ>Z$CtEnoc z;ytkfnv%6vJQo7Y_{sam>cP<-L}45x#A`<5?cy{-JQYOnC13lR;W1F}e+WC$*knw> zCa*~&r(nhTK(NC&4A(r7F(zTiHGRV;SPW(G7sIfL;^?x&7!O0Sw`-p8g~*8FySAIg zLuAYknfD`Te8azNtOre;lS*jwmf;xjP8z29Q4Jd3icW-O-R)rvLKM2DV56H`Oeyr?x1J4~nX7?w&cuxV(D5H^;d1<&KGNuX3~ zg{;VBtssZ{*K>g&uN2X}HV!v*ux+45on>*8g~^F41@1A|+>WP7i68akrCPw`GK2Du zart)IIhatx6=AvL$;2txB6pty*0BVVz>Te!5$C|DzDzi#Vhof-6UaUUH*YGRj`5l` zGL|FOocS+~;?A6GQIuDD1&S!n*t!{K2ER@Mxs0UD#2V(n%(f#F%lX(#ry#|I`Fl== z-}v}6h=#RBUfCt^O z@tx226r(yPJnhA*;b8hO0j)_^p4XxH67#?dF*~yc{aNBzv#T67<=okrj_)_1k&F23 z=~QF*QQTE&;0%kV3`464EUIJQ_b$R{u)HPp@DTGtVml|Ig~F&=F}RZT@o=|e-E5?h ztSCv~dhAi*3t5ss;tlM^*0(q=esCTzixiyhSKEJCE$?o!83OK$&5aG_UTj#&&( zlA0aj38z%|T?pLx+z>Zl1(h+|k8*r!!1U)O7^vAHV>?pd@!zdD(jZ6hnUlE4m>);fn-$fW%6Em1LV#e0l2>+*?g5i8f$xu&J(=K*846!kD#JkH7 z@ox3t^=P7*wA#B*f#+=O|JlaS&~&4dU>ex_;H6GyN9IL|3-jv0ssH0rr)#w@+?#sH zWl6rED|McF@G_@Uwcjki6Dsz5vfuEX)DtgHG8?x;eu9F91NWvk2}W_bLr4@Ta(LHq zRBgZVH}Ta|GcIxbiGY{hhb5Ig7LSQ8EJS&BvLWCB%y#z&!EE{*SC|cZRWKggZS$+m z*Tnf%c>ru0dMQ4W-%mRRo7J5k1@q$@o!^w;9cV%Mp!6@#6PU;=`IQV zn=KC{iTD9^b4G+w{KK40XTzVxKiGm`SXn-tDg2uaA07?C-DelobNY91_3$a8)?NYm zti0OkuC93i1*IHpbe`jssKYBV!m7MkT9$g_MIZcoM8)(*O8dD^Pa2B1#s{FF_lm2K z40~>_6Q%K8bRp7_t8lthI?w5oBTS_#Sc30s=V7-THy;L-){!6itC;xIyazJMRns|$ zIp$tVzCxvw;gfNwI=CXENX@+&HiS!KPrC*zruC|>y9QWr`+{%j|IFONEYRG2)TC>% zkG?w(&}nS~x-fb)c{f#c141$mGAFD|(^kqKm1WvYhA(Om2b1BlQ&sPQ>pZx!I#$zk z!hEN`s&^xqW}->PiGn6hV_tQGG06@Bk{EB04H^GgP7~Od39HgN`w`;CzALAYT9KK>(P#|XaPdX2X4V!dIOTlPvSElnYUjQgO$i<2tIfVc8D8kOma|$ z1$Ayl7BTlhHN9&Vg8;1oGBZk;ae3EUw|SV!h&9Fq>Y23WW{(RpZuPj( zNQbSZG?(Ur%!(2$uIJhm$}3`+Ng>9J8wad!F2OL(1$l##KygEi;kSFB zWTfhGVZ{3xWDF{n`pFo`*qHd;O@)@C{HpUESPhRci}ALJ>sEOP#LERE#NS9JWXZjPhd4S{P;4)Y8ja_ z{c6HpaAEo@(3KpJAwM^aE8e}9)MyM!%=WBCEQ2vP?`~rZ+^9@vl2ka-TX3((02zo{ zsc>XAWtq1OWHhQ7u=8$cWyMVp%WwApea!%w?<7!onzgaI^sX4FL|&88<8ZYf3a-7za-2nmTX?GXOVyP^Dl-yOq8 zE|8e=-?jzkm$z1eMVfXQDiZB@cDAwd5dwObmp4Q$sJ@$l+O+#(Y)$}WNdM+OY=x7E z%_;T*?DO`m6fl${HMJ76Y73wflRBG1m~oi8@qrj-BG|B)t$!KREne<1L1sq93K;ni zgwo~#r^V7OR8T8+aspJf94N0^0YnT761iPFiibm}4mN|Z)#6Wt)J?5>0=`b+Hkh@4 z38B{ABK#32 z(}xKdMBA)&`~3-ibfehDkE(JBzH!6fpR$mT%qg@;nXF;us@9~tl4 z2%D5t7ki1?VqN_E)s^5Sg%)B2|?T&nK{tcF@PV) zHO}7t_?6i%sYIgC;Ty7Z)IE)?b~Y%Y77! za@!+W$tFW#fxUu3ASvkf5mX}}NP%Ty~j`;K$H!%x-*pMfXNu>oQ zi);xH>W-SI_txqK7Vrt>(twCG4g$mLQbSVX-*P(YPE4I1Cz962-$Y@2kO?ZbE$fK^ z^Z@9{r!XloKxJ&eK@ad5yDg)Ux^ROg-0zp=urY+V>h{$2fW)NBtCw-4^Tp9mpEkBd z<|2u7bbf5C&Uq5EkZtjcCoBm)Fj;-J0Y1gcPl7oq;Ap}*8r-y=NJ&a~cC^@5jj5ea z!JvJ=5gN{Nmw_YUH0O`(Mk<3=Gvg!!x)}K!HGcb)M}C~ptmM_ar?L6YdR7yU%u6h| zDlSy-bOXI|`aS~&3*VnBPKWr_M*P%tB96qH)z8#OCof~Y!09^Z9gjdrb_406=&jho;<6Ik z+0Pg#8t+}IF7~cE7TW?tb>La(;d3uKco~4y^45RQ3~umdN7L%1g4K@A81~3ky_Qjx zD{YGY<K8A;E4Uc^Xl`(af>_p;!lQh3b1V{Dht~iiImvr?FL08w0t+?qfs%?Fce8N5I&#yZSYQiu&jk zyh%X|hCH#_uNob!3xE-I+3VoMl2@H}{_4Q=n#tXPHS^a?dd;Nb0+WM9pw@kZO>y~K zmJ4EgC&c(b{?;9q3-bDx(;8e;>Th>G)Z{+|tC%mo=L5imaY zp7ADtv0}%6Ruf*uU8$w78|&dmz-+u4{7voO2_>!lPLA0%sv|(G>7xyl|JLUM3xx`aa5i10_=}-n4|v^4vJWxkd0XZyWK;%b7$tAM5|R(-5wP zzpeLWb`>vq+fb|yyzOMG-*$o1zIUAVKDpr7_s}FRdc3QbhTPP<*pAkecWHnE2nNEg3jt%&G$dC6lgyjWdL3-fq;JZMKB0)S!D{Tc^`MS}PP@(#4H&))lcQFlH1DT_X zF#+{u95nv92je*SE|FP5Vg&A<;cfXnPS-@M%6S?8o){w445tr>n4^?viH@i;iLMj~ zsq%l}MIQPH@nC;qd`fN^nqe{U>=4}|y?zshbBc^Lp|e_isz0i3{lID0(>v8H_R?d; z-tktkUYoT4dX?YB_Wmqf0uFtb>~(sO0pv8(4||c!s0=xcU_fAa`EjB8>45c3nEdTMc&O;yNws}y+DB9t=ly=& zzPim+={{V$JLLH4t1CWoy4NAGeD*NTMBe$xi3mjMIS47EB{rxkDORU`f<-`Tgr00M zH7W@sJD%QHowg5bJMa<0+pW*%v{Rk-LDIMXgf0>zKJ%n1>qkpyTyJ&a7bv-YkcQAP zL!d+!k)o9G^_;HixR2q*@tww(sxXcZPfBF1X99N_4JFZ_7McTeWU;T1HNZmnwtm)8)+fKZZs^ckqPG zyJ#&}IWD`cs>%*JD*rP~%VFBU&oP7-qwJ(hB(S^QlStHQzY?0H58hgemgtC%cD21< zKnQxV%IM2*bH+Px<|@AO=o5RpVWu0w&U-KodCM!l^q46FBf^9`y#Gz#4J1+e5Mw3> zMB~1|C?mQ=XyshNMir^w<7V<{`!Q1UQzpdX_~|vL#(mCb@R&~huVEcoPxgF){b>2u z4hnFg6HC8w&ZGbDp|%9la!@k`_Z9y?P<8v(>FX0)y_j9C{?<7|PsTp)N#E%o(PVja z2XMHUaq{xjiq-C{T(`~av#tudzyr|hEs~WXX7=ab1;$-Zb zpFEz+Scc~^wB{YK(ycbpKsF0h6#4Rx|IKUfWC?Y|RP*`F{&?N3lha-`{LyLO+4z6m&L62$~L>LbK zd^Tc z{R2yz?kaZp6)Yz->zBx2$DpaoxB42XHh&oG0wpr$(aa${xm2^wp%|Wt%TOygM>`e2 z1EAiTW#mGF;-paYml&{W22@r20h@l;FYv$&M-m|oRKZ^{<5Cx{3aOl<8PR}M&9~LY}qFO=(^n9o&n{?!mO zWkYenu31OnKaKt;Mz54OM8m=G_w?P+n`nA_t8@RwhO_4{3M6=g*ZDBJmy*$DC8nmY zulxx#Yoslw#B;KMCBElf41HZHB7ebieKGO}Y>OdRLD4*mUKg3Btgf!~q~Fzx7c zV5ZejHeMynUfc?Y>-ENHk+0b`D(bTyj0e5Z{-)0_K>VGf&^Y+n$DRnsOQb z(EJ>=-&@DkdoRg{s}kGRbvrD1)%EMG_JO)?lO+d$<9|rZ%R*olYWMmZ8Oj77sZ$-d zQ>=G(iRWFHc*SQWHjf%D@e&+pLV{L}Z)A9Uka3rh5N@>9Q*UMiP4@U?7E3FG>Xr%C z+?1P!5+y8`4+7|omJQX>yN8T)z!`7%`1dZnlGNfIETMhrG4R#2zjhS8s_3bbo*9@@ zFMuQ{9B_dGZ6@le3=~AaSl2a`x+Su;4^w14PcNrNTM2Ah`z)YQ)!J?B$m|&jlY`G4 znfSb}o{RQ5)qFXami--ITE^3uoo!safFi=g7?<*p681GDlD4kKc?7FYsjP@3XzMc3 zaODp_2++ug92WW;F!mIE~&)JoEvjO=D?nb;BPEG z`ahd;QK81zyVo{@{;uof`W^A|Cx(aU5!|Ngi?)b8C?2Xq3WjEeef*fh22~ifs)dV6 z1F`}#nTE0J_n>gLX&!EA@5^_&VT)5LTjkJ@88}wKWwmVGAoX~RV_O`M(J995^5Z4A zmpuW>@=9YnnTUnKmw+anL&_6D~JfWr4KN%)t5I0JOqEo)?__i2C%IB2I z*o{V2qVjl5M|@@;%XrNIQsH(WeKFypNf%o?4MBHP+NQWw=+lG@>s>O!vr9D{AY85Q zi*2@fGp%;@Yhxm`Hyy8gB-Co<=4ELP)6l+c0e|H�yd*Svg8-guW5DSKS7HvCYQy zUZk&YP50-iPOb4{sbsy?1;@DQ4NO4^>K&lHFpxOI!V%!ww%E6yYX<|#ERmrmF^qZz zU;PpS@jV^v4j^+?7$Ve;@@mI*(6}{ra9b4>EZX5GDC>R7pZCu;y@BuS`o4E$v$ z54EH=iLdz$Zm&_xjBC6@oT9GurTPtzPTjx*t$;ER#3*i$x2@O%RDBmvwMyXI-b%Qz z0?JBO&4K|i9vt5xE7IP$;i))aWDbqx+R&D5FfAQ%OBM91qaEGm8OEZitsP*;ZtHCA zn5-csR&fd8lCDGn>1X0+uCi;=1)t+JaC~Psv%U>`Va`DUJ5vbNF89}0w}ogzQVW@o z1HIfYfB7a{a^F~JcLSLV!w@K7PgS`(8>c{cNSb290u0sY$;)_z{bM(#=czT_JYd!N z4;k`fnzacdmOuhHC}A%(cYO|n<9ym0B3Ii18RP;r(!;D$n}1VI7<<6Px>;>-!c#X* z^^dp#GJkw6sk|6E!yJ(Hp(Js=FgTWM8#YL($zhk?X!8~y7l=L@HG1ck%0@UuIHx_! zitzKbVK-NuTjcuib1d&7wPspo7O{@I;M_t(h-?cXw&t=Ta5`>#*N2CjOOw!sw@ zzxXA#v(!xwn9>m{k}Ji_-d#s#H&K80#6$=BVsXjH2s8164a0Zgt&Qe=+*WEve;1E! z#EZu&SXM1`r_F|aU(g$XE6ag%Trd=(0I-Q_+!J3ls5tAdwHQ;-oxk%5ABu^cvIJ;W z*|OBvJuzGJ?j{~~N@Y9_%-O(~X>zb@Vq(hR%_(<#kiv1W*`cpTb({xkQQ@d@ajzIE zaWY_~Q+>y!)9XpK&dD@heVM%9M@pyT)-p=U;o0LdnUOPE2gdQ$zW2&gfzpasrtkIr zK^SSpOG0yYnHK@8%076M>;h5}p?B<5_|jt@flg;$+AUo4% z0}wDha)OJp@z&Y>Rhz+}f7M{OjNq8*A}8AEo;Wf2be)E{t<|0(&|zK?vNRC5*V>WU zTb=Maa)iGPvx`sOYQqqMO-$h^XV*|jhb>>3j4jH-#cJ_%)ZtAShVL4XaE36;i2Krd ztEypGPs0coz3>ts5nI6LdDG#i_8g3fSOd#s{?oAA7cJ9-uv&4PR%e7=^fFMu_^n0R zLsZ+5mO2?72W>zvP48pCADbebiz{8VhfkB)?Are!G08ZpL_$$^q0nWQ8$8)PyyXc4jYWa#!e zql}iSMyKOQl65n0BVH-G=5)~Jo{qD@qL?=?NaL*A*wYMYZkf!wk!m7`(@gz*npF_l z2h@-S`^Xu$y{BRlO_+?@3}Nc$(;?#>CtH6vnQDS6n`l#?jl%FhMq@a$Q-(E|k&RNQ zR<5fWooVS4uArcgvuD?g0seaF$)JYlV|O@xv=y5SYGU+pP&0`J8T#U3u}%7HG$A~y zCi<}SypX0$hcu6jMMy(8Dx5MI(*Sh-u_&$IG|odz>~1l{IHXy4hKHC8HUty+VZxpl zdQ6Z(4Kab8{*z;{;>n{W3L(>ziR$RsRIrE%b)x8+z;%U(&!|a#i4jZ>+aQi?emE8r z^F9c?*FTmvSiSHfHj&O_!PQ}7-Im>K2~n9g4)jaCe&}yn;rMTidw%}XGx5VC;~@^- z5M`J{6mtWK3&s;n?3busiCY}u!Qg$LiTJ9OCjN7=cKF|)2om*n3Y2TTS+`Gn)ZLVM zF%oWdm)Xl5Xp)707!o2OHRvq8v!Zk14m<+?#aU)&<#dJ`e>PV1?Wf^%kaS=9&%ug@ z#`jj|{gTsM4c~@k{bvdC-xr*tcd(EOo$uz@q;~m*uzGbTVXuu>8QyCrO~RH|Z?Z8K z0U4@*2sXxNR%GR>@Dytjwa=?h0WidDX&kQj&-FA)UQ{Fga?9&`&IJc~`N|BPfdh@= zi)uGa!XUk@5Gjnv5<^W?dMC9gZ2thYG5bt&{^v92Y&QotdFJvxeyrwm|-&J`VlXhnAs#I5O! zH|+$8@TZO>q~SN?Ku!}I4=s+^tskKDr}NC3R%4E(3C$e)bH%PVz#ZbEDqs`3fyOE zq!wHPkn|m4b8NZb*W>o1emCu697i2;^YEnX`W`R3JV-bT{SMx8U zgZ<0hfauqRsrG-J)<^w58#~p8D}W8DB%sBZj3MFI(z>cC7bAaJu^(9H(>V7Nw-KI8 zi76d`sr(S4fEAYlX@(Qqk}RBpD`8z~Uk2+!GV&(@^V21iCjA8Rx%*;(8UF(+GehEn z9A?tQUg>6K@xsyUd>SQt4X<)Lsl}B+zZ()+HfASZlX>qdi&;!JEM{A;hKSo-jsd_d zOVp$Y`dxL;KAiCp3UhGz=asn_t=Fc=v@y78+cTP~%{N+baoi+^Lu8z2n@t#{00>UF zYTAeB3J!osw>40=5_&ar#K@jdd;+36LOjg>%2$u(*qRj>r{28M?VM$dGX9UNQZK(6 z^P=b=TUA~~c_S$|s3O|F$i03c@X!cR%&!Yb~kfD2EJ#WN(dg;WEv}k3kzpq8U;K((k`j@&Hcn85V z*BT_&@0G~>+t?5P8U7ZlVD$|^K_eNbw2UODMQ*=N>kyh;#?9#=sR|b0?4t1v$%iFi z*z)@Sz%a`eZ{kMgO*qVaaslRL1VzATxyUaCpSjS39Jdf+2u@gpiB7*6!)Q678M8Di zs?Q&Obn1K110&Uw%@?hv?I^&=OaUlH;$!#td{k(DI~>e)RG_3+Qwm{ZX^LnEI8Xr$ z74%!KrsLF`_xd`ivlqC{^Sp&;o%`aD76;l$a`rKrcU1bVOim{>!*cqd_KJyXG8}-hf$w<6I6KeEiQ_xX<03T z2l?eKu)mXUbxZY#dl$JK)Ni+91X$FQkGj;X#jY%4mddGqS`0N`R$-Toh}AG`#ozuu zD)e!Nqn^FpTMeSr6GJ?S)4FMlHG0QwAX}SIQF_THwCiLvve@Dhy&1%d`b()%C5anhf+U=}TDk)@NAW!sB!_|Fli;DGq$J1k&PXNiwYWd5-@ zul{bSN2CZwF+>uH#~jcRatW5OCQ?UJ9EvcJA6I4Osgsv_u;TQdiF|h{i0pHRB{Ciq z5lQy0pecCSfp}Rnm6{gbF!x7bTz*s5xQLt==Bsr&?Ay3*u*yyDicuM7eSU0eNjzib1TTwHSHdMsioR_PW-|C?zs$A_X($ZOuS>3B{wBw|E^ ziEb+X@eL^Hqv$0N5(SDq={;0ib-+yf!H<%g?;r4}j*|~7Mp#w&1B6b& zvnG7;$Ai;L0M%kX6h*&G46Io@%)=AlqQ3ie-a|N~+p;aqb;BZ3Lw5_)p`qR?OgZ;i z3Rs0+hv9>Kf|E1Ar5=-G@5i9wk5M2g91RSrOk(F&kF_x2lK0Q1SQ! zxS+=G$9=b%tARAzem)HRoKTDJ>r&7u5s51 z$Zz3ER}`K<>K4-f)EbpWDK5WS{HQzJ8!~t`cKgD|^bl~;BQz25l@ zMqRfyIfQT53J8x(#jaDaPD5DnDzZ-y9~VHjS+9ZgeJQ)Q`t)(cL$m6nw^q-r(bOzEBj%`wHzuF3)iYv3ejVnGX9Svqp7kc|t&k@P zs-K<}Xij-9`K(_&=N_XbJ+BpqN!;`daQ*Fhf$Pjo*n;Z4fXx^dAt17pq`&l=rJHin z)Tht7u1bF%qsSzvW}DE%-w0d-Lx z3;i}*gCT0=2oo|*YW#Mn?oTvi@ir7|#fryigt1HErf}%$Zuh2%mtL)rl$-2_Yh1lQ z(p~`0C1M8LkOUo`xdotZ*o0}w_BvsCB7;%d=GZQ_pbdGchU(piVF$?O)FFgs{+>QS zm6sq8L~5Y3I{c#BkJNi!cbvW5z6CRrO>jg43qLY#A1>II>NDRJX|^@aX4FT-T^!a{ z5%EEk{(+7HTVOR7YqYqR2CDWY?s!wH7u__D(=Sp}6?_}o zb-^2kw)$aloK8wWKTz{V06-ggI8;& z&ZdB9iQeaHKoXw$P)0`=jb5tn+UksH$mg7Oqjr@V;6OVs5b7&-VwhQy#(w>Vr@ zteWqFHQTWZ?BH!6V>i4G$pv(QXrRt|-*CYRi)0PJsTCVgW_Fl9Gry%DZkRCh1E9O- zUAxa%08Bf3-d%{r><*`*J162?TR+|S{< zy+Om+=oZF#^&s==Js@Jt$D{zvQE4AEv^^ig;w<|VD_?Uo5fDUKs6F~_d&s!ak$*B# zYC3Ijk8^2q>epf8OR~Y96zN(R30v1bY@6Ytn;k=^wXSU=|INDbVZEB^|GYS~P>Th} zc+OtXQRh>)C6X>kg*=$mS*?2wM(z21*t?olk%kfn;`{>5frS|`?ma%YIwdY~S(~wi zUgv%DW7yHY55RX_wI7T?h}|S0Z7I0TFI=AZ2^^+opLqbQ2^e~_>kAxMF8It!HwnlY zr=X^O(S_OBs&*AbxUy$jYp1wS)ZOWI-c~W+HC3!%BW{D@5BLI814Fd@le-yE#JlGm z)p=i8g%FK5jNt%w72iXt9ust_ukzEPNU->n}q~S_AX`$mP zu&eI<)(Tx_q>LdU8o`WtKY$sRe&dlSUKiJfgx&(l{MM2vb4|uZUjHpL^MAy0Udl;8 zfz0M=Vqc_8J|@Ba2bp#~fc+Fnt^Lk}9jmyt?EOB3+wZ%6uw?2~k8!B98L^^VKNk-1 zn(x6fElSbHkm*E$c%JI>gH>x$tY~17K8%=;Ny0y5w}q}IfyH#L{643?a*3fe(`lQY zVp_hHb@ES|&bSPzVezL+@qpp-@38kzJpc;WlF6);5GkSA_Zz6kdp{dmokDS!3bd-v z-ppyDPX5t?lPidoho<24&&xkzik;sfBN=B`d52}y<@D2W-~T7A5#C&Q{Z1GSUw!NU z@DpCYIvg_+vnJ+_XhuDCGL3iH{DJiBJ%6#tDIah|g6R~Kf6eACH?VF&vC2A{3pZ`pdoQa}Ah_v+!>qPECY!+zIe zL+XZKU^rL21!v;R-{VuMOb2fa9n_#dt)&;0ht@cRUPXL)@1IshM5hsqun6Udq=kY;x81f3)Gxl7$^H_M-U{vQV`^LuqD5Xt<8;tc8Z$b$1`ymE8Be5P`py23J!v|C)EmCHi?@( zyf6HVVd}iJV2c==#{Us)kOnTHHP|i7;pl9y9W=@z!%Fz*9dg^M(6P|tIRV@u|Eg}# zxb-ruwh*bW39snFK07Fg5mV)Lw8J=dGiLxl7VemVc;2ZYOA=J#bd#b)Ybj^k7>)%U3U^ z2OaQl!hU}v^mvpAtxSYj8dI#sX ze4_T_Uuo^=7oK_7#hFVo@Do~oPN5883(>pcVrXCS%%HBYGSJ44 z^EYh@wX^kprTJy~h=*_^2dDh762b4`8IKBhoDqAr#kVaUgK;v0x$2mlEED(1keG)F zUBs$%eQ>ZI%L(=*b!ekP4pKx>U$A|kFv$|w z@-6D2?zuk@P_O3F5~L!tBAG=>q`NjS;(St3t`<-uw@AG5jg^n?Q~qFU^+^CP4QjAS z^}b21A{|@yaJ1%UBn2Gf+fxqK*qc7g%6u!5VZG&Bk(XL3(y6hwK@bl^0W4(zMOfCF zg88rwA{|7`k?A1A99Rm%^58m@u#I&|0K3vM)TE#`0BCYkKiE;t{}hh+qxCQi7G;4v zwuCBwHWpfSLcL&jaf^>x#1GuO(g1TN`PIQ(WNW+Ew_=lVtH8`(WQz!ki{QC#ZAdRW zHpGuehyqJq%tchC3xmHnNDU$>kWa!tm603tqfbS!4;Sm9-c0zvpl11H=nl}fvBoPP zdMIIqu|YQs<0`>->U(09(H)P(^^^L+4myM!*hDiS-;og{35aiA*UvNHlxh#;c%>OI z>z{-<+*jnOZ4xjLA)sf)l_Ypp_q;-&u;>ayR=y_O8-PV^xU-O>iH(Y9QA86^d4H;94fGLMv&Tc zh(j%g(OKQ`Xdt8--i@i2`7kp($?R;5$VMRXY)6lOGIV6-bXKQz0RJX+^!O)ZN69~q8GCiH43IU6 z#J})#Bp5#F9Bi*b3*9ugDDgXP7v!mSA6&xk$Hm9dNEpA0>Pn&Hh28}$_xm#CIu zVEuduKhkC=3F@qLyE>wI=ieP_=9(9T7U>|gaW{O8C$cMIDzk56f~7!$uKv%$ix^yxJ$Gn<-Q>a?D@_0_Be<_1fkNG2H#yAU-tR~-w3KAqrP6NS|EeAR-z&T7)t z!JrC99b$cwx!{Dh&~tIx^0!D0G+;e>Y0DzrFJvFNIC0StyAm@Z!A|}4p)svJy$90Y zyh>$b73u%%HAJX}^-~P1dEIiQ=g{I{PwP>z|9{6fI7(U^4Ypib23z6I#_7_*v1#q- zLQ0lecqF5Pn)@!AL!VNL5%5M-#bs&bYKn(7 zeu&7772)87-%>m<2;`Q(j;u4ymw}Ui3=FGYrLd=!Wx+B!IjT4vtN*zk7|0&Zn`J>i z{Wo8kZP#7?z9oDp{GR=p{Cw_)sNR4~E60h*oL>=IAUyiGbw@K|=4yPv523dWL$m}4KSEo3F zsyoU=?i~m&L4F`m@PG*9mo&!ow?Kqr#RS#{9wRC3Q zGl5J~?eqUzrvK;{-2#rl;9%UHo1&rkQM zwBfKO=|ilNMPwX9Gh(-5E1?M@#;FO-!0~;j7$!LpT!HuMx?!vP1aRn*H^M4}3{M$F zi0I%*9Nn!Ojv*Sqglz$Z56~-0X!d6)w953RtfNRUV(AE+ylp%g6ly*td{*qAhDQdw zt3zYcdi#s<)IA|d_`?J(M-%sFM+Q6QBmg!GujFK~@2U})oV74DPk3!JPxxltvIlDi z)Kx$GTj1p?8G|y}YW2uqJ@waux-J*a`y(;}^%h%2N2FlBI+f(lRL8M40td2*+Iu=U z&q^kPHikibqxcYJ3SwFoY*QVIx70XGIrL=ARWp&A5GIl{m66koWCJp|i$rzL-KtSW zr~w%i;VZgTb;k|Hx+Y&9W$D=h$xluTO`VzcN?i$V=x;Isr`ZY&+5!=$S-|< zhGA2)_^-x@4L+kNpYHeW9SsMC_=R`AVv4E}?p41y;)Qq-8{(-0V~jtIqXeY*re3oQ#&!l9_1@?pE=`UH zQ)u&ZA~Hh47%;Iv03Vo!iE_so>Kz%I5$n*;w2^v{K5IC_B3n4ejK`|K`An>OT7dFd zHz2HAX+o7aA9w|EIVFMF#St5bsl{V4>7WUiRKpdzOSn^;)EPIUp=;^c#tMQyu{#?2 zNQb#{^yoxOpp55;z;)Y$&C~;DflK*k1!dPdLZ13!!U~oY(nA)F)#mZW3dU8}8Y02V zS`%^Qb`=RFC5;fGeNhO`+gb2#6rskj<9W+89yl8{)5p>X=B#2PL z*4zgTg5fgSeG`CIN^bcup;h^a;&;E75TdLTG7AZ2%xjX_N3HeaK(U;LFu!DYX!r$l zW)hE0rvNnB3pHR0LKf)4gwGJkJbSJ=$58#J1OxQnwf+JBdY+d!n%i-$p5mbsFFsP8 zhJeNVBF=baZ+MI2TXDbh8xCU``93#duD^n%OiR zI=b$Hq^bZxzQdIGJ&U650iz*X$v}*pHiHED)>A`NY5*t4!s@X}S ziqz+)d2)ycVl@CQ7?E$8S`&rP03Dd}rorjXc|-7nYW~@`=LZABy$C#Fo0nnp@)XF6 zyNxNNDZLW&h}Ke;l#R-<0aBWF;w)kVyHGOdBtCFnBX0)26U$}zMPK>J_ggOrc1!%g zos_oEOz|`7It2O8o0pNl^qOEZYS?xtqjwn^eS;asO+a!1-WC4Mh7s2f!gv*v{%ryj z_@5YRZLxS^mE?pA(hwCM3sABC}>-;IxY|X6~{edP0btk=Bi# z>XsQuQS7<~o5qK;F%HKtj;xZ$FxsnBqnW`@s%SRscd`-am#Q9~iI3mT!XFe~1D|+c z(2-s$a8uBi?d1WZI$K#j6S~VwA}ULZ4P+n4HU|vF_yOG$d+j3pfYFFoL+UQ6Dre`W zsgW0Ag`am(43~uM23w`tG8T#w)uo0fJg8It!&;Q&2?f31>5qrM;YnzeUs;mjjL8FT=02oZ`{d%L^hs3^Vf& zNxVjG8I1wAIHVnY1%BXO5{xU0C2cOb1pK-BD*ORn2IM1gv{kayKe;NHtxo?q%dgJ4 z6tif-iiZwpAo(4&0j%Ot_-x-?2^xqo^<+c|XtYJ4U@*6_y6kd~IWp8U%)vTYaSvp? z@A6deh#RWk6)=tOUJBf6VuhE4MK4ea-Y&Tspuq~%--_^_c(LVjOjSA8@kiA?4FE~`4JqN>BNyPhU;216+4uXf8ITxR`lE_HX@IhTa7Zjc~ zH>b5*98G{?^?`5roq8pvNy&s9{Mgz{wQdgFndb8`o&_$$P)j5ZTXWUQs~xVr!6KH? zp`i~F8ZiflRJU9c97?;?=s8#@6IZ6;qDxe!HVq+IzxMRB1P$2d!{64dOPJac#VO8g zVqHq2Xi96+(dm;S&UG^&S=vLeB}S=B`&jk#ykN)n#tLBg_bQNd z=d}Q9`?dJgE7t}CFxLB~rZ-cMUx!bX^Ia=E- zOGpfCsf(9FucKpQs&IYvdXO1|*IQlLDcx0XA3^%@%*V2Vs_Z6wr}Jarv-O1B&T8j% zx#=qJr@DTXv4A)<+;O@k#^88C}iDq3*0QSl6Xx!=J!Tb@ZR)7Ro)D*3(}4T z^tijpCc>Ar-7o7E8TT&g%Bi`zs@*L$jF__!7NO-L{6RVkq~bu1s07H6ljfs+&F|GP z!cbFYui-*WOgfC8iG$3j8gW`~muy2Hsb0kbsNTj~F`7-2yo(g2P@SCEJry37LQV8s zs>f|8VZHMeoDhXzc`d494>8=?*&#@6k-0kc$;FtEj8j0g#)L+xii^<%u^)lca*&az zk;B#sn5NxdAPJ=95C@5wGGi`;&PhgfGiFM~g`B93s-ZIcF5U;X(ePV?j;x*r*rB;y z)Wf&JHa(&6&=7-_k*y$5&AT1!Ty}>c&ym?WVkegt^;%-~AcXyK*pr^Yz!faU9A^+N zTJG_%VkB}bI+$3QnnW+l2{MjAFS-zFtdjDgp`o!?D7T*4d;9-cJM(zkj-uXwlH5!u zH+MMu=4ODv2_Ynqd&4<uWFb=$MFtf~ z&=5pW;GrNg4+0V}Ajm9(Q4ty5x4P@McCW6r@4X3}KhCFib*~<(tE;=Ks;k>kEKj%I z$;-cY6n6`mV&)`z|4Yf5)|k=()cX0OpF8Si%`d)$x{@F5MxCClJRfLC@BMt+myfzh z^S(<-Ha2LU`x3azh_ichLzYD#mvpxJTLAxme@UL35it-K1mzluK zrbic;NiluYOLv}rn%wL+H(CwUMrK0$K66DX>2nsW(w*bxH7`eO zz5Jb9cDUd@r+NP)2|D_yKcLn$rkii%I848j-ujAH)@Tcn-t2rO%gW@9JGWZK6m9&i zuP8H`S?zFCx^Mj3vIXa`mThjx4;AUh58ss{)_IIMZ3l_lY71j_g~d?4^X0rm^~1{; zgv_y}#m&ZN?Psvmzv42lej9;cOZl%cOYWo_mMf20@t^>NPpib+AG2g}LoWOSwnLY_ zhMb8VO2<&EF2!B>#2=EJ#B!aS!h)V>$-Q4E7nHC60jh_KpNvyt{Wak>k873|)}1_1 z{_b)}k+{Azo@SYU>kS)+4!)_7Z4;dF>Bav2y)fm5~&2WRZe1 zNKZZ<&w4HO^nQ+K2KPf=yYtlMy1!(ss;`q?{mu*PzR33S|6^oesJNz|x0(6Cmxy+T zc{}?6wLXcP`M7BVv^HwadOd>U6@SFP9y+8EI_I>V_Ud@Lz4_2rQ`v$MI-`02t2xgv zfBuMF&13(Vd}(a*Imp`tJs$j8wwBxfSYuNj=l+#eZHQ=>{x*HWy~D6=bW zd!wjZ9_nmx-Zwyw-1W~$w!z^pMWTip)4cL#%O^C~yxxbleBztwdfBTEJE6JY^~|C) zGTl$P3-VP4?$@sJZwFPf5uGOpc+!0RPpCZ)PZ>Qk56@|>y^sq<8mN5Yvf*iuPt2q@ zv&MbQsMwg z+=njbd0ae6SI!p1ZMwI3&%esV#SB@P`>e9d{t{Eum49VppXN%He(76CKK`wlyz%Qt zS@N%0a_`s8doBIQ=V1~)=S4gBo{oZ`GZQsFYs4n&DimlpZ#|v9x%i7o^+j{t-)ui? zNBu#w{aZ^XG`D4Ex1fc;_*VGm>c4^5CQbr*-u+fmzW5gYW!|X{&ktB8>c`u5-s(2g z>$(vj-zILdnGrL!PIc@9_7IumIX3!90(VEQa#zw^{h+Z2|J!=Ijlj=m)^efX!z#a@7{_^ z6iud`_ip!2QWJs7!yE!E-$DC*OU@inr_a8-WQ+b4f6INO-U94xQZnqc4E;rw|t@yob1@rf&F$m&N9HPu#9U!~L7d8k$HAP+~FvK4WyV-?p<#i`Q#AqrcL z&Ub9@`}d;Zq*zdm3DP5)-+f<8!#X_$iGGTuCl;uaFM0RjH*0?Wy(A}jSSJ%`)a+qY-xQ_rBkn_1-N?*EKKji~HjOFujR?dZga>U+d zHdwZ34=G;H-+Y+jGNYA;IwRtPeu%6*_al^)CTcz6Ow{I}k21z9`>O2H>k((GA7ny* z!{`a~9I>s8Wn|rjCE*>NUx5h5!^Y}PA44mZ)z6s%>&@S9Idsyz?EMruJPa9g;s=cu zAHdF19E7at$>Mk$>snuGvN*n)Z;Jss`(_vpHx)e54TqaDByyYV$d9@%c>B0?mCa;7 z^U}9*z5LjZiRz`hY=`PRByJ9~|Mm${eOy`?ll=N`wsY_Js5RXzkmJ(gXT&nHfBT=< z-8|Ovn1I~YQyXL_n23enx!s4zmdIWnm#rkoz7_GHC1IT72))$u&#C^_BhGC;^jAwa zX|7w^xuf~gM<{mphjE#?-^c0emEW{8YDN3>9L)PZMk&3?Kl~`8_o;tmh3-uz^v3bc zV?VLeZlbr7XO9_b$1-tZwdRLQ8a6Se7a7YCfw$<@pR|!mGh|#^3Vo=Wr=5dt>v8`? z`%Rg#eVk8{c{g4SRx%F0^HWI2C;ltfpi8$=8>4mXEBf27 zDj>b>zvTUz!0ePb(mKAJ2}aqwDhMFX!hZ#U_yE<(!kR z{b$IZ2fsWOQIJhk20xh-pKDE8SX~+=Y~e8Img z`Z^iypZtaH@ZJH(!OgKeP}rEVBjvYLY&MSVY1HEH*>5eDlje3`Y-Mm6lgFvuyyQu! zVqft^BTAas>`tt82g94sGdgJ0IF~0{z9Wpq>BF zSMB0rM-C=NN+WyGU$MP@%;%{rjcn;(>?7M;^)hbv{Pq{|2>1ufw`fR@{UTet^ZtdU z@orz%kd|jhYx*5u$B<6xS~;nf*#x8h-yF*)cf8)5^3|4>rRS{C$)-uVAoPE~+6t|F z3>Eixrb+Ukebd)kYL*8GYtq`?IcHunQaaJjshMtB{`9|PYVv|a$*FT<;zN6E z^MEhWdx&{t=~v~nWJPPw$y`7FSr*$jeQ6&wJ@~7LNCF%k+uY$BnWo#e6}q&<4bP$d zd+A?E(&l!5ytK?F>b~D#YjeBz;fDN*-`zU!9+rN(BIq-ZKYR!IFZ%bRweFN>Ps>$E zp5&@~>NlajG3c&w>26Dtj5dUxLaFqXZ?$ACeQoDtwdVv6W;cGtV-7!M$L6@F&cviI z_!jpg?*4TOOH5jxD(8f49c#JrY}Y3L*zzx8*8luB*7;Mu0b$>CJ%r`{-OYE5OS|Wo zIIw5l2i4Zv^%Ro?P@Vj~Z!$PH{SJGDhy3Txo#iX(^rU&_chS;Z^6f<*+c~az`M;BF zAEP3jbCgw`4sn-!3*mm{bvt(*OnEzhcITd3k27`IhmYIX+vCJR{XNAunPYNt+VETx(Y|Nh9l1#ec4Cj{h6{!_b)(gW05n9hl3 z|EE`gM{1`B%|+kaaaOZ(0!4hr8j(d_PhDwz-)I)U*MEn6HoncjeuuN3_4lZ88&{lL zn|2@b=zq6{rws*-{oHeoIIns8M~L(Cfd4@F{MNrCeD3jIJNK3wZfk2gw7&AcD8Kde z*fB1xqn7DjPvvpjul*017?I_=i1fJ6BP})YLj7?%(%t937kqrif6==4eAlJow(iHx zMdz~-`RMl+e4BiCzhT25PPpXQqt4pg9P4}xq;u`Kb>bb%iFb3{+91a~bNqq-p%L%= zKK~M1-1P^UEzWAr`EM-kpZF2XoQFygPS1Y?yjHTsv+TX_cmF_aQJ$8Jiph21@jsw5 zS)7(}UnwWC#p+>%B0ApkEUYWBMe?!z7b9w#_NDQbqKrY7#_hqh`PNp#1D%cq&AIY?YE8#S_=M(zKlD-J#p~{rOuoXm`q9F#Eq=)}egu0a2C2Wk z?iUf$*B-LGpl(jHgbO)@=L&njzWi6Wnmg&*!AsZ|OkUK*+mZ6c*HC)z=gIK@u7ej< zZecK*oBpt3u@^sQ`LtVXjupcPNJ@{f4xZp9&EHt7-?(Kt?KF89p(_V=et-K}%{RV( zxIL?Q;Sm<%Yu7TThe9JF?t0ZhScSg571ns(k+k$fgXQBor?)gjcKp2HP?F&;>MGV@ zXD$r$$#Qu3p)_b=U8fzgd}8wvd*S@!2azu+jV9&6S^PE0-9g|spSv}}Y~6?$`0G~9 zv$ibXq`CNLV$go`Fmfbo_N075BFznJ{lgchr?cskk68Hqhkl9k%n=YLE%h|=zGbM9U#Mw>fla`Mm5( z`#@`19-Z=@rnNjBm+wQzL|v1!+~P3``mqiJz;yd^a=JU3D5<4<$V7bqgK?dE^>z`l zbchuZQE%PEPCkT$+(APV5lh!pYf8E@`mLQJVtG)U6ER()ywi?-)%EtHVe>;y*oI+v zEBP`JOOvS+acR<;L7S6~LB7AwvX?aUW%ju3%+d3XUOu+@{hf?TyP9sFltxNQJ^vqZ zDZ3LrD2ch1y)}Bqd|+-?cW&R+TyqQ+nFrw^w#u-cyL;lNcHpOX;($6lTW9}G-jgR zs@$=wnlV1w7wm?8p0|rR_^IQ{(cUpB&vn*=o%``KJ^*R2F#dq1b9kDhF# zWOd|5(_Hp9_g&w5+a|4z7F*RwaM5?RZ0GaWrC9ebO1oVRuFR&^%Nb~_Xve3B73 z-;JlnTQ@gLjZYCYA9c$-O^q;noAbAC-?bGIXG7-7 z%6fCZ(|jIoEd$zQGT!d_MA==swN+qbEYFmgjCplTPiah=OWw0xWGpW{T1(RT_+`em z7W0)S9|~8rRF%;&IjCNJ+Tz;MgiLoBpL+^Jn`u}cWJSaF1|wtp65cWW!YLgZ?rpBy zebk+r)zgr`$wznZZAicT}ei*IyHQ8@A7S{FH`kjJRSGu z3(x3JeDEF;KeHh5Q+v=m{`_sjmo#W^^Rs*T^5eaUdzugLT_#fQ>hc*?gHl)Sbq0wa zSdjSlmU#EI{xAR19x!;<+VW~uZ0hIRZ;Ked(=dFQ67R4|SKd18|MJb2I2rXPuCI~! z7Yh=vw8Yi*zAyJQdxucyPZuPsGbV(RC$w}(OxIxEzf5{de?yZNWHLRV7a$1L$@&+bn=|4b4;vLNw&mU!=T`oFx} zStP!9LE^j4qP2IqV}EPUIh(|HEJ%E-C7yYw{x2uzkocAbiGN{j~67q+!9Yaum8&v?n2_LdlUCIzke6Vbj#l*M*DUDuw~nVcJFPDy(?u5 zkL3bff6vZnF1;%S9sI?ui*oF32Io=6DR=AZ``+dfOT4T%aZj`DZX`bU?#s8`TC9N6 zW^MOT>C)Sl=J|J9-rgL1kKPQ&K5}_y^Nf2eul0O9`zdDU|J-BwjGnZk9>sT0zGv@u zyZ`8joz1uU(|+_Q#KB|l)%)GkA5Geq`_rEJ+dB_ye(-4CH2URx_kR4;Pc03a>-y8a z`4|d*;C*_(d(2}=`(%IGmn`jP&hP#1VL#*+;D^s&UhN^yKR=G|?s?zd?=E;eY47Pv z+uMBd@$5+M{L?+(?QMSM38cNfKkY-7c8B}*es|9&lJ@5QwD(xr`p@)yx2HMxBHDRn zf7;(z+MfIOes}v{A?>yOY1dfVEq}K6yDwaK#GtvnKkW@q;=7yvT<>?MKbf?b^ryYX z(sn(d_q*$!1;1R}pLW?(_-^aZ_kMSi|3%tw^`|wKw&fRkzq|jF;g@IsLNC8O|EYZU z{r>NcdKzg@yP&ts-?X&r`_umRDI9s9_`u%pX1~gJU++)*A4_}WgL=Pv>aUUZh5oeD zzI5cEdB`vJe)oi@^WC-mX)4z^T zyVr%i<8YS;^WA$d>@9P2HFtsU_Dj8KXFi1Q{;ogmFCT*Bzw<+TzZ+jj+B^HxuD%f6 z_qo5^D}3+&O-}xQ{mZ>&UiC|SclN`2zq{>2Nqbv=+UqUt_7CsRr{(eJX8F;beWvHw(;W9mMC8Sf?(7}f&siXMHcOA``||XL z6%s-5nEo%*5_#}r`@dw3+}*t3>Mdcj&{oV!xx4wnWBWU$rE*8}tB>o?k=DxZJg&cX z7R%lC_VS|IIXFL-DfIQn_w{UV^A%e&ANhok_~8d&p?|~=@e=&}6Z$IN(_HsBihalv z!z4_e|oXG9^bc5W@H@9{)(y#H7F z%YL^d{?wED6InrzY2NjuzW$uvu!J7dob%-VMAlFOYc5D+5oPQCl>RT%D*DE!^bz>< zhGn#Wo7#4k#gsSP{#Sp0SWR~~w|;7WVp>lB;Hmu;vz~G+crH)BEuu23>M_mBpVr?g zmepgL<9@Y2k#%*@yx>>+D`sImrrGgp{a>=O9@G5Jf<%_q-OZ6t@9%6{Tc7jv{yJG) zcQ;SEI39CaDzn7yZhrk4{bjSp?r#2jL1J2Df92QvD`u5Frg`;mV)9r-W!73wA-}PY z9IUv?;5)1Kv%Pr|aX zf+KRF3+<@*CL7Hop1J&}gI1akKXZBQprz)J+4AG~bRpmXKX zIc>exrrCyqI(ga}DW>h!hiTT?TdHg1mzsZhR;uK_&rZeu?`Nl9-_E~Ieop#z$#YWL z|9cKqy0ax@O7_(iIwT7(kT(b@X*yG?8)xxF&(7jY%|ripD)z(wI~9A#|4F|t`akK{ zAO4@^^KT82+Iq6~8$v3!)P< z{ldF#Pe;aKvvKhtoq1!PtA^XB&6Ot}ePnabTO2iL-g)tWV^?2cDdR(qKJxJ9h>xMa zzwagc%y`|?cogRazX@+W;WyCv{w>g%&Jd>NRR}v;oDR9xZ|UxVTAC}A+IaQFC*jPy z_qUe!95lV=7k+E`DTmE;xtXV$y$oJBo0P+q2xrJ!BrhB+Rs(4Ldb%tv)Hv*~R zwQLTgS!-s8*kU*Dn{PgU`Iwo%&b_iUT+^)?Ahl?yc`+QeCA9AZfJU4a`8#f-zD zJl}xShhbaCF!yyvlScAT0aC^7yG!6QQI>`y`Q`(uK!1eEN|8+%NJJUs7&ewFqZ*LU zJD|;#8J?agS(Nu^{f2AXy52TxJzvHkbz=^BW0r;+a$bWRYM`72R_MCl1OZYLrn78{ zrNC+1)~USVhSRpdsX`d=ke3sE)NEPy76F?_X$W%@F&3W<6&NymCzt}o&Yj>%req_(3e1}3I2wn zeIiEUF(aRuqoF3qXrvhoWFeJNOP2j7QBubpekX-QO10gh`#&vr39(sv6d(v&d?VV zZ^9D&Q1jiE%C~OA1)8>0m?l4w+uE2+bp-%O^q97-p0=%K4Q)<;(eeqi(VPjVYAO#L zc*Q`uSxZbD?>iHxO%(;#eaXS(x+CtkjXhIj%ExQYjB!0ra`C-m)2x&xm!n z%c~pdiskx9yAYr@pAX^^an6NXCq(L1FJ2zZRK&VOEn&Dtpmp6gUT>rMuD1b1*V}+` zKOMwmD|0Eo=FDpRKVHBJ@wlo7&a(j&woSM)^1R-X!NVVBgfI#$40dCX_Y8y?I z3gNOlF~fl;uyDykb7o_-si-mQt@HT0+^Uy=R7vYa3{DLkx8F`$esQlxN^Ttu*C#C% zTH(AtnXJ#eHItT2+U>{sq~*`4wsPxJO+G@RIthV9{;3#?0Dn#oAaCl%nuIuzQdypz z{0yS=0z>Ch3CKrmgZEK$QwcKY^Z?Sg?YlaJ`SZpA<%*XG^5+9VG(Xib%F~+VnV12p z&O^Xk2&A^?4axJ3mMbR5b4Y$(++*3u4coR{Y%KoM!&5^mg9 zJeFu7T$p+?*5GVR^5r8ccAqbUBsYOXkBK%Z8xyhP#$+Y|Kqx3RVcPb6>dji(n6@8J zH4w}pCnk_r!esIW0=21X%;u^Zv$^I}n5_UR?mZdZ+G=B+T`&j}_*V zfXC#-Z9F%jZ$0EZ`PM~xf?zsF!$ZzaKvIL}Nwfi~lk*hIy4EYzFNr0wCs&)+rQpIDfO5CDVtH+ucTsq{z^p`SXR#OI?QRPs`$GH;+wB60K|C*aepMLrB zv4m>9=K7Z}KlmU6?*Gc=KREN!YnMmOZLVG3+MIpu^1YkOu3bK|`Q2+#W4!xXw6hVga)59>Yw zmvEdoGo=7BDFE`23bO=|2VNNJ@~t_*1?^{<&}F8~xdq18qqV7D!xcb@9xbW^G)G;> z_D<#cZh;&sH**6GbhhXPG8d5~s`%QvrhO)W3iP#Q%{Q5pygu5SrWH_Ty|t-NSAaZ6 z0Mx_{!WCGPRbCcz6ysB(J=O!LInIJ5Mnf{sqam4NQWcc!>t+YY`-B4JvyT&yTG|7s zL0KYFw4d++)X_Oc9N|K<%)GgBb30st62)A0f=PoxK&a0z-;A@aXA`wNTdT!VUzhVTy@R{P>wl3JM65-K`_%AAX5e)GYmi`2&$9ZQemjvo!W&l z^@=|Cg9>UEwcRC9t9Tja!v~v5AZ5w`MOT=ZryrBYgU>TD17IbqKN*-=K|=WoK9m{{ zKt%JUdbsM03ZTl|i4;wdDAWUpz`m_(RZX)N0#J#*oPkNz(`si_VQ93gg8r zLwvpCW9Lg2mz<{nTx1~*J;^svLuNz3c*%^dk~Y%RSt7Sj9Rcve)c9d2hAjY9<@=qX zG)KLF8tD5S@;EyTlLE-rpP|^h4^W$Z<3nb()Q>JDCMD6Ed7d`&0^p~p-nUW!J_ZW` zik|0j9vS*t*}UPaW;$@n&a<@`Q6eW?~vj%345wSH3hkhRBbDu?0eHiAJRy{?# z9jwfx>UsHk?Q6>?pQD0(Q^+@|JU-SEJ9I5liBTy$y!E25EuY5nJIloc;CXwIy1TXUtObYhUM_^OdhJ?>Gc2 zKy&+VaKY&2-ypy^{|;@={svBx2GMpM-2uE#$6#2|j!sq=FitW74_abR#vIY_eFEAC z%1kpH&HRYdT5bYh<{js<0&uyEogwqu$Tcox_5tVt{9rS5r7R^6{d@qVg64pFs%N?lDsuN^@>=srnxeI&={0%`Rxamc*8-!q zY8DkqJ{t{_S8adunWE>poi$}2BWWKP_1=$PWL7uwc9xR;q+bFMIBoqgW_TC(;3lO-J-xRy9j69lV<7w1|gEOz?Xk4-~=pLu15xb@2QIxraTsRK&^vvQ*` zE`itcOknHl5G87I{A2(ceINzS4kXh&Pa0<{TK(|L)x&jd<)o(@V(`eLpvTU@_$aJv zm$tsH`R8vcQM4Nq0h|S7%^0rhAf#u}hU*f}dWR2;vtkWUvi43T;PVf|fH$@WP+uj# zW&LA?O1pTngj6k(0G~$)0($_XZd8;0k+0v0O#=y z31qzpL{gp?0?Z`sRc~lN)Cag!z{rr>vY{Q)#+nWnK$eD@OXr0Ga5BlLM4lUtv6B0~ z%3`WNEm3eoj3`SMI}&v|Ga^MxwibZ$h$E#bW?v!#n`~`1uU|e%oA3=u!al&+Z$pw0 zpn1miQbf@Ru{9s zZN~STsVC0?6y5Vxtcx94UHwn0=mRQw7OIb*9SG*eHhNa~K2~-Ei`J7OoDqDfm+cyi z@8umpVL7W%D_JkOfXJ-50|{c!P)1A zY?_>)o%jXd#P<-hXmZ7qV>}yj^~9AG;B8bGR@?@?AF}#`X}?l~^7>EF+6XAX>97w_ zgGO%TB2$Z608sRP^FWVz9yL*h2^n;U3J(gv`j;lhSo@CxP|tMQ8;ifj;wFz*Nv&dn z8lcfSiS+|{{uOB&PFGkm?aatIltmdp{SxDhwNWSl4Vd-^GCrd)aiQ$MO=+P{X^P7R zCilHL>W7%Cye!$OaZ>1FP~)~3?RW_lmbs|_T=px>7Mhy77V9h^7XmPeNzrkOIj1^AO?8@|iWRd{C>z+Rdv*Z$r0W61FY*u_&Zh1L zf_l=ar+XJ*oZqHWX3MTml6QIzWJyP(CGw_HHSG(S9Z05}$BOpZI~5bm0UvT$DcK(7 zO@KqT$U6so2<@)G&NTbv0!Hp}^M->5C)_k;p+*gN0r2k4!Gq&xGKt6q05@-+uv3k{ zV<={x)aJQyKqfnmxT|bI8MEAT^xpiJo?QW4jmk`lq4IUVHx?# zp@W+@I}aNiGjq-DNWKXH-~(4j0H}gFAga3rB##EoMIjd%MAHhO%E z%5C;`VM7gai4JC(4^#=Vjims~t;V*18%VITsA#u_fbmf%09BSJ7bR(+S*<*=>_z$rlQ>W$*cy}z)WehaGs{VyPbqfEc)JUi(oY1`voYu z&EqQsmMQ1SnQq0j*JHSu;*7WEzK&pA?9>27FG_+``%3ca*JiE~C$~D30gCn=JAer5 zXFf2ZPz(4{gkddjU={jeR8+p8-@?4Q6AB;2Ej{%rt$c-(? zH%%is5&-zjaDb944BhBrP$-rtXEPi?ysvY>DUP#)C0Ws34CVL^trrmSwCZ8awsMZO z&qPMeRqVvg+qVw5wZ3(5$ZHA44usiTL7y3S&ig^V~R$HCp9p%QIYeuCP~Mi2=?_By#82L!OONC#amJo?ZSKoY(b?Vh&)E-&Om0mk_e!Q-{MZlb+6xfq~i zlRIg#cmIl&jItrUAsD#FY@Z`O@>a|NUqMFNL;C<59~jAm}6#QnqtPywim zZU`q&!Z38&qR#lM1yFQ_q1y+95k(|~H^kK&?oBkZ8;5xv*WJT}Vk=J_ z?kQ0MB9+)`!_6n74Zn&2;PZaduknmzxCZdgH+5=BS98-kuJ8q{S3b=POddxV&8$Hq zZj@$1ZA#TP2ef?aY5O(=0)WqP1x09+2!D|E%joQ-C@26mM`BE&6h@m`&~>pKjOwTW zO0F<;Lzr0$-9SKu))s;5s!kZ~6}V+8Oa#=inRW&|zU;a4s!yxMX1vhoN#Z50bUMa; z)kfT~6h=v6lT97b^_p=Td;-9|5ZWLV0IEz%H=-nY(Q}N?KbeR5Ds_=YixrC`mjney z^q5YvyAq#Go8LQOa4c6V85#IYVJ{94@G5kRe6$cipRcoiAE0ebk>;HrYd>88sx=E= zik5`!1AN5w6ers?7uhA?;z+h+GNsQb+HJ`rnUDcgqSkNS3??dwk70rF5t9X(JT5wm zJQEj3vL&-`bg_72024XrF*cCHXk1 z07`B#8xlu~&%j|s#MgE}SIP1IRzI{sP!imcY%#LHjwX_z`vVgH?Jtw5?Dn6Bpga4j zRb-J!&(D9%Qqk(Ngu6$;qr^GJMd(EPgo&hl0r;GsWRXszCEE)?G|U2=V}-2D9Ic#% z`T#F_s@XEtY|&MCKKE`M5a2u8$BqR2tA zatZ*W!H@`&cK~-v#Qu5rM$s|?6@b{z-9XSsXg+B*Q*^=6tc41|R|^G$BOs4Rt=*rz z2uKpYX!TRr0g}WWEs)nk8ZB`!ZJu{aRH`bYMehp`aq{`q3KL)6FhEqAPJQpAcw*ew zN%X>I;+51o9dHCJoWdKFfnu z0B#6cmyZKLCK=b5L?0JcVDcCgfUni)x3WM3P#)hug2|Q6fK;?A_zBvkHu_eMkZtP6 z98l3d3CPo8T0kAklK^`7Y?uSHi0Z?rM4v=_uSUDIlL&HodIDrF?FG~^cT`+oeX!3R za<^$JI%8u1{Kp835y)B+mjc?N_-QjIxsQM6>hJ^_)zPnhe3Jo1h$ z0w{Sp%qty`Xw?Hag$SjSOY8%j(Fk*6c`&DG`pUrqj8{Q)8I|LnLZo@~6+#XZg*U$d z()SeYoA6#hZS_Mid4%!ZIFUEi4O5Y%`NwsAZ?(G|wc$CLNoH~(NT&}E*NnaKKM{bS zmOtSVt*z?K0W=ZsgB#u<#$aDxiQ>M|$EGBTYvt!+tW$B;LV2%E(Z2mH0Wpl`xUBr^ zitr4eZn(K0jJ|R$CMGSPng!rHP12Nm4u~hUhP#2^0=23#%~6jaJ&)nqhHwRF%MoF; zVB>S`^G^X^xymeBxt$1%W`gg5ON^%7JWrchP3%cZU4_!D`RTX?q~2?ZFEDDp=V3~i zod>(1e}gMy9?UtQ6;_siqSN|-%g)bL?bLR5nljW2z{;s9rmBVbA|2SmiOziswcyrjnJ4Q^!j!+mcTGsD%HW-$KB~oIa1;8`U z0aalhYDxaf*o|e{*>nzw@!b4P(QZHjaK2Yi+I6b+%EU^tY?GwSu2XPKbCc0vrMdHH zu-vSS28TB1j0Ri$IyB~S73b%FFd{|Qe&Mp8S0|kMATa`x}G!OfYy;kC1fxy@0901_caSjk5#Vd0Q1eu)l8*bPoWQX%o zfpHchnzVne3910SeASk-4znR}0pNmlQ){bk&aW4MirZv&l37cW*)!329e0QU?s>G4BPzErs;tFmVi7jm z^UMLCS8}>wweuHgfJ7Ia4qA+^;IEIxKMMiOmJLF*E;4$^yOap=UlQc~KGsCn>KK@)H3idh82GO|+ub zGU*e?(msr(bSMBdT1vaIP0&1Pv~%+~`xHILI2q+ug4?P$u@7+KO=Rg(oCEf#z6F~| z>}CLenEn4D#DkDz;c%yacf1MWve9_V?0iayc z`0f7{VGvUsA7K-P{|8Xk9|M5@R1hu#KSn|Ga@qo0U>_wTw12Ex_fd3z$<7^$m%#B& zV!H+?T9Zu98(@6EdjRc&6jWio0Gwa^1xren9*vLyJ~@Z9;~LoNxps*CX?C*_jB6Gv$cr4;RU^!yPRXZr%9Zs@2n)%m)}lcP05 z{G7>(RuAW&iHdWK#zoUtk1z50f+QA!037#o2LUow0kXX1 z-5Mq3T>}mbE}Q|B+4Zhqa&G`qM`tTQxnV+qaS0BP2SWfwR~XCO&2z&rGkyP)FZzH= zCP3Sd(fs{1TBP>Lwy`<~GIMUM^7L8SuanjSd9~u{M~&+o&~Ul}kUSbYSJ!c$L;dj` z6d0A97dsm5Ckg;R`~&6B;7&7(q58@D)m}i2_qjqI)i4LdoNlDxdP(XHmCrHsrrB|~ z!Ex@8NgPq{u^uo0<0Y?&+ug>Gw}W3xY+p1h0hQysZj!vsauERIbOMvtHBgDXRlk14!x=t)6MFula$P_tZp&4q*GrMQLnqNYW_u06x%b zu2LiO0b{IW%Zv~_u+Lmcx1k3aO2DUvJ5f-yEAjw*j_8d>V$q6189)dYD4(@@fC0s( zxFG`+?S~5h5oQjk_kNT>K`PeqDF#N>J1`T9qJ7l^P^;Y$kC|!KIl|Uz41GN-F>R7Q zb~W*a-b?5O;6K~M_NJ-t^Mcf!IVR@mVmc+O@4ok>QV$4hP?m))pl2C2c!(z_)d2o5 zi;`AI^5Kye0vI2j4zMRpGWjE*z;-zxe*|GDac!gd<~;`|`^|6y1v@ngz~!8xE09NR zp95-_pP9&`cI^YmvzQ)_*7A)Opp9mBF9JLH#SIWX@VbwW?%l_Nh}llUywXsPmYjV8 zYM2%wz0N>vWS6o-dDII<(SGO$(D#}}dP$*uVDg3llsqp-)k~XJrm3&<+#*8{Cb6Uy z?J`6UUV?FM-4Boj_`*z9bX$;yevWCAZa82iGij0lFX|8z`02K+zN!PMVz%HShu>{+}@1ID1AlW-I@je32Fi2hGllw zvy$98b@4(RBu~;7LT^FnSyOz2Tmx4E-XLPn=yL&z$VhIX76DfD{_?Ec&^&I}Dn65_ zAuxwGRwn?WNH|8je7!fpbzC3U0!XYxqg^Z03-|-Jq&efw0XK(j=q(5UpSF4?0*snC z2Q*S{1|v_zs2eQCdH%_ng4@KYL|Uzdx&WNxMq07wfL3h1Ac472j4}tDskw&dR5T#X zzb?wQRKhUH%y`UiRjyUOz_dxCp*8?|Oqb6WBrVPQ(zJu;ZkadL53oGNt8w--DfUox z$4zZuQXXiispS~D;YvvW9~HaW;B^R);|JHbeRyjCZ=*#?JO|v9JgU@tc+Gj5h~{Cpq=>aK%Odnv?F&J#^nJ;522`P?omgayX*r?rwt;%D^;7;7 z+|O510&Jh}D2mo(Pyhxn>U#@luZs%V2Sz7Ml)3#FN_J%`Pw|QjnXB37qo?xE#MTOq zK$4RYMM%!W@mhcnJ|{89=s?NwB4E6&dh-Hvf5yy!ywyU1NplF7ta*v;_iE5Q$tg6? z>`$*2pKD9%d5V%*rbF|!J`^P`>Ja>9*3S)=8Sk>#SFgL1q_+0~e$HN)iKg~_7Ag}B zbs9wwc^(}QnSjEiA*5v7wDK~sp%rLDo74?$Qa7|-$Vmb}3>Tgo+81p|cI&Z=4ZUdr z;POzO3luD3%6@~_hEuN(aDLm&CK-H=bY9t%JS#9NN4uCJ$$MX5Y+P*qIf|mixbsZ& z%G3^5@RPa4IH?uop9mUiM+PXl!kE#>jFuZSg>it+`bb+21zq5k5;a~2cv;&?Qso*# zH@j1(6k+=UW(Sg~%5#84S6@Nr9*hSMRa#lJWay^!c=7{)6P?`^Z+~M`8U+P!0OM@t zE&`M+5y}(Yc_#44w$DHL2=K;>iq@{%qc_leF|ND@z__5&ljBScAE~ieT5ph(U-O^TDK^Kr6K0f1-lpP*offl--JkRR5sF78@#HccH)p)-ECHLmZLa--I zEGYB*hHUTvBD6HfV=e4siA7n(5sxB+_MLhpej|lVNShGF=_g)|C@%KW^YMsRr^k;C zB;w<`leo-;piJHXxo3>C*(-5Nb3!ZD0&#R)QYo}P7@tv!dayB(5SvK-GSP~pX!qoC z8e+tDW>DyO0`sU2DJCa#Kn>DjC9^hPr6l_0t`@z355`0XsELBXY$&t=fI1|>GRebB z(Hdvj$4iX5G0)@jU?Q0_(TSq~^1Vcg*4bmCvxj2PZ%ni|o9KX1VAR%m9v3y!ERJxH z(Y8%N0a%W`WyGs@At)m@Y0iPNX15ra!Yp+giewMqUH)+Z<3InNrryXBeN?9sIa8hG z9iU`4{;~t{X~diNwi2)jmKhhonNnt4p2`xX#8gvB5eFz*Ym}_HV0=_`{TxS4l_LQm zj6KODGR`wOD=QOu)H1PN)I+P_IMb9zqS8W~v&Xa^9 z%hP!w!2DAhMei?n%B)fFWst8jb8gCz3ZQmmfRbGXvX#%HE8wP7?<@qM4mr@A{V>!7 z>OtOvQI;QC0em2I(VAm{mo5M%GBXhg%Ie&;sW9*WUO|EJAy1h5*a)rpJsaK$^PzSC z&z=fH=6zzC9SnVDeRI~tVVvV{{n4Y2!s2rlYi!^gUUaun?gIDl8*2YAmE>72~_!&u4WNiu1k+jSzim^%?Y`1uMLl_=S$`?8xgK+(P% zfV^Mt_#5DW~bP}wD*G4zFVllOl#2)=}ZQZFR@3QS6(@$)=w<`!t{ za6kqjZU^|N${>U?!bFZO3~n023C8s;CE!Kt#w$tAud?WD%Gv^;T78EG#wkwp@jS^C zlA2ozsbqwpuD;$VfJXZ<^Tq+Q@-y`;ui+H!)?)zm?8gPtOrm7pac(v*eC%NGFjvJ9 z%+r@0nQp+SX7MEfDV>y=9VtxgD8mXEkz1k>dq}hL_}29h#gq6U1C&x>7?__3W?nLr zV|*W*9mH?*F8tU)^E-6vj2l*5^J_*3jf9p4#p0%QF7D=lrYsTDyy0_%v+i+ zzhqtlqe-NthO5H)M7F87(+?0x)#DT6rXK+Bx-352@bQ5y0B0fDZcsM5^$eEHp4vhQjs>4S?@wGP#KwZpB3`J{N>RvLeW?Flm zAyt$8KnEt5`-?=S^~u%;s0MALxJ~8l$`g`0wI7zfXR`p-nm0TV4;4Sp@%E{z(v)&b z4#j8+;Nb2xh)ZO)K?AH_%mIy?D+|ceViG{fdbcU0K^Gm-+RqdvyEk(zx{F!a#FaC* zz5w#o6-)En`v-8$*jHv}b{scV*0by1@7-;Irk` zXMmF3#8d+G`FP`Kd`djGwo%;iZ7z5c0TQ42q`|greC=8b1De^Bc=YRco`lNS*+F5# zNmaEOun)T!D%0_EUqJhnc4(M)y@{GoV73^l+4*E%xLJMj;Firjp3E~h_AhUls9me0 z04AMTJV?-}^Z@FWPCgheRd)-hSFWfb+;f^fRB1qx9C|n&l*l^=RJ{&Nx>!u+kd%+m z6z#4_0LtT5uuWOP9B58`%3zz{3InC;wdA7kwGL6D4#T?li*-bkqz4dlxtju+7houP z;x7D)GcgK_zV9Td^;rs8(HaHGB@~lBKrM#F&!^TLB@SvaEszBhMN8)B9y8ZJ{VUyL z2II31RYI<{2e4_Jn1J(oCN-yQ&#hT2Lm#Fk@6f&gX_0ilc9Ua_mn^*!Ck(G5P2;;T zv)PK5D8p!}WLN1)TF(@Eoji%=c*k(T@NrKyG4RyEkq=RR*OQZ~`sRQS77L9xPKPJG zmyP{Q6xDeMo=+`lzV%d;ETV-roVtOFy-gpwMFdQy>4TP7yqMLSTJL%Q9gGxD9jl#l zx~}hnq4jyxG*jG42PL#lZfcz@0FA6x&P~moK0q|fHa<#rW1jw@s2o5DTRjDAORAG37moU9gSn~%F%3)0kFjQAo8}3L-XA8 z&wL8Zlk&Pq(OT8?+&36!R|Rb^G3vCI57`(u$6UN|!fHjCr&UQ1Uvo*fdcovzVM>_c z43<`t=YU3D%ZcoXWcCZ_KpHVAw`51$^xL&`S(Bsy#1xt>3enCyQn#db)iqm^L=6+; zhp;X+|MqlTxoN!ik_Di=V(oMRH!-5rT^_`Jg$e4Jb%7R)H?Y9CfGjY%>*sFj5ph0C zDBNt^Hv_=wBYPwm6(ngs8IGE3pE0<_Oy?H8{f)(6dBvhKS>giV+^3tkU{sY@Ot)>3 zF21--+&4oQ`mUW1aXg$4i_e?`3XJF?hMGvlrB|~zW%?`upL=>xn^g8XZaPiFPvz-Hse|u9--GAQL7@qM%^yB<@E?a< zh(L8B6o7X}k5-e%n^*wO2~+JG6%3oq?aVVp|26;poWZTO;4k4iQvo&9i=UaQ(qE#B(0U63Dy)rUg_`p6yiTle`3SH^F3pdQ2gRuZJq= z0i22UooT`Mw4$fP1(~fK>P-P0n}i~1>R<+zF1>KeF$b+TcX{66_nKFncgT)r<)K@) zG+%n&VEe(N_2#?J8+^tuv2kT3cNcAT9;l(BxN=7%^U_cc@S!12jJGu8vTmw*s6FOT z58MnDlwhc7gpYg9%-hj<9`Nj~`<0-I%ykf8FEbu$#>`#B%*!pq7uzvt^HZ%jI_C+1 zwk+i#jK7Z>8ks&S)f@&(>ptP(+oy&C)F)J61{=vW3vpR&i$jv9gO)49b0?F5lZSt%%j#^oa~^DE0<@um z=y`QiL_GGO`E!nRsX%r;?Mj!nkUV~ktno~GLxI_vDa@41U1T~;n*aH|!Ofb_UozNi z?(_V?&oxhc!Qias3(p^%c<|<^IppHOsQKXw24^-;x_EH2gV)!abDAy3G_SpQaANa2 zFU+4D-W+_%;HR4tUPP`-E*YHPyyiueaPt=c4POlOdZ2?R!{(wF4DQg}{)P5qWIr~V z^ItSLqIvHN2lqL6vfg4I&`jIG)B~kSg4oonJaQu8`W4=-}Wt8|ey198GXDu=9%9 zz|{p}^tCfa^)Y=9xa0}h&BqcSDrS#i74v8HTK%h7Ez(tgAv120e3dI4FHp@t_I&9= zR+TSp1}a=S5>z<+Cqsew(S%m>mPZ&SLRBD%y9Kf&hI}}%Fj1;}NpL3m&&kh|1%cEE z#@IjvMpYoTa+~p0CCRO&!llhfi!=IT7)lD}7MXD=%xi^94`NribRem4>1DMFm);QU z;<%?ANW-;gJ79G)!XTl?YbgwFHy`U#O@3}g+e%R7OD>M!&~#|p5m()gU)_vYX=BCd z8JKQ9?swL_fCqs|%5Fa1Pwwyn^tZR;O`B6?O!pKjTna5%;gSlaiyNh7po=36aHrx_ z?{xIAKIhoUeD@WD=?t%zZlbO6wr#5_OMZo299M5b zKH{fFT)Kx>eM>Zas{>Nc$C`z@o-Tx_w}rL(IPJB&I2Q0Q)~MV=d0ee6an0GU92|NM z8qLsZ)VXCwYUA4{cl+OVnT0xUs;c zQ)cxo+afFPo+T+!>IZV5c?vy3HxtNfTmR-SE+5=#79<}oAyE$SLR+YdGkEAEZ4Xpt z;R!fS$GM#kE_h>-qD6OmK1mm^D;)c!n!0$xRt;p>7`NsbS1@ec1q~l0AH=HQymnLdhz)riHP|(? zyFt}gT!}9SgH(Jw(t|tQl7{0TAclz5nyc^|s=ErSCx_?N)#g2~8m!M)N{6*sYcLoJ)h4z<0^i#og}bcVa3W&&h^-$yPYJFnVh2JcEt@-CH9!JPneL|*{WL!J{Ez3m`UQd-Ns~bgYGym7rTx?LazSA zeCdGSZ45idFihhVqrS?wJ}`}7g={|j+QHyB^dFU!V6#met7iSR90`d(7^VpO-%uF3 z)rjMiT35;yj=&t#9?~7Z? zbvxnKh~s51ld;{YJWV?GZpBRbx)KyOap*HuO zU6SlHkiD*1KPy~{vC_p2)4NO+j{0lnWXG3CL(X=zc)}uVR5g*_7s zMBdI&|GW||8Pw|@8aY!hRjp3pU@KfQsdsUZCh!7&kY#yBf9mMY?}XzNP)`=#)+kjB zRv9mGL?H(*4he_Fda{g0sA(cH-2t=0=aeC?U}y{*!iXD&z4|D}Jgv&p*0wmN@)$^1 zjEE%>$CEW7RLlYzhK}Mw=gi;GIlDlIxx*g z95$MHx-p!dLM4d*fMbX3_0HyF4D*Ld#=hc}yf98LZFH%{aU)d1 z{x0HboeDAic*Hi6brSVqBaS|y$CGh)JdM`|9=#0Z4TBn#owONeQoSchNn_JfNXM~sA_|+!-!K^S6l1zc&}pkZ0a*)ACcZgp zRQY%qE#i9G#U0B!AIly%6H{|>!0s{#O8hnhKcb%q%L>st%vw>Ems|zAI7|og#>|p> zdBi(1fx&sUEHF4Oqmc>_D^$!zIpX33WXI1Xy}H%58rC>oj*7TRI0M=fNHsqaU?lJ; zPONEC8vWau%A+-5-$5*rus^a#gDRH7H9R?0bV*Ikp)ruKz@_o0&FO*4?#DR#g-U2& zy@9ibTY7L|rrMh%fmt_r3N#=I1`;?OeNSi%m%T&9EDaIYIpbI-(FAg)w;`tgbMm7D z4vk?M2#vv?Ch!cNPN9J;7X_)k;`Hja!Kq%OKdnig94#{AIF!^($O2x=Fb)-AOJJev z1GA3f0gm|aL^BSR^l&f|y6!PCQ{s@I2oKc4yCC9{Gdle&wh3t4!?DvMfrs&&q>u|; zYN7K9^PL@g#HILh^KYR)`>ZuHKF^T}mu|;bxVSPS-vR|$k-~aLIGeB*qJ@P1RmJ!{ zhaTgD9MtWYn!-^5U*|C2IRyps<8Q2u_=|*!S-HL z6;I`A=XN;vWATt#Yd-WQ(>BI#j>dtbEM{WhM$8lu2eNJzS6V`kkdkQ@l)?a}4XPV( zLEDI7E3B<3P2(62)5GH(h9VkXXEjU00DZCcaIIv*Lp$spiP94rh|7OH*DO|6#3iSN zsxg!FT3v;NziSc_bSjWAKhD{Wp7hoM(K^#L z+A_n)w3~I`kb>1HS>eg;rusH6m`t0VZ>Nd(P1d#Yjonz7ICfRO9wq$h!@0xmuLsHz z(mH;dt~ROBha12Sx4GzTjEL#ls{yo&$6fZc>~V4C@^aV>q7n{@PL|=YcV<_cb_!i$ z@XBYXn8RPh#SJz0YRwHxoy>h?K?%gbGprCcRzJO?e+ANU1Y)lXMDbILKJMv-?J9Tw z!dzye#F1W~p_B=g#0@p~>Vm$N`!R9oa=3Bpz?{YMqYYggFNvfHM*oY9>8?^YBdB_U z)8ue+vYOj&3EmI0v3#=aeZ^Ed1t|9>~SA9+I zkBJBrD8t@9mY0HA*theAAKgrBHHWM4F}^}|quJU8ODT5JAbdDJR}Ez0NAn)k4P!KC zbx*j962v`vfN%!JoiXqcZm3~XUElc)+35013`!I7E3o{FoMyxM|=<9Z# zNf}rLHEE4QHk#f$O^TLsNb0cMu|$X6Eq-oc6T$f|>~d_tYL;SZ6l;W=6WB-vp2D&b zj;fepg3RICSJf&gUZhcy}( z#ZvNG1Go}049gEzzvz3$b}cAd&{_m54cFO%utVPzq&t2kL7xfaJ0jc=&fM0vH=p!|>Dj+<|Pz}$PH+Yk;(VfTS|Pgt2)#^Y?pXS5__ zq9Y&I3k0Gz9h|!p4Ff_LL93DBxRa$*oHeu;~v7ohAld|f`W~) zj!ePMyXHo8k>Omyv2zw%h$gY-Jh(eD3LEKqcZYSoJ(TE7f=)2VG5FX|=R$tYtYPe6>M-ue=c;1c%5039H-8jC(lcJHTGaGFQJ zVpK*I1t|~1Tq);i(foW@V^xctaMu1#2kZhRc=USjY3X ztsvnNQwj&!?H%URYPUeX^fGKWALi@OyY+N?zMGE+i@N;A^HG@KYEfrrr&_pBaS9XP zEf70U;02cSKm{B{!!Qw;+=9b(3qS^L4!7DVCewOiLgH9 zg6r)5tfB1PgIWk&IIw^V2NrNa+j=LE;am?6--DVm<8X*U{muKs&1*kpay+s;p#=g{ zaC;!&#wk30cNjP_1@2~9h&VR`7IK_i7_IBpTa4w^x2qfnYfff~suw9H zaXdpC?pxr>&epP`!idWUH3{)0X&K4S-+UV!T)^RdTb0KK&8#~2=#U@FXsu)6<8b2| zT)6fCuDS4EQMIwDsAnm@onf2Dh0aP8;ETf1pzgP+$m>zXlclFWSy^&n$xhrtuJzKW zF^Gdv6N8O>z@eq7Sj=t&u1%YZKW~bxux}xvQrN2773x5Fp7oBsOc8s#gJE~N>V|TV zOARgeEga;-mL6qI#K9S%m(e>1x38du0f$3D(U`Pv!R4tY(K^=RS{ni`y&PKgZW>w5 zwns%Je89KqrHt;k>%&<%JweqVky(giC1~GknZ-TsFf+NNTI-_lTGA$}*tgi_f&;&@d{VnKeT;|xeklLgexRl`WlBmDR4jgs!$2xEJ9;?ju&RO@}V2GyKH7%P*8AnKkQeBljg%; zKt8dR3hl&H7+3<46=oksw?J_0VWE%ApIAq>UfIN%w|#;|rY0ITkh(GW^#)ytd5DK^ zQK|+)q6#h=WA(!(2-`WU zn}-IP*GFhfisV;yPCL{>aH3Mvbe<1MyiUxuwDjO#w?Nar)fIEZs;4Y>1-mm0`ol5d zik%q`!rbO6V{IYg+Z1rL+ZY@^0~NUb5gLf4z+Ud?evHOG%m{qR1NqG>zWx?>*6=Nk z!=W*(0N$9p&qm5^6&qEsPpe`+qhSx5 z9#ietQV2C+XbcmmHe#cB4KYxV4&&}I+2%7!lW_1hSx}P;Tz#F3Z{uo)x*&*7lqO+a zU>RKt^BL855G0&zf@I=|So;v*=o)q3wxz4NpY6D|oO1;ZTjidND8#FAr^{U(m{E{R zZPgqHhk?BQmPNh0_^_U^oP{kAgBL62Nv2wYA$P<2&E}~mUx)yKGXq!mchxO~h{NVD zzBM@Ie(_Y!?c;E8#P2iggh54eY_KzE_I!JA%by{{T^JGc&VkVQFopic$Z<3ZXUgVP zlsqG5ZJ2)C(x_zy=8&-9aE-UK(O_O%Am6YSkgs!+vwSQg>01+K?fPIR!_ZA!T|<|8 zjuL3)g1F}l7&a-`=gUT0{j?hIuP&1rE(E9p=hw2!Mq50_nk9$?5jukBSWVRpqIHG? z5W^a!AATpH!03Zdgq^s+QEd?INSnOQ(EmC4@ihp%U~T|)?}(ffrY`G8O$Bb|1oGot z+1cP2{b_Xwqy{b?7r7T48UuF(+YK>U>e~eDA3E2oY}lz`(!BKg!Kt%ocr*`-T9~s? zg}o1#fWnL;3Uz0}PF+OlSq~sb`*=cN2)f%xqt7rda~7Yhp8j^@ryeZ2QF~5MUU!+x zgTRJ9w2;>wYk_R;BY`sPWkWtT(J>!AskjN9_$&x+?w{6f$>;ldsBCf z<3Z>yOce5Q_8B%`_%D;?DO9_0b~~qWjSA}zi%A?+ZfAtr;@v5hso-?OnF?bVtv5EB zSIk-%1OhIUwSd{cIVZ3k0~qLsF(A;HU3D=BtbQg7yWm*a^D%qO1?OoViI&ZhNh-eY#Rfvkx0PzlTs8pt&1bmY!Pegso&b#zp)t$xLDY<%GB=KDY3MjW!c zmhrqL7WVo*w}jcB^{_JW5o&3P88^;Jlq4pmyOJdO&Ql4S?s~3q7q99P`J#HxUVsYu z@VGC=!6gZ|H40>AM;;amJwa0*XwEYebpyE<5KcE7g5tnVXE;36y<=Naa|Jt^;KpEP zjXIxQ>J1H?#-$y9r)+E3QL-q$!5htcel$3RIN>!@pl1%k7Kg0*dJ<>7@Sp^^xPRs9 zSYWdDKCL~ZDpRtl=W4-f7it4;*nIA=U4t2$>YAeVs7O7hxI-JXX zBnT>wu;CeR&d_v+jKi=Db2N;@6l3+EM~R*|SW6=X;gduK`akapw|NWFST9Xk6P5{# z4MEa#c`tT^j*3O)UXrf;$)*gi8+EHQ2*W zqqAzKJ_gl0i!lt}16sI9ZyL62SnP}t zt4pjT$H6Ii(k|~7;wOzHE>NN8Tt^MdA40q{7Y<7!wAy_5@Lju5f`wu^7}Olhb=}gg zoU2Cx)0bZj0P7{=~tOm$TQ`V+d1JzHIgX)psWs<7BS zMxH_C6w3=bNJz!4;7|z%gYYp&+n6szpQgY%Y#E)yNjgxFgNtu$<`x}`br>pPLr{+$ zk77oi!38`_MYfIMTl`$Q`LO6%l>5*^B3}d<5TncSZ3xK&j<^l=&>`sStsJML^>DQU zAJ~}D?p4i6${Wt@lWFt0qu6EPFW99dH%~Y% z2BP6w5!#Lye?6NqD1?zF=4n_&?Fz3E*W^|!tEeI@^SF70%`^9PgAJbJT(HTZR18Z$ z3vk(JtKWLe{km#o+UvJv1MyG{J;qHnej6@BVv-3r_`vO=_E2$i&~`?q$Kr`uC+xq` zbcK@D-q1Uck&xx*{nMj`?GuZ`(vpzS2^9+Wt^BIQ{GcYuo*T5+8(K2LI z2v1?Q?O;{Mb+I#BZD7e5V$V__ms;u`qqYbW1ieqyK+JW~*oMd|r+S|0M70U>(QYG! zit)w_Oo7jQXiU#h0$~z1xm@b?At|;hINOAy1pSSItVg-TeZDw!EUFcEqZ_F%VMBlH zxzS?@zuY({ndE^AWDHc8#(fhz?Rwv2;h=eW_V`^pXB-2n?FlUn?;wFgY<79VaP`)P zg7Jl_^TAHlu<|VoMLuIf%>s}?KLjqAys0Eu5DZtJC{Xcot2;D?eZm}P^`rWV0mi|F zcuy3FZEgN>{uV#+N@kD`3r@%vHhtvdycDL;kc(5{;}CrVt9o#~1Q)^yfD3*cW|7a^ zBKkikKlKK35&AjwE;^f1V7QWuD?o770@uAwV)O?YUiShQ)K1{SatbaeDOqlU769#L zc+L#mYPgC{fg$uWxNuOT5`3ZRVIrz&CB~^Fyruz82EMrv0*PI@F3^m=0T--9d>gC^ z;DULIMpx1l`h(=AeO(DkkYbu-PLDY963^ID&3lC zO^9ySs2g z1geFF1jhcLQOc6Q~P0yw)2&)~p zf3xO@{%bUZN^Hw1;3D#7f zBB&%pR{|HFK?fI9ui(OUMZCu^97$EPRaizLx1Qf8-BL^|h?#054T#t70OA2BNF(9jA3)dCj`(%^z}7F-CN29BNB%6&|-&P6N5g~bHBY^~10@iN@2VZuoE zJKBcEuxk%E$_u=K=`c_gnIG6-Ow`m+F)KkJzeSm?DHB8cV62gES3yWaJj-%LR!Ll`D>_&vCeQ~8OB{AhUUmB8L~9XH>-<*rlk{jHhYrgvR3 z5-#k{V4biz!_TC)@8BU7l=R>{Dn>~nuImO`@)lIBRWtYZAS>BrhG~Uby4H6v=erEm zyyjNBj-PRLxE>oEdc&%35BS!c$Gy9t(?a)Mn^p1N2#@d)^d~dV-5IBrQCJqkUWMzr zwbh@GgF7&|=Av66cW`~HXA%))!gd%`?Gb_gARZ_PD;xG`2>jYA!MFKtbw}>t$`aeo zwXncq+};bUNMx9Lg7B(fI0f0`ltqWL+_6}rHRH2N1x*82cxt^l{x?{Hs+ozSd)PkV z!5n7cXoFBGp(lh&s09>{LIvSw{!gLDxaEe95Ed@5tUaP+jqXgUsIbQi3Fo-Fcii6& zl|JHow(fD4uf4pq8gUK|>cy>aRgjyP1piMZfk>b1Z%!OIF!4Lmvd<>TyqyG9u4W%g6(PpEgkqZ~SZ3^#-k+1twR?0Wd$K)<& zutBTE9)A5{#lo*C$ZwpgYsV%CiJ`hCBU69|dsq+aID5vnE-2bgd| zU_;!!aAC6v%Z+UiyCaDIK&Tj}j(UrLeKwpHF^`A!2s3&RDyAVXcBbhBRskVE?ho6!2xL2gN*t`V$=m4E4!ZBa|lvL3xi$Q z4P4v4)KX3jqFx5_qv8(i9bZ7?CFS7$4Ie}9dPwYDh7y;i1}d6*bAwBN96L@JVT^lL z1N^q?>lrjAgm?x=l&!iz4v|6pF(bo{h%-l6!kAUH`x=yqihkT=)#15;2X}^`QQ%M% zJVG!)1sy!P&9JyL6@z5r+G-`iFz{e03Cd>7VfB2snHWSOx`<$f=C~fXpZm9ws;E$F zA7Uzr>#eDMYYE1y5@8p>9yv@!yD?i&ZPuvvguJA^*ygCYVu z#n>q}%2^@|L=J-00_}_osKeyuyq)p=KVIpKYE-htMn|U>R+}(^ZDlDJ2df{yHqQQm z3b?w55oDiRN_{>>mSfngsm11?7I#eZ&Wd5*Zt@~uPQu84u@5mnGhR| z3w!7YPWx#p(EmC4*|LX>wV<_Dv%L*HHjQvi1$;<@SY&fv)?xIAgyFpma5!~^0;69c z=77N4TzCz;Le7(c3M|93V328V#uk)e)Pt-vXRCTWJ>Djx;b)v15ZCYvfS~ZC1 z4$ZC&6tljy)_1}l+=mobF~=O|G3EtZhuoxqmS?267fWmr0$-b zU%rLKba@kU`T#UNnQFzWE@z5t0ztP-W(E|5N0y9V1dPl-z{j?jw5Dj22ng5ieW zKDaR-qQNtz=jaI4*0>XER>v@4B+4}rqW%dB6wGQdbWjkbrx?G#N4oZXJ%keHmQhXg zsaDN6htClQitVLt)r%S4biF);!Ut_05LA&WimPE$nvN@mAa87upC{2uR;FSMpTxTN z3c;$-iu1l@F#c;vJA|Fo)5r6#e~bnPS8~#ENa?V6Hm)@>ic+k`0TYFRM1POcfgFBB z-HtPiQrQxzm+ecBS|~mY`x0|N$)DtEq!A&S(SkpJ-SBJAsbm)tqgTeEu zIgsQTH*+>}>a{rtULWLE>w}mt9!HP0Mob!~9k9$F=h2Ji{J**^@)O?4J~hUM_4(Q_%$3rKGoMfi65^lJggSh&>X| z7Z*WM(NuK7^i<@W)QONo-cmDdF*<;ekn5uN%-5YRbUiU~;+Ar0Qrw0buuGTsfqp5! z)d5y*?0VgC%<{9a}aqfo9u4rl7I*|~i)03F zF5ThGaBK^axQC1dX+|h0>K}nJa?%?Os_au~NV1iAxRt`mA51};p3xJTdBgl5MNW*n+= z`$Up;(oP`4OT3%zRV#~^4_YIpqoovb@-aLy6+?Z)@UdLfzQfnRYRJK?9TTpVc<0@+ z&I(JQOsq1m>k3=Bi{l*NKPekNyDLnec(PH=%uNd^KhTj-5#GY#IXMH}q>(mON;w2( zrGPiBq!a*?b`v*MGcIaxw^WQhT)-sCNFmM1ln!D#48S;f?E@3X#64DS;}huT{i~*Q zrtt2f0*q&uqeB8r=efYH5T@I-@mr3)!UX`Y?3gIH&X`&o5i2ofojd8Gh8=eJ)})bO zf!rG~YSlvnJHPu0VURei8E_2i3=fkGzOA39K?;2-j>1 z)giYiGne^Jfv(JV#9Ifbc>Yj18BicByElh3b%r6gXkgLJfPu*~2TX|?aVECLmuuHV zO&2j6z0}z9ZhWs0!Kx#H1`Q)AM!!{02QZ_O7V?6R=385s8z6dHe=y0Tf+6Syqno^7 zw25jM8H7b2C&Cpf%w{plq)b@D=Q#_Ll}V+~dQVKuPz$7A`CWy%lSW;N4fH|NHQjeY zn9b9%Si~#1B$5YIyB}Bf{7avD@-@6LC=Uj{0Exs@=k}~rJ(xH!FB%27i^Zo=3l`ZP zA+Sp!{F-8AdHGfoBQ%;gxLrCU+{#i6@+R#-2$>&;DoLWvLsND%47`j}XdK~ZS8mFD zo1!Tnmc{*&7Y0SD)Ihb|jf9mXNsY2l4ZUb$q)-zPan)7eu>S-76qq-u(lu6h4mXbO z97W91z|$T<6XUEOk2Cm3m{Pk__lDB94uq1`j}X&1Rg*BzN(H$g9f)My?Mb4EBvzSO z6y3@}LBAhq6;vEcr3WSz7$J0V!3|0PbqqewRMYj2Da6vbCmhk^NOlm6YKaMh;2*Wo z-iBOpAzD}C1m}JJCY_2Ru}m*><;%v z-w61Od_lMqbjE1Fa??=ODtQ1)If6@kN>C#R^cN?dQ0{SdZ-uN_}KoBkXKZpz2+muf5RwA0r(bm0L zphLA(QXBmc!?EGhQc0h73qE)J3px@6r3emVL+B@Z<8dmpy1OOU)03pAr)j|*Ay6FE zkOUa+3jNj*)8tKsj)MOK{XC!8LV^t&{bVI*5^4$#v|g|Z$m6C5E0*)8S`?eUSDnX| z1k?M!{8n#C0JEY(uR?Rehm-AZ9IMiT@c_y~MZA|Vfg$m^CSX(pW0f$)LR;+rKtE}x zeYZ&-5n4%*ku8vF;>H#sv$nbDs62O?3;yv8IWR~{fzXxI2TcP1XzC{(r{iR6q8W4? zf_HVsiLYo9ifdbnrEFdk6JTrt#w)JdgdKa~wuAl$0V@uG4?`4;_wNc!oe1&Js;5B; zrDm!46Ucj9f9-XI1tSAz%wSAyTI)eG= z-EeRm=e}Z##&L>$6L68eLu!rBla%CfCky(y5SoOS)wMaijO#`Qc0&Syt`lBHX>utK z?n%<6qbI?iqoX5fVb=K0f9Le%t0+1U)ljB8x@8u8(ZpEiglZzU0A6059o305qk~#8 zHy5Irm;f{*b`KHioXA<0;!7OfXGhGquLQ-L#@3VV; z{_%(+NR132ffO{p^Y12$*K7tik8=;{3Uv5C3-B7dd~@FYf8crq9MRBP}iYtYZUnz|QspN1>+PPweJUn17S-De6(8@$a@ z0Eoz}n#_1hAt&+ZymH$FPqnbzdbquOboAK~R&kV!mu0!7>_eI)aU@AEv~THYn3T%g zNo2pBZm<(Ks zb``-Qcys%3e)%^j<^dhr=M{EIkgp4O&_?Mk)l0pS1y5+#D8gO}g^rh(-hG#ssz-7} zdl7l#4-$Tn<~j%T5|5kQu$RkA?u(F)9j`kCKi6VNU(v+eRADB~4H{eYA`u%x%ajU& zDr>SO{^<|eMT*uUTZb1x)=Q38`k)@%&(H7h zt8b^bP7A@j^s0lrG_hwJI}z}S&+~~BN=jcH(tO1eQ=ZX8fbFCkK~byxJji~}kwCf* zm(XTY6~(WU6krdOr8=_7OBbGmC}Ce?F4M>>R=$w;%KM7(Qmd{a4#-wn@YdS=B!X`u zAUj;APp1%n5I?vUgU7I$6m_ZI9oJIF{9<*{shOA4j~1vhkV%3N zgQId)`WS(*$>0wDS)aNTWzD8-l9Jq02i|{~cLES4xnV?8>fHIDI<@dy1&o0aWa;l6oqYD_ zH3JnU0j3w%u?VHG15-a#VDjAq>t@y`P>p*+J9gm~Y2QMlz zRXF05#0_k3_O@|RU}}dJCtgcWV9}Nr`LnZNCYCA#Oinz0 ztQajYC6e%4Ire}Jo~29l8lb9Qu{+dp3Fo1r8jRbx*{~5h1Pc8K&*HZC&_DIU^O^8L zW&Gh+RbnQvBmls!My7&GL@=7P6?f#fOMCYP|Hs*p%C1$XCr3}h93@QnAT-?LxMLz# z^xtESHG0jDuUscm&zq{rL~U`5>{R#^nA{^A9nPX!An)y}l#w6HABZDam822ck{hXD zZ7kq~?ua(uTZ=}6{~i5kuATV7KxHjJS;|BUH*4b)T9wrW2~JNkFXz9s%=D_|!e%jg z)R5n*F*mTuT^$0&hEm9#adZ%AYE7=EU^Eyl&c%=Q-a9bG#qM!%=-T1@UO6lKKhTdo zs!2$UZ3<4)(Sw4Q&|-oGCe~ySHNlbkI1*v-&kB`+2ux3G13Pk`H$IPP(K%g=Bc(tS ztItf>(I4vSMSzq&^^Ylk{ks@TxdlLvZDmtD_sKq9l4v;Qa9Yo>BWLWkAVhsr2@Ioz+iWZIIsE13l9$=c< zYschm_=Bn;ArPzbd|(6&M)XcPRl!;Z83rx>!03$1@cZY&27+iJ4YVgkr<)s03Wp}u+MGK^c3)N(x=-iR{ zwVqWi@$ANzspB@5XV%4PpQ(;654x^vgMPrMs;f}8H+q=6T?}5~aN~n>^ z(M~shjPlt8M>m1C8hCQ}yr8!1dhH5yekNbxJf_e5U|XzlHJk zb)#JL%D6QjE;nGmf`qKZj&T@t43b0&J6KZQsflT0BN!>2!$vYcekW!bfGaHTa1@I1 zm3O=YpOq5QfYH{pe@jMT-Zbd=AUG zOov4#MKe}fKFExtPE)o;Qazh{aD39)F}fzUmCHhR7!_s%)1$h;^pGkrJE{n*n3@vT zt;514q8D5-N3n6|f#Liuu5d40Sqx5h9TVcku&r0kAg*Ggir#UMiDRJq*8-ENrQK0l z*}+2+z)EKV|9KWyYDHdj>xuD!b^;T>B};3@7BFs5!{D#IIrx+sr1E1WCK1L~ViGVV zCIM4o5@JUwtc=?O9Eaze+D~x;SejTBb)F(FWI_inFfa9_5R0KaWWo%d!_;{Sg##vu`G?q;c*%9a2s{XXq#kK`EP5tEaE1EQk_2-G zIUdP=NOK-ST?k=pugH(lm3tsHl=?AVFO73+MsjM-U-(C8k!UB{BU=<|>9o~+oybu; zQSkBJ_$m|o*OE~3bMz5RyBb4*fILm;4YHZ(KIH3&G}odDNNdp)s|-Y(`Qw>Jk16D) z*0YI|X{FlWw-lqILQ-;qOCfQ7d*f%fcp*pCt-$c$^#b9-eforxbVbv0uaB5Q#dRa> ze@B0=vM0GD^iAWYnywXt#4h>{&>XBI>sSeMzC!R%P89{cy*nZVpQXWY(|r`%a`ZR{L?3|e+y}nGnK`) zVszY}-}%RIU#utHnxt;)6V&?&c7GY@Y4jd3hOp`O8r4E(S_$~ zOA8^|K2p~zO{jmJEV!M1d2Q4g~2i4-Qf?` zkx)URBe~+&Mq^s#>?lprn6JqR9w32C-#H%>{83n-zmBj~0f$AJpwr3DqR-G|4@c@O zy`=g`oS&C|YjTiK7^|2*Jq%9Lk?``$IET@6@-+{%VPf}UNHlB_NPJt)GTdiM5aWZq zM4=BVIhb)*s2^8`Pz_c4d!^HZe?F)d|4F1awFdvazz|Kt2(k(bc<2jTiElq^X%b=H zR80?ql7U$?j|zEWTbnrY<#|Y9+4)m*qdjN zwl*s43y(*?u){NnlD*?3S2VVu9r1B2J@E&;iHcp37o&#UEQXi;>PQm8@7*OOp{SNv zjAK^`hHKF@cU~p?pfSSJq*UQUn9!B8BWfx0CQaZp3GCS$A;n?bT<$pWI@vO59MKFS z2CpaKY2fjL`5w;Y)?4tL%ap-OdfRuLayrM}@zm));~$nc9Wza#cl=f|W$>46rp)2W z!fxr@YZkMe)1g@53eX+LqVv&V>$a+jonAD9D+}kY&y&E4t`6A?`XIK4#*tO$ab%hG zF_E^dBv6>UxEe6=d#;JE7|OmEgKM}a4uM=?yDN*kkf=)z?pw5CL%7D(Re zl?7Tl;^sff7`G%)%yTmkygJ)CZAl?%GSiFUF|9^_(*I>^;q#JxbV4(iXvICNb5BKP zV}^_(xht`^T1VhSIM2cs-I2qBqhlU-o9Z7a1nIHVoRDfx;&qg=!LlWX;XkujwcO$Ub-4Sq+<8&VMPJ9)O_Hvc@b;t^yqMUbOe{g4J2FCxz7mR>4*)iDuDmTHlwQ5&l%ie5;W{ST{A?HEKxt$dw3nyikA?h0L;f}GV$NPKUu zH46R#QedS?IQWJ+A(r3ToeHm(gM`dd!(QBxw%L=AI;6#rYbPuaIPf@|nz-3?|0v41 z=tzU{M8>%EmlUpwFo!VerqhskCi{ox_>yfY0i8As{!{TS#~6h&iu0y&Pe(%H%W$0* zE|fNM&2h+GkWUi>{@F<=B&kX}CXZVb%FlUHXf zkX54{V=xLcSOdZWS?aR{!FZyY(svs>Py~koI-OmP4ml-kq1t(Yl)N{gr-xSO0l)!# z-{b1(AJZE{=!Z*b$FR}D3}WwaPi)3hWL#4^l{d=x)y(C9@yrPTzelG@xlNP9w zUM5yWb*$O;cL2ixD&vVCbK`pwVvNP4`0-R(vMw4|P+dF5$)yZzOvzb|C(KXWUp;ZU z(hH`VL4K>+LSWMJf$eVLP2@k&{}Odulc-TFKgLMtafuoWrUF;AL?wO4bX}C3(EqU- z7@;T_KCej#REZXdhG`=hh9Y2!?*`gh?jNgxxAsnm8|Z_06g4iTZ2GO5i7>}Qvw4`= z3C~pj7&)YK=aPA1Sqe0C!Kc{Y!;%(OF>;r2-#4NkLr9a*J3zZbc@Zs;(tdybs(*_` z0mrXj!11R{>D(7eGGRpXQp1DV;fPy-PBZg3{1hr62DWCV&lH6PAbjA|6h)yX)MAjL zddCYG6)CkCr0sYOesbXk>6KMj;K%BP0!$r8u)CFo225Dxx92Z>kr+2lmfjahXw3TAqW1Ro-+w}@_ z30o6lVoIlvf$PEGZ59@2b~}7<9Y>Qr!&ENCVorKq1E5-};R;iE1TdBT0z>Q3qKOp< zMziO@*#FK!sV9}#y&)MySRgM;A0$3!7&$gQF>HUtOz@v%>~^r@C6qrLm}~=JzNBdf zs)^}TtIKysb1LnQAf|RgMoi0sWd7E;l<>5j$YoL)aqj3vEjUa#e5F=csYaI=zw$6@ zYWF3HseWx9$vCRZ#v=j$ajzRSkfC;rYAbB<2x`k4e6Nie=CHPf-}dn|62n3Z_+oUA z5q_EkhrP}dUx0W8-ilr{@!$}u83MH%)C(MU72tIt%8x;s&l7~Oe{Dt*gm@C+%#5` zAHN;RX2L=J#07eV*@|EUs0C9U7T;6<8Y1+{xcprJsm?lo=HDk5L6nErzr%d|K&=(h z>rosGU%u`x6(Le8F>F>}(8!Auf|x0V10&B~hlr}~an|kflB$0@d*l~hjL4Kwr@Ljx zlyaS=2$4>#D@5t4F^ECi*M;iSlkQN0GomOIT>qGK(Wmju7ElU=vZ0Nuk50N32kUV@D{$Gb}EH zE?&Pygw0J}Lllu$eL0B=s#|e_w_XfxLodb}X7qe*4hGe3Y9_%P>cb{wvJabZpHxwr zNr-y@lU+ty;6(!;1T?%r#u}Wgz0XuJ$i)pz-R{!6wNr8!SDtI|pPMG-ib_%OMzTL7 zw23I8N zril?ew16gWRJsrrhy-tPkaTo3?d_WbUW`@p+c)~y1#~)`ZNN+901uxYyZ~mklVmDm zfoD2OxWjyqtuq!#P=gZ|y72AN>tG&8?hW}j1)~V9)2oSl5x?wl^d%6s*vC!#Sg8v! z7X0Efo)m>FfbkG!PfX%T?U->xvB>6QMRAZv3D2atSq`8lL9H`PEpwAG-^GGy{j6+P zde|w*3ye1^dt#c#H1CJYn-*M&%J;aR?PJX&pMA!tUS`3>HhRZYooaWGpnUKg(#Cu+ zjW0e{dQnOTrs`CFAFj5f7*(eo!lo)@azn5M9PseBCc>Ae=QMLEwBpGDPO|psDZ3{lO#nnD;hc@gFe|}$n5JPosn~Rkfi`;1GCxO%_9RT=viApVR}kry zUW0dD?14@za?|h@DQ%>C)g;YpF_>t=3_bzD$ha5!DOU0KF6ssQKhO^|^#|$o*$af- zh(2(&lvseXUvst0t^b1mh=o>s;o(Z;L2*@nBjK+H>8Yf@nr6s9mgu>^S5E*ws0Nhe zMO|SJcu_l#Vu_GscImNk}!)k&ufq(ZY{}`pLfU zNzld2Z_*yQX#q>GCy7t-;r#3W^~pEUnZs<09f_|ZtF(8DOvanKTvU!moQ&F6xs)!o zugmS;>6_A0DR8J@-n-ik5D2rYhzl)4AhoXtjxJJ&w0@l!FG4DGh%zZvh|Y?V#niNp z&hQm8DE&>}q^Xk~Q749jLR=d&Tv{hj;&^x1f0_iPUvr?w=57T42l`Qs+ z7I&xniga{XqLc;71V%T=a{k;uz3IilsVD&0l{3ELR{azag{X(|y@*sn2W9L&d7BaH@7Y=$s%*>zS}$*xO7wq?D~*==oH4 zKg@8_*r{GjWg)?M@=T8QjSENQG(RwUIaPc@8c3C{NX!rUkgupBV*H)TvIpic3eT!o zlHdxK)yx4(;M1)@vYqQF*-rVIFXX1tv;X5#k$-dWo29cUyCaunK09DMtkE#6F`@qo zKPNIdZ7%p{ed=hRKHHd+PiJ?D$7DOq1X@YBopS=cp$v=a1__9cHnTh<5g32qb971PC+< z-9JTe+$wDZS7qnKGO@BjfkAP-82o_R@ujZ~N3jbE>f_|4fKP3==?@MAZAjleoVi693+w zL$F07fXVuyG*(H0uV0 z@-$=fXS`UldQm0hRHJ@Q5ejkue7=R|s=Cw2^lcTvCeWf(Jc4`M%*j&PDz zLm~guj&T$@z7%90-y?m_q)6J|$dZG9*preKnZ$}r>OzHuD^75_CN&pi4sK;Fkhd{- z`lW$zK@klp?!7yF^fKDXVv!VPCz6~H+-2Ose(`4D9oAyh5&`>1K5L9sRg{OFw_c76 z=bgE64)D*sSMFy#Xyd>f6LC;25QmU%1lDH>0tncZ`gfcgN-kO==@(-5V`D-&7`O zYX=@M6Wrs=^9O&&(^pT4wKqM%9W)o5y>B>)Wm2$E3#7{;?G>#-nOY7ed~0W%+!ZAp zpnI6$G3@#RVTrRMf{N__{eWXzBZ=gJi{ zFd1U>oswFH_f&$A;&U&C_Up}p;4JF}V*dyFDL!g`gE1i86Z2a>Cc?Q=Ip~b*jid-} zptso{p%C-6#bFFgCTd`9C;?NlDlpn^%!>v_?ZOEA6VcBp-KkXrlc+#A zs!Ak+usAW5Y0uAJ|243dWIm2_WhzqR3z1Bvf&*Ym9Rt8Dk@>znu|QL7A95; z5@1Rn0;cpKV9NLdCWjNSsql4SEdUjFB87_FuigoXkHu~f!_=DeI2;uIt^9J#aoSAN z{f6gZ@^(cgpQ{x*0GK+OSlz#(X!$tlGP7)CU@Z)k!4C}QrY4btH_AxIC4rGp+P}sA z95ERDqunUp$dA=e7?@rd;qH;vojqa({6{lw*D^5f;y93TTv<9^XuHZ#V3kn?OfR%d6 zJFw3ngo}+c1J#`V04`9t9bL`{K5A}*s4_?)9X}$5vS`VU3777_NEJBOQ~`k zm?~$0twvIq?eJsz_xB{&FFFMBvxEyQdLi7+U9c3Va;nVF2`2qX6RS@O<1A7j?e;^! zmed=N-%4d?+)0H&0A=<7Q@AKe);m2%#E2 ztZ@l-_HW5n8pj3yxC4{}#_nJR`te*cy#AI)Dm6}7qH#I2X%*$1Waa*`i;A!S)G8a8 z(xZT3{ONmF*jG&8W!xZaQE{corNp$)ljIT~6ID+=G37{|6dB1lA07Ay4T_d95Pn}f zfz5T8fN_M!dmN4D8>>V%Is(tU_&=Jdq&`(UCe67i7}G=44^5B0<>hLVG%t;hu;`ZT zcnDiEux8g>FazUiN{`n@yTdIlERb;J-*)cmA7v7%iu^&nM4varbR(X>IKTT1;o?dN zMqaCPQDk#7Qy9B%Jxvvi3qrLzm`ijjw|HkGD9+Or&n>0CN*tTQR?{pbE2Lr28@W^A zW#(s+r1v&0+P%X#y^IZv(u4jj`k^irDOS1{ceLN@6HKaW!$_TNj|$F0y_X7%R-e5X zvNt^OMW18;2m0v(-dq=#u8co|my%n_?9z@&RnWu)SjTa}Ka@=?&0aMcj}}7?i*ECS zip@!DM5F8P5%C%)Ugmv3ih3YAf_|)G1RmE@4hJxGOai9DOBRUJbeZE%59p^womPl< zQ<%Yn+n7mdXk+HZv-A62<%Z)*(jLjR>8Z%C6Ma&@BN-0Engsy|2+?T{7P;6o(+$V> ztW&u1L@OVJxzr(0CM#8o6mZ!&lzfeItT&u}$lSw=+B}Vk)q*JgZLv=hm&I-1C0l&3X5DaFt#(F6nlGurCcrD_eyhMEURkB;1GB;j zvf2fn;K{|8Kj>-X7D#Etz>=;-sf?upDZee>cw4KJNGY>MhM)Ek&+kyfEF{Yd6)LL$XZ{3|b%Y25t4csOYCeWex`Mxj?JfGM#J7@9*b z1{1jGq+r0)B|sT#CO_9ew4;Y73h3c@bvXxc4opc~;cFwObez%d2my%F@eK(5wC~p$ zBR6^y-cps*j5Z9x%!2=2$0dhIk}cVweHEEP?htYzCB)MDQpZWU;IZhn?2g;1+#t+V zE_b0T`9~#YVbvZYhuh3 zVc~&d673jQ*04elL;%3;5P;z^ICgRwXTJ`WDjVHFo9ah0%Pi`0&H!SH(d-|%W^i62jNLjHk))%oum#Ba?u4M zS~i~QdNJ@+=vXQ2@Fe8Dx6q>y*2u-z)VGv;%v~MW!j-o#Pbz>;KXy-ouGyl3LMdbB zil~T{AbO{X{Bp$O#lW=jB&ZUi4?+i~D>fvBU@ zIJ9-aa3gy$ipkMl{07CScnf_xCHheiB;W{+Tp5S+Y5pE^OU+Fpqb@{v7)IO?$`9us z^IKB$8b;v4i`Fv;{1%?0-%=wt!oWXhScMcQ-kBtrmO_i$&jd%@LX+TS&;pZTJ5HQ= z$u&CZjLAyUKpddcs`KMSS^I+|fQjHDR5T7tW`!Mw5rIUhZR8CY{mDwI4^nzN+nX#f zCABRoVye#cfnkK(Pge|>oZ4}nHZ2gsk_J!uMBA?zF;m+3B19=!q{^^&f{mfwk$q_x zxgh;p+(BAk0tgy+wAbGaBN9f0eq5)DA5c84 zy-8Sc6dWrGsROPL@;&U$-Z60ykBhmv$e-idQRpfI7T1VBh!vzs=(y&MpwRYWu#tDd z+zcZ)rmh{zqR&0OJ^F)JOalC5x^_4f#o$O_hpzjB+^4?VxaEEAs4D2YO7QH@-z6d{)+0ezPC1coU`HczuIq!>)@h{U@k3j!H0?wluOH3>Sl*hq3! zdn0i6VROq#Vh@rjrh$5j60f|5rE z{9DupIdY>RGd2@P}R6T+*wFbjno6Y(1pXKJNU!8>Wgd>2stcK<7;h4(&9r zs`qaZbvp0+^LrnGiQ?DMCsO*VPngZ%VUpEB#jV&;s%y1$5+}3FnTe)Ba!*YChSpAU zzZOWojy6IugYY=(^eYpMB=JBLpk54lLRt(l0`HDa_WG^EK=+S4AUX1sg{7d)_U=;8 z)~8BTTt)&GkgQUaB*{rQ*=j0{OcXzsXo31(+DZ48qABi2Kv;1oSsm?%dq zUrXNkXj(|ebzuerLzuz;(#Mpx?tLWKsWd6Ab3$7Y!Ql#&jzvgY)+R8;kZ>6xsKWks zah2A?m*YH`Xark(y-Bn(C*XsYYs8Uj5`z8eN}onZa}6r^$1$Q-RQ%XEo#}roY!RmI zTLPcfHKr-6j|q2Gzv94R9F(FwS4tCo8^n53vMVg$)ocktwbn-HhdB(_mYRr_WweqI zst@Ap(!|J9VTMrCOw^deG{*83!&UDOszD)y;A|jbk@7QBovTbD9276_+Z;x>^)-Qm zXvav(c_+zIhd^dZ2j)5#Dsgvlw41{)R=L}Ww948{F`5=bk$7V(_KwCS%r!6Y#Fem9 zml|N{DOd;6%u)*~EZ|7$TY_jZW+-#%Cedg|7)J97fzhO{VC1HXW?(b&q7x?(0TaeN zuIFYBrxwJMpsw}A6eKshO~Hf4kq%O*#*O5Z^b;5qwI-omleo$PTd`!cN)FVcVW#8y0nKM|3{NJs(IA(=v0xY`Q zbTboL5tW)G?I(L;q6ouAGCwPXrwqUqhMz_s#C2mBcTtmA8O2pAmuViUXxBE%0hzn= z2crk{F;V4$P@Ttd+piFC%zTZz)V{F)1O0f#J+BhRepOyhVv(`|3{88c{=oFW78yTmX4*)`b&K-A%EA2A_^qBjJAd}gL`K_A$e*r# zx3lXRhq^MejKI2YcVMlV1IyD!tbJew8Q3g4uzsc?KPFAHHx7fHPc^@7K?n@5{BT;C zKOgMoLV>Nlgc)$TEctPlxMo~m`@nentQUw6Wte!Km)063sxwKKvj&Ea)*mDTL_4OL zjwkNaQoe_;Wg)SH6$E(Yob+CI#&wB4Fv!Rgx0@lqor4(6pC4D@_yX(aRx++{Bru{) zni#jmOqh(J?6q!lnCYqYPaLKlaK;&(w2MYRHM5!+xBM&?vcT@z7}$2hM^-@ghzJDv zp-Jd0GxHWc$PtTW;K9}R;<6q$!kftaxce3b)^WYSI$Q^gK7-mZ{sT>npJ7ISzW4b2 z5nn}98EU*@$z&K>x2qnzqxBK%?n<*Gz*G}Yv>pQO#4?v}wP#6qSchj)c%=R%c zvN+}`Kc-jHcqYpSIg^4B3D$YyQ78*LFT{=yl0+&4!7bi&l$^@P*%Zu=+Z7!c-pyVN zZu(v{l^9pXb=RmY20nlJ-o+FINGYt)1*`TX{Xkqk*v*FXy?*08Ffyy>jX?j;yHqO! zyUAW)R5Z*#2G(r_Gp>tDfWf}|mJpXl9z^~O0tOqXxKw`Jif>>02HB8W!4Vl@RLblP2uV%9aG+;%0@Gs%5B zyE1VnF9p_xvA|BGbMC~j1SB%B6P18bx~p@?&7Q^R47%%6c=Ifj(&pFI#0YeWrOnLu(QGz+P5%>lfJSe zGV$!yW&V7yjo-k0##H3$JW0H%AW44PZ!!l)CQvV$l9e+17)a5T27-n@car;cp77A} z(B*rke%$95rFGE%606X}xQH|{EjIex(}1+;2$B{jq_kqjVDvxw{Et^+p-k$H#af@E z{%K--Q<|9CqUH+-MJcE_lAcw`5Q}-)Zzu(Zeb#4(O0J3NF|UbVc9kFBqo(G<%+5de z{ZC&xsbfRNn7NTNa5zyf%#t?^WQ9?tD)~jFuTPRhoba}Z36g+b&UhAsRVOkaoFsCA z)I6>u2>BlEOAmyuOpyKh$f^4t2Ck$*S%t1YKW%1-tJPSb3!KOOSsY!*YL-bzOD^{z ztHwdEiQ(MM7>OqvGY{u4eJ}0~LR_;ni9ps;KV6OKaEm#Q0QS5Pug!6B`^Yoie6ckA|5G^d96l+NSNTZn;Mc*v=sm`1#BQZAY zuc&?B%a)Ekp(9K0WA!?txV|;<&H0@lic6|pT^y$(5COoqdOxJ=^m(Mtx5$4bBjh9jqMW9osm@09!>8(D87)`65|J|`a+IH-_UCMM(T z_~3e>=>DLIQ`FnzUY>7$m_tB#GI)=ZnUX{^fc|5#64jK4!iJ2_P_HfsA&_I2Ta|YAv*#&+A%^vM}n}>V$fg4 z4KqI)D-j_=T>q4qJg~DQR z$-k{qau$PwLa3&Bfp*MWi}OzMTU$!FhfE8YA=~%2g4h-r6Xr2ok*S!cCa?%Izc%w z-g9egky@(Tj4Nsx$NmrWLt^?dsbM<1JeS^+pq#gu;e;hV2y9PB5*|qf?AHBbT#O@l zh&4J3g? z*d`maSt(v13C$GQYB5BYN7%w_5{ejsVL)`H5ci_GS6cD*CBA*WEQCOLAr73-x)-{LyY^#AB_sejdR z(l25ZlGTv=|6o*rJkmL+hq+pxK!S$rjkLx&_KnbmRs|ytFyt9kX} zMe&`EE@Gcv=mq-}F?JaV#7m`4al#r$GP8G#>Mz2)KY#fX7*>?_Y3=y_Jlt*#szr88c-H1Ua?&vDAbirP@JN}pfJc9@GpG3=Y5pQbvcQ~7> z>N+s7qxXnWIWRr>;VjJHBJfx3C! zA`CHMA$Due!MpUnMpyjbWb;W55ptB7gRLQ1i}%WNa97ujaMGK(@qh#r7SOsyH23E( zycdPsX?-}TeOdB8ZEqZ+6*AyU&4&}VY>`L#)Z&DiZ!WZ>NibuyA6~N&nWTob7pP|V zoPN6Y9^)=IHwBXia8V~ID)#P3h!N>vlQ!ujWH3x}`CfN_D;drU9sH@w;!C3 zSc;O+X*1u~iKU3aCIeU)B%0F1it;lD)Z=_iFKVF%y-S3|TC_Vtn?gU@tQK=6sgg({ zS;~FwNG1{y(o0ky!>hB_R z(`%(qFmg^XB4Q208a3?v&R==@>W8_Rg*3b-T?!tD`}vK}aAr8WMGJYFV4jiTu+bTo zg48Bf#=+<3aln*j25fCCFdDl6(RTFXZcmrBuM&_&Z8Qk;UZ0nqVdtBlXOF3Y>d(Sr zixl{TFb!;yc@am+)NMl7>o6j9%8yTqIDETxU#_9Ly3R#L2| zMZKMqI{Bw)&XW1zAdfpI^poED&RG64~b?1^#B^nEAz)Gn7e zW+b+#oZYYI7A0hk?kAUd6_5pX-`d=AY62(qf3+!U>AZ# zq+XtB*cZ5n&vN4uT_n09`T+aF0$z^3Icew7iZqKMi?Im~_ez~zY{<5ifXTjwDRjqC zuz+)%#Vq*WC14(@)x@v>O^nCfyOSBiBubrSF=L+OQclZia9SCK$lk^R+Ka9o&Oj~3 zN$1Q#r_}x)^3V4Z-lN6P!>zGE`xvOM)HqV})^RE5+2k#ynUd)gg=$A= z$j|T3&;Ayx?;dP$H=H?IK`>J*CA2P?&8?QSg8hoS;oM&B$x$Ih&2Eq3(rBO$lk7!Z zcH&!Y6T;4SxqDy;@AkNnKOBV+f!O(wz~)9Q%eWWk&;1fcOkP23WZ;r$W|I~^O`LQ_ zmRioz#cRk%5U)WgT=w|-$ik_)lzK+t=)=wVH-GWzhfefg_foq9DHzZzB8!x3*CCu& zArfHSGML|@{f36Z?+)A(tsHT`pmcsooZ9Mj?OoxwTg#eZ!@Rg?&Ot0#AA}!kV&dnbd`vYRrwjYR3 zv30KrL+VKE62xe?J)UGPErwLaanZ~Vs>urLc@>yjg;t& zN|E5VYUsr{-bfi29eWESRze&-QWT6ZjEa)~_AATdvr-BuylkIgG+l8}>Plap`kcta zmU=@vqAU$urBd!G8W4{spdi|!HhP=mu(xpQ=!&84iy`IxL7hAALbW3g%Suc;KJuB4 zqVJ;<3$27T{XrG%qwn`)9{C;xNPSEMW#)-94*jO>trz4ndNB$Iq3_$XK(3NR9I5d# zi}~rUKkW7v&Lg9XJn@NIL#!lBie_A`6S5Q+r7=RALWJ~jrVCx%u;zO4cYVrDe2qrI5*?AE)EFe%vp9a5!)z;Ru~vn}Z1tu$5BKWNU>HmaW+#EMZ;q zB)C-wob{qH*(BQtK}ip$VUzvJRE|nlLCu-`5Fzh8`rH(NHY8UrKONKdmaBmmU}XmE zf4`_a4cqZ*CSjIB|1FMh!N^=0(0Z9f8PI%hZp6?0>;ylMc7n;#=T3Tt#MA8+r5yG9 z%J=O0%i9?~CoITjLsYCvwqfUY4GzBRejA<5k*cGOP;|83rorM%C92Sh#yk^TK4@nr zeipfPc=bfiQ|!W7^M51gM}6tLoeuAPw`nb*a4_Yh@BS)6m7VJnT+z+p$b-7G%5N0p z@kXwsga1ajKt~XX5su5aNvPs(IYI~*O@t&wSu&|x(xSEOyoOu&8l-oz?t z)h}AWE9{9?%#_B7Qp6}z?S%F;JDr><3#&}IT+=K$Lc09uT#9;8rmFjkxqD??zdDyA zd3g28!1L#Romz4#qU!XCcuG4-{#nyICb)DyZlJuNs4HSe6u+*AIvz|q`!)Bb&{e0; zvG{{=?Dt0WL=w2bMK+T5dN5>5YIl&f7K3_im|6>@7C;;o{Zn3kd?Mc{HGn|ji^uc7 z`~1^yrtw(s2r<)_5HGl-Jj}5+gaSi-!z?Kl{ilvAp{9*}5Au!7Sd*?h6eMgXOBZci zLK)>kG6G_r4V8E_iKWiK1?C7jcP(wt*q6!_;m;Alpxw6Rhj)G&;})oG(mYU zskD`aL@K?^FrK}Y5>A>=dB$0EUZq;+Jv^FJ)wa6}H6PbSFOU{7%~N@PR^CwZflM`P#c|-aVQr#_elIr)e{*E2){pp2Xn!ZMUGqHc|2q4SDg^H2OJqQ820OQqAx` zie*!oAO?mOBy7c0dT5U(9(g$t)8bl~iLqVhnYvq#(-Q^A$KjF4ErSGEuQN{hYoBr6 z57fk1+M1X?$xU$RhdN?vzv(61I{^tg5~4u*AVC|CBaYtR!++Jxhw78u5@gfBe9#ZY z%}BeD4Mg3oQjsy6n$@;MqxmFJ9qmMg`+N|-*yE_i6=uMy z#?h$36JL5_u>YL}Qf4pfBL$FsG4h_SK1f7J8-a;wfkYfe3^G6HBA-qJ>B}|_T*kS( zB@a$H)qzq@-Fg&GInIf=o}@34MJ~IHVpj=vdbNnp<&9m@46UzpB-lqQRtFmlLEsxs zrwE}R$=(b;PgChg(4jo;@)+87?6JXc%1Bd)IxY0WD!dqLm*fpf_#Pp&e_le|KZYtWd=DH-&16HlW~b73PBcjnbvv3r<< zI5uH@d86_s?~KT#=bGI-Vh|0dx^ic7fW-=MCFl~6?^_e+v|5GB$+X{qtmP}!bUfKc z>P>OoguDvXJQAbM->43D{9(SxSRvm*%#=nJE6W(UGul$o7$_tj(l;`}yQUe~p>AZ} z>1|#`kCBOsFWea`TBI=D5&uFZlPZBteo5&XxHEo!DM}Or$zo=2GUIsUUd%7mpxQ_Wz{8y>N|uU137*gW zN_G^K;B!xpzs4$R{BZ3SO_%DY+mndviaEubOFnn}ESd!J&?HxiJqQAC$9`~U^4fgv z)bn@}Jwb#`KKB=mDnSI<=T5kIJ`%Ju+^Rlzh*~>AYbd_JZ*4`R+xxyF9b0!zROESk z$kKpQlekSi?sF#)E+eWFY%i?wH5N z^E?0Q>8szKM^a~6guUVx6RZtvObSY^|8+jGKD0g9^89J;Ud<Bxdy`&;trV(B2r%_H?0O-F@`WsY6x94wkCy@? zl~W(oW2lV7&!BPiC~4T-i+T~%^eiO{O9PcR&o~v@18WtEGb1%r*ut&lIp_~Abdjm2 zo#4UJPGBsWgy$PI3DG9c0W-~p2SJS;syahpY7C5WPTF8&%OxO;Qwu_1bCp2^H9$G? zfGH&v7+!x(Owd{|1p@HOGEa}Ydrqp1fNI#lkFP9{tEwYGxAP?OG}1t2!{8yP0dh@y zF}RpDNoo%??#dbC@tcn+?67+K2LJHB9!RF6+|w*jW-%}oTj8(XcDI}8X@_8J(R8fe z7G@yr&wFQooq^kQe$1bSRDcfXX5)@xeLb{M9K^xN~&K%}@ zjcK|T@nB-x^1zTig#~J%TO84SQ=LSBDc2I18uJ0eb6kQNpyH&!RL~4e?+x*Kks?pP zh+p(ZQa^BkZJ{g%DrpUv@=SoyiBuodqgRZBgnJxRQ?zwTrD2)2sXkN(4q$qS3z%}k zfJu`8Mmsz$=8Psppv{ugy8U|1%hal#aR~) zOx@6dEeTZ%{x?S=K()mJrh+_R@^lg`!&T6WA$ruuiCsS=iL9Y4)U$3gx}bV<_FNW- za>Zl0GYSJkk)9?7ro{x-4dsE+Z?6=FczQ_as}F|yd*aj^&a}X9DIJ^9A6Vzyr~Siv z?7{!OJAh>up%Icsy;JLwcNZAW@LC|{Tjd2~9L}Jbwiei^xi9A*??%aO2GSZvlc2rK z=SgVmWhYN9u(FE+>$imiL;veZxW#3Hq5~6bX)GiGr5A%Eyss?3?do``^*e zjV;G8x;zyIea5Ls>WT3q7Q5xQq)PNS@<1jL9sH9AOZI7`XNenC7zEats2Mj$F{1wiBy2nH1lU?wGF(q?A|)_AE|*)dm-W5KSc`WO zwC_GVpB=oK0RBugf#C-^f9t<_`uj-<{>Tr0`qMxA8hINm<+UGtXLQzkU1hqwM=_ZZB;%-b4ldj#vKwLtgtcuYBa~ zPk-XAKmW;3{N&I4<)3=(BOm=PTpPE~Kl!WYn+`N7N p?Cn>+<2zpYnV)_4r+@Y{KmEy1y~4UNvTk2_{q>Ljz<0g!{{U;DY+(QZ delta 410274 zcmZtNLzE^;6fNjV+qP}nwr!i0)}OX*+qP}nHY@GEPu*|Ovsf{U(fQUsJErnA{Npw1 zmzDv#S`H**NPcH^n1l{M!#6e`e?WnX$Dxe-1+yW?MdBfdyoX1v*OXQz|J|whPJg@3 z!HRj>4Kb#nO1QeNtm&<&8GGx0TfCm$f1P~3#{Ir20@F1 z`ueqfUfoqGbg}R~pT51{{Q6O>f8OQ&exCqdUS`@}0laG0x3?bBsONoW2wg`*vyjHd z;mNgCVQni};_BII2zS43Q+N)yZ*)9w7=K@1!yMes!gkyfe!kDj%ElBNbQWD=GY3zx(5T4%|eE z(tayP!p(#9bs{+kOrtN(ozrgnfCY4GTBT)Sv3IR61;@Yak%#gE%VXzrs{YR-V#9N7_XU4 zJCt+I@8{do(U?1)!%Wh;ajF9%uA}-8;=~ zfv7BP183CA#=$fX%o}i2F8iYXS69IapxFq{fA!x3cd>*0XzUcDr7}kDjd1ZIaZzKH zS&rtV0K0^J)Hfw;c_zy)2->LxcZKGo2h8tA(b5IZ{}g;36Va(Ki-@WeS8rBmg{PJs z!EOF7GrtcdQ_Bl%Bwv3#9X#EBA1M&@DfYgUjh&4l%*6@(Bms6g@&umA5|nMJDPGJA z_E*&5jbrJ%76m`3Qxt~lTZQ`eRw&5dy(=|X^@vVT@!?8J<3aL7#E6rrIpu?;28JHP z@^W|Kl7j`2Fbp2~blTp+8AT(`A;KMrP^MTah!hor<=bh`Os8%Y(+ou}5PePD5j(3C zSoKn9mqR*3paE4p<%XOZy6pD%>`4LqVBh|Jag{*a<^+PQ=j5?G5)HSgQuoFwn zdfW{L7T*W6jjb8_natG+mDBv~1U>9>8wWeZG)>G66l!R8ihI3c!SQfx!Oo@&M8Wic zj)d^kT}Ph${p|+YRX^0Wz=l`2$-jP-;}zXQ?iB6rpaF+Tz+7N?xAA#8Qe8=yynM85 z60gzHVR`GSs`y{PaV^#h=u=DJ2LwPPr)D>7peiNl?!wi+ooD+u=B&&E1t_$)rm1C= z&rDLA2E@d}`|t# z3dhRAUjT;{e+vUbQsWiR;-Zv`f@{M8+yGtCVGn;`AW`&^&{E`ItzW^*_1o`$Ro)%C#KFL;%NWA6fI@GbS>zYWM@Q_4iPBmp* zU?2DXyE+kXe}_8Uus;mq7QYJ_Ct`mb2)!yDF90zq9~}=n`@>uvUEsv}2>Wp2EA8Jx zyH`lNGRXn^I83WV9%IPhS-{{+?;ccUdCD|~jQOh=!jq~pn6MMIgN7=D`#>94M)g$2 zj7+&JK((%h>{xGVLK^*VX!{yZIn)Dv5Mev$p*% z0{|oLcC+M<4ySc=C(S=dbzwuT;X~oCYePml{YIgc7Fo5dw8LifPr+ZUI5B86ik;EP zu&SN4U>@jeEW3!E+2$Ex{U`|ps_cl^3~pK`I&I}H^P7G+D?5IX=Nq`XGfUUCa~89+$Y zCJ47d=>Q!0wNcWaQXh)m4$7|FySwwpBE!T$FpKL9W&aU(&v#E-Vlie)1e@ zg3XSPMjf9cn}U~+Qpdn=_u3^xAMoT45&t-;N|^J@5ECLx(q+Q$2;=uRog6&AL0vf}6acT-Ru7wocHX}%SB$}}iGrm~OwV980 z>5-(>-2$0SyPnQi{c2|sxv9;Dyq5(5jDzO?nfi3%QFqlc3ph#zOnkQ^1K1}Vuq^Kl zg+Z}9w#(Q_!knhe$7^Pw@7INZu}M#%^9PE+p)5%&fqNk>Ba&PgvO?M6Q#r;~X-1s|`z8qtB6>)XYOVrN`%F5|cY!^@V_L-j? z*Ek~FhQTpx`Cb`~XAq9Az;@Y_=xY(SiHo6dxHX9cLar@izW%fj0!Xa6Z?$);-*F&t z=Z4EOV?6Ej%KhMTam(i#);cJ*^$-2KuBf4wu&$ebM=uiK{35-gp&G-M z9^j0FNtjpj`6_OI4}-2Eo`&9=QhzU6k^LWd|8};_eDSipm~7}Hpy_Yn1jIyUnOeEe z&zHZYcISiU{v<@ci)>?CHB^2;xegQwMn*wZP2}O8Z-KGbA>zX+J2`|*o+$j)BnzLR zp2a^=gU-cz(J9$fhj+ehE|G7WysP{LIULuUOA25^P5Rz}0byriOruwX#DJDHv$t@u zBxK@ZN+UW4CQVY@1qE!2Bm3nU5UscMM|XWt4-n38r-A}!T?hC=dU9$Jc%%4SUu%Vt z{_?NvTt;cHB#u4|He$w}5v#dt_^Lgxlo>%-`t9^!>+}D-oj&9dWG$_o?eyWS)`J|` z6#>EL1dO`;{HB%d2=rR+-qm`nR9!j5%?b2*bK@KM`u_Y|tOxW8=#6GQ>`iU$To_q! zZgN!fxm0?3>~wc#fNMV9pN?yZ|$I zCA)fi8AhSASpgdCY(7gAelcc4)7tuY1$urlVY9#_nrX3DfwZAT;(p92+{FD`qO$BU zY;EK?Jr}ekw2!*YZ-$T6DgI;_=#CG%Jp~%!LCQ9GcLSN$LaDosNuMrKo%TdUxRtpF z%re~RPi|LS?pr3xGfz;HFT{ah@~*9xFIbhD8T}^J!vIW2p~^o@$h1v!JD_Vjc*_^j zOj7h(rA{@qja}RSugll zU#@G-b+$}xCDSSwsSisw&Na@V)^8-#L=;hNVSqw*h`$;{+x}T#FQ#iIWcK+m^ie{p zOs2%1g8;LF!y^HwcfUa30iWl;*hWcF9VfTr;8@CoKnX8mq;w(-&v z5wgypHx$~?B)Y1Ukc&^rX>e9rMM<*FAo>MDG1%-Epv}khlXKl@N$G56USjdFP@&KB zF+jbp$@M@8L(i+m4spNFwW}9kKwwcTN6=>YLO(j{6R#F5<9eEZy#Mw=^A43x0{$jAT!G~p>JA@4mQFCsQ*vBtjlyXv_R z4dOnl4%^aC*p?NX8>jm$XV6G6wCygl4**eAhEN9>%kO@FI1!+tCRK8jOyYECeNG>@ zx)Teu-dTDc#ods4%g6L?P2%C%XFDp>L+G~+T5}I(Kvl|Bo4rPF>R5xyY=(52e@|$8 z=3Xp$B3&MI0YWOruw|5Dmn9&pQ2#tJu0b*tx%hANh3>9n6|D%5(UU=uwaK%i0UV2% zI$mSsT!-PNGArlgQq62UJqrW(6Gl+1oM{8hl4q96iAZ98USPd%%`~0DX+n1lP=XzN z8%@R-`0 z8}ct4y$)XZep>#*?hAH+eVQ@^18Q^V`9|U#&~akbGUPIHq$7fyT6>MO>|9i`T7VTL z+nfu8SqVOalA~FrmAC|B;WtBkyGDd4UIWr+BuPBuWVlp)Ii#7 zQt1(XdliBh_n3J_GB$tW{)k|7*Hng=OblF^e3;sp24fFr8 zV*YEELEwb;m2HvKodzEa?IlS0kI-x_YM4Dz%6F2!u{IuV&&0h04XzMxgK~--)L`7~ zwm}N+EGZY6#=98CG;mV^>SDExa#bZdj_Pc@N9XpH2Mm2d~rDPXdUIze7u`fCdLf zOLPx19&Cd004U+?-c!nU=qW-LRWK=Fj5A+oVe-jp3LU0I#P8DWRm;m~Sa^d+~q`-CLtd5&rogWFik zEd7Xne$91zB#=e8GBBcO|m=ZH2lIc&8Q)!*Rhw@P@zVYYaVBV&7jN(Ip9mbp?qu%H2oxEr`S4n zZ2zX>SbC9kJ1JHPB1!kmlu$RKm?0&NCFs&VcL2yk_D77B2WZV{xS}omBpQ>q&vY4w zZX`1$1^0_2Qzal%#4d@P|BZ*oAf(XRcW6Ld{EAVVG#K46hTtjF&gRyX>?)=Wx$QSt4ZTHc#Kv!jO@fjcq#@iZqSH zXIr$^JYjL?0c>q06psWfF%De2^jT?6G*r@vuw>DHTB-DwLJ_++p}SJT8=gdl+$@qb zEr*O~r8RN(tc#9!W^W>*>7k*G0N7|vS<>d^(!Pi5+ObNwcA`|;m8O)Qin+z z({ITvIFIOV9rJ4GnZKDw_;lJ!ai!8*f0K3SumhYxg8b?NhvLP+Rdu#L^|W1HEGNT! zUqt0t!nG?TArzfWS=FhON?FCp7#`kyVx%GXb{Fp1pN2rcI~n_~Q5x@|PW6t9)9c@py=x()O{9qd z^&-vzu_|@iVNe---9*Q!z8^v=9F4uAUK}>jGD6&ep8%!#RWYt%)$_m`X z=~=Ih!}9@@8o41GOag0Q90d`p9wzhT?O%VJ&+&V%W=2b$tN8qo7>6YE7r93Wvgy65 z{{gNv9C=7+a%L8G4rnubQwL*fXlQ0eW@bW0!vAsRw=djHV z`{UO)*xw5mPI5Ve?g;6~@KO&9wo2E}5BrHoE45ZA)kQnf3J{QPB-1MOIcpY+jJi>g zx+Hp!Pad)^|Jl&J&CT80+539Xy)htQ2dGsWvmM!$09h{yu3-LtUf4fgy{;UU4ymPm z#CR!-#%wRI1&b2_Y zpRfDT zs<6zoSlF%@O=11;0i}r$vf>k&iPJ)B!X=F$h~A+25`-_9skn6|A)$B+L&@mC@1@;n zWLGa{m$B}z|HU%AMV)!}x%RvKJ3b#Mb)Rucc3sU1D}^7lG%p&Ju1M5j`;9yHPLRz<^gG7hCcH?TK-?qQ_5A`fcnMuZQ@oUE5G(90%1hfr^Vjn&{vBZl z(9n0WlG|QPfUW>qcoD}^?a_aPv9ekpB76|E$o^T{$qK2Uv1CL^1dH(LLKW#g6dq8P z_-1BPU1px7cCnJIf#Skj#Gca3QUyh(X3~jZSMpN&g^FZoR2$l`-d8;J&7li{KfFj} zq<@`d-vjZBCsB4uq@14c%{<-dr$D5bNhpC&iOS;F064+Mh96=>@50K=MpTZ_!P=?f zr3Opr#Wj+=@~_6(W`zo8I~TxX6RNmK3dvyR7>gRLjY)hWL^)N$YoV)WlwVrbMI(6>$r*($h2LU`KnPDsNbb4=dV zfJ2Uv023Yy4)EA`>}N!-WbS_$)D7KtDvVsntO_hKx%l7yT$2fOpW~6Lh%1;FYpO0z3r|jpE;?J(#6HIq@f!t;v2R2m zze(2PZDzC8QgJRsXM^iHZ=w>wKZ|Md^TS3gz>VlsNTV=LGU=oD7=)el1nqgmzsFf( z0x$b%lOlxtb(rHSKsot~xaBdy`|GJ40Kn0pdvSB0B^%^p`vC_L!)!iq`H~B=^}wA~ zBA)I=kRzt9;JK|TYJ#Z!w+{*GMI1+_^}}_TPhlDSvDbBUj^1Y5lzv3J)zlPQMz#S2 zxnQ2z_`(t~#&4XI96|5G&xAv+6xHWrQfVnT^h-LiX-{TbpxYc`>YDUuh2kOy4G4=v z9vt2Y3VdMaUwf=2go8p+)FWOhd=#`f*6oXhc+%}AyD##E%D5P&5-kS z(v0P3Oat0AhLV(v41)GuW*5NCy3XRa0m-%U)aoO``F+EjGS8y5H7=N)Jkiv1#h2!N zqI8Nqo&fTWcJIVILy;pYmDZEEG(dW%R4)x4^7UQ}rN!}LSdTP0c1m)^0w8r+p+`vx z(~CR5a|#K{bf3}@BBM}UyjsjMAB@Bi2%}KMcL_&-Dv~BT>096*9uXIq*X-Q$yQN+( z$U(Us$t*eU&0s^TE-rP1li~gLcz2Hoz0kKJnhKa*Lk`6V-p(p0-QY$!&BZZ^JZvz{ zDDNJ)CB~jJM?kB)%UDyH0>bGULZJZ+pI5u)$SaV z(-IHZV-07;7(!UThMCW6a#Zi}Ykl_UZ^YKcT3A1fd2V`o z-nTyngbBSwPWaJ*n$GRZ4d@rs3B?cir|xq`uCfNnROjI88S6&T0Cb1p{cD=yXp49G zYcB&mv;CZu6ou5q>wu5HO2##!fIlGnFU)uafZtk`1QWYiz+k7t(*G6-nk2Ta4l+aq zFbff*MFRrv#hCJ^o*+7N2r}zZ4aj*F<>;oaE7vdk7tORmDuxEWyu9>I*!0M`5S`ig zs>PdSb^AxUbL3!BxwR3jGD7Ql^drt@Q!3L?Y4u8}uVE|zaIY)n(rFcyY)1yY)fUuL z8Z0UFENUom-wKWcS(gB+56i$LrVliFW|sd=3uZLj zR25xQUew;Ii@p(v$EqffY$(ZrZZ(m7nO{}4MGdVS&cL=b*QCbhax@Pjl!zt;IMxd$ z@tOTcPDCQeF9B)deq^Wie&p3=Q8*ESR$N6cAXuZPKckiw(CMC}tbXPI*_D?j8QCMd zrRG~Sd#of3OGG<3>1SB2FR?>2oo>``P3bx^hrPWo3{WcBcynEmAW;uLzne$So%*oNj3X? z#z1(N!7U?#28%lNx(>{s2fnS{CGKw)TxeUdx9+)d+jjABAwr{t6zMQP;xQE@vzAyh zdgiB0?|hDpU6tXChs->Fk4dc0G#D324wPSZ(4q!^Prr%=Zh&mGH*)GAMZ(EOXeUVj z1VsP&MQBpX7t4zQprAR?g>4ir6yj|czb$)7C5JTA^<#D!!dc$9Ne!D-@0?|;k|Bx7 zxG@SO;Z(h}Cb#wVbp5>Dg2Db=e7t}BynhVk-G99Cv*6O5MmK1SRnoQod5h7rug1EZ z=N@ej;n2-t&ulG?W!8aqOQR8U=S&h|z?Rm#An6?!#7yM{&~K#Dx0}D10a>v^P`@?O zXt>U#Re)oXGqA^|EPF^IlBacvzu@EdD|##smhY*X9Q=?XgNR$~ClSmPqiSfu*Nox+nnxYfR{}?9FcBl+B(|De)B?wmk|LoEt!->xwH|D@#zxoVxT)e-yzo;@zel4bABzZY zB!=>(pWtwoSk9iBrI0&W*oq2;&z0yd?yJ&l))2Y#F$tOGrdEQ{=Y%4k%cKMye}H8a~;wRRKW`C!PPw1D69e-2hJI(xwLdcN1PjA~OvVIE={$ZpF& zb!gHORs;x-V%>$<8vcO4F9$g|bDQG^_38ECpDYjAriHa^+yNvzJyv7thMF7X415ivn(s7 ztoAL#kIs8@7`SI+3F{}`5)V9etMRAMo@JT<#XhgJtwHg9!%@gpa|ETjT~2-RpO)u` z`Fwju_75I0*UY+zQ@1wr&@#9DDcwd}BVCBPQh-LB;0%dDEM9&|<=H<#ag2v7BPHoG zZ6Ff7=^XBPMvO_W9cF}QCs6GS3;c3@$V~Qi8YSqW6Qx?(dlH^op1!(?y(DZX35rJ24m@NopNtlZ5sele3@&DQ<hZqjCXw{98{Pkk3Z5Tvwq8UI5!aGY%aM>kAdoN4;g|KARanTaj!!RLQs zMyG0f9LZ0hPdL7v&?Jqv|F>c_Y84nxgGTm~N< z$6I{SMQ!b*s;*MZYxDc_VCU)OW-08f4{$}lH;3?hEh*tOI4ZBSc@^Lm-X1~jl}HP)Jh!)V3(g?Hy0lqyb!#w ztL$~BOU*6WMS(zGR9ViP8BtndFd|ezV{$yDIyoNghk%mo>Fa4}=-<vyU zbwre@vbwtAOdi{P(T}&`DkCqPU!W01YwlWf?U6(mbN1!4s7G)HM5CHH z^#bLMLZ7*}QFS`zxaWg$Tj8wt8DGEGk-(qvJb1Xt7eK|-F*5Ty@O5k~Fnh~o1{e(V z=>vuN_kFiYnb}mYkBIDN%g>$C4?=wF8p#co6bv!p<5%og$6^~EYv1M)IQIMUq&K8e zeM40;GOAK9atEon1EsM*4NwQM5m9ugkR@O0W!5lVeS$9tX zXpe4;iA>hsQ0Guo^^n0)IXOkwl3hnDkL1|EPU|NrykSCPA3AMf)w#cIB&ta~s=MuI z?R$&u6K{OhXryifHfbLaKciliv&Zp`ChwLHc6`Kkr}1fhyD`T%2NX6=;W9_Vx%Q9l z`;Ib3-SZY)D~#~ zU3oc}o^Ol>f6GCD1~lm|ZfHb+jbYEMn$iQ-*U$F=+C<&lzD-@fey?5|Xz4cIS ztdUqlZU<41Wm=>NQ!N>GlhT+;tW7^pL8&uVqJV~em!wzR#KM~T=j;1^|21{yKzOV$ z=P*aGmkaoT@X_xhHyWBX$GUTE(W>NdS{_gL_p_Dk9kmIr1GFOz&LD735Oyj_ovE^m zsw1|>hR^}to?hzO&l;=@xuoCPwj1}Edb`s#YmmOexsIRP*i1S_sAB%h{I5eqmjmlJ zkz2f^A`?ZZp?Xi_dehBT%_eHZTGipD&C;w;Tu>d9e=2@iZadZ8%!-O-Y1 zgWha4h$~UwF&iS1b4y1Th>F-a5|M~)2F)B&eioaaOK8#N35MK;#)`#EEcyAyZVzu2 zVG;d8TpEZ<3gKKq3Sm|s`BuoA8>u>K4Ap4hmT~o76(`8 zu*-~b0$dBNQS+`29qQ;K2tTw3VV;(>>WoY_?FN`z{hI?8N{vz32Th2^7uv;I3Z0Z@ zkD_Hv+;Iz2VgmmOIZM4!M&w^NvJeSnfSFMnaK!27*liEP%@M>n8o`i;q%-;(N^sX*c!Dw_8U=2?Y zC*Q`Id$x)%4!z|9pH^*Ac5rRQ*Yt%7BCszxG{zVqXQAl=+tO34_mB=u5ZA2zt4#!KeN%*L+%SM9XCY#6?k(ZB7X?WDq%`y{K3%Izf9%*5WBqE=98##44) zvQ>CDFqXx?o!i#zt9ejME8dO*FoUv@v-o7lVzl?-lx2Sif8vm?LeYgyW4WwcQ3nP`w#vph3YBi<9Q=MfVM($@XSk6yu} z=HJMP&|$Hz!j=wvms{{ilNLJy!w}`fK^XM~P@j(yi+Ii$ z#>*1D`jZyKMAkb+Bp;c*N=p`=v$377C%tj4RitdWQ-2^iMrYNfbV&+7WMa}%^!4GW zdDGA4uUE(J$R}|n=R_M19`KYrm!|6KmZ%R*x$BB(6t5MK>Pt|9UB7nVh2#BiV-(eJ z)}{g};ObVD83D#K{w0T3b(rZunstRMpM=+{5WrxUor*_j(M0qm zd7*upm{m8)ic%e0Of7-E7RO~S>d`(!W#&yP7f^5xEr+$Iz}g*Y=|UGZ%?_Ne)1fF2 zy5F4fF1fW%C0P%HjcejzX|&+!eBBhsq|$XF$W8{tyYf?iB8 z_xL3;67baRBusfmt(ZW z8CK0(ZJ&Uy7@U#{OBs;hEy8Op+zfWln@maz2d(Cgn3zMpq4T!Ku47o?ey@^#Ng?fG zauc$c;JIsRmT4XZWG?tTIk6s~{!r&Umx*_dU!TCIOdh4j?5JsF`Y2V&Z#j?i4KKro zK~6%pH|q?shxZv57zZu*WxzbybkAHS@}d z!TRoKJN}(k?e4WpJBK>RRH_hP`LC{JZuD5lvHf0Kw4;DXc0Ru&GlXX#6n!c7_R;YP zLmSDjQ1nnnZygaX9!zv8JK z9i1rLE+oG_1E&8(7wy{D&&;SHxi1J$dwdWdMyAgLKX#QggP-{InOtmNp{=**QpZ6G zisMbsr&iMGqehmp9_#Sq^m=gr@8e|YD9?anj6mKUuvHJY#dN?P`k2$^W8(Yqdvb9b z*SEvFr?+2$Q08FJ$IlP=iZKjJn*;Ru`Fi>F^!?rsUAUjYZQaG41;BrKzWccQv^N23 zYMpW*5O5IGnh$N99xU-KO*2m6@-(8LOy3avc)5GFe=;?23ix_`J)db9K7@^>jmy-D1*EdlC4yHVX3 zMqf2Np!)Wtzfc)KnpH?M8SKnu&Mtgy6*YH@WnXmyIo z-n~1LGSLMq^Hten$Wco(PLhj}(Fp_dF~hfARM%pe?cC9Xs2ot9NC84UD(U;A&AdGQ zzrcf2EKqg*4jX8QPtfq>`#VrSxSAedc>`5Tc0`;JTf&2UXg`38%tf2M^Bm-LNw zwUbAi9^q~1(S>7Cax~C5e4|X*Nb-x&n5kfD-1Iq~4iV+AlmS!0uS>S&4MDD=giY)o zTeU<&|HurOFY>FZ$w9H5n=v$4SkM^eoDCEw7fYa40`oEwo%j)78)u2qODUD79S9IGW2{?hg?=MqGpK{Fj(yW``+M_QgWy^YD zyU^lrgD;S+w*xAygvc4~T%rmu=dD3HUd%1bsTH{@iMPo?Q%X*kO@=|vABf(Y@f717 z$3g;yqmL0bkF(FnfyIWpnKScId4=2r=mcp?Y{9~tsOQbYQ;ZEUl};HM9WhU&V%e5wQ0b1A6z{-Pp2{7E3%3Sr zp$MJ;DJTh{hgXo6Q|V=6F=Y|Fs29K8kP`<-_yPoqY-CSMFLm)R8yhkf8|Suy^w`~0 zZ6%)U+hx3>h95%DVLzLIL>-?YV(nzlY;_1 zZn}#hB2z+u5~c4@_Mk!ef!Hb@gV*W8%SMLsYW!^nlWo~2-4)BBaTw!^ETO&&S1>kQ z*|D2BfAy5upPKEei#5Ni2>_CTb8*0;4!H# z<);~Y&0c{ATVwCLi2SQ?%`i6lb#(HvK?b~la;TWo45%)R89RXy;nc|*;yvJ$nN%J& zBg(VIxOI}f$-d6liNXwvL2g)x9~vqr#`8Sba~JZo7Z(j$Rzyn1W2&-Zbgd!^{9C=n zBjer~A-f|LCFoXhVaJ#I#MKY=dHGHEHEojKJ2es3u2u5-;fGV)J))){wV$`}g9hA{ zM087qd&5=!)lLzDi>RNxj6bNysGi9W6;+wBlk;!|x4R3>a8sevgG4o!VYkvrjv4;@ za*S7SHv$}w)RmE2 zXNPI(xp_)sN#NOx<2xJd98VO=Z6>{`?s|t8TOi6s4dJ9)LDRFiNZog_Qq6XKc0R{* z(Rn0DQ_+xmcHaC>LN&)F{_>+~mwyb#q+<=dVpRxU$HS4;b$c*AcbjDXuMsfVycBYE z>^ka9qpZBsA&Z!wbKG{#$jN=l6_)|cBw-EvBJdaCl2m+z`9K^%W;58+(Ii{#G>_IS zYi%i8;u?d+V%62}?4rwq*l7f%>JlF|b%E$=i9BD9gls2<)H5>HXsq16b~X^6HgWbq z1UzrgLC4HS&&u^08%l91xCH31xsW}S#bNpoe>>M~7CRMzLd}S}?4c+NLtFt_1Kp8l z;%oqT*DG-<$O6>EF2bxxLY`!4Js$e6mF*ZXs~la@W>BBjj-f>qcqMPc&>JQ8mPnF? zAtqTt(D_tkyQ|Y-#TX(3*5&a_iy%^pUV}+dBsk?*;D{h>UMv$g1Od)_%C-#1rus^k z12VR8k0E58WSOlGP5pUKe?2~2mRSR1cIlrdfd+0#iySO?jFx9tA`h{4>9Jsy+Y|oU zlW3be9e~8S#=CN5yoi9%S~`Qv_2;8q;@Vm7XGj`Sb_al*zI+EI1Di@qXdo<518{nM4awt z5NqG96HgMFXaK1F`5Z7D@b8ZrN@_R*1*yGfe$(>y-JBCI6>PwM3knJ$XUe@3T!!d% zS_!kN4vz1^{nuoZ6sUGRh9}a1+Ds9VjXsAN-k15-vEe-_#rRg&R7mZXuGpuWOf&? z@#g4yLr(b6i~4&&F5xI?>k5I`D zb!+bu9209#WzKMJr()}z^WaX4D-Xq8aWm%HCePGOAMBzSCp>F798Nm0{OhNzakkR9 z=ojm1F%3BD(CJV^koT?BYj5vRKogtBsc7)AG9cDks){luW7;*qx#JA2%bL||gO2Xr zb*s=$GXD3m3Ja$;Qc#Gt@M;zWIv|@pJEtE?KZ(~Eg&7T>RP|T1hqZ^)5ihfl7<4B! zrwXzx_`e=~4jyjv&(Ja?2w^93O43XT&hC1x?G5HOPEwLlTDC6vtpyJEwauR&r$>j3 z8O^uBM5TTW*^LXVYcV8vBO~L!??akA_ z_o1#&fRBCbUY^^pwdRoaXjmzTpR*4s3x;SmyEZ^yKpUb&qDTH1%naho2|leV9!=TVNA1SxzINmz^P;0m0so@GNV8 zLL$kZL;LQc#L+KqXuCcsl!!YD7FM6=NdquzDJ+7=qBYg17TJNl9+!`HFc8vs&aJ)D zZc!2md3~}Oq%iH#eq*9=_w#Z8y&9XNyhV6rc)c^Y1^iIp8}N%YKqP{kkwF-7ec2(T zfn0AC3w#XW^qx`pLPGS074eT%d5q6bydA`>2TeMRRn?z;;Jf=RD1p(#> z9KO;**GC!_@^S$1YFyTZ7BkDcXBRxBx7*vact|1!*j)due$DQ}p@y_%fOGgc+&4c* z>(i4nkR-&3o{9WRV0Q3FgA%>dS+%M#XTY^|kXR8unbcAqU%T$?8}Hgrg`hVJn(Op( zRW8UhlebzRX!;FD8l5Za?U7m6D9!-Od5q5l;)0*CLCtV z7qvV<)iF7SKe@{04m35-yVZ#=##itkx{jZQXJ4gpe#XT)(+4+hAdT3bLlFUxvgTwF zt@Qy(?MKO3K&L@#nunGp)$}J|1LKjS5F5hu>2p%hg4BLC`oN8?P!O)cXmKm+Ym>OM8XWGXDZGSqH$_7)&OpP~uJr=g$O37@rfUJ##5*2?- zt&p4u<^kJzGA7>$sgAgJ-^R`*=nLEEGi3Am1g-P&;c+2Xk+rXP8@hDxjJFwgI97pz zDptN|Z7cq^Wrtr!Tgc6cYa5kK}`d+;c_VS`*N>x3t5 z=)dUgKqYqG%H5_|?&B$3_?BSslbf z9W%i&%VdGvK8+(DtO0@ndQ!n-nel}s8@q|BG2uzbF`CsZ-i7i3Q1<3jZ)iZgN%5Hd zBa7fGiDb%*Nvc#QgH+GY`G4^LWFgJcQ@0|dC#H`H8Xf#ql>iU)VIb;nl&-Iso(P4^ z;`{oy3EPHte}%ph-=Z07B4ZPZ=gO_v0CVWcad_a1%#IUW&H-qX_G4Nzuv7(OkQZ!+ zji7Vt87!9}+!sVbv|ik#<>u~VG_`G5&ILZ*9g z6#>UeSb#R*9$cF}`b6ZyYo2E^e-#a($P>df(Q`%O5P24`G^|RMEFU3`D5gp3`157% zhv#kUujxl|_W%fgp`P9sa9>YjrRMH%Yn3~6>jpBU9cxVESavSZvZ=C~UqtPL!0e{#K^a7+b{#pm|0A zg}^r|#W4%+c8eg+tQ=uC;t@i}DiGaL!aoWng@&0)pa7_}f|Ra zc$UTU-pCcvv`G1pJMA0JO7OG?%CgGXN!MWA$%vC9DH~L&;h^d=-D{#`}=Qq&YUwd=b7jAyid%TDTf@AGO!@KH8%0;^a;B7 zavGv^lqQcvi784`HKAek>ugYqscOfK-+Tf@yT%_C#>8` z;}nQ4p7|||nAtw}W#}_2FpQ1?`-Tj`bXte=ZO|_;{GU5#K#9Y5AZg{!y=%T$W(#{_zY_UKpA&3oabbO z?t**+qoSDA_*HHnqWUflx8p)2I{T?t%&VH$Pn%QZ2|(J9nWGDceM~V=BV5NMlM`o@ z9Y-!?M~1!;lg&hjsVU*g-yde0`+3AsLlTrryI0!31zEXte72cMoKq=bWMJ9P^Y~;* z_t=iR8K`$yh;;Z;Ia5%BkOF7SoxfB6c7R-57@KptxgB~Hxo+;^^QTF2cp*l_Z&g*miZhX3T z@YxROqpUL!DfWz4btVh$Lie|fZZr#bd{?) zDHlyvP|i4$xEYeDQ*Ro*?#3-o#(?YCp{+VF-&|J;m6sXQh&&q#dz)t7<9^hf9vp%G`f*dr{7Li!OU6TpP4j7sOlcsh(Pgp3GE}q#Q;K*+-Inh7Wg- zL3i1o*pcskd^7ZM1i2Kc$8F3Gl!RYR<(w8howT&Mz1bHL6d*bP0UP_mn`xpAUBE}jK;jb+;Df45p_4-GDFEmIkv}8qJ^Fg~ zU*+(Ao(kCx0v7a^Zdp;)a-lF^sttN7pYRj0V!AzA2QL^>J(swjlyng;N~wAbGBL3;FC{V=)}UE4_lhL95)oH z`Xc+xtN07bvl2U()M?|vZUASW0!NQz`v;jUABIKPfv_;t$NY$9D|_D)FH=o{P%gb= zn7usGZ{dafL&U@7t%6T=tSaA1ueaRqFV`Izhs0z!hV3^`D}#}#6(Oh%46D}&IgdJ$ z^e+w(bWkVM*Qq;QSn&}88n(ipo}ZV5XQD+X2^0+KCQeMa{K(k<&afhUjr?ROwWuJ4 zvXDdFqLY{tOW0ObV8q9`?rYc-OKmJ>yY=BG&!9;UH!{{OWGtyYX2d*BB$i<+1f^Gi zSIPRO7^1IEQKq^F0_`CATfAy0SY(e#i2`R4(WwLDrm|d8E`wb1?PIxe1?N#izT9vZ zFm7!OX@3UJ0SYoky3yu?vk$gt)p{}UV$0F36#xZ+R#XVGL?E)=#d0&Yu&55gGcV`G zTuxH>w}-`beQ~JSlnIjhNXEA;s`k;MvR*R24TIQY*$Zo{jz)+*u*edLf1SJ6BcjP1 zf&aN*`PVEBJ?g3{l!GW^%82fb-S*qDcZkVi47leHRcgQMqj}3lHfH*eK4Pp~;)#2h zvCI=WmW7TCwiaDC1!EQ*abyQe-;SWz4-gJfh7Y;r^)0kanml+zi+H_r>g{{&eRYFV zdHobu#@OUk9>)Z-w+GJb>DILB*SX5mklMpXvw7&1d?_$0HS0QT`Hb$k02`{NU3jTl zCY?f_g`xQDT_nLv{c8QtA~J3|>3W{hP6@0ZKGjzQ$oZ$1#HO^6N|*8 zw;KZFa95k6+%-I>(I~Ico)?HMpQB309rkf)un!ifzI9E4`@iOv>{8QeDTC$jNmHAP zqi4#9!Id%j8l;qVZG}WJCFF|&AC2B=sYf9O)g(}Sh|pdi%Y`MTTa2x{337Zli-6e* zQ#1W|CkQ`3{ehT=BCI*jD_2_V``61SC8U>X-Ko;jWj7$bUE>@G| zOXhp<#DqgT$*8i}Fn2{Giy}`RS*Dfp%B%Cb?DJ4*iDZ7?i2p3j$9`_-}?`Qg336GP{khD#^{>Bq%_*^szIc5@@m$|y#PcdtIUt)-EK z5MW~mO=g)EN*LQS6JQhOtALV?ul4T1?2?Z5&sJjJ#tayQA;0Go#38qlka{I;#U&lK zZZMhjhWbP)d$|#=ep5v^z#xbQOYbu{s~t5rX z?H@0c7%?MRT%Ta6_4t?r?JHe>02#_I>e2ynuk;nFJ>J zLEj4*5|4VmWph>7zAJA0`X$e7gNCU5f^J)%yOYjWY@@+1a%;@;B2S)cCDWYtm&@AK zgs)*X4S6;ARU0_IxiHH}W8sfJ8#rekEWGpd%>ATPhQ7*Gpdnaxb@`BCnMyqPVHLan zON3=aKH^P}t6Mqg{*=|__|H5&{2&{L>KrWHW61ECOHyHWRVTvw^}^)vbvO(kIhMjP zDo7U0*_!vslct_)tmZvmxK~MEL@c!D$=5XDMrl^>KKTX920CR_K9{c`&wUr0gU&av z3*LusCfUtrt>pRn`RFDNKJg{9Z)Uc@XsOn=E0G~i55yNz$l>VskT@(>LmAgn)JPs} z!H1YdPZky@cv-kUKXK|Nqr7o1P~}ysKmSlmnc{$b>EceeK~>SwlvW*6*R$IjZJvqx zQqW^P)iu+!yn@ey_W_w1{1_O+^K8nvVoL{`rLt{D@HTy)=F1ga+;|g>(?o^@H|J5O zgdFM$s-=V&gz=NK=Yyl?(rl!8F)DGyJUN)pDfmou?g7rUZf<`-1yRYLP=QxK5W4D# zPKx>K0HYu;I61GH5u&%?v-X2I;Gq0%5QL!`c`N_ z>UiHKqmj>QtDyJ-pD1i*FZB#d1j_%MRa^RaX4lux=gI|gxBqswb+IadN4G<%_nkCi zN_}AG)ZO8R(~qI^p7q@bFQW(d5|aLx?l5>Xb@ASvb=La*Lx_M$@0+2P&j;34!fTY; zsrBqmPSJbGY_Eug&h0%-5hBJ-XHH@xrDA~lo#FX5%E?Lp*q(jgnU+(EgJjGBpo|QYA zWZMPS&KsUgG<*%qMCU4u_ib#EyuaMpc{mavVKQ>ay~T5Qe7ke9{>+B0NgChh1wh`5oux&6dYP%NtCzg7>b6 zwW8@;NrQ>cSEn;X9lfLTZ%bCE=V(|mKKU8Su*uPkLP!8CKA$wv>*^TwsK#Sl)n2Dw z8OR9`V}=jhic7TPJk>2|HGEVMpw%}Lam(F0MJ~l0xqwRUQ*BIUnbhzyfvqlt$dGCc zE7PFm212;D`S3$a_IYpyMx_z@`Nl`u#+}}RbgH)}p-FlPUr-uVJGNxs)1uQncCGiB z<5ZwWRfk}&6o;I+pGC&3MRSFI>jHDV{*a(M10Jj#i{~CxANFdZh2Gxj3^{XIIHtt_V_N>8zMoJbPQ6XL>^;!UayG6h-S(WNb` zVgrFNb!o^6Wg7a3q{mI?!jkZpXvT_r&K6~Hnnvc3Fvg4ybZiVqFi0VZ48aoPLXs9m zaTLLz)eoHlm%4VL09FIjoI08D-Xc(dgFXA z1^WVNycxgb>e9+jGZC@PBl_Z*>s?p9!H!{Ny#n7TbH^L z-LU~1Kg9SEa}@PMHh4iZp9t?S4k8``H3AiCA z(OzkVyA4Db{^UK8@^aP~5N9%=jXJl;5sYO}lCY?UtN^UWAr znhiS*)$(J}5|xOlPrV*&X!7yQV0L%Q>+^xgVP(}PHeK>>PDQFZ^&`jAaz1J7L74p{ zSV6q0lz}C4AlE}fcx$!3k+6P^k8#mUDN56R@P!3|aEt55D`S;*?XY#TwQO`;zU zPU>cpfuH#-EZ;yL>%Td}EZKak4XK^g8h|HWJloIEhS$k#m_>gigDXN67#|VKBU803)oM9YBBvPtE<6O~or9{QKk|n4cGV z#0Mbyqrwx6l^-yT4gf*##Q-EE;9ng7kSPTIGhVZ=?-&jLjQ=b{Fy3uU)>^oC`2%G1(#=Zwzw@eZf7gP@*b1f4As}5D82lL|QUFVBk>vygf7!p`s z*``*Ii%cU|{(i+e*x5N{)whYa)yt3c&dj(uMV@XQa%Ypazrmcs(YHSmv2kNBuN&w& zdS}WMzja#nMeu=Y=uB&J)tT4{MNG-a2Qb<6WtH$@*Bx|^RI!ww^;njbt;_C*051R) zaufL!E60kV`Y}LvFrxXEAh3rp@^0D(E8^qBUc8Ad{{S2gqu3(@yq+T>9F78UvY3nX z1_c6=;agXX*K#weHFY#bdQp`*@}&4*$DFu;46lP=uPV%1^m-dK1$iv|qSl|(>Zfsf zb7u?^tUP(2Q(wB*uX=G=C+O@x@V>Sp6LNpDi8(^~EUGeH-phU|2C%gla7tXFc3yru zlyPCJ6)h)JQzzozgLtvUad%pBmh+XS3XoFrR!W^Fo_6UK)qQ{F17f~a2@*?W=Y{Vv zcM-?ga^>pS8jE74+9SRG&dL!hNc0#-IFU4j323E1Qr}NTV%VGqu;VF43{XgRxk4Vi zBbzFIGKQ62JLCPTqSfJTh8EVnAC4D}SLRB+6R~&PGdCsd?+WaDrPdx|#ItC|a;h6o zS(B<40bt$S4Sl>^WUHzpe<8m!(A-Vixb8S8oJ+ ztTJw3ae9-Q*0OFIEg&#Z`58>? z$yZJgM`Tx^m>#pi2l4Rm4tg=>ife}E9D zB!>oDy!ia~Ai>pC5hsBt;kCY`rzuHx!eD~*VnClsc=zHr?AjHDejI^jztHS%*LXH$ zkiH1PSNMUuY17CetIdqGu~dj<1c%*dABV8zC4Mr!o(kyAbD9;$C|Asd>f57_{!t4^ z#1Em3>12^~l+X#0nJr&QRs7%vFyn`hnViU)%+(9Y%7ch!7TYx~Lbwn)C>IZrEapii zXTb>H#DMhY%~~17EZW6X8%Lou5gY~ne!ejB8q2&9%Ih!+q}GIkTuSeOy@tHoz}Dl=@ym-|7Ev=>>g0Wb@2Lg{ zuO{6WHu0Akxle9HamIURk_&r#u%DS(ke#{hIVBI-)0w6JFha=>KpJx3fl_{~1Ez-= zB0I|neNp78d~nj5E948QRm!Z0y+&gre9KXH+O_N6T+9)pSI7Z<&NVJ@0^c<1#G*>Q|f^fc)4IbX>Ad<3(avN>h7o?17V3ps%wpl z6JcgH4e2szICEuhFFsKnw3rF>aZUD)Takron?Omtp?kiDw7bHgXXRbf$01w=rUa4i z}oT%eOq2HvnaJ|~Yb}*ANcKbmm z-%Jr*mB1inER(XTP!A!Pi|#D6Iew-L<0`dDhmJJYRExC)2(h1j4ZNzT&}k>lO}J4+ zut7!J6P65`bDgX8RN=a{8+#XujK}#-i~HkrLdmvcyv`tr)M}#8H?$c|q6@$$Afw!( zxVmg0^&_4~=9=TpHOnxNXN`Yt?5EeAK!Zo`5fXF9xKz3BE96SrF==fT9A??sU*j)k zL8DAeI5vZJJRyNxIetCZp)q|Z!Jfg(AtAT&@Yv2Yg;qZV0i$}Ydec@E7W(P2>XM9Z zulP!&&)xH!3>THH>Q&_}uFNXs%fV7hf^aQV8&Mgip%95tfIFDtz5CiHK(ge92fbZ6 zAy-l*U)j|vCCBXDIFE#ny3`Mn%(qHt78^?~T4hu`%UD{HIMN@h?f{v3ShP@lIRG)# z?-CuIi4P>i{~O!DA<|!%=N|}0nE#Kk1&iFwhzp`ikAs!@>yb{C$JGKF!V&Q;9et|T2MvVZ}=?sGu{V$ITKOdBK z8^{Dx_5k>xa)bWHjUvA_#LxdPrKaGG{C}*I=yRLjAJ=Xg~p8 zD4ryc1@<)pKN@8EQqcGpp6FQChT)hNbgRgj_#dr0@>^6!ie}2j zH}#EQvfeC7WCi%KH}H5xOJZnOGZ9wuX7MNwVH)%8i<;fY5Dd_ex-j~1*%I72uga^gA{ReCsWmmf_%G>U=g98 zXz@r&QK`#!fHF63DWN~>9Eq5v^o)UIIFB!NnalIP_Ri*5(~Q+!ppZ>5PzhPYk5lV0p}9cqrFemQ#mvR=ajCVx9q@x@ zb@MbG66B{J&X7CX#M^rGOh4;IuGI);>8iE~fsOAy!8ZDjHKygFy&pT$QjDS|V@@i# zWo#MFn==U1aubVWn!#Jo8UW!qgxiH#hVy{zT`Su&!{@#zZz8d)-w!`|@a~e}^Q0nU zBRb~_Ho6l#$q@~@jgvXvI12h(P0Ekh`_!K8Jdk^qJc`M$m_BlaoRcGO>x>8K&OWmX zQTo~B5ufREsgPXHVfCD``LI)_&&`P(_deDeHBE5$Kn^^{k)6ayA=B>ngQGk^Lj+`u$Mf%M2}LZiYDp&M;cGSf$swaE z8feRGJ>}?X2!LRK%-80-n8GB#*CAT3bMFq!d-31_s>J|ba z5#W>R&yT92;!R_x|C6U%Ny_Ify_onjOncG zgQ3U~%l4PaN>+_Ya*aR6oic)}zN3xnLJ%Z06lOX6>wz;$mI-2>#t$1Ngr1b4M?ZSyJ5x6*^=cKZ__EsJx$=42OyXhj$C}o=YI*lz zgh_h2hsHC)dj9QOxa2>Oohmz2bXtxQ1S$=Fm}!Pmyj&{T3{lEe;mEr+qfYOrIe_#_ zQ^rx%bs50ezKw1L-zh2k(9=m6@1gcwYZYr0kc~4P90Qp}A%5XJh0IUvHwl*GO}!nC zKaN@5J>JbXKm@jm$!Z&QjHDq8yx3XPFj_h9`LUB*!eIs_Q2ClJMtuN6Bo3uqe6P}M z?>_cPDLG>9qg~c3sp8aA%9~Xh?-mF=z@X$&`P_y#qe6yJJ^XbIlWFkA-I`>4Xi!?r zfQE}>7F)p02z2K@ll- z&MW!QnrnAdt2;=`%y9bUQTm-!gu|Xgy1Y+$leiYnT+dx4R@IJfE8QY%rmi2?sP1v_ z#Imx#gN*cUKir?-*1TyKaxAyU*r$`3e$2~30d!o`TdrxPz}WY7V5GoQUNY8N9-FsM zi~dGumhcXvZmlh#MsE3&wzi_&|Sub_MwUu159yvkT8KImSl%`8mabAx;6> zP_h1oMj~*4qk@l33LTa}L`4+f`wI&YECT#d5c%L(AaM;qO7aVk{6i$a5dY866Krh_ zpo$3xG@t_j3AEV~8|znva{+-r-CD4~1ArC^Ir!IS8;-_)A3PKg6o8JS011JD0&rl! z2>EQF98cMV-?pcN*3b27-d_kEj*T=p`hl31$pBZhGhSWETFm&?4DZCR%i-}(wf)?+ zErMrepjUF!b-I?Hbxg!{nW?|r!!O;r`M$Ar{#5eioTNWw?$-Y4Ss}xdL*k@jy(!@;v2v`oK6R;I`x?#flnN!#m-7Jj4FeQ-zU(4NuW(hMlLMT5pe!TU5-++0)*sDjFVe4empP zFxN|Y6-6U48E(4cAM2WFF4C#yu9eS`1qe_-R`e3SS0!Bc@N;YSc!TF7>T=%n456qe z4r8pJ-6n-OTiVo(0a{V5?lH?c{O}~!bW+GPcYid?@)$Pt%t8o~VjCKP(&&VF8M@~B6vGpk(#fqnhSQ227rGGJ)cpPG{;#7)jwR4^}GKMT*b z=A*br66&?;GQN*`zO_E7D}&|5Wp@EiRx&@cOXA=uL-&6EzEaR1<9Mdt(w$ z3BHx*PDhu#Z1fW>%Li?87EI42AT9{q7ui(8O za5^qOQJVXdRFU2*E3fS5eORAQpO#nqcG*xv$0aT6EXZD4P&WC+?E_%<=%spa^Gy)v zj>Y0cp*@LZc5tQElt*>>@`T889)>6N_YzvPSAKqYSjg!UZ|O#!pwHz-UqPA?m@~1X zo?~|8IuuDQn4zlR`_CrIc}S0}sqzoPUCd93Z`2DxXCBD1j!dj!CD^Ywq?4~UonGRI z2&~*7tP$1jg2H3DHR44aVw}CI$Ldlxrn-=%)6QUVdYQAPHmXF`dG7L?v);5qnenF! z;~htK?70Q-(q<}Sfh=MGYKY?YjI{g;)O0Kc?NBA8EDe>?awjtfFM9)bsIGl1hCV%q zQsBntW6GK?xl036jSL3CKo6Uq z02aksuo>&Vt}U4is_*+Hg$g~e4pKRO03&f_6sOqNRmOUuP%?`HG6)xo;8RN^w?=v< z)&5vf)D)4v%O@7NZX#HL#*h$he9b~ zc3Om@i*rZwEGLT8M1g9}9_vB1o#KNaB+gYZK4sF!+?Jj_n@TDHbuC<$6e697PK&T_ zMgwtC%|q%#4yuJWCdCj}63u3W{C9DIa&(m;nAN3$7Gq}ex>B!F412yfFm>~pz2epL zpb&6Db1bm!VYOM~OQqvL%9oNMFqRE`QKE7n{!Vc-buh|k%2rQdeI&;@sAxruNR0Hu zWJnu=R@=i@--wB**>Yks?iKQ|IvDi%tArm=pqHjq_J*_gBf|?~4=ar*<5Q-`*%^D< zM0*dapEV3bzo#PIm%$I*_@M3YIV+vtZcmV=n6(D0FRXdDJkPO~#X;_iVg~W$!?Qkj zm;|?2wANXq*ogFaSoY6V4y8?Z>pfkuj}tZ4C)}V}DzMjIbZOCPJj@8tQ!Mge<*~Z+L4VJJ$2Imz(m^ z9XrXWI*wq^gXplwT{+d2%UD^gL0}d*N*QJPRwh7sQx)3@SXEs~!(UwL`)E!u zZ6Dhx{{tb~Xm7+EHVeX%yZS7We!NEfbme>?@IXpG9>O1Oy0J!CqfL{S6SEBSePJ92Mk?Wy7Dvd1<*vM(}R;qF1@j|*f>JLw`t=sY*vlpZM z4IB?es#kTAUhKzF9ePTQP#-#)c)i7OR(v&DxobFe2)!CC1bQ@ytF7Li2xc^?0XOG~ zCK~9#@es??A7weB$2H+8ufrF31SwZ!WfTy2l1~~`R};t81=VCb*95FI8S&OT=AJmu zA!rl@W8TijCve6J6L*@3k4>((@@{PH=1w#iwp)QahHSW%00Zm-m;NFcK0{^%D57n{ zvpN;{55DBtbjMo=6>y2kWK3Cw60+ZEnr$2mGSzv@|mVYJ|BK(=gWf1Q3T#MZ!e#ny*Nn1YJ1qt8Q5$u zZ}8m!NIXpROy~e!_ORAx^`XY#^f~No*X9ZjhxUiSw1~-K+q3Ctc4_UHC9w7PtQ9@a zcP!Ho#F-*Ogm#aeD5?0Vy*KgTk#E_<7uOY@Aue5|n)>_qS1eu#=N|#zOxhMEZ+Wym z{H*I*M*Nl(i$p=UC+m046?biwPd)hUL~HDp^b3h9sK|!gQR>t(8wMC2_p;1wZ;5S7 z#gQ}1uMvFpq2mBv7Am#*$9hh$Bj5%n)61MfoYneD{om1!AO>Y~kBlp}=RRrt#;9IV$l zT-7W#M?8eLPAEUJ5YV@Q9w@TjxCMqh5x0BRn^?Z()gi6o3((^yqgd=0d2!8_cqFI* zSDL=T$c+>3(7ARA02|U?=G$byP z(ANWW6ij@P*aJMEDjFR6I*|cMp#=Uw0-%7% zA41y5fM3wKe_?DsK7oG>C-N^*WdRX*RQV^E-lqeqqX6L^wnwaRk+!dKFrnWZfoO=l zLVQ09)x#24fyo$uy9fEe!a~reV>o|bDkKOJ`svDJf_d-*9Wl9uenDE z;Eg{qKFm!Wh=)r4_tOFi{gY9U54!63(;nc1s`0~DGOY_NM&bHpe}KTkza6cDV9+l| z^FO8s2EhkmfsL5}k5I8ezvj=!3j#x5E#v-HmC&E}FTn_GfG}jPzdgAE0{<95`0vbs ze+(e}=jloqg%gkym4f$|jzPkpf9O~Q#0NF?01^TIG?FqrfMzJrR(U)$Mi3Yz@VB)F z=H>mrO91mi1EGlI&=oo$4ot-#c#Q(&g_~t?=POjC2oDGCFIO}eYAS_D@rR4_bue%Z z8Ic$6A%#T3_l@5e9_BAA46dU;vk*ojfi!6FEQEtZcn`B+xQh9N{_vGcBmvto;4bz1 zEFdZIuc!HYydt zR&nd?E6(iL5O>B?7-|9Tu2c-_B`tTjALK&#GQOls{HFfSV(X($xZiCqmLX+w4)mqJ z42E~_z2v1c%00`{$L^W?yNfRxtkrwRmt9qFmR3iwVQyTf0j!>Fo*{emwVZTDgoE@- z^!=`LBgqzu839#QC+;UTUYvQA%qc!e6DM`qi8)3eXReppzVBdBF)@&)l&v2(qeCz- z?bsI`eOdGtdFS_gFr0gXdUDuhRbaml^NjPV?$V0LYpLc$!3cX{dpp+nC+!ECDvgj7(8VTg zT<+D0N%Cr^Y>_Hi9#yAe450VhMPwFMzyqsoqg%mJJ%ltp8&6&ZN}Y4mIr(71$LbnH zuJ6%nxjA0v?zdjNMhI<*UI3{NPfXvUm_^GVce18%GNoEdQd?IK^9kAP@zX&ZWekz$ z)9|p2DJB!uF%;C_;PMAvA-O?Jnb(9APmUjn56l5v{Hm~s<709@i2!TZyRFrXPtFby z@mSIvnOhNNBnSP299Z$5szra}z+N#%Qg^FHv59{6wVM?HKl6&`@2|LVv9W*eYPzIK zl||%7IN(k$Aj`x20)kzxXy^O-0naI~>AORS@14-W`+X@#mNPs2F`R{Q!-Kf(wYeh- z!_v;Z2i)f25a)jGvydewl+>4Ek#tviXx}QO$&~>hzhWOqlrMPd=4i$xnrqItCAldAZzT0oI2qauR_FQ8GlhsYzd|a0zr7h&WJ8qehkJ4eAj7cy~ znOqC&+-+@$UWw%e_3&~QkV&2Um(7VE6@`2Pi9L6%;0g7Bu*EBt?s$3OsKu8KuszEl zFQh$f=Bufk!>ECk^F?A0b~hVgI?dcM57r%syD#{qSDH+#CONH1$quq5Vl-!DgRL10 z<)lxCEwdXxsY`dnsanT3NaYxJ;}Sbn>qpZm1`#L4@@~BSjF-nc%USQk$(XBvR6Dgn z2Iyz<<2K=dBu#L>JcHM4j3m8s7`}2qRITSpSz{)%x~+dvtp9$a$~LKRVPsy{IlH_H z<=&wK_f5M2?i!keUbsCqLFoxsWKz>w#sG@(0*F#ac=OV=2b9SXN3Own%heQZ*1E4& zmF)(_M0zZa^aL@Y#XyIA^7KP&>$2v{QOyhKA`gUK2oz~N3 zZq|}dYw}7b&9d@0#mFdwb%ogt?_L73k=ErfELW}8tW^uMj8bA11Rk|FaQB$WYO12O zb)2`9UC1x-U9eBT86n0SZ4H$bM1zH}QYqQk+fAG$`8eUO<$i!5d{CDZ$57xdfa9ai#gT`P zF3EI#%5@xmU)cNioM(1(Ezf(KUi-08Dtok>t;c{V~tH+5#sbGv{{)tP} zgLdE`^}r?abKgknc#$VYk#R!}cIvnVwq~bfjEmwWCDQ7gpvTe{6V_RQUzl(hYq1rr zy8Y(`TAg%m5`uSVPZ{`3@}F;`DaAwE^65wMnmjyGdUHV0pL@5HOeHM)g9S;hG!M>@ zGa%tOGIUQH3*6QW!ORiRi8*EFjM{Ou76{2nLEK=+`4-2NywJAGmToztX!r~xQzbF` zX}%&^ztCh9jpW*qO|=fA~&2 z{e4j3qdQ`iO&xfFc0U$q*RZyC{Xt*Tq#7_q3-+}QhhoU1@`up(>9V`t+vs4qzFr7n z@m^3^L0nyDA@=Ub3|49ds{@O)`a6c8H@0?%ZrSL(zee>*B*hKjm83sp{1D#A^5gJ9WKPvQi)9cF%p| zp#+LRhdsV*W6{OrF=CIlj=j_AGozN3JS0`adQ2Lg6S*&`wWvkcyGyL9hR>aecyvCf z&v08Sut42Hzo&a_PK8Zk*jg|uu#ZhRfIrlJlUnP4Avf$0HMA^9r0u+E`1Ys5Fvv|dsbf*qAEWjs??&M`SQi%Yy1vS$SHX))n;2-*oW%rNStKS z&u>KY(+8apbWZg~A)?^37z}H2^Bnhzq@tcD zcd2c)9A1x6o@RibJ*(Iq3w>;5N#-FaKh$ADAf=XX?)_30Nu-+7diqqW08*NoWmBO3 zxFD&IKDaYepgcS7g03c(!ZS|8q6BYpnv*7d5cT!9;t3@R)#P9rw-vID*X>Ud%pSY7 zM?C=f5Cp)ua>r{kK^EXQ#Ro(fN%%;yA*qYSXb)r6M+j-&Dsi!9yTe!`lu`@|=z~sQ z7R3zjZy_(>*PP&k3b-=q*C3Tf4ymtC@^~taU-`SPb==H{6J0RrmyAeKlo{z>)mUaV z4VaK1-`J3TPPvW=sx>c|nraU>PGTQY6TxBXIYF)sJ7xb)L;@TXw9db<_%a8qBuO9R;?DK`vm- zqhl)K?CvQ;qU7z&gr#*ienKcP2u?#RXi4KZ-KT>}ZTKFUd+R8XY9KMR%ZAMP@Tx1& z+~`(4*iP*XHOm{C{+hQ1i6l!xtxcR6p?*dB(Q}Y`Q~48h!0hb<&UAX-Wc&w&xIfK~ zzpNX6kf0#6?HHdFO6>taMFI;6{$aN_i~#*HfP!FXz$}o2MDSm1Az{Hk&Fhg_-~^CM z@R!jj#QWRYgd6k!VhaijCF7Sc!M-m50a%)_UZ zwJLxKR(B5sAajHN4np#Qe@FF%c!hrn{5>OhBi!C3gN}wHqQiov5DOkr{(V6X2rLNt z?aG1o6og6zAy%ROAw~lOij_@Bp3Fsp3L_0hi~&L$lL-l+YC(udP}4_F`zR|h&WJBT10jz zZZl#sl!FeD6*kz6I0}FwGa!PXgC7uYpoENwrZDC<#1jG>UXfp_5P%!{&`ohf0jR+^ zVj8qu0?tT2fyf4cDm{WTx=kWJhcZaP8B=(W9Jq1eR{38#1c8JFM4$pea84Oxq$ucN z5S;UeF_JR?S{RDR3r#Ua%7XfYBbvZOrw|DQfV=`wRWu}0=<5_jHfS6goI@=Ykp(7+ zjua1smZu@|!tOAU8UWD8Q21cT$cTEB(BUTd%4}%iE8A#-uPm1qF&diR3?Jqh9bzp2 zn(+ZXK`;a27ie!QoX3q3(TW@g1p2iyumBhgWf_Ohk3s@533@yZXPlBi^aMbqC*h2K zj}S|t^OJ~XFd`hJGHU1(G$eFr9|sa1)EW(m6?)Hs6b~gsM`D51b0Wn7pre>bJWy9| zq;6i8^=Kbjig8%0K`Dp)Y7l5~e{%RNe+2^lzFuae*U+qFa z<^1(q_-Fq=`e5+LiTv#J=N}1)-y0vq_w%9Q|9M>`yqmL=`%ekN&{H!c8KOT0X;`^A zd$?Lyx&5SwKPp(K_jjIm+;j%I5*Do|Kv`5{=c~Y5Ke4^^yuGb{s;N>f0G~B{wH_g zf0Nnlkfi=&>?%7X>Hi>u?f;Y9`rqWo4*$tL^j~DR|2Zo@=)B{9tcee5=JcQB?f)h_ zJ0sCUMU>I-ptKf9xc@PWGiM|m6wuEPtp-#s5RK;l*Z-vpy#Jr?G<5L)5q912Q58@8 zlW<9{-vv^s2_U^(Nu>m0LqutIP$1Y)EPxFw#a^&(7@3?z^Ye@p+Zrfd|2iPd(@S^Gae7S$W3OpslU` zkZzpm3g*j-J>GB;XtRk^?#Zss=}F3~HbLTsZK3U?u%{*3#B^_;*F6d&lSiokH~Uql2l$r8M9qoQd1;|4LBc=)H+Mc*0v7miSt2-lIhO> zwLW~v1(;r{6XWTeF5Xu7G(2Bg(H&B$1`X+@A~YO+A%Mv4@^~73U28yMc~yoK(S|M# zoq9gi>JRDoi$J^@{^|!U4i~@m`9-c^j%rRHPvQTy{)1CzyTTT8eeHcMF-zwE3B#3W z4VlNwB9Rst#y`wQMI>Q5_yN~S##7hS!sauZNRR1}mh{j}9z zlKWEdu0q=O$J3AdTmQj=OM#gpq+p=GRo)d`2HweVlXul|)DBy60a3d1GFK>HYGJ0D zqM5y=U-AN+7cqHJUCpV%c&fRtMOHbHEMFYTEQ_% z!yCNPyLbV3@s*&=a`F3@whFP~D&VIKY45gHN7J=eVfIR;0RR5e`uO1035gDhhgxG- zw+e&)^0<_*O~WfMYtdwd0UfVl(JFhpVoqxyYn!`oW8KKzjDd3wdGb@#PC{`KqO zrz4g#`+ZxhKgh2%mju+ZC6-w7Om3@$e|!T7QD!!@s@387m1cMd`&Ti`unDdHlD@kU z9$w1drYI}Q|0_nC&V_9kR*KuDBWMT&|YV zTe`JviE%u>Tg8b$Eg44y@fQ5JRC!uENrT&bf|r<%x|0I>P&+d zx$*BzbI5IvoOsHZEZ=t5UF z@-B9T=%8C&ldbvmU^U)Jh63a&@6Cx4KH`qT5_!GhtV+ z+~(@y9EO>XS%R5`vdY_CeKU~K~0A9gPi&M+cR~ z)(yPFRiEknj^4P_6;1lecb6;f{A%5(yIfbb&4{eq*5n$PK~?Kr1?%$Hx;kdiSx@2P zh{s$5GHC77uEKRUJ?@HR(4WuX<2z6A$Nn4fvHdz%rwpol79U5i=Z|kT;p6}!oMv1%U(D8*_;h^bapi0#nX(A z{sKCt#QhPynh^}s;8OSb^vuA3m!2wh@1TPgXXUS3)zy7P26edC9a=ZIyZg@!+Ef_I zUpKM`fBR4<99s8&Pj}Z$dg+q3ZOPlm{WD!MClp$j)0e-b8hkmpKfc_1RBq|I!w2w} zpLO;X(Nz(AIrJV+=XDFC{N?5Md5Y-OIKI5{eth|U8Gm`re4eusUoMXUW}pgRdaFyS zBPG?*lIj>$4|4xa&m8aXux{*NJn~nSU`sU`iQr$9E+6Xthen1yUDn-RNc z_*YFY4tM|E1{(?#J)&*?x{qq{l$Ji`=|F`CyLWpr4(o3e4PrFxEIdEp5IhgW;1A;X zSB8J(bj%3%Ke-k7h`})o#-?C29$9ztVeWMqwBrajl~mwl^uPlTJa_iQO8hh$=l$^V zii!ahB{)VqAbt{Ea-{ouy7+T<08*&04VO^(D1464=DzN{yipU`U7Up7X?y1pvPv42 z#iOtje1vx{2>nif4_!>6y)F|iZe9T>zj6pTc>zm!B;hpRb z^hlZ8Lu0Gl-KpdqSKhI3kkRUhxRerb0*tDTh|l1^%K0x@X812~tXhQ~{1^C!4n)pi z@K>44BEEzFiqjcmfK|yaqe9Mf|-;O&utB`{Htv%My zo4x=)Y9dsOX5%f(j$iB_?QTySj&^rR{K8}Zg0^=H7E|Bx?p_UFW{2s$Y3>j}H}1(U zriJ6(J!#Lst{nPc8ibE;uL%`VUKrSSGa5$$i4p z*AFDLpm8$$b5{XnAFYVW4ypZlJsun3E?)h%(M=i;iF$F_?-dmVp>8 zJOSD?bv(8z6bB@5Na#LuKvX&c36o8w1h(e6b-Crl)Dj}3Z94`ut9}j&<1tYwE1x;{oN;Oo^Lz_>b>bicTO+~4s#1Ujh+-Npn^#)G0O+^ zwa=%<6Ci^nCu6+uN~IMVdD!05P?-TIYhEQkp0_YuLi~d zCBe~(RU3q!jH<(^wDtwZg2saOXM*W7M&mzoZ^_Ba#0uE>r@IGDS(SAFRbQOd6IYk0 z9UbxZdabQiX3gIz6XH)wYWPI;lM(wZI@LWWn4}5g8euy_nf$S5xjQkanvksU71la} z0>>q%V-1w`@yXL=EgkqCl)ChE&|-cYeGOq9or$T;Z->8u#H47N37|KeC2m)-P>0*X zAL6@8O0eUCKP-hota8zG9TikM9Z2+PUkwG{@`Wfe9dj!YtDPRLP%PpomF&*)(-&tW z5P19etUS8%TnoQYrNbRp)5YEWrL^`!JQgp4P|omYViasyr9GilAEyP0B^6=#vTXm7 zXS2OgM!ijDVUGQK|A!RUX zir-1|+Qj=RsyLiQ2nBkg+3-cv&vtihXvoeERi~gIK0<8{jh>T z_|p)aK=uqxe^qlSQSNzgU6W>DxlmYEIo+F3A07%y-kSl#4WDnVD^z~Iiq;syKwA%V zKZ)UzSr2MGp?sP%)7@1WeG&}Ki9*DAb;Xe9Prx`W{qS3g4w3)nH$3@N$!4VOzqG9f zY4xYD{}C6tLy>qiIsls`D#Iv?M+Q_%@*vz+7Qpi;*(i-V12gtI&k9P9IZw!(IVY=# zmR}4~QB~ zo2jNy0Dj`c*_J9ddtCPjlEXVLa`)o6I6LV4HH~ZIDW-k;X|o@1zt>+xUdDg9qp=l|D#;OUzeQHhnFMj%oBT*R39GhFRx z(-2R4fO4*K09BKT;@-|l@O>K{(6o+V>wB_U^WQcZ#UoFdvJj|EuNp@;0d8QAuHz<47Q2#$k5XRIac zXqpdT&(CoeF1~TEhxXl+U67U;UUg^-x`aII68Nlsb1hzkamvhT2YWt6xV3?wD`u#g zrcI}XU*j}{o}bN!OA%%yrNQF1gc-=Z@@f4%n;8zqV}-f{iac_@&3TnVI)KsE`S5(7 z%yD;CtVjZtV8xnMkk2QVyGzot!52})h)(48##-0r5@6Qcb$#))H#D%SdO*x_T(L-O zKvlW+T(O8MDDyOWkARh|1H#=YSHeR?8r-=d?8xXf89$HG=8UXjdi!duTszu$3d51) z=XEm?kvwrFSTS}>R{J*i4ecD}?b|j2C@TL0JpPPhj|+kNhHGsRN$ZzdcKK(UYrI2g zSba{2F1=1G5H^DhY^d=_Z~tK40bu#AkHX9eLfU`3V)3kh*P zExLiR5at=_qhKjpBVCyarL^+8Ne4%2sPRUSd(?t3VpXe>=qi;>0wwGa>#d&%m05W`tZ2&7p6pO0B^wki z!Ujo?hhGiU_AGGc!w+uX>Z+o#2jujircQwnEu)Nc_`)1(cS{O<0ez9@S7Uy z{GU*Wo)aR)3mIuDb3;_9sSA3bdfuX`Fj7^UVS85Q`035X*knj z))RzEyzz163R^-(l4b*Zv&3D*D~M!-k1R|BSh24FfHyA5mX)V|64i%;U0DbY`;;nas=AUAc%)9duEKW;>AWf}uvM;` zf^|DT2JFf^H1QRQ)ex+UlH}3TFpXu{M#if}1@|-aUvECS(*a8mT2}yI=Tj?$CakCK zC=j}0X#%>#r;C*|jPT~t&9`Y4QJH88I`{s9h49q7Y&sJQy3kp_8qVqA+wFx=<(T$7 z%u>)<8_Uh7w!lXTGzpkN=f63xAhs}t@O3#C|do8AS?{XK?CwE)6(oS_5YeEGwUG}1{eM7=k7|E}ON1NAR zpY_9KHYc3W5}ZH^TtEk7oaJFtxCTEupSAJeBvmFh*@xZ)^qRTYqI=w-1Ir?j0WdME zWD<=hf&%3q*+BFj7>v;hzGD%+MFIC#w}b=9yRaL*@KSenNTriD2MAje#dKC9R6(uC z$|f1Yj*8Y7oqe7H_6arDJAP91pULE|}X#td#TK z&!GvYdqdRzLEHXR%4i9tiW<-I4qYcunnB?M!hme5Touin?Mdw4$f~sSSU8&x zA7RGA?b8Ko+#!#(FpQema20a7=}%Z($B_%usaH|-vp@}npN5X#`JmSEB!Jsr3M6)K z7_X=|B>7kFJGp|N>6AgiC7cuKOqhocffhdgFjFk6l69uQmcrckuwWk~=!=tAJ#aQh z1j8+lz;pC_NQLHf>_f;4g%3?dAh_v1gdU?-fyCk6v$}-2{FzuKSh&ym3aQ@<-T*!G zCowGjwz=FR{&k68_y9=;91zbYhZX5psb$6>QOm=_YC zMvd$knk3@JR#@pM#4))|mcXM%dd!1_vxra&Gox0HylHKMFAlonG^ZS$YTrGc0O-V@ zr3ZkL(mu4>^4ZA%g&T-d3u)YH?9r`nYKa@DTbI)vP4Js{&TzG*8Jn|8Vf$iYBWOn# zPp<*SMo>j0J|LR#oO-_|7LkjtIgCLKgmd<7YutTlM}@~9jv9F~=8aQuU#J5`m;;s@ zROZ~UFFVIqi3wERjavG0Pe&S6>Iu@iC$)Lw)@M@}#k^_9YrZfY^O&U%OCHn8{Q7fl zKmFYnZ3!`&!iB_XPXnk@S0i5)q{KJxS;uT=qvC?SL+7U)4&UzClEA|Z11z(Dc?;lO z*%Z#Bqn|X9fjA;G_-RC%yIz9kKF^r)?gxtaCJ<%m7KOq|${o!(l-!aL`OXh9eDd01PE+|boEAT%Ed_*j=dXg6*G#I zEO<-B#+?aVKVGkOIthlR$xquhg6duCu|Av*_O(EmIzXXRq!M$#vBBoHQEU}yZ0ZGW zPugg6+mR>R7)oyWBV;N;6}L?oB2!ieE?AlJDbE3#az#doRG=-Kj4=M{%{D(AnY1O_ z+_&MU>U-eP|dP|9sk?&zytO&t9J1fkMN*xt<)N6*y;TJw$%0rwhIP zvTZt!6xl{j62V9CdTrX%AuBr+btK4DwC2OWp_y>yNRJEX(XE(FS&mV46w8T*5gQJ9 z*_MDK1Ga&c_CP$*=Ow*ZCBXtGMlh_#3E)3K_VlZ*CGHk7D6_nyoy;Qpz7S62z}IX} zm>Fmk2c$PwW54HZd5$WwrI{3Kr;J zvk-yE`d7dutPN;SGN`vEUOvbZ@L6Ptsqbve$!}m}lq#r-riD2eAUl9RylzPc3`lGk z^D=ESAaffSaKsxLS5-1~FksUlqy{g%fnnb5)?yOIOAicw0-S8+^?oM-&WLObaFFr# z;}Id8^QJNl;AO1oG7uc^nAHIT8z1F0=nX4;X^AO1po0Q&lJ?^Z&{@d?CAgSGlMnP{ zAE2s*@GVyEflrppiCJO?TWQZP2o+{;10C#hST%)JO@HTfq5r&_Rj_1uc7TdfKfn1w zcP?eU4dBX|nn^P2Wa&_N!)4nsw{oV==QM9*pqOrbn;#=(GeMVt-&QFbp$T4-QbBd= z;ka*j*L?sj{1fYX0?iWY^gbHd`o4#GIF&Q!DVB6@-g#}?(ckamIeX3^?vpb_X=p~t zRO|)$F;1C=N*c9h>|K@>p@m;!^*`VvDEpRoe0g-tCp@P(CUxvFp^fu4p;q(O^C2vc zAEq5N^jmKSI{AMI#Eb~Z(4oSpDwv{_A1%RhjTIeRwQNLtvUqnj`j<;~{y>?jq)b_a zI(@HEZaW}cOy<&|B>aj@m82*v@Ks%`w`;#!#=VF;sB_r zV}O#w_5q`nH%UX9KdRCrCas#r{RDRW@>v22W7Evy$DR{F?;-O&?dYRVls^Zq4jI{0 zQ|*V?OJBD$L9>Z0XqJffuTK-;QoAQs><>@|ulr0>?F492z2-h_C!X@D?Jv@h?LpHD zD?GN)&ix!tZSf99qK&60sPav%w6wPheGpxl1lUO+U?#$bHd5nGgxTIN6ho-u3#{sz z4IOBF2wd&^B}4eqT`ITXTlI}7RV~IMH2!OQeg`MT>+lN2!O6wgKkTzZaX>-PbhlRt z*OD(*7?(V6e6fjD`wu;`9H2IhbJBeN2g;V8MXJKPz-cEnrXy9eo2%`$_ToHw5E zgS(GE**``I^mU+*e}E;f=ospb&@7EaeK9*(f?Zt!y}ao^miY(F6f%mf+^qK0v|Cf2 zn)kFRgnj4TKWNSyJ;+#(v}(5}Ny~2hSyOCQW0_(J+dJ-NMA)XxgxJ*WrMn@&(y^W% z!I%?}*6a4%f}BssfwirOSd}cH*LYzEwi#72&tq@Nsg}`kU;uLc?{fwW&#+) z#su$pmj4QytJq_e`eSC57elZC)}MC&2Sv%dI9yn?7mMMuzgeuHZ+~+KG8s$;b_93+ zZm}R}^zz~Y1hwmdSCpatoKH{fRqPI>gtYp1^cYU<3RiOL9%bGkhcaL`-c=D34p+W-wCiN2BWOvn-!>OsTtbVwRg@7TcLR zzSNeb1c)`LaW5>h-LKg9V|PnA;0JQ|DKoJLGVnLBL#4jJnzzJxo6L(Dt(1^n+wST_ zqkaeGYM0*{M$5m!A%Fp%tS}w@U(6wn+)Pwiuy>t?Bd44Gz;9DNmX7D?f4Bz*4R_7I zle*{hKhyoLZU+SU%zsm%236C-_g#e{ zOelGl1d^}>$ti#P3nUv}n`fDKDt1y{`&*G5Ony4{VeBB?{Z})JH~HJgMFJ)jvnvKiNXKX%9!f0O2w-LnGbOmqI$f>>*Zhl^SNPV(A1A;~j!-A3q%qy~(+o+i)J)E}& zcJ+A{M3nSEwwB&?0bi$IiyZ6E>B~r}kcajPbZfy+!nEj>qyS$-k4v&BHKl^6$2vjmld*sCCErJL>#X zZZVDWH2>LK^K*-6d5Q;jUjkwN*W)q!=>_xAFQMK27;p2bYA;57@Q}CpFCyG2>Gyg1 zC*v$#jgx)pXqBeI$NBLLw+BHTdtV(Hp#K1q=KDR$GZZ=LwQ?C8aHHy&v?9;{%UDde!ggA2REpEXC5@SU}J8dvyI;;}q^i(0_u&2rsaHKQTK>q z*!$caHDRngsEF_+5QdqR{s9;aEjJWjP8bCFCw8W;`Y>^eJYiZTSaDa~Jq*c~z zTeVacEyIOig0#r)>&A2OCT-kI^%yY;-S6$0fMo=GwhX2DbrcYiUE#PB8gy*9bPL15 z?ClD9KFw%#@wfwK+0`^&yG@ohcZKo3-_^n{6gO)iaWv8OMLKqxATPFS90q0OgnOZ{ zyTK?Ylq&V7t4mGw%EZz3(gD!mZap~&R#O;ZK3vlozF~Ctso3+r5J)g}Y6?q(>K;Vd zVrQvsF=+^LE4*NgW4gf@kLU~+z;#`)ANtd;p%bcy!-J?zap!OMvPzV6b$z$I%syoU zCD6+u($!y3hx?+t}|IsE3;AY|4m8AU0wFXzWfFU?w|ZFkZv zyR@&(7003=3zK-!6$7Ak%LiHu6TLXl6Urzj$!ngACLlNnwANtH3ZFsB9)VM z$1uy4rla3Z^00`bR7p!CHvOjOW*yy!fVPi;T{FK!4@N=OGXp$wnuNX{V45}!kHORQ zjAMGW7@M82%wNgQMkZ9itX*2JV{cigfMUQ<>|W-F-A7sMIR3$>Is*e+k+;eTWvP_P z<&{tnwV`B~{^1p#9s^_X>H$%!sUuzB&Sve>=MV!kSa>Tnev)iO5SKnpT<#!#diT&4VhW3z@aJEsv5{NaOa7U<3n z2@!Rait~{L^m#1?Ej$2ukD1yem=LB%hruW0PRH0D)c~i0IhCnc7^1^)HI}U>suS>< zhn!`Dpkt3!D%}poQ$Xuo>*)Y5#Ro#!&u$)c(9{P5`13THxwVOcF534#9NHjbL1r+H85vLf|`e zXabn2$C~-kIdB$lKtf6b&Hh=7`4&5JpGFM(R#hvSVfW5r=Mt^9^mrMT@Z=R)%n~QZ zD(#bFaqRgftWqf_TcwhYSh`Ju|ZG&&ssm(P9ri4H9I(5?A z8-$NCF_41fi^EkcSgockoMsn$47U}4AF-fP= zI6uTMQ=26@-f&if9Qx z7HO!yP>r#&iFQZA;T&+d#PM!Nf2%eM&|XYauRxJ!@n}ez#T_zBVsX$e+wzZ(wE;C} zF4Hb4>78I8)1C~=<^kls_bd4Miw_61^jxr;T%q$^tXwcgbHOa>F>(@?myFdq@5ptP z_hmQcZg*KMtsi9hkkTmRxAfaTxN# z(H0XN`LM}^aos>b^aS9gnBeeTR;SHT6BV-q&h$~K8goG!RtE!H(X}L4CKse*wcwca zDgfVax7fjK_|Rtm2^JTEW_=E1N{h`#ekx2b+f_~F2_4Xf06Jf=%y31EX=t}Iif#dRmyY;YRb zg2`A1MohBV;Eq>1cZ$b3nx_ z@Up~IJ!`&3 zvRT7lGaA(EbdMh^>Y4`h?tDMh92`i*R7i2DK0Bu!{d=1EJ2UFqu^*oCP=3sex1mWz zZt>~(S`^%@8(Iq9J62{_+{)~V8?NrwUevC*(YOmh(MJ%j$i-|v##|y7z7~ky_`&Ui zyOL9)*oB}sy|a>=19^M7br9x3SKnL3R}#sS_ccH ziS&-MfD~&=N9)B=a)1whO6l~diO>nW*=Nl;C=-e174fbI>~=)FWkLG~ zyAD)lUm#JYq8PSowUWyx5fgt>9-Tkgtrlh077@PF)pLAe^P^)npsStEg}!e4!JD58 zUOASh3X-z(f#)*!mwLjGPSSH!pNr|LS(t#eseRI8t?+OKRQDfG9_^TkkvvoTw1BSuVwM#J_{rh~dJ$0X%7Ng6rK`&{K8T?!>ZBe4AsYIF&^2F&dckEuSa$VL% z2a=XWxt-vatBgE;A?90nE$8`$L6jrK?w)PkF8lEX7_w&(5)HGKi6MKR%^E_F&PFz$ zc_@;a>6d^7CG$OjLbHfku5)-K`K1&62Tnyb3%l1` zAwK+KOv@NMQe4L29GpXckDm%_%#tLY&V0dBLq}f%{doK$*qq>HcCTW{ya~BDjfCP9 zUrF@#rI;u@7ulYf>4Gto!AEs}z1)UltQ-~`Y=cXp`{ry6N(E`}j4Yf*!uu1s&^ee# zHLXF5P)hpke79WXyKi2G;Y`0H-(|$)DD)&7F*D!Q42a$gFaDoPG+N4_Ri!Onc*N8P&0H5ln%0BKm(9Zj!eq1ds$pbYh4|z&#!^U6f}u&5B=?|8TNJ&W_%FUfi{H#WE$Ue)(xv+Cd`tue zIMxVeGOj=^BKOrAjCqFBVgRHsIqsf0FfGLbr;2D6$el>9U4bPvo%Vamb&5;d=0Ysr zTnXGE`=HrP##jyf2X5xPt8A={z)Fkdm#aYhdDr8m41$xHeOkn0D=wSdg3-`-4dEd}5U z8o@2v{2Ho>`+210s?2;Bl(JW$DG#CwcOy$tm|-TNiW#^B8$R7aB@_eEBZCY@zUaKuP)QKKJHyrm~wYnwtH3<8mA=xcnwep7Phy zNcjr?8v5?o*{~$ut31)(YWKF5+nHjyN|3So(2hsIjT~lKDsDhxL#hAwUNp-<4t$f+ zmtb64o%P{`G;E!i7`DVY`BOI{)Hjka=G>sgS)FOrjSBlf(5%f`=tGmFn7QW$jhC57 zD=CS)mPER0fyJkG=3PUUYS6ehQ3jt_Z$mS}h6FUZXejTdG|;r(qJCRQH{5{GJDt(` z%k6qB4i%TS#Zp+g&{C0h=pt<9xppo^A?%9x`~iAtA)rrL1aUf-SMgql)I%3X-vV8P zlpLF*1~Vj~erpk?5v8d}V!NF4`1Mu|MIt-f{WBDBecV(mO0P3NQ^3(|kP7Ytx3r)K z9=tS2=>e@?2-mZ1F)){PTP2|hu=k)}agvRb(^pzJNtyF=7NFqdlpogZA@pVgDr7j5 zSwwwrT5=M@NC>cBEZyN806fq+M=D+U0hn zU9L=mP0g->!vS-C{n`?cr^4uRvxv*acXp??xKE4+Aoy)-Wb9H zE)AdueRl&2z8e91qSBHyGt)BRZPIo)=98pxMlnA4pGE=~7iNd|A^$Enbkrq~_& z$3Z9Bw0l)ea9vA>7AZ9U0;Tx+;x4Ba{jy`w{r+R>gIw;o2O;fkh}}xrSnj~-ZsILA1qf* zr6hUl)V>j+^5T0@oPMhiN1!;o37ExIG+lfg9!8CX8h_6)8uBFnFO-Ce1S8>Rj?<)BOA3 z$ewS+FkaRIW``~OGIJLAhSb5ytq(veq^AQ{&loNm2w!r)rw@I#!iHvgaFJmqZrqg1 zXeQnR-An;XSgy$m&-qSG(EpTZP!t_x5)o6X0xa@RT9*0dJsMK{qDy!3YtTAn72%=9J5?; zKUkImRuZ+H9>AW~ZH!kMYQ3OVXu{6z>IXPNe@Hbz}N34V}7&2Y75*w?c zdVBU_;|F&UaOQc?Dw{k<7Fbo*@F;Me^`M^LT>orQO8WQhgP0-#LMvu_n(`3h@XuGK zCkMi_ZWbzGz2G}vS3RnP7sPvh605(G-LTc5Wl>WIhKiT$nU6(7-&hR-ktP;V*Hg2H zF=W@G?)4R1m?``9B|JI(Ika+@SM2HBah5P3P_B;>W=e_k)qqad*JBP|oCmn^6P zxA*?pqzFX&v4f@XOT+0VeyQ#&t1OWP%qp#Ah9ELTvntWg@$uuBNGYay)hYXpn;wJT zh&>kS14cQLQkzQYqdkLB5h|U4lBnF(#98j@7O7OU!0n;DhmtI`tNtMD-LqW;&C+KD zI#BtwKEvkv+R>25Ecih)HN+6yL)@R5UPVWfWQU>kw!<+`F;?KU>u)}Zg{VF!yH6Mg zlngUgaE4P?yxauHM5pH98n=p6VBl-oBby6vL^=HlAf#ed)01K@T!P)-tLP9KYoEck z$HnU`Nur@9p^O;aSkktJd{4ppCO-kLF^ADW-sZG?tD`4p?0z%vY8 z6S`{)qPAX7YeG}O6G9uGhUT_=F-MM8ha3wwrV^nla)eOH*Y<7H95#!h3UCcx5WyF* zKBEDfl~Ie(hD}h&U5}tOaoc8_PBUd=I&tqtfBI{K<%k?t+-edfQ#)%TxGu6x0ZA?X zt2ZNz_;w@scIpPpLW8LUYG<%82EL@{;Z49!E<~v?vp~j9I&%gy_96iDRHDZ2na%LB z1xgH#O0kfitKtCwv^DHL8V(0P;j2qvV2#5~||L+Xnwx3uKP z?D8U*!0FF(TWuz&s%8Q;>DC!zqh_}7T1>#Hsp#YIbYHxvt>5h9HTckpj(P=JI_6cd zOYy<1Su!8cebb(1ysi!3tRQNR$9@DWk7ImA8B&3=lwtFG*qw`k>FNEsUChNDKzy_9 z;je+eY!Y7&=QV9axp8le+jhYFneiZ%qZb=N`rt{8UjKX}771hZ1f zv`g>JpKobHFl&YylvF5py{dG;ZN44`zEe3_i}un_K>LPQTBJP@l}N|$yKh>whbzp| zC!;3qIw!xSxnPz&HELhJ1up#dy5@p;&5%Y-EJ5m>Q?_F&r2%G+$Drh(^p)?}TyU+ko(M(%TjvLKS8?6I4X_toG0R zSp0!mu+(gj*4In7Y23`b)4>L*QU36*%?4dx<^mD3LAERXAKhF z2&q76Hq87S2UWIj(_1sC76<*>6!;J6^ZoWchW!Ed&W+*$V7y;@6`nA?wc6u@f0UbOw|=H6b?^)^4|l$JKC&rwlEEy+6p}>F`Uo;i3lX%2 ziL;W-Sf%Q@{faS^o!90v@8`k$kU5Y;B01+{NUZEL_+6pcEN+4vp*S?bn?*BDz`c#d zpD42F&`-ois^CsjOWXEADEoFOT2T*ls4iSQpD7visV3GeDq3O%v657-z#t~p0mnh3 zyzTS8Ptq^S7<_E*$;WC4@@r{@D+{(0Y=J25e`AeYnw9cdk?IHwc?P`n&}``YIK_5G6wu zIf=cSiBtGF?L$(Z;LTn){nUs}`d2}WQdzV5X|S^c;wN1vKj`Kui-U_VZQht6)H~B+Ji#t4MbA>M9I|(zMmM zZtnW8EtP%p>x5~DuaoNKsXy@q-Lvo(lq9Q=R*loY$74PLiucnwo|C*$>C^9^Ybk#% zw*@@_itD%}n=p!khfj>(35!_}{(kzZdxFread3!8+i_MxO%tt50Xg4|SKWI0RL$j4h zgJ|4SC_R5?b3FMm?jh)a<}vLKoH@6=HY&eTWtFV+c8&-|<09QDKWME^MT2Hv{V^bS z`;U-IIwkX0DmDoW)s^l2naSpb$C(?eU6aWhbHY@;+mhL7yOY#xTn^6YEdSL~Ge=i1 zWKi#g3w+z2KPjWM78<#PcIMA1ATU{6_1=pnw9j_8pcLLpyOv6&zeCA^kaqWqg5P^8|J%efmGN(DnGuCeY#E zYyxpR7T;qV{L7MF$ZRC#Rb%@fP}#%(t5w!4j#_pO$0j%PZ1}JC|K`29nwdgk+3#Tb z2%XqX;;ZA)hl7%Js*Xah|Aj{U=}5@APnGGe$!WYsdM){y$*`fw8CAIA4DS8aY@P`M4w~J#xCnUO7+lxs&160Z z9K+}pp>U{OQdh! z76?-(mj-Pnvj&wU3GMG5Elo2|WogRoB7?JRI7Zl#kfvm+_h68sG6g7Yu9B!e0Hj(Z z)KjVGLE^F|8+SrY_26=NVX|4YwCH^3>QFlxm16@pk}IvcufkfZR5p5s8?cwpbcaH? zxylI3WwJ7U6K*eh*X@Oj46@mQ|qu5vzC7i`=fPWNlsSUxw%s=<6P zb6SOL0q!Fc-wlJQvinA>RPwnuXlYC;8fosC%?wL#~hqkj#ui;(`vSW0LryV z$Qq{{GEPtMXbV(-7D-Kz6ykdb7aE!O1 zQNjvk_$PJ?jkVhHcWQm$Pu6yw7oS9Z@LiSa#E?%K9Bzx@7t1!XiAklW$}ID;qR4sI zzVIW~H8i3f==j8KGCpiAR9RJBTK*BPu#(lqP<(}?a42C4TqckRJO;~6@UbFQ;vmV6E3akkDGF;befI~4}Vzd!LLuN(%oR- zIsQPcX(x8YFYBPK!mI@=tDvTxNUu6#ge+B6<_%F|euL?uYl66_t4h71^}yroxg1fT z1jGX;!cjK;?efsJ``y{}P-iSvqwm4a(PtgBe{43tykj9KKwzPb9T6UEZ4Yd)hZn3e z&ngSP)*@1+Q*fZg)pB0U-p(%1#npYr5>^$rUcNE$0(JdId&FkO<}Tg=#V3T?;8)i1 z;LZw7oVzk&7YxIxF|?AxQSt%ZpGqL}<)z-zfWZMC)B(3H`np;C37YA*1qlS!^#~U5 zO!XtPP@GSOgOfez`^Un_=V-s~jH%`x;dPws$4q+@;=m++S`wFUg6_7!o zoRvMZHybTS5@yEC1dctz*NGnLZo@H59+OzgV)g8{JwQ{K1WOB1x|0jKW0_1NL@(}_ zw45?^>47Ek{a)4*88nkv3oL2vf6q>bZ3l8g_+>&%~FDDdDm$VQc)H6L@P)^&wLmdj>k8>C)QBJeu ztSK8h9j`$B52T`GXO^8YL{ley$FC05vNO|dmfg&0xK794*M?(cmIh@~RG!^84Jc90 zJ$<2^m-c}u(#Q?;DJpp7QRU>afeNmpFX-E znP!g7)X585h78b9j2zM+uCzCL&+i}Ll}i^xRY`!f{G{&tAkaxiAFiLb%fwaI#>3Q@ zvihKT<%uS+X>B-6M?`D_n>!Z}SUL^_cB`-m44N4(=8-qfoDtRZrS>dRwS7CPg{S&! zRhbP_X!_(#?t78+`so9G+P6??C6bO?$`#-MmK?R$%4JDSUYAO2bYB)%IS}0+w`~qo z)gBG1##Y-@IY}Se2N`ignKe0mQ0C#+7B$em zRtYZ_;ya=?RB5+t7ML{&CvD3O)BRw+vM{sb7em;>unJmdV6PZrnOM-wTQO|jVo>vZ zrDl~`f3@JS?~p=o->NjAguZ*L;OurDjjN;5SQsQ^pI4!%!f$@DMK!Y&t_2$b0wsgbI+WEM1cs~4WSr$UZy|0>KfG2`n97CVoHHL0U5h~$ zg-%dRA@e~>&+8bWGz#jCRqF%LwCO--bK4Q&E}$=HHbg;uwaa}q>L$+#2Pv2y^V%Cr zIcdhB(3rB3yv?9UbD(A2OEvpoC>?`nZUA8L@@cS=FAh!(MAY*5LjdHPgIZF{YPI2j zbqzfD2HUF34ik0;15R!VSpyEos(t;Tm=9{{2^dMeZF87~E2oo=09r~b%|oXcCf82g zJHnEgSv1B&%TLxG34QYekyLPmva1gh2|zQ_h|3?VR;P4@GD+@V9VOfh1kJn+K!vn$ ztv^JMk5nLW2~kp@FX0xNg!mq&o5t7*X_jeOA!SQq`{A0n=8Dg%CS}<{Kt?HK5-1^W z?I;Lt_~GdV=ZjU_IXI0y6N>QrXbY;DZ!vYNe8cGavED-Z>Ii6?_!Be9!Vs979B#yo zs$=j9*+oZLvI|$67wn3`jq`S*yT`HuM6+V*%!pBRaKa!W|wo3)aId*efnt4vLyUx9vB=(1HVT#{)^Gz+u28^=Nr_*-m$>6 z`=P4Qahh>Xjh7r_!O_t8iQ}1IIAXeXye#M1KS@*breke-%>pa4OZtd@8E2`TGf@>? zXLXQN?oJGHfM7Lf6RWn;)PKiAB<*uUXlCKW!h}mRUO6?=y;@@)m=s0g+j^xT1 zXr-b2NhOB-No6(i$=-0WyC|*LlAqeAoT}_wJE~NC!B6emEUN7jE7iIQrw^=BU(Hu- z@1#{*8!&LOO0t*+E7K4NC;w=+NY*v8`ivB+IlL)ST~^NT zlJZx_$Df>=;Y7$vHFxfad%a*vhzmfX@$iyJiZK+&QOO%Hm9v zS(r5xndt3L4;-(pEGa3^I5aSjHcbRp_nZJLTY3yA3!jM9Jt;F+$$qt6UB(Kw2#_02 z@D4&GId4X|hJHE`@k93s-Z;Kccw)bl>L(+vEtzZ?Z>ZXt>e8B-;bMAnf_HEt9^HN- z;?W5cy%qRM(3{Z_7pZ?Z(K|d9QZ(*FY>j_)fh(6UR&5`uHjA^kxny2vZi^U)Q@nD5 zZShGgIu2jVlv9n9V-Fp0^%19?;O*Yohz!-?>}oR?)U%ch%L&j;QQT^I`{)eZ{v5?s z9VI7ub5c&Nc68yaf5GX6eT+pjHe2&H4EF}TiV9IVsVm<*8H~25k(VsKHr0#!q^Eex z)VB(EdT0t(p#7)V3%HqjRhL)Mrjy}{;?wb7D%L-GK#hYJAT3rVr2v9lg z)}GeYB?-YmnPO8xR6q7Ra+!-#{VH01Xt-CO>MFbEsoLI$t+q|OS|7oMg-Lq-=~PUk zOh_Xp?;HJd8(!o&<77+S%@VQ3_;bS8!~fPHLO4FIn5oSDLFwejXeb{ z>2WTyDrSkYxth z5l@XK%h)aiSF1wsj?-WUmW-Qj3*cPqnMnAipAL(%gdp#o{pNJ6T5-!d$EZMwHM9gIWIf<_!Q73^ZSpQ-t2zebF{cpCiNdak!qj;z@zEABiO?yq<{ z9Ng}+paQ(5O$BEo(=DgF3(*?Vcuq>i$U(U#R$U#T-7~yVI(LR8O|$x{p|gTh@C^_< z|7`6G%mh=7>rXq{28($mlsatiB1`2|zNB(}$Bd)-Sd4#0ni;{WVbtWw^1!qt{qvuL zk#o<(rmC?~Y)vQ*z^=-mXOXY}?KCr?9B=?7H1KdJ`O29I2fzqZw^`8UTP}nHXu=t= zaKudG*a4t6hbGWjj=8#I;8JEjy!py3k-i|i?JJ*$4m%Gu$8tfXvTVyN^LHrf3r1)&_ zz=m>ePBx-hEf4XJcWn)a=wF_0?mSLbjZ8*M_|=QO9Vz27bV=QKBcdUh&WN`3bm1fY zCA8sXtWygvfx7;D5lBckXTzlkMR8$K9-VdpbLKi<|)S7we>Vm_PQOl)#&^R$Z-MhlvPbmK0ZqxkA#Xu>ZAxkGZ}5%ZE= zo{r!5MK4_eoo1rVMqy1f^AKtwMXY@nTU?|C7bDVCz6g{C>5fD3?i9RnLAr*cmwI~# z)6nXR=m-m=C8LYl&{MFyiQfa;G&~rj$1l|qG~0#^2`XwC2}$vOo5wta>W3m`iiaPR zK7~42_2qcHXD*W0=2}e_&3FeUHu-s=NI3;u2kkhsT0r@i!6I9fwkM)9KU#eS7U{RI z#-bva7-_WTe&W5UBj+eQJT!Zb*KhHj5>lQrA9MA*8Y-#m-OT-1(lh5k(x=bWRHv~P zNE-Pct`6scpxOb=rj`zy2bFqn4uFM^%gRox*uIF)0wEP?FkX0-s9GwnH!KO2(CA&c zLAq$ZX1SS3H4`<}UkxnoxRPBQUyK=#m?@j);Eu2GV(o#~A|h>b6-J6AQ%swn?c%F2 zYxb3`)21^u&?cGM%BvGrxx7cXgnFOUHkYE;q_WA?*FajH8*OQs;nE^nqq`4xs~XfipjF5pEf%zlqHQt{Sit#>mr65B2lKBRXKk zYXw)bPH=~zm>NG#1=z1(UWw&4@ z4Aom}bSPq^M3{v(J)VuL%N8bpB$h4#BCjDWmglm>JAaN+0N?!Oxm7v$fO^xW$)Ezf zDkW3=d9-~Iv_u4AH0#nO}`MZIQ(qqs!OA60Fe`HGC4jGn2TsRzRNg$d3e%_U;4b z7c2&Bc8;l3$&Wd0qf)iaM?i|=SYj2_94q?^3HGNAmOKJRol$d4l9;A8ViudH0mbMt zi*ezI(cUXs<68=S>vKCR9U=_$t|jiQV8)Jl822sTcAL#P=i?Z?ztr2EE^0tr&GI!` zgDq_H;}MnSFu!yM4Gd-t3??r<4K);62JJbJv(0(b3h#tPA`fJzs5ITKd;o1-3QdK< z)lmOCkv;sm6#i9|%qYxhmcal!aDG-mb$8pmGHQUrD>(yr%~DG=#*Mrdv2bW>)`GAe z7?K7OYXk~_2)Zsq3 z0;*q;pf1UOpzEiI-nmnQae6#(k=+)*SA_-xmDG?%(i3-KCA;z-yp}wjB+8`#} zJOoJn-Dr7jqco^#yZIhC#jo#%=!CY!+NWs~WncKEm)C$zAHdeO-e;3&@bfN+Ri|?`@=!lYpveT1RJd+3ZH1_M5LK{_h5FFMHzKJ zp?uy0(C_>1fr@O3`a1yn%=7)jvvJ{R1R+Gnm56bcK41yKs5=7f?RBAHw0b3S%F25& zJKGOkbgxN88@6CQ|7aELt?*I61>=MMME0WiUc;y0&{w<`2H7+$D+jN1afn8uD0|N<6MSvF z9C8@-G*}@MCX#VI;az)k+tSh|D8wPF05;96HQ4a-@_dqsp7{vaC31}#4al}!qjpRg zrMjKKcV!dcODGjJ`fWv#bJlVu{a6sZ0KwFGHI@kus^EKfz69TU>1r?T;j&_?_Vnj! zusp{E%U{xSYFdru@3}Snm}9D>$1xhV2{L%%2|O2WHD9a? zpY#UY+D@r-Eh6+#6Hf|783v-K$+r${kfDPq)>>+opnlPpr+YKR&c zqi`y?9vVJao~Qgh&O#MY9^(O4;3B0&N@;0M9^P}Sm+Gj_6R36_6!^)poD@9rV{XfipmUO2=CjUOu2x*bwp`q1h@Hj0KAofB#4UAr5~}vf zI?He~qfnz=`pr~#KZ6a_Wz#Y|wC`!`!!TXuok4iRFS>?sxoG<#fe`C@>9c_Y@G4z( zo>PU^7?OKT)*!qG)fWIEo3Dj--?v#=7YaRwF=FJ*MTk{GRiPk#vK@Em$DRQN%#~2H zDJS3t>QyhhvB?5zd%5#j`=1Ic_5@Wa(uU9UfIqqs8^1s$5Qm(e@eZNJx3W=;^x%!; z6&qkTKy?H?z5x>HzL^z41a0O>(RhqX_@%qAZSW2j;u!y}PJUqT~529_z+-@I(%6R=>a!6mv4OG3FvJyDp~rTP!ZN;rHOyU5N64?|Cn- z%wsuR_dHzJK`&XZi~hUS8{)#=dPHec6Lc9?3_MsJp$pMhks{pnysbXQ8BgI8q(nPD zhPQn41?Npuiavp=;JKd#LNs)%jiQ-!G4t7Ij(iQH*KY+I7Q6!~iT$bU%U14j z8*oG0rhRTdP2TO#rf{j-Lo;sk1?k0CcnJ~XNuHYJy79nVF&@d_-efe`vjmMIp&?e4 zE+WH#u}wvwbJVLa9kKlT-T--@zlu{kKzImA7z!)roa?i<7y+>$GihSkPx&8?(ad)2&C(lJZV$oB^H_VBkfM~rG0;|wPUocY~hb$oPI|)1;$^<+Qhf6==P0S*!H)>!!2{VALU(%CxJW8=U85S#B z^ey<5XWoDsw7_Ov_rjcO1_872tW6FVv1e>{Ia7wBxHM1O6K4bzY2fc4O9yp8I zk1%-S`^x?ICm_~|4x9y7bkqlkM~sNl!iFCI0RDUJ`>1|!=$+&j;J^d)z$e~Ly2Jx% zO4c~(L#Ir@@sC{LOkh-dp|3CAQeXs6QPkS`RS>cTIQt@N3lL*W1x3yL*{nl(0?7ro ze=ljBKNK@+TOgk@mSM@j<+9zD49^bm@R{Rq@gSZgO~!9^XgU?|5O3TG@44#}7Kr$I z^zht+i-#-OIfGYpoi_06W3(WoW_~+iC;DQlaS@=-jFW?g{-YNSqV1XIs zV~E_p?fw!djQK(>cc^?h`K?h6&^=$E;bX+tAYZ&!%&0jDsu^Da)VVusP^O1cauX)= z#i8Hq;8})cHG`HQ3Gsd*ef$NiNwhx&ZT`4L4jeE};BbEO*jJLPGNHyM1_%|cIp`Y@ zx^R~=KabIl$kI#Dxndg5_K$|vXgVDXDWjp^f>kGega2?!4N#8f6nPED^?dz2-};lt#IT(O^Ui;xUzuFk$+}Y>+6Gqi!IPS(l7|f^#@;i1P23a5d>T=LP4i z%}R=V4W|6|AtqHG73E`nyEh&6gSS^_%N`gPowDMS5QXn3_gff6{&(OOF6AyVD*H^$ zo*S?={S-e`P8V$6?;Vzn^fkt>_xlkFGvQlr5A{-Jd}sPhDF3#V7J{t*t) zqu*Lkj7#@L^Dh6%JA!t9!-7SHhj#yjh=Kw6V*12cIa(&ZL!R>3ZlrabEW@H2AP>Yud!8Xbr-cCV*~uKgJS=952aQ!xreJfwCPE=xV}V!yXd9IqIb z*z|#av_cw1Cwsa(f5TP3kM8!ysN2sf5y#!5X6O&6(kol~t%5Xm52(tFWcyXf@+q7gav-TjYPk|4;vj<`h z{i^wDv==hUz-u@y{|$)zZ;$4y(PPL%fa_4cpUVpjHWy+ix9NXifI``MRsWx5yi?UI4#hJ^bB~5TG$d`Zl>3_e#_P+ex-8~H5cko_IQZb9|EDFLw|I9za zTp07C{nyxniP6rnZF^AU2V8r%{{(-KLcd!W1k40ShT*-}yKtr3p1lY$I5wz3_L1wC-_{_0;wKlPl{w9eNXsv;>&&fg$kw(VlZu{bp;3s)g7!o|5Y zEcg2n0tvuvNBagYnd!r21}v_H_v7ZYf9}umz+qyK;A-SdBeL;wH)WJ&{(?7&QK<`u z9EY{>fo{&6aV$Gw+WdTHKb&J-mFd&2A^G`^S90)P_KvWoL@EsYkr zU0gwFmTNwgp;6tl5<83GWw=K?KhrlX(41_#In&pT-T``w+h&c(;`W{~Xh^%|IrORp zvKUL|v{@`t(xb>RS-rq%92&zp2A7tenJZZH*_KH|Ff8qS3$GK&%{v?e2utml9G?n_a6Z`BYh=+x z`{Laif4c$OG=p%dr@f0+U!^V^ygtjd8OOY|rbgJ>_uT5vqHR8i@VgvV17@Ic>601@ zZ}GfW7|6-aZAE%gT(gZb{9h8eUilF9- znHU+mO0~JzvI^%x`ars*(769I4xE*dj+#hamco9AgrF(gLOw@H!h#tN`#Mn9lMsEc z4z&PNDC-^e;kDI_S{ex;46-vZni$<8Dv#WBnvm9&U+(H00 z?O&F`N#n9|>HGqv6}bGRxon0%oQ2m@e2S7t7aq2$eJa_|rt@*(Pc$DVn_lmz*oMiP z^DW^sZz#sFG?O)b1>>o&0n(4>1Eef<*mO~<%(1p;EUX;cvo`0Y_wkbg2ZO@B0*BFz zPEh<=9nd*6l2L2Mf=-azHtDj*01QBY7Is38H2T4rx@UkQxRZATZ~353PAItEW*3{s&cU-0)N2c5hrYZZ41-ISTAv41n0N& zl@W%6;5)8q4FR6j0Rv)M$P36ZN=R*-4ac={fv+!romK*Ee=fb5y0-vE4|ok$g@wKW zqPqo=L^!Bh3w<5b`$xb+F#}~HMpNBS9oPs@^7%qYo2iz$61-y2JJ=o-0Jj~f(Tll8 zknx*72M2jRXm6P-!zlxXaHhPBI_e0#l?)QmL2q#`=BW0t(_A~5jJ3Gr!X`u1s1BIR z*+)W=m_pISgP6d~R-N(6j~&1ShAZPRO<`YE&;?tl#SSd+UEMq)W3&xPoi5PkM>_+O zwrnyDL_*?gMB8>w^*QnzEmdwO57AidB1D^(lz0JEi9J9>e4}O>b2?eR#o-UW@oj!S zb)XaIys#r6q~siwQgeumr>c1nTD+zW2IeggFk`{v>H2~*b$@qXmv&wXvBah)!Ff-q zO&ZGWM#hP992j87Yw4A0UJuO4^sZLxWWc6ng2PtNpz8dQE|w-4tZA8;Y0FuZ*Lnj2 zi&utecBr1~5cjp)j5(g(!)kHdcrkOAYxD*XXgznu6&iNlfK9g12iU}M+L9i6?p9&b z64}A#J$>j(h`Fm)^n_gfB_Ng;G+EI{S~2N%+zI(ePce15a&KTS%zd7^n|fjDif9>R z@zjkJVd`F3Y?&x4^fcxDl=C>O$jL>9iR$Vi@PKflX|(ijct!onzz{;ql_3>Azx1>8 zc4|%tUlLo@(;<9GDhNx|zZg^f3wlJeMGtD{CMSnJCxBYRlCaJP1z}?d4O4j`ES>k4 z;{Cm4KGzXDJeGt=Idt|W;vUaU8LHtG5W{oRPsgm9s-sB{n9Jg%5F4P?O*2}l5#DmnsC~zvTT?TlFB$gHnYpwS zD@(I5usEmnN#`+s2UX^Nz&g|*Q zO#$feh^0wv3xK9kC*)SD5yu7s>N8>*V=uaY(mVj~d#eq-9ApKt2}2cnCsq;rkI85r zkTDr4IXA)|*q2~2`{SQ;CEbQTc%Jn0cl-H_Bd{fs zk&;1@7{!UE)|Gf`Z>2BCiCIE;ZZ-rZx;X8H{=`mlf@MaEK&>8tssGJD%MTgA2~a!g zuq-U@M@&xyWoIl0Y?~|blGeN=bYsSPPOMtf4>wG08Q@7$9OL4NmY0DH=%|5s&RmdH zbp|!{_CQEwca@cjI9g`ZitSV3J+MzBk2Wk&7ar|%bBq;4$TWRVpoO|=6{w8%&qaT- z>eq5xqF_aMe%RUn##fbww>U`poT;NCp0GH>RT5VFe#cr;Jp?wF`*-Xa4BX})?<;65 zgQc*p8C2_r;W$plP`omIFrr7n2@OsynaUfa3y#i%IwgU&{ySe~?G}`Sf+RY_b;2=V zIbY-@EL+&LV)LN$Y|dXQf8XgVSO}7ml^;)IwaeSeAi>qq8Z2`?hxyk z#tuNafbFkABx%M@rsAT!2&fB&BC?$}40=PnP@RVRT$E*^!&f_X^Kkq}Lr7pZL7@BH zXAJ?1l0lI4Q;Up|#O~Ded!=__U8?u@ahmm@PFMt{u9Exks%uC%1X(>f6k9Z({f_+( zNH?Hnjd1eSrfNJTisTgw&?KDr`O#@!>y0i8@r-2iMqn8@kKTxm{@8cEek4ux)p1zT z_6!BP4nqrL%(A3IUyxO3dPX-ievt8sA%(l`HW^`nxna?UF-B$i;`Z-H8=_*$+Mq%O z*iI-OiH9sL8L(NJM&>k4!+y2Fx0Y8mW;u2EuDtTo0pwv`5CYBRUnWf zw1#6+z_CKai<}<&mk>%tVNTeF6Dn^k;UDCqu5+3!MxWB?DziR1cjDoXbxF&Z!9Wg}qD` zZpw9VaOl)i0C{tbuX(_35z@X2AvSyh;O#%f<3KXrqnSh#_2D?f1C=pe7#wVJP^9?h z1bRBrSLjOZ#P~IPk$`VqV+oheyGJ;e_5CtFW_)XMAW<&js~K;C^JF>;f}=)1D{o=|o&7q8IGoDr=7cpJIHNy(60l!>8UzY?w-7gLv$z+W zfnDDYr-L@8URK&^>KmdOUWHDFS-O*irZJQ8rQ`s2fb2d6@A2yS)tS6{&bTkoR*gOj zf7LL=rh_MP4(hs|=IMGY1WUWBrd#AQh{dTW&kfsV9N4p%g~+JqnRuI3U9{I=+PM~F zBR$r5NrABw1y*y&*ZYhpUNK^e`cTNsHCJ1wn&~c^NB|TfUAH~fy`@*dOqs>>*8_17TG3mrW%i=%5T*8ntmM)arY(bJDS0Ri++piTb*< z^YL7>H%63enxgvexaFAvHSTP@p_wb=G*;n$YSlE_f6K)7dUz%T&N?H5NJ50q;&+{f zVYD|I|D4YQ>#LL*b6hmHq1moa6Yy~)}EJw z0B8sLZ_R`u{(LcRRNY2|Vu~Qenc%tPhIQH#;#2ddVm`HzhJ^touBhg6= zRliL`oUrU0UnN-2(dD1hy(u9xT*4TjyE2YHHC3x-!SqyLfR#Y{yvU1SIFamzVRR*3FayYRnQd1$896e{O0{_^u5Np3 zrUy~RbAmd>q2sp~feTEV#qct)XlBG@M&4&GUXF*+3 z$kP}0!baGufwV_LXzjfhv5-9SWeEJWDG02Z69pSHSJBZ$Q5sut?h3q4qJrPubeRNr9#@`Wj&St4<~oi84IeU4q!lL*jPYMX(TpdOn# zpe(oq<7zq+9nnUYp-|)exr9AMe5ElVw2pp>PPJezHXDwbM;K}YuM48CxGX5fdy=PIe;fD(f1F#D7QN znu2DFJe~@jH=vl-0f#`t<@B1NRZKGj3bb}pe=LHfxata^lxThVJuTMUQhV(H(mq#U zIeX}88k*{fN=2VXC{ak=dMzIHy9Q>DnIQ`}C5F#l1HY@wRd`KfDDEoI+JO_3RMCSu zN)hpp>j)rAU8W%k;QXDK=Too8a}6+_)b3jRFDeW(knv`-k^~^L~_x(9do# zEK#*L`2sk9vbq^|R~s&|jF8Dp35N4_A2UBSBjR-kyAw1I4pDyZ8ItCKcz=Y4voqe_ zOh7aKZ1DKg8>5U6HkTN|wPxqvwKgJ3VmsdJdUEV(3nVad&03&|Z%>hT|vWB#+P=AD7@=RoUq z-5R!EPH}3~)LNf^vKMBAy)Yvj4KuuQA+u1^aQ51v5@XEiZ(cb=slCku){RvUvF^kh zvFks3nXjYTJ2@jGSSqeQWTV2BaJkDs5r+}Xy(+ay`7&T2vd_qBquz;sIN=r$^Ws)t zSGQCK$A@FKT&5#oopZUe~dm8k5%-*8G0CR8n2>1$DYhc`=PG)=Q)^X=G4ShW(xozbD_R* zGl*);v60(W+;+DIF;Q;Xi=ukfzIS_ylLR7@yzCy7a~zghFGUJ!slImS z{TLLp#Py3zfsw%G`(Z>*IS&m$H{I)TTee;i#FAtFECQ<9hv`k8Br;?rTDjTT$M+9*G|=(CGCYb`g*WavxK(3+&B>i#H{X#PtSW{c3* zu!F(P{2jJ5=bM0e67_j&q0VRYZ)Lj#40soV-_7>TK@=g%xzD3GgHQ+gdXnyYNo~!`Wii9It+Tdp2l)h{iM}G z8IK!&pb=Qh20)JTtc{-Yh7!}s@ODpAG%%R@6y9Ju6NVZBHf(tUq36ygG215X@MWbW z(W^VeY@<#mj}cQ?+ZdL{Rzz7vUWjq@c1%4JA*z5tN9z@nq+OXW=n>^hJS%g@G+iz9&a%JWFf8< z#W;UURk#VO_^2%;i083AeC7@$dVu{VUzNJ@L#v1~@YIrq2s!4gd%+4Q0YG2Xa|?E{ z?>dBn?TOFRYovxUi_AkAHMAADP(#l1zOb42P9|A~t%kPYFG9^$Zq#ZP(~!-QX0(hl zq>Ek#Y+ee>WPC(I;!NJ-n}~RZl%UWclbf$}->k_@gf&FnyBU&hzX^0EwP{j(TnX4AO0%QLE7A?CRf6U}yt3tC#9ef#Fc=k1Lfv9uKWR+@}+R-rB zQvLR#h7xm3#FHRrXD1ROP?1D1L)3>a0m2zCLK24~E!%o-YTxtN_h|o`g_*!kwQeK& z$Y;HxA>#0(*kTltQw?5%&HmyIyr$)*yJhM?ndHX#zOJuYIAX>0XyeT4GJ1v8KLOGZ zvd1;;Ac@*nto=wXy6kKlajVs@fgfvXAeX&c;i`!=h!Gt6xCK=2*DX{Tv`P9#ZNoVE zZyCu2%4FmS+rr1a$8N?vve~Ef=K8liPzh52$h93g>?|KT5|$Z%6ld%ar0#y7*n*`M_c2DR#7R}SQOvCO!I7ko z3qFDlocjTEAnE|XeaKR4Kk{Ye+f$Js1OPQZ)PZI=ak6TcuPo}(DbdGyUEZSVvh-L49UX9!8Mq)5AE^!)oJgedEbfq0IB~0pVLeh(U#eg<6+}# zFZMT6pB&*j>e*lM>XNPL*)(vBc?E`O#9FQ^X&Zlv*9!c8gj4a*i&eEhBUjzO2ObW` zhHEYcv3qke@?nwWIZ#;EqAZ+nGbBRM%5{)fvKNDI&h@PTf$5xl#_!rTA`2i;Uqq#NGm_X>+Jd_Wr(*kj`KfsbNR_%8HNO6f2zk*ELsUT99Dg@iUss z-M-(#lJ3qN6~ zsBPew?wjvv`KKr_aVq4Nlxs&03&(*Up~tNbX{o!iMIQ`9^%|VzXm`M3B~zzn@!SX$h&yqCny7x$E7z0k8xmiI=%aeeQ~gP@N2kN~b& z?QQI92L0#IFa2O@6A3|krFLq|kMOp-(gJF{8_2IauJ0>RBY*St=)-Fg%@c1kt=#Ti zL0!D3UZ%cW%ZHaBF1il=oZQV=hIciA*lrq=7k8V8eQ;(|+v|HS>w74R-vXW}>v2gK;%!hvlHoR$BF7vY9 z`t2oUAw}xN`Nbc2AH8du2Fqi@Q?Qe}4z$O)4LJLRAT5p@k3Rgnuay2qMu$WAE`LDN zfB4G;g28f`5G28{kLdr`axym1vqSR<(EfxDX`k}{i5m(Th^Ka={=mlG+&^P8b(%7@ z8?UR={=|mE*MDOcXo_T46T%~Md6g{Q}Iv8xnRDUPDA)HkW3rUzRc+4^7 zRfH4K=)|b7TF@-F2Ru+s2~U6SOgbRL&y7nE?FsjmhV4%cp#rLBLytxgF-B=r12fU8 zxM7Z8dzZm-ohHCVWOQN4QuznQ)kSor0wGJTC{$$v?u&dj3XHVaiX;29H0_ z#LcZG??cq|qguK~>h3&r#e=Tq_~HbhRaIT?2Z(M#h!M25kf%(c zrfXitj>esiN2yFF;_-q4G+_A$jG*-qZJ30=!IVPpbk9lFJFb+ylh~FV9iz>hR9uvE zSZ($)ikN7WQT)TTG}pDu4yxq=e^*~}t!)%U95|*iMxTGAA3bBloFdWEIXbn>1&-4r ze#b8}f<|d^z1Q!U+9mW3(~$sYen-E_1vVqjrJR!yuMjrEi9qP&`kr+ADWw76g?da)5yL1PxL)@e8k%x5wm34*x(17MtF9+0p%5`VEVB)%;l5+@coGVm)`|7HYQ zs&ATl42Ywyiogt@_BgNS;hhvZD`(gQw8s)=Qo9q6tNx|8igVQhu_HZwZKSo znj0~y-iA@Q=h07mZ`G$s+L`FQ$`!^j7cKk=(3{7ibFxgN^j1J=8^K{2 zB@TyPTxc{{TLw4(lqMKwZ%1g1EKN41HR7ET!HtO26?J)`pcun zQ@z&`%2nOYC|5v+mBh`gw*Dj3_bvUs*+LN|978T_Wd~u^No(z|OvFXaZSC)%o@*KK^p3D$RsA>)OrMV3_4J83PfJeYU$8ZkV6zPe;~fjO&2*@<{>ao|n7HFQ zV-)8W)oQ@|#Y`?3Dh6>w>3aw4(`kJ{2Ix0Sk~8vwFcWxVHcoMWcBU5sSaeO+Sw zE!coLkjRQ27=!1dJljb^<@+?B>g9omcQY^_O;6EULXuQgz+hG7V%Y{=u^1(nCg!0R z<3)5#_(~IuMT-%U(L)vWaR;hTiu|qn+P2S_y0%Cq;>Fn!uMj+9>sg^Fb_v3ALsw_q z+ubZTfrtzrA>s!Ahn>$1;zV>gSzVo-??Z7)1e^5KB3G^Nl$TGkUfLX2NHf=E$fjyK zH^RK7+t;~7Wl}iX_yaQ2NN@ELcRWV)?F$D-SuV@KOAlFpMWC-L`YS6({Z@jjA(tMJ z(GfScBo11z1*Lfxh5deYs9SCmH8g}F_tNSj!!#bU7B}+xA{u$U7}K49eT&JN>)Z(L zINgzbepyDgx}y~5wO=jvhv@-d>DW>YD8XELyBu?6Qkg%-5=6>yG@Ht?$Cl7qMMLpD zv$%?xZZJp>(`rNG9M}xyy&~-I?-PEYhno5;3`^OYATXC>Lp0(t-)p@!g2~jj6qAXK zr7S!(T&98qte&Vmt?dJ)VswSoVRWfFyb5#}P%(3xKp6tKCiHl=i)u2Xm&IWQQKQuS{y>vw zwD!StY)I7Z?+aha=?nalKAtfU*F~Jy57En_KB?Zpfo}|6mFh8u$X6pPF~rtNZA}X> z*`i4}!h*N=W>#3TV^&+s@~#mtz>0Wvn-QCVD~WiQ&_&Q(_h=CPaRpFH#i6s%`kdQF zp3oZtdU1Xxyvmd_BR8UBW|Gi=6Ck0>`dRgm1(~d_tRB=4wUKiAfnFex;}azcF^U+< z#YKaqM`N(feKB$3xWebW+YLzdbRZU3MS@NCZU61*&DDfT56z@3Z4%L8B+Ym)JVaRa z0kHaxNKB4ADK=&i$9t>$0)f<=^F=&YAASdw#6C{+RM${Q7qi_#Vm@#(B7bhv`qw}U zUCd6wI<(%W+bCuZ26Wyru8{E~sZ{58aPQ#FG>iro6LS+VzSz9|(QXq}m>O9B!I&Q0 z#C7#iddW&YhkX@Z2w9KEafCzM=uFY;gJ39+rf*YP zB~Zk8LpRXYo>~mGAY7Q4GBVRj&sTOYX^G|L7ZM4<^xLD4)CnUhI<>C`6KMBvV5S8p zBORg(8TS^d(Xk$^1kGjo_|WAw#~S*Qzyh7ERl}ih`sWWh9G$rpni`thGX{a>PacOs z>uWNayE2xGplp;pf@27A&4h%70f-f zt3zP9_OeJv>(6z&t69hUyN+N1kcse`AVlzY>^}-0COgRosF)rtBmj$;B+$dGMM#Gp6tM<36y#U&N zVKsj>Baqcf?W)FCRNH`Xi9Ig5)SIu396Jg-H7Dak`X(TwR8q9aiTEykw7 zpNN_D`ABF@EH0{Uos*dkY${a$G5+Q`Cg_NuT6DC3D7Cd3fisGm$6#JppWr`QyE`5- zU!33{jdw=12BFWMh%4~e8!GDO7C<5RFy;0!*uNu8Wi1sUwE84m9Cy-*KqwiRX_%lC z($W799&k~}Q6Zx(4E_dg-NC`;LREGt$|EL?^fz^6!Vc@@?p(fRytTUPY>=>PCOAV% z?#M)&8L0YC+%g>84+n7Mcu@Mu^9{09?ln2B39MM>%%GdcV;asJ6KtYRI|+l*7Mxol zBTgO_ccx7AXXkn~LMS}on$eTte-ie!Ph)@sr(jKW#{sZLmwY)~`a>6@cbo{_)#gO* zNmpRwo*M(L9%lwpbQG*%MJWy?Z$LrCDdYWRdNiIleA@2dcz;)U$VeclPCNyRz~dtu zwbk5_;g{h~eI06Gz8{;3F3_hCy)c9^mrGb)Q|0K=iEur}O@>|@)Wt$UsB>QZ=2NXK zWG5X1%}`UW9;tz$`{z_>NGga-AO&Bwr-BAPR-8f(Ioa%jW7etxwE?u~PqDQnakAi4OtY$Pgf6W=5#N;tRBAd&BUz?7qfc_fb&(@1qL^^E;`fSD-~3xnIp;CDgQ;YPhZ`YI}Ixy2gB@xUAKx@x4pwtKFVa4V}i0l<+E@$=rq35j3NdPg8d}UxmdhM_@X4_+t zDliR#`0yksGp8b4#B+v;2MF{)9s4^B2AVZ|cHQ zGkWEP{>&WX#+60XhS~mJz};;n;!VKOA^EWJaPzR$_tpi_KwU8!3-91Arz!lH9WTLg zoxT!2f=tb!)o$C2N;P}|YC=a{mswEzu0P8yl69qs+*;xa!f|u4ah0|g8`0ZugdXXE zgsTq^;DoFyy3nw@YP&QKw-RCZu??D`PFm&1)yOf9#*UoKkos|U(5FY8H9MHE#$O0Y z>2RzgR@sIj`uSNHFe}A z2Aq`m8b!ss}g=!We zFuU^VD1{O`P`LCjY^`ov=usHsK#&>|n>fc-az%1L9)xwg!~8JEGVv9glgKeT~NgISsXGDO?P+x?OKr5GaZXqAK7a zwp2qs6B!#hk%Swfx3k(Z8-}#YwN{B`A`uIOG(CJ=@aAg{BVsg*wx1tOB*^k>1Jd^x87uh zodi^u<=9UgxD018JKTVw^a3R_ka~flhTX<-gK+Q0hDqtNC}wh*1ZM10ze3L$v)G`N zR74%M94hwg61!r^%wUuSL3P|0ejir$HRF&g+I};}qot!wk!<<`fmk;I!8-aEe^CoJ zLBowvZEJk^Y~RkMmL*9*gC!btS`q4e8{vR||D~RKCb9-|R8z=nHv(Gh*bysre;n+9 z6lb83<)C0^HSr4EvfAPne`~Zb<#Zh__T20CljTS&?=8#mH*9%kP)%N8X^x3+wfBR|i~MA87v$k+!s@&2 zP|_dM%Il8H%~apr3ga;57OTOr565iog!{YdHh*V%0#n0Kf8Pc# zX6^0Pi;;)x446%KKyt6HB5S;gHjASPA-y!fuHm4<)p)7kc1yXA;Oy+Slrtu-nnqmG z6Dldu_Ba`cZtylt&bxP5%Pq5s2D?dXvx4foJ4p`-yBNV(_hZ2-jMDaQjG|pEdHEq3 zu8-E>(OGv|(nrxFJVTH;zE6)Ty|KFUAzoK-`FT`P=#83)+nWM12QBa# z?8Q`R|000tv7$ou#_F*SQR}x4Cm(Bl2X_dD;fpl}Jq(m+o(DoAvZHZAOEbFrLSAGS z(vh8d1fem6x7t^!-e`x?zJj&>GW-Gf4OV$CLf7*i!-zbGLn5xji0H1c226coEswBZ zuu};nP;&{3xz1mTF=kxjLnH$F=n&l(>-=5CZAca)HTY4~F3ej`Vk1V1h>l)iyxhm( zqn!PyAznmu^addcxHBlCt9&wwYMlJxj!>DgsVk1u57I3oN@sP{upSiy>nnW3#pZ6E0d)QZR0HwDOO1Y>Da z3+muxUhs@ZnTX@?P8lxSaNDcL9=AY597jVCWvcKo4AJ5VOIIuqrfV5_>+NWe)O49G zV9>?k+uo-=kcrIHdFm~Y2mDIhD;TTNtX%t^fo*&2Ij~YImq>V@&w6m8JG~YT%_ZvXviRBLkeImi=Rn*Q8&VUO z8}dRnwSEJ_Cz&I3slss=C#>^o*(`x!+U!nR1Z*kxaCZf<%{`fv@Rk1>cyx1z_ z#a1CNwhDQ%Rmh92LOQnkVH1RarQ9D3iP(~bG-Dp_?!9vhJ#Xu@vTIxxy!3DgTkriQ zE$gG*5P5^6_rpo0p;g(%zf>P27+O!tlzDElwY`Z9xXD z1KdIMllUI;(;(uhN6xra-la6U;gzb$koV+NPv;!YY8h}x9k;8B8FeT z%c+70IBT{B?+|Y_fGFO_zX^Wc`&Fo zZ?N~CtuA~+(n88G8H;A5K1z+S+Qe#J(9YTB0f=sHMXBNAXCG`c)G!E14ealSfKP@o z88yC;&4UrjC5VLXd0QJSnRc|~>`6mS`@HQ8OQyi^96Dab`UA3RGBD2UcQFnLsd-4G zz(`0od{zzSNqplS2y*a_!wJ&nxj@LiV(eeEdf(0hk?68iX7|VL@^9~It&w>y zydXt6YB4g^KkPE1Y!woDE=wq#U4 zW6;Q8V^}+CuQQ;wL!hymw%foIcTmKMlQLH-vM)-Y5Gz;I=to-qr4Z( zN=XX9Ad&A*%Z6_4`xLhGuDz+(A78Pq^8}r^yn8NA6o2@+rW2qOb$`Cf$y5vP&1tNj z-RF@lrA{*-N;Zda4}N9HZeA?oBWb$dY70Me_h%NcD0C$5(>jYgf&Rp78bZj?wsWz# z1QPFfG^;J2LuZD3mU<@S8oEHSTC)dHPCoF{ycS728k1bH&yI%sZ~%hSP<2}q>#TXE zO|8j>VcYes)#PFsmC@2WY6FVYntrJPsWD$dgUHh>L`qY}Q;i)YA zzrzVqW6y%*sPt;Mape7C2?Z&fWay$k`_A9#d~Yi}WV7|*kj>VILpEEFOO$LT5vMb!~EYGFh2C?%dHPxESi2o z(|7C)^l@?gvdFeez~4Ao_ff86ltDCQ!9yOiK0kA;dSe?ZCGm&0XjO{6%uF|K=mk#( z`o<59({D}H_1}X;cB_j;_7Y={`Y_Pl2SUw;Qdn&cK%3_N0H64?tpUEkI!~qj=r2?6 z>e+xuUnqp;bVFRdsRQE1hmwN=mxmB2PQNuisOJUALYPa4gAGU{8&hqJnqtY)X#?}Pm=-is(%G}>Azd@WHe|r_|i@}LG>*pqpgidx(V_) z2z>1?PkbT)#_BaO14Y7F|Fh(Y6qMm2k;h4|ne-CdwOB}&JU$&a>$k&`r^x_$^M40< z;lDic64!;S<=jKAYbdOV3UG_dso0tFTF-a_vS{C`?V%ww-|1z5rs`?+$c+a04;$fAG zr2uTJRPKW=yA<4c(s}I% z*LaVR*BFj{Pitt6x48Sk()Yi8IS8H`;0;ztU3+g)vom|&ZJ60zvJ8EJVo^^C`qY+l zaLe>LX&w|_?d%w|M3Cll{P zj2J0a2VP1?0GLC%Np&p6G9V_~f!6jd)%I)$rNunH*r~s+ZH7b^&ao@09y1w#^?*?yuM$=0k1sPRHqGaT7rk;kL9iuesXgchD1p zibIM;_fA%UcHiT91-^IVJ{<8X&cA)<1JM$(;A`nPyAX8JahQ;dVgbc3z|DvPTQyJ+ z+`MTVNo*>hm&*+SgB}{+iI5lA?f*Elg<9%**u_3BR^J4jR_bTh=`Jcd8STRTsA;*; zNrGJg3C*6FgQ)R?ISz-ignoq8cX?31T^}{@sUK$Kv~_WtwD3n%-bhqU@`mhg>r6Vu zqR(4cjq09-dg>{-$6`{J>r*p=*dDkgpY(^eh)cvdI)E#sX87m=*96ZGR5~$zSTI2e z*vn0T&w_559dYq@JfITYcCc=sn}h09&H|?8L0QPH1X^OksvUi@deGI_hV``7N>?&G z62#QSJR?}0)ueSuvaV_ZhS#`2``Si?HoS0p+5`SXR(sW^v4^T0o>4`=p*%IaG0YNd zs#78wyBM~h~;jTli+E_R;XUHsp*;&vWX6GYtEjc9c zg}QZuNX{mv6Z`8zPL^K=+8#@cs^V=9Q1>;%+Zr+HJp!>EEg*_n&1oi+tYmOz5eqdz zZ}2HiEn+emsWqeE1wXNX6MJ)8f(2MP3j#7gBo>T14ZVg}w#H)(Nz6WmM5J-3xDd!{ z9>jwxQFR-!3$(Nny#BE%Y;Q^iXgXjEOLRqQ1Bl(~L=QEof8Z#!xsB5*SId*%g`*cpmJL2^~)*I z*Y@hARrArP61Up?wvYhv^oj}nfCyhOFteSJs2lrOEw~;F_<8L;G=vMuY{rDKO-sNW zP8I$Ez0?ek$0@3GoCCZ7$kRm3_RD-LE1eI+T3MwH|6WAW;HsqhYdbhCY{&CZxw`fUSXWjenL*S{ zu0IO9(c=p&L8AUMcD`~NF?6J1GLR7J2qd_P?S>AP$8k>wtV5#m3Hn%Lk%Y%KAOnv% zRvdUlDwH&jVF0$YhXKe0>YBx(eJSpg)uv9<%j(MU?(+rECS&Rhi%;qd7PtQwofmKK zWT*?`2I*){o-On<8MH6Er8G-#NoWxjw9CuS#QIT!t)ZGOILorPv$YE{j$}1b2f9GA z_jYu+q}(Zy!Cj0tb0j&pI}}H2b4(;j2B<4siQ@7suI6bTh<}Wt^OoxG?j9aVSnXx& zun9%?-e23t-Ywj(AQ>mr;WRcT`uH9Pt9mc78 z2Rj8jdRT*%0Jrv%+;r8h(#cilgaG?cZ!rI6ph@~GJJxyXYn!)YQg9XKA4PUG5dATY zk1+i`0N>Qp11@%IJ)&Ey^;;2RYz|`>tU0oc2$MUn#A&Pc^um9bT$%f1a%suoddj{< z9&_X2SRzqSw+8eL?xmNVY|&`LqtAR z+hjki`WNT19}=p|>>3QCms`}f;N;PkN;EHIZ?dLL3on5FvN5l$8IG1b(AhTX^J8#y zxNRS(47&`X-Vx(sUmT7k%v9mAFjK@vR~)*-3u@E}I4?z!7!k|eIN_uvfLl5~x0hNH zh8>yN2fP2<%8Z7J_QW*UzN*}ASR6GuY+|`u^Sa(fhA~l;CA4KStdY=(s)5{Nb;YEkz{fj zbv&rOqY7+yJk!skQ7nU&#vxU(^mq5sE-)YqRmLkUOr~+c2e5==25Ma`2*~0|5++~i zd~y|7z@{nwF|J)|rr!2A6#tmLuZ?~2H7kM+zqIpYIFxToEW-kw_E%}PxlWQPa9|^hcIyEau*}g}u3-waR-9p+G|1zc zn8(C5bdGGUYL4=_7WbHa!4C&oy^`S(ea#mtKha-v&2?ghOS7kumtMp{49zsP=P0mZ zOn*Ch<1ml1jC;Op9$@TYa!vD6jPUI##W>+;r?(qp4MJ+tLF|?P3KDCFJB}(p8cPXK zqvLR#t?P6Qo*d_(SXAsq2^O}(i=2GiIasryotiQfO=58YAC*_r}N$&0)8ZdvV^tNAz#%{0HS3hsS1R>$}@QSc+ zH*jNASrb_F45}=~3}b%g#Hp)YHCm||BM`Gzj)Jx1E!wcG?j)_p^#_IOg5wyBgFLDv zp6OG0Bd`^)hu$FSVy8uvx^crX5oVo|)d8!VOaXw~da*!;(5i&KA@IIx3pAFgEIoI7 zRzO`1sjxQ7P%BP+8~{!|!4sd1@QA(zOVGLZ-jN!V`f{Y1FmP$0jZFy!nl5nwqGTbI zYp#A86-Z5%7s-WnhtKy$8?t1mrj@cEJEt2*S)js0kyNP#qrvf6CwVlH|x(F5t@ z*(c&T%~dd5Y#P#YuB!gt@+1)VTonwGjv38r z4wl7YA7$CV(a2;CK0fsXM%NRsh7!IASGvv`<5V~?Bi2&AwQr0uGBWoFUKyLm{r!87 zHT;x;r{pJW9*31*p6qc{#xTS&z8WAKzCK$tnV^gU%R2-w^<6@UG$sz1M?QctL3@Bz zs)-H@hNgyF#$r+qMKI(=O1Si(ROO!M%bkZgWVR*oFKa+cylOh*B+}|?`+?(Myj;`Y zyaYUs1X?-T20>xu@$KKV*5eBV0QPT8m-_M~Cqi4onE@Hh5~ujM;27d0LbTS@vi-I6 zN>PIH`qETo~2Ii$K|96nC^c*~8M6lt$H3Ayy7FW~SP?n@sJ z%P)X;NBhZeSjWPk1u=Oz8s*{#{kG(lskNsfbks*OAX*@=&yh5}1;vLCPH_5SDI5@> zX5aeXT*3R`M5hC?@DAV9MD(@<0E`KW4Sx}v;-C0kLPfnT)R>8oZ44B(`abM6eK*7NbHP~y9d$8s-P<={`(<9Dx8t_AU3pIMOVEi!f&$zAdQ9}Mka_MkopOSZ9Is$20u)!5ACK+`Y`S(?KcH{ojfrIr-0h#x!|8n zNs@AHelX`qwc#|-Ymuu`&J5QFjS8JkyTRBt-tO<_hGjMo2b-(>raa{9dA2+xEVFbd zn<_ENo1QvYC( zTQ?PZFmIiUA!*Ie56e(7tc{48aTeT~3(i9AI5B{j!&$n_sd|{6YVUfSGrMxCQ{|+< zNc}q%Hoot<@UY+pIx=r&Sn<{^$Op7LTU$&=#(T_DLUrF_IGeud+M{n549j2-sH%iF_au}F4X72v!S{N&P8%01x>kLv>)`#4^wRCYzvzWPYjXge*#6_2q`glWngHDEY(-6tGR$$cReyTGQc85<%S<0U4S8| z)S*-!*0WMXHiFLS4Vs+_PES>EAEI1N%niB#lk2dm>P4fay2N$XMbI*K2uo#1M#%B0 ziZtMEkP{1x(dGGbVc8GN(R(vMU%2F&1r5WcxFTQ~&Mx~d0UXT($w`3W?b~jbd0<4) zB6vV&`EoD9OH_D~2+1&kbA%UOco-qizuUnL>r4ukeHFS6Lf!&9O70Z21WIMf2GB&I zOJ8k+$=A^vZ1gYBcM5yHQ`p-M32QaLd!;&LZh0}%U6ZbaPb3qT#9nR_a`DB$wO}6P z2guzO=Lg^-l!j3Jv=bKU-^(puWQ56hR;ivtaS_cOmsqCA%p$YDr^>pL_CKf%O!-3a zpYj9wr7~@3C)%a2pm+L)g&r^wuo+ojcm=G)BbVzvDz`KVu&b{kHKcp}GA{*H!Nss| zGQY?Jp{XDwkn7c%!uzAE{c10T;v$um&wPMO+gkN^Q5rS>VeIitxB>$=y9`tuF&|bc z$}YNXOZgVTpl+BCCFD6IgEGS>zF9eMf#s9TtVQ|MMwQ%vtBcJ#1CqJLw>zv^0NPhSNXd7x;lM)~Zh0;@l!|T% zyk#B+_7s+`Ju~d8zuM`p7S6|fyJr#P9pzMAH8|zIjT<>5S6am7DMO98;?ln5^af8e z8H_Tg`l#Ec;RY>i$InL~+~6T^sDvd}?LXI_sUp`o9aZCNtbs@v57U;qp}%z-S_elg*p-1I9>U(qxa+Jd!&Y_obxtK}A}G!gwqK*7MlXioR^DJWPlktv zpX1NNbvrW`TYkzAQ5(oK*a;31&snPNqwF`$cwY8>ZX4C^hFnM8biHM_46igK8V5J+ zVd~wG5}2`(3vR&X#6OF{m&5TnM^i&K^5BCR@Pkoa&>Q#BA^;IeTDoFQw&`NJ?IsIY z=I2nV@+h(u_4hk|-R0x9n;~ElNMo6VE7gIRS~o14(h&!*%P}jW%!p}Vdl#Ww9b}I* z93eGeg4@Y0jRo1@&Ro>>LZH+$<(MVb7D+MESVp02h7cccDQPA!)TTt{_6()>XEa79 zlq^^Mu+$@0YM;3=h2~~wRVV=!do*Wj@srE0& z8hp{RWa5-WTIa1)-?oQ>a6_aFh&15pcFqy1-3s^{Yy@OZkLl~9My_-MYGEGC<*&DS z^hq{=KHfL~^cFnU-i0jVB>H*d{6u=r(=+}tF8Xb&de?eb3g;urp8Qg;RYs}y%}HM; ztpLx~(x*xA-*H=R-;7Yt5YC9UBiTH-;y*a&RsUhdroz=(E3LPt5AbQTpmAFA5G=@q zetC|1;Z_S?#*H!RK_?P#yc4rt^G9azh(Ekt-f)$+3I&1q$9#W7Z-T<2 zcZbu}FWd-8`$c!+2y>^q!Ff%)i~@;vZrrzWwbhOI$9&uGud6KWGDMWLd#6lb2`DO4 zV5U|HndF3jP19)K9hgR!-i{3z_FGce3LO)(cgH+>ZZFuw{V0d z-GRGddQZ3yKv?H>xua1akc~nOTpYf}>X!^2qjbUcaro7f-eU!q(XXb4j3394HP2<> zR#|eyk`k1YV;x}_(j43`@cg}&sj_NP1Lr2nOP&w9>i)Z}63M_cN~ojWse^5}{6Ql? z^p=h;prvpg{sFIFxyRBe$@0cU+7c0Q#+^Fe};P!prfv?>dLEXbm%p zVU2XLg9u^ax0a`>Z;K}CIgf&@x)-oFC66v@y*w~D6K7h7BgwRt-s-;*6HA^UG*h7N zr3{>|z}*BCw6=I0Z*E@ixXne%1kBgs8#W%b;LV=K?lOavF`@bm0>K#%aYe*_npGaRv5WPkG?PR)7H4fI5uc zxgKvN0Y_#59%S7*_yE53@XxEiL12}f59pg<41;R09R;t;z&ExFa4#Ruov7;Cz|GnE zw9`7*OH7yP4C_G>YILru^AF-kRFfwy;<7T;)0}*D&5vkYeHp#Qh|5S-XpZ{zNmzsK z&w-r~3645S8G=cZUPlpq`6dsR^t*U1VwK^8i0RKHhZUnTTzBdc)0tH=IwssZDOMRT zVOgdVmg&#p1IAB=ktD?Rj{^PLXR)|LrCrgLJS=3G{~SDkWzXOx%?fFFbjV;-X22n= z0n45z?64~xER*%91g&Z_(hg5-#B+uw;!pvNOHtQtz)MM>@j~+NCM>jcAFg&XHKvus$I#p)Gv@ zRzob8*y@ZssG9c*O0>vG;BZ0I^p~N$u5AvuNcWkcCM-|=HXqkB??ua(K$#3k^@}|e zS3pCCbd$paF98*np-j1zQI@d*HrO9~O>-3xd7fxT#X_WOfiR`%a3L9qEC|3L)C9b70IEO;UWiKAq-jBWb{e!_|E2D9$RF^f`kXQ zaOm0VMT|rm7Y`9^8G@HM+`MgzF;cGF9k9b0jxb?xGmxx#1Si0BeTRfeV( zAg-Q6LxmAOSKUqQVj^{-E|#rE-3~6t=3T~{R*o{HB);NW;TG!Fx8V?OLs^d4U$Eee zvT=RrrG!{AfS$6!{*T%Qhb#A0yh-bzD;I9HkCP>AMCeu=>e5=O`qg96vx!$| z9hdNa{HEoNjA@BC9Lt}(9gh=KD+@co;W8vzt9Jm+x9jz?fI8CzzO<`-9Tsl?Em>~u zTNo+$4s1k%rNfS&8LoN6TTh4WS>f@?TV+ z93mLpuXZZ6-vAG&v*>MDFhb7im z=4C@*^C-x^{C$ke6G(=yL@n=FTtV{E=n20ihT3@_Kv8zTXIukU+)9W=k`&dDJ9;N3 z(4`;ZJ#DOIcu4R@bwy>wZM!{qv2?P+Gk0g~z!Lq}PQ1qxHVz(7v4bB0rL%Y1DV9p~ z;D(J1(WH{g&C2G&d;KZpPTRoD&rR6N&#EB~^gDS8K?=ht^ooYE-RD=Gk`#*6U zEKgic+VgMAK$+ka!xpGbe`Y&s;(l!Rum04TsIm!>WB|a*K5F_N9y@P3LZdmmFm}P0 znCFScK19LH-BZYK;7CGd$E^);*{yX_E2qX2M9|wW(Z6Ir#LxxHhD#3UH0EUsQ2SDJ zVMa^0T-=~ep6*_>W2~C*YpkYzp^3#CV$DS7_OCOLkDz0XC~&6?V9#%&vy1Df`Xtxo7UBSt%wTF@&hb+A@mJA}*rx17PruN-Hjnz+X*I^fK58Xv# z2x3|}r@8WL5JG#c>CU_C+;R{_tK#mYVbfg5t-&F?rx}8J)&b$p;^A-0w+M#)%|VIDM_ud*7M_NV&`n zYIqI9*!SVG$O^(r6Dn4D1C9xO>^l(J|65uNzQN(i7@iq{dS^269ktT=<3~%Xj42JN zT!gd_BGO=Gt!yln99lki$WR$5*I}G?g5mXSS&3jcEec6YcnyiNT|dI{ocg1cWfE9S z;^*WfPyZn$i9WE4=_b!(X*Rn1bOKshW##EaL*YYLqCZ>S0S~I2b1}1%yW{jc2ny>K z<@quDWLRki+p`0uFzTSkuEfEZgy12pqqiR*#ZM|FXr#uJG}4sBR{ezh?qhq=*!sMa zah~gqpPX`a=<5vQ2vRE+HTY*R`KKT9OtpHsjPFR(_&mb3zk&iz?8iU%mV-4nG-_N+ zudzcB|C)9vCj9L2G)5K4;xz%%OgW+NZ5ONc2jO6R{je;|;uGBv;IJ}LohgZ)ua67E;*8m=DDqLzL>sREaHw*|s_|4uU`i%Po7u~O9>4>Y!Ac;qCQ zdR?IMTN>WriKKJ0W~tC7*9Ny}R!q_6zu5*C)hWjfppi?#-3V%12R#^K+d#97{20%a!nArcaZ~N zdWn&hu>=g4O{0THz;Zz*VKp$g3k@8I;g4J^-Xx$5ANUT!DY+^6fLUQ1?x2h|2VE?8 zH^8W3Rzw5U%|W+yzE@08u9;63SLcnF``x}!PrfhuYX~uBg21AJMWyPKJhzz|p9dbX z8!h8WCay}gJKaV94%c$gUTZ{+^I}a0hmX(Z8$Lz!qF`qdw53X2*Vt{Pvh%FkB@RWV z1D8eYqdu>*0n!)`89aNjfLGLsiw%xNiAQ<>Or^#f)_j0brD{SGfV?6AA}?!%@#>nm zF-Hus-mag625RL%Tk=OuYU*aH9bci+`HLnVMe)|7O8wT<%~OpU8~u;Sp00*D)_VAm zX+SU3W-S1SuyCb21}*#HdmIOSpumHb#EM|MhGN2-2qBtoNx`udK_>$W1@CSQV4GVf zw;~a5t&VVDn-<2MFzawf9k6o(GIWfD;4@cXSHIyxw1KZHbz3&UMa)UNV(hJ?BNbzVzIq`DOUxxc0B;jv@fgtsvvXT%QQ@xU zR*W8JHgY`lWXLJeyRJ1hnQm`kbwY-mF+AXiGqbJXK|ofv6302f?&v9mj7KH3SruSL zBcQ7JkOTC0s_bn$5_OX0%bK%te+Pf1t9|Ku06d#rtq$oy-t8Mg1cBebo; z1^2>C5chDiQ44iR2V<`zcHfMwvBd9>4sa9CZ*MGZLwx)Kt_=S zZOv6UN`6acJ0WEI+!JDEEe6>5)8>Tbb%qN zx-(YiSw|Yd#hgPV4EeBo!zhNrMUfa@(HZ<$(b4il*6POagVLe3T|IWhF`r}B!tNeB zgpHQK`0@-NP}b~71ZJls_wD(Llu}R06zuRtbgq~6gVTq95sGZ5WgtrYK>DJUx~Uro zrS&`_<3fod$DpmcSQKUXa11{<8+vUI%Mcl=5;RU9Jk-lFM8>KD8dV0err@BeJHKaO9LjlPHc-reft<(F+=Ke|M`PGUANk z2xma z%Urs&W*+_fl`^Y*^01@?F|Y*HsrQ9agJ3SG2FD@#SO`r1iw8QkncKnXNOE^ z5)XJ^phubIfh^FK2y!3Mr%S9olObo62Ut|NFt=Mo>q4PytVzK54ArpS9>@n7-nuJ85*Ba?hp zeJ@IqPOVD*@IWPotm&6H-|REg9%`Q;nX5+nEEb%;c02 zIuB=E8?Vk6w+>RB??VGT1f}%@f_y-~&p;1Bv6U+ke0CrVb)>&F)G{_S{Lmo=_vtA= z8qk(h!?lseIEfuI=E1c&X%OgKl7X_)ct@nWsvAqaRH?J-@j%&dBYqAWgN+NgDP-N$ zAJEvbNx_cXh`n4A=ZTMnV^eYrzTiEM3K=^Rf}8)vss5MnTq7v5Cx#o0;8BCXjT4W; z>^g9Ui`r7w%6N0#phEW)+C13fMjY?4p@L4$$1?B1jYQTnuonknZP-REO#w`sstTPb zz5gh@s8{hM>?oS(;>@Sr@q+ixosNTO7Mu6fW{sVox}Zj zklP1#h`U@D5slK)v^~zvY;G(aR?D~wzR)m#w?Mc;chb;&1NxLHdRL0u4 z>6SC024UC{j81veKF7JZXpJ&5eEHT($GIjW!@2hX!y(@62^@FMzINV{X($};nO#urQzt2ShrYf zWOCB*k#U@PJaDW$QR7%B`#=+lzl;WuWg~OCKv>dE)-Y%XZY1`~_EuZnOag_87(WX0 z<m?vFQnhBrz;gvh)N{l8+A$Ly1P1 zwS*(q-D3?USf#wvlZFz$KzsVhSe73@Khu~K8GQ;_!Jcpea?N;66aq(KcbKX_Q4hUu zjF}BGtklq0$Ee)(*s)e(NnlMM@3v8|j77*eatzcbg~Xh2g(ipSGzm&)yd_jvY(wa( zQ^2^NP6FdtA7ve_piwQE0I|I{!J|;b4MZVZ%qHV3m;|*a3ONwGbeyFy2_&ZQf8(${ zdBw?xm832PW=V1cSVf4{pX$1P;X4@u>nB2pN1kHW1Q~2e0tZaQgiD`nje-o`h(NZc zgHN?!#QGBzAxCRUaR&=;=IktzsOODAI2>D(F%IDxb3@5yjw zPo8K6DCF0DGQpZNHZqCyeb z!#FU;)&>A)wfaI_0p8(EGYw@x7u8Y@=iZ!RwKNG>)>4k9Fa2HNrN1k*)uZ>kJ)R0L@~W_HmmsNP)pe?i zt5+!>Rv`;#NmF*v;jYuq!Hh`$oEu2Jb2b>yXFC(V=Q|)yPyM_o8;2w|PBY&J;=b3n zJKTJpt517%iF@qH3kkkB?`y_sxFM09HK-Ql$?1eyg*~hXY2pXZe_TI-psT_bW6}w+ z3R~rhKjMe+@bG{9;2u-W)VJqB<{Y`{;gx@e+fhxvG>g0l>62EdEoWJmuhA8r%O~@S zP|8n(ebn%6x&DUX3jDem36^cM49oK?WY(}k$Af%wUj4kl%s?62F~BNlI>kgZvGTHm z9SNxzYqwxv9?s0e=c~ic^exkA-jG8mvx_hyGctPk3^k-jSaz|l-ZK+#Yh{oT5-`&n z@Znh&E3sp$u~LuE!ou**K#*2_I(#G1VF;?KL$`iQt zp!z}!BZewsbsAdGaMo@p;mOt@77R%5t5%;5Z-~>1PtCv<2Cx6gi1#W{fEHDxcAo>e z2VY8e0x}*V+PAC*X)nwHX`Aa@zgr}G{}?5xxDdAfxC?;Rq&qUQ@Cs|z{}XoI@lh5} z|3gSG_dFL`LO>EkdP#C62^|!~LJ8PVk)oieDA*N6KoLcyDJ&MGS+PJsMG+8eC`z-S zs3>6hVWn8H@qTA^_qlnFJKldF$?mgLcXnrIcGkKEP=b>Q`H~@E@~RsM`K5s-PN~cq z1^thFkH?Qcz6s3+PCS>H1N_?K8^pszpfn4<3go4fma5<~#LpL9LpCg-3bf6M7-EWx zQIO3mLCq&Z%LR@{?z_b!)7oYV zM=(1%Lm)?;MyMnj$fL1VsVe&#M!)3^$w|xwiHs>oELFAWR^=dqt!b1SJBYjhzf5YF$??ZidPXycH@#k`9#mUAtNrA>C&jrnnKbj9F@hB5h%h z?){rRCiuZfCa^Qt_2#77-ZmQrshAYJ{k8oTsOkkU%UFTxtJf|;20Op|a^2)WtCn6G zL6x3a#58-c7e5)Z3goyv?PU{ilm{;=9j{RAnrNnvdSC)3;8+Sdt)Bqojitr}MpdK_ zQtQ?!$Cx%;2%7LqNKIIUYiheDV+gJKGOk&r7Jce=Q&$YeZQVO=^Vs3@N41?@dIum6 z{}E*EekL}QPYHRb()(~qvh}2_=Boe28R@F~3TSNm^o%;HEZOkPZ62PJVZXkWWcN@Y zOD6rXRc#A>)5x>F@#W37x5Lc_qr0dJs*y4H!tK~4jrhXtt;(ka8qS?Y`$#B>Tk7)^ zp^aBT2wO+LOJ?mhyMCWgFFj}c zusmf}1MkAb`Ftu(oJagA2p)SiCCpxqM9#a%gYEMuwN2u}Jrk#Sfch)<`{ax2IEnr_9pG&ogEcHkw91s2Git?*WaucPFJ0R@vU1M8S*c za7f;s5hKxOc5BrNb|Y`WQOij}2Ch%ztsD=&hIujlKF~lkI;Aqohs9^ClhH_Rp6uVhf|X2)Hoq2HU3LQO>EYQPcYRK!ViIxPBB=Jx z1j{sFS#rp1FECKaaIE7>#YmKls8ppcZpA%tKd|5ST%dtlD#LZO!qxnjS^y%q&&K{z z>p^@EJV9Nc{+$(LlF#)cCe@FW+UOd}@R`F$ptk5wpf;LlOhiD8b9-k)H!h!*R5xH= zG<#V8XTAxUwx44-ry_Hq2r0FKT`9%LQA6f>f|9Yt7{Az+T<{QjX>xtOA{bKrY<7WK zJkMiTeETeVKCY136Mqj6qd@&O2kqK4_}xijS6wiPc}V0~cTzQZ08CJGJZbx}k1?y{ zA)v8laJ_P=&M-)`u<(y(I;NmVEV8fZm4?A_f{5>DvCiYad%_u`PBRvCu3#{ zorpYj{3Fng+J3L09dF2&u9g}!)2}rzpAx1I4Vul2x7!tSbz~tMx5QAuC@q=O#2QGpl z?5!YEZ)8lBRZE(QXSfN@qcN?n}G4A-Ap|SMp*y1HIG-JnnhCB62ZL}p) zYJ*p3Vm~XJ=+hkYBM*-8d$u|1wnxD>cS%yVVS%)DIwQ(mP1Esltr)(b93Zk@@O6{l zpNR3yr;A!Akn-W+1nc}~&(iYH>! z`M~guM(Po{fDb--w8_Far1UwFGJ7!sq^$*Mr%#M+)!U#zWa!q)_7&lXxlw`LrrFp> zN_B{XEUDgI(NB60!auPN(@*wkDOg;lgZ`$?5C6ny(@!=a4Q|^|SSeg~Rd+1j&Ry{o z(0Vux-g3-G;<>}=JsY1+(#i=X6=aw7d1#K7RSOy{_2Dk0n{)%2`ROS2Wzi}ZHJe$YX!MFUtmQ$7l7w(z@bB#s5xXt z%LNT4UAptWS;ltPtg{u&gxML+cFfT-z9rQ4a4XF96P+5Vish;~XiGL{q_ac(VN*Q=5 z&`K?NUK{khJmFr(&}UyQ>jf*uqo6V8T{WgAD_c!|0Skmf;|+KbZpu-#VH(>yI}x?# zCB!D)dDM_<|a4CsOdz7!X90#}U zz-rKssn3@d)(s}`_u^em-U z^u-m&lC>7BNP_iKy694z(x$(Tene@Za4aRIIGe6mrwve^x?>%tKFwfSbEs16%5_P> z80to>%dUr=YxO#}xdW4Ml)7f43ba`Y)P`4~+Sj}WWn`v`E0~tHlsCYuA?vLaZ3Q%( z-}!0dOx2EW8QK8Y3m>ztRyRVZ>BbFcW%VEp@*o(S39qg|710QZsevwfOE}OWr}xvhF&48? z#49a5mu|wmT>q9eFWNsf{)(J+?4#bi+042^QBPLtBFa=;xe2;R>$elvM=e}|W4}Z1 zm?4g84!i`1a?eI9a^cq*k=HBJWp=RrD6@HkSh+ZYc*@&GB6&wqVASA^w{f)DZzG^5 zW*n@t=aQ@H_uxF{zw6=RGahWMuHS;R=#$>ldY&applaQtWu1&w$pc{T?CpT+ZVQwY z`nBBJD(vb|a>lYvggJRC{O~e@w*PGgE;NKlW8v0r+pv)Cde_cKYXJ3pBaNz8rV~Jk zYu-iqixQ_=^1s%jjFEe3{_9P zA81o;_mO4xbs?;O-p0dT(SQlHd(|>+5D8&Dr|Bnqr5HaUAVqq3Xh%k?AZQY@DZ@Qx zzVb7DD=-=GT3QiAP_sGE)+r_G?e?uWr0)E{Sj;@>q2dXnClM0GTjdTc;-n9A$s+1N zpq>(V04N*fP4Gl)ZVITL6h_40n;n2f3a>KuA!!*Gr0M18-~Pg1dD4l(t@s20x~c5C zcwBjX=U{pkhS%FqwP!j`mU@1GuXy?MLtT`a+u4K4cNr@~DFL-^M{XfuD0U4@Z+qOi zA>|^*DHQpsrv?y`8Xd_mO>d(eIC*aLp$A$REu=Lr4VhM;44o^VC##S3P%Pi#d;aXbOE`Kw%$Zsg5;%7-%|oANEd15teC# z5NgW~nCd%sT2m^5eHva@HGK`VYkF2vtueG2}?zJ>+s`P7U^^y#fd4xtb zsar0cK&z6M*M<-K{?~xSN7eCtRFiMf-sfvjPh+@8wIgr4NVVG=!&Ds6Xu$)nwn`w( zGump=KIr8m^qm%sAAM$6gRj7_hxa6BnC1#IjH863zAnNRFF|MFd+ zN?h>e3B2w{$a&&-o|cPykp@MYz)eu^XZ80UKGOL$I=9}zK6>;|9xJ3{NUU5xGV3_C z^GCF6R>rq;TG(~eu2~u1PN5TZ`2ni&C#}DArk)J$PiGZg@p{u&&Akyk0`_V-UPI{<@QL_#Lp~rsl z2o=#z7RdRBa7lH`&z?nXL0My&cR5zC=km8&G`+JC~(MQoAf|Ef;h4{@lX;N zOwi#&Fbm@kCN~RSNE1^7?~tg~zhPpNwyAG_3!vP2L>>4X`7fvZ9wXK_uh?$9{W~aW z^Q&iGrFUwGJ@^MCSp6qP(W)vgJ2Jr~4DzkON!Xe_Iu_+ZCiY-BJ!q70)x4PIV z&KDhPeoZ#Tv&+38rB6{&Br20OCLMspc9cXkRZ@Oqp zXRrK3%Je>i{$^kNikKCXOoukm{1bDFezGZpm^q$_x9&X*6Q=?X{{xA42VP1`bIL`y z6%0}XUdBP{uEXFT3+I79v~a4aRTXq;~|6LEMCs_^$p_5Hs%UF!5N1WLm;l%Abg3#o1pc~8av1Ufr$?dswG z;2PcW4-)iuLGosnyf1lpsver(HJj)Kbbp{q~aj>JHBU|J!jp1&PN_rmN3>KoG3neU|LlXfuM zy3YgKaB5v1#i>~>rwp2MbuFh=q1o=!$t>EecU6x1J}=aAj<09kvIw*`V& zf7Eswsm6636N@Vs#da_n0mMMTmTC3pT!nFxKr}CIzS=XGO=~lSO7S?uIJ|w0z+8Hu zTsq`DD3YRFCo{|Qrd4q(pc+i|P?ZiD@dQawMe5O1D3P|*GPG*=(j?7ks6MERdyfW7 zVN{qe6kRS&M-92B(gBBbRiQ?1AO*wEsFziuKFGwM_3+ZA)x#5A><2zrJI0522<=t( zNr58l8Q85&ukCQ?JUIihtwW8$IRg7!MvMc(xg!qnMfa`(MX~eOC}J%)LC)1_&>+nP z88+2iP~Eb?s8h1ROFdtuqe+lzPRgpQy5_)WUY+YPT*h!?9ARIx3n85Zn#U0i=Qd1t ziaD5)Oe3$xuA4#7KqU3$%wCJ28op) z*!(IF0}(&)^tR-@T3>}isi|%Z%u#%&F*x@6S+>Xaqab;V7`GEH%&M$oGa@U?GeA14 z_sakf7~94vke4ReTJ+e2bKWZ*r(~|<$X5h_6hGJ&3F#Y?zvN;B!v<+d`B_^v)&-|- z3R;~AiHa-?1rKnd>O>s_g^|8Yj)Aj^=TvKkd(=i+Dd04p?2mI$@0nj?cd@;B~{Sg7JuA;xX?{R-+?ZYP4- z{Q#cPI5jl`2W{BnljnR*KESST(txM-2c$BPwTwLG;r? z&~kBs(?ql9sF|U@Dge9d*7rCgopH;VO<4^IK~DRf&=3f+RSL-%C{1@EIsfoFP1y3Kw{571rBTE}~o zO2?LjN@oaQNOhB78z&NyZf#7{xlGWL$Hn-1^r{O=8>?nb;8Z-@m=uUM9m$329hip{ zznXG+Q$wnZUK*kPdpt-TSnQE1l8C*jZ4|i2S%4pHZWP!Fi8?@-*|;r$jsG-?mokXdHE?svkbTV>{zRk#0i<(1&UuoejC(`XDxz9Qq*W_BZz+h}!;+p5S za$2a>&51lZehbAlt8eRIllNY0!%9)h$IOqqAdsgN+_jJmfT%?+fYZ~>JX@5209Z^{ zwQcF-sS!`%$iHqgAb3m;=Y+gS3rs9so_(pM(@{p4GxCh5T%KP<>Kh~=R^|_vL*}h5elnPAg!EV$RB}4;OwTD9v9+vNj2E-EF3W;rg?j!$ zgo!dDM)5_yuwkzn6b47yFiv##A4)Lb*k7RIGycF^9T;%;Sujfhg|UJPFk{e>7YJe@eG;JEw-Dz^eXmsk1)jUK#V`>_%=!UqjbJ3&pn zH`u6l#9%=%@KDU-nT6RQTN4!dO1+@f?EsjjZa_M+_A@*hgeOSO!iAiF2tU#dCnTe^ zx+C5|XyZ1-gAQEC_N>n6%GOjmuf!rwC_U0m+lqh;i&}tqLZ?{!tTU1V#1jbSi7My~ zJFByaC8Re2nWJsT-2JtamlN_FTx{agAjUhkwgJ@PdZkDH8T zkIq<-S5=uQz@G!P;g4*q$WK+9 zP6SJedKryO)OYMYq-eIk&&^R+oP?T^p&s}^&x3@RP|oI0Cy~P0610#R-%=gY6*#ey z%JTtBt5#CY1+qMfz4Mz-B53_FJHDK^FJHM)=TY z@XFrqiH@{)fh>f(ZpUQ8!`|J)uy(Q6oJ^xL;R)oo@MIKs?|%v~UC@hGJu*vS8Gw!> zoS$a7^E5+v9qBz=)5?L^S9*I;#S(5YHPi=p!+NwkImU3G`OwcDf%-=3iIZU%)cv-s_iiBdj z&*Sv^ms6~sNk`Q}G=#HXe9@^M;fY`};he5KzF_CgF01Sm-c{U@ z)lA&sHC?g#k+}2w!4-P=bg<{x;?4M+YOCFz{t{A$g8`AD4)4Ll2sJz=;09@#ot zt@q<`WS4z~T=5GBSi%y_p4c-??{YULE76EdR_|(Parwfu|4)l^!d~DU7J}~PUNg|? zh)1dH(@#yPSU9e&4RWITuk94sB{gXfME~vCSV!3^$xLU`&np%o({SFP*ia-FHDWNZ zYI=qfwnH(l3(s)6C9+#&V^qPJ;K~2U2xG%o*CNInqvb1eTB?7~aoTiZlSq>B&issB zp}%K`RQ{v4%@>c&kFNUMAy@Vsb<2dbnv}=BdDKBx%9s-rP z{9LmiQ*+P7YR#kZesE*;&=&99Y-0F2x60MWo+DJlVP(2YKI8JM%uze&0&A9}aEnkl;Xqb+4oRz$B33?{s-R@`8vNFuMquP2YX8zPM z&Pi~NW*tHP`B_&uodoc43$dR)c!kqS-gq;tAk(R8uD~-ne~$HNloACWsuyAW(PIst z92Bk<91W?11Tr(}*ONw~h;HX=FgS5J{_8o_FoL&VoeVTe0T-z4^fAj^RR59lprwu& zlNRX!Gyh~Wa8QGb@MRrCy`}nU{}^w=$Q#jyBc!~jKnj1l{@lxty~br}4;~|{vhg&b zufl<>a2803%HBn`%^94~Kr>l-!BT7UuZ6^a9Y=(+VUw<>5EXQT-QSb0vm6tZm$1RW zBar)H=SSXPIVN6ou^byP&8e%aFEt{*Cxr(_G*r= zxZX}C++3APg2{9vvMN5f9-Wpy4nD~IWy1%?i&L1-yw(Z`)o#QvdbQ`(?)@8}lb_v) z;rP537hpv{u;Jh|%*(^$fwuk#PA5F_YPl5FFAh=!yhNFx6KtUYlGa z+$WJ{qUj=y7xFIg zY3RGz&#DdA;UMaV8=Mo=@`+BmBg2fO78|C69~TinN|)1BU*8n2P&0>Oo_%;zvgQLH zg4<(mf>aWIed`W1hbAR!W(V}1Tby=BfkhSOhHCFEPTPPVA+?nX5=SOD?c*D2U4>)L zX=pfVtl`hV&U~5RlVYl)7``>ZC)*!I5s*GNf@<2ZV{Sn)y4{Msb_5rtwaq}TBeu*D zTqica<7zSP-I-r-wHVi9^e>3BU~7rrZ8`;Z{J0Zi8YA=zD`9aqlIQS?vEJCHA=ZH3 zc@f6zCv}i#hpa*U9qv%!mnzkLGG@<1=cMGSF_W_K2oI(Z@*Syaa|cTyUn^srduM!x$rh}WYDKN4N{Y|QAY_TT2TRpW=I zWT~Zl5k{sdf;=&?|3t@GU*~jEci)b!IN!S6H4(>sxWkNo!T_LOS)qgCRh|c_YTb?v z;o!+mXASD_da?ss_g#Rpr#M{t#Z;iDC%E()kv2&i#v*mYZ4T}ieH);}vF)p1 z+8f^PAYJe4oNj6&>TIZaw>#aXyJ+xG!70x1>h!6`7$J7y<0RAmTy=WBDw_iMnr!Lo zVHmTX%JF>P6L#S?gVQz-O}?k zbc5L(p`CP(p1|X6Ok#&G19sEQvI3er4>^KL`mp; zS{|KknIMyrV?yUpXnjJ~FhSU3^pZ?qzw{uK>=@H3mYux&87%*GW|5LmWu%Bs)U`$e z8gL&}atXDw21uXOV5f>_LjzjQ@H9XMO=E0ew{+=!h7C?c229b5>pyIo<8dJYyGv%k zraU#%Q-nA;k_Q|yoigJXJjjXIU@1%+JExD`kCA!H8PPXUEfZ8}8!QMB4>#$GS{^(< z2Rv9a+v9=sM`JwT7-<&;IHU?{q(aWs@S8CB1rJ!lrQc}=C*xKgM2LqF)Z&jD-bXrx zO$+ZkCh0b03ewpTt(s>@SEJ_f#N_O=cj&Jyb?I$diu*hOx2gYJC}fnt)@JTCN9!(=wWX}zcn&by`&X9y6wcD*qD+wb|s&kdkorgBN|t1i6S_|Sc>ts zJOo6va?3a+O+9wThQyGHThh!raVge`y$^U(Px_HD(Nak#HR=&Vvm242OafK*CKP1q zLbNkSrCVq@y8RiL;E@j*>YYd&ARi+rUfB~IPCCbPBHA4$MrikN_yUZq`5+T-2{|}y zczk(*o#ZmkXptMc5cuCP-&1hu2pKM@Sxb;nb$AiLXiF#kJ_5rp;Wm5}?V1M(+0D_+ z!;g4ehy&ztAq7_mhR?_8&L=?X7;NxdF3cjT{q*}{PaR|;EaAtV=aeNHKVJmEav}9m zD1FW%qx85C;9oO%k>9o)V!Zb;!v*!iV?3kAJ&uoFctXoRkmuhM8TDsR?cT*6>2afb zq{ATavn!*)>SIvumF_{3{A!%O&3GImYXy)_AW_avV3Q{-<^IKmvBRl7y_z|nq{ox< zqesnzS0ztEHCjIf)fljYf`}p~l*Wc5SEx>T8kAEmv=fycn&B&s-nlwb31Ju;Jw`B6 z)F@Kp{HgQs+CKD?17c! zYx$I;R1HnbC{o8KZSHX#R`6GzO7?ZlH@Kho*mKF6@g6dQDNjN=9D@Kh2Y=5&{iH`T zGdjY}i0T`aS&p)ed?N9kf=+xF&j&&Z%WjW;^QdXMy_6HOSAP>j!%EtkjwJj>|!U8h8CQ;9CLv73~-0+H` zJYaSt2<;FPAc|{M{1)nKMUFHCEWKEi-SRZUI+7g)hf%CddA z2K(r-D;!^qBj9i-uWcTPv@-;i=BwvonO*Zd&|>Qnm1()e0DcJdG=Z3~FB&oR&I?$9 zK3oBHAlc-}d#sv#uxP{cI3O9g3iJ{6c`{!&st)`Q+o-Ev_K27Hx-sH8U-yjXJuZtC zNK;=s5;b>D4=&5n_d$Hp*YxLterp$^+VkxD5(d@eOYe}#UoO!iIF5Ju!^|}-2T|{uX4EDds^PC-mAv*<98ZuzPz&zB(-*bZ zJFRntBgAazq-MUFgSX|^qyOEX!yTC89RVqSAp%{+oaeSdF2G=Zo9lkC0EP`SGH zHRmMOO7BS;1TnfMmXh7h=( znkDrjQSEjTCEkgts(u$oOATH(Dxscv4Y>hU6WBEz_lDCdFEL~6B)|@aDm(3b3rCP* z?IFG7n8et(JiMfLsqsn$Hc=JW2p3|`MuSG4bTeZ%@EaT|S8YNco&qv{N1%8g_xL+# zX70r|^Hyy2dyt)08u8{QBugU0y!Q4qs>en+-0hMeWEsS+Y1P|$t;k5gB_b}Y8odp9 zZ{37{fLS0Q+&{vbPv57#YxD+RRNy7w&tUGGy$8kcN@ZO@pJuTb?-I+FaOZeDV-srgpc8uZ#VJ|_?uPt z7X@0Y7YyDB$<(`&bWk1+J`66FvaKukAr9H%~_Kt_rW#i)iQl(+=d#(!|3jcq3@+PB^qvKL!(o4`5V2 z^%MA>Q+EP0O#PBPDIL_R+KW`8Ux-W%%h!s7kXymPjL*G^FQa^#3+j^3Vf6+&h~6m- zuc?2|@h+!DXYT|u z>J_w$ddE^xJ;}&Qdr8_+@A@tHLGJ9h&wwW7RRt=f%NbQ$av}0Zh!^lZ8WG@b8F1ck zG897fC8tb%`YEl6eDR*~>ZuyNm6@j|f9iCIx7}q+vRbQ#pAti2{j27_hWz(;_8>$* z;!kXS=k0RnJ;_IILa6DdU5KbH+>IGcaMk|ZPFl8gjw+(6V7Jq!b_E4^8#2QSM~;9tg_)m9ieiTtL5rE3>+&kG@WKQgFCc>vd$}yR}_7Mq

    TH8ywMju`J{Ye1nXXQ>P+vt@s<~c!V5E^0V-g zX9v2k@HE`Nnr|>Nm#_VfF`&%z`g@Ui4)l3rJ#McSbdh0XR|GmD72d@T)$&{9voU!x z{smkX73X(Ve{Dmac=}hCHt8~<%cS>nx~hpad6~opj(?5$4qY`f<;_B3X4yW-FaHo! zUPBYUgF zAfQHn@8qj(-$MOal;UMfk`Bo2T{}`?9H8(2=Zz#*Ydum&H8v-Zqx$T#jEfto$3rM( z{2DRjod1C#oc>yom;j9?rh0{9@9e;Lm`q01c^7=c_ec-b$f@7HcLwT4gTcK&a3jOZ z{m~!1GfYyq|LB}=M_k?{(^c($ijBDRr??S&{u~=|!_SFFtlE!o;2&RsKXlWG6pyub z;vuJhjy+eZKiPp#7ILGCE|6+R)n#}ZRoy809Xs7;en(HO z!_sRYT&6vk7g8;V4l6^;W3>(fasA4xIe3hgW$Cd{-7=*$JZ zoMJ>W2RNwq)&f;?5X4UX&EQwJLV6d#j~J)+e~{WhH6R+m5MP3{<;R@g!S=<&GmVzZ z1W3(~-h>-hPd)xm2$6#^I}U53QZf%(d(vN8_XBxHn?%~~{f3=VE}_ZOC=LKABML>b z=WxTX*cd0J9g%%|syO#|fGs|Z$)Y7AcmE`rz~7j(#~0$J7^{H{0144>fT_bD7BQK9 zXed|9{sME>&}e)}Um*id1c+lIt^PJ5aF1%|K*mPmPvY-6`D@jGntyo#nJ8)HQ*gw| z&Gyn%APFj?x{4&h?2NB^DEaSL3X>P9@U})3Ccf3bmTPtkG652xna15IZcuePLaP$ss*8`{N|Z6C{Zo+2 zcVb$u)dU%nBEraTopYA91$lFnOCQn>geMOIP;*`-z+zOUqOumYwQmx@q-qaAS?>z- za684&BcQ_z%EHl^VfAj7+o)U{Sz0B%?Q(^8fKlPaJS(&#%&RHigS<7vrPY*G;mK?_ zU*%`Icu`!O`T`bj7}p1ItFFzfX;kBuACUYm7X)aLNI!r?f_q^N)X;@lx$5THc!%t~ zCg`~^!wtwB6O3z>g@aWMGKjUL`-z~p3Ih6H7emLe@1foOeQB9V>H1~qGj7u-^{ z@=*2djhq;=K9gNV?qQ)iGZ*^BC?35nQ}5?OeLiSGww3Yoi{5gl2&i!>ogo2?#kQbQ z#&t$9#HX53Nj@cD|=mDHa2d(M12FNpEGcXV;Z&o3w9XZ=W}moiwXb0#5e zT-u^1Nc!gB%cL}b$Bngzw<_DUt5O2)VtVRpk32Ai{V3L2eR57~2f&4A38=F(`Q>{@ zz3h+xI=cSyEx6#jD6_qSZebJO8#e{+sEclzb{Wp8EY;Q27|>bpn2G#68FtaIsGX|C zAm8@j*SL8yc70lUpi<@n8i=435b4Rs!9a}t^a@ET4`(W=7@C+`zRGu~juC+Gm5xeP zbAKlC#Qwoe(Op4Ht#oiCwH!fO(ZEpaRLV3o0jMOwxDI->uYQZF&B{U|~Sqd^-6~m2doMzQC zxT%VIZk~|!p)jU!vqD0Nsv}fN*NbG$k&0eL9td&T5d*;vSM&NugJ7yt8sXOSta?U~ zlTw{q=|!sWl){GQIAWdM1i3eQe#%G{;kCUmuYp?L(8EiZk{DiGbTv|7@bbl~qz0@k zatqYzMrN)%l`>uh4OmZ4%kDhRYJl|CVxZU~dYSmhQ%i=$grXyjzofuzpj3g&nGi{t zkyGh~txz@f5bj(20y7)8=)m_zX{KmaVHe~V+qZ@)w9%%8#e3h$%sZMlUfOD<4k+Sp zYFrhb8+p6PHN_??Wx*KGzbAlP+7^ufp4=Xq(6cjj;m9-$7>cNMFCe?_!D5d=@v`Wq z+Gino_4LM;JF@I6uB8v8q)ztTv1*@(+=UO0r=E1Dpi%~xfJ>9Qxj4CLfo9ftc~?uz zqILvt;*}M<5iJKlq*<5cbZ4g>p22{YsG__QD8b|)+?78?f!f~!vEYp?_MQmcVI*RuPu6eF<^WHzRjHUzn$1>U|XNdnDNMiK;vq&_>r zr9gJ3uM&?>8?6tDFE~rHrj5bRsg!;uCQ9)KU-Zn@&i6lc#KOF6GK?clXVAvrX(8e;|55}q@dx2|OTUC^~w>}nld+ADD z$fj3wjH{2XxnElaW$dYDmbs0y>=^ivt%&IxfZ zwF4-hLN*E3EvmxPV^BWpC1dfxFTD3ER@L|5Uf8>3R!QW=J50XOuzL@Mu+_hzoc5t4 z0_ps+2)d|Y8G&qAHH6CAVo6*ZA%}*Jrz&MIjA)qn6(0E=)WrjqaH-}8D2vO{DFHml zqU+qzRMZC=Ng1A>w>S1tdci(%c(F2kK~I^?4P$77pWJ`~KaOd(h**`BDV|za)T0q- zTeFbI44+~1mI+L(k_vbd$5#N9wvaMjMy%i{<;hi0rZqX)0c?h4k|zTTtGwLoEDSX< zj5*qsu+|Lm!Su~hcGH%ItcE6GNmivT#G;%CHg5x~vaP^mh>EZrb}BBAt*8WOo})6? z(=ep83JjSY$ZSR=_~Z$0Akhd)L=Nflj$o?cL>$vOzPhcm2SZvhb_$?c@Z5`_S}#}7 zHnXGaOKHK1jJ1OJ)Ez9pFM4c!$gT7W*HrRFyLze@O)B5~ocLK@h5E6J+ahQ)lPc{Y zgIZVtmc3WyR>2kCA4Zg>6QNG}r2eRK5lcXBC}q(#>4-nesknSVTHQK`Csf)9A!WW* z;vJ-$2WMlHu9^V>8L~7yo_IW7UHOJOumZ?yd~r(xrv^6fX^e#0(aRv@KuDq9dN~f{ z9>rl|-YJ<>H}7Caye*ReicihB;LM4gn9*^fz{bn&OJaLF?dsO*VbF4`5S7Sd%B%Sn>gOuuvd(EQhaFVS|k7V#K=S+C*;rmiXIk#dKq1a&zDPACA5$;alR;XK930lLfbY}NDLMbM_o1jRn-67HOS&1QxGN5oP@Y) zFuD=Zb*p5KA&8Czp#C}|22z+LLAaWE0Z1*42s++(_Ww_?-tpq-b}$G&<9v@`>4X^!#&*GMSuhfr86D5n z82HvXNiTb0^@hdhm3#rcyl+3}ycoS=`zGlvxfBw9?Y!jBtbo}OdxOwAre0@SPfTq) zAN1y5Xb-BYq#wqXf=Vn*9O_{xJu!hHCLeoamkmKr)b4PR*Hb9Io!yi_N#%IOXUw~`!U7Pf@_am86|b_{ zmpCDcRN1&r7%xbUbomwP+(4C#FdE)Zd_K3Xa<=9KRHu=K^Q!qM%za)k-B;6EX+P?{ z8_}vIDE&M$%I@1SgOxx zMIzxxz8YU*$1#fy`%~L4vFoM9wE-FY}}zoi_u3=t!wDe$Ked%*0hO zKpsizyUVaSzW!2zMkoJO($5!U;iQcgF5c6!XV?Bp&v3|KoI$|-5o)l0Br3BNjIm_) z9^)gE-`3cF63HDg1@T*AWKT3k0g< zrMarP-={WIBgVyG$t6T@z}@MtshhHi`myA(BgOUZ55GA83J2jNB_L$Snw>x4b|1xV!Hfy^|2JV^kp|Ar__37co>Nz zosC8SfLH{OG8jzDQ48GsMrutKv~Ao?Zey>~MwLAYh*Wt|_bRUjP?h!KFgV{ETys6B zJn%ZM;)%MT(b7_crpH4~x%oPvNPL<%O&Ieu;YIfLXQ@!QYaJfC+6bt|KCl1d=!MSBCN% zsNR!3uzvQk2!>C_IbIvaoSBjcESTb|F*RA)YUXXQS@UkD0h941x75ZXqougR_%kL; z*#x-pGaCo%lFCe4oqd5Ca+}-M9y5a!u3K7utJ_BHj%MWq@u5$E6`VqdJG8+E({Z*z zwaAa|g5rS%YSyhW-JJDLM%TK~OLS$^g~&&UenH;Pc3kIr;x?--B5p~XK6oUptJ=LC z0gsWld%7Z`m(-`n>)E|Y28&j~LRHVO3>NOLH_{bRoMrd>2Rn`Qq{BhDrRu=q+-!AM z`y5o5#>8rzkBqU27u^{A3;S`u!4b!^dIKd67IaAyS z^mqG#yxz#Mg~Z;x!wr){8!&a$?ghCy>g=gFX83cWn~NqIS*@Px9?je#!(Xv0&s8_yg$=^`HP}Oz3YVGs5X9QiQq*|;0521NHWlb=Wsd6?he*piz~^{6 zvr6q9WwrZG7^S^#$w9UFZVY4a@@qQbMO1?Y+BC!M)5tgl(NbH&27Kjg8GNY@PQ$Eib`KC?Gc7`dL`WIcpQ|D=6SZkIA(-vEu5Y<);LVeXOOFWK=YkQJoxQ|y)efNZ=S@=)rRiPe#99Y+1;=%B* zIK~4RqpEa`Y9;8u>JM4=4wFGpzD^2 z7#R49*m@Q6WJlhG$`89AbQ{85kb4F->$tS8GywZuXFaYtk*U<rGnYS!L%9KOr>4OjlM|v!J6zu`1$(fP z=Ys#f<_eu=`0kT>Er;zpSf18R6+h>u*0Wdg!o?uX95P4M&(COg46GE{sbE6zFMN3J z#mM}8^jRY(nVpIr5LhQ#(jE2w-`LT<{06$gPS8k42}S+%Aui_r5z5U|1Ck8K;u(;e zmTeYxJRkin@*Ve+DnLjX7*?7-D<$h&LaVqU%E`~U9YJ^585!Nw6@zi=vGqCL`x^Dy z^A^mpp6|Zos z(F2N(W&8!~d0$`Qmg5ghUH7l?E;b=b#YWCt>9$S)V%16p!2?{dE$FHd&+}HD@TYJ2 zirjC{GXdPG^d;ok3gfy)QE&gsmE~+K$>yJ+l^#M^^i>R5!npaMWL4iH#cA3>iMM zCWdR#+SsU99)==#zS5IrrTQ`Zl05;e%*l1+CYfF z78~f`TiC*@4ekkSU)-|zb}rp;`)fV}QWup)qh)$=C@w}76#NpV;td6A$D7(2AYMpQ zqTg=QN)(a(J_-Br(S^mLBq-JV5otlxYOL(;m`$hT9r zPbQsq9xw-h5RlbIfVGI?Lv!DWn@|T1rK0r3J8moay1cbBf4l=#4ZY{7nW$=E8FJi3 zc>Jbd6Yya*6Tuhs;OSSk8M^xCRt&-`sc(mkmGqVQ=|w8?E(Xv{7im}q29Zry!NAb! zUsH`f`vG}ts%rQijujr=MA`rc7uq15a%-$@+y?`5wf$jRW8jZoGltgS(ZoKREr}us zWJw&p4M1Cb0HB&gsevGI$PXwZHgZc0hEM-UuSi$6!gJn6otTk+C^7m@-3m}2Zay}B z+W+85%TC8ncOe&rHbPFB++mjCt{&ZM=TgLTwj0p6lt5>6dar{nbT^qqz_+;0+JRm~ zWE~MdK`97c$klVF;r_z+v+!g}b|ACFjh2ZNII0&x7r(LVq3tYBC&JDfCGaV{P~YN1cWorj&R1Wgh`QZE-|=g%DKrKZXjv@sUwxa3Yp9VR5Tn zhJfpHAG;0IQW7Q!A|OgBQa8S-9W6tG&3o9|z4@_`xw?KQ-=G@$Pq0vZz0;Twr%Z0W zV+`OR%o`ti3>Q8dbutW~4LCW3pJpC|1=)rUut4?LiIl#6J25OxB>)$ChH+WeC{2{B za&Je)iJc@$B2i_0%(xS2s{f~$t&Mis*(xG0puUP;LMc#Ze-Z-`hdK!ukF-<4!gbV3 zj!$%{ik%ogOroI8l#^JhHq=5BpF%BDJ|~8LNB6S)y22!>mbh9y>Qln0Rxne(&SnJ$ zE|%;8Z%*HhPP@N|+s_kS3mYJH^PbOW3|e&sF+z-XbB>mB`JMurZe z6Vg}i#Z=Irw)Bf>i70*sK`UNT$1crlRUIxfH$bpLupCLrZL&0eva3d!4e#2CMEyK( zv&@UIpjey(7r4zA=6sFF!fkhz^Lu(7^(y|VDVLjDtutNKJC)b~xA>B}Fy;P^%dNSTmPULNKBR+eZyqv4)2~f?>QDQ zevr3Kk6;eEZ~q(T_^ZBzYdl~-1=;-i$VQ@E(*(ZYVN$x7mhv@5=Xg!IuH|lRokkN6 zt*5KJZ$ZobU$dPhT>VptD_8`-fhiukm&hTu$T$+nVYZC@2~iu4!7TljVEzx$J+aFo z&BI2hP;K646P%qy&krPeBGkt+eW_V>LxYAS)@4Jd+WrH!OHXhQ zqB0>QP^pUd!G<*c*4mKrghIDZmxCqj=ZyTpLiVdK(^yr3Bh3Eac{KX9$cnPDN_i0* z{SNyf2eu+IKtr-T_!Bm@W52fwE@Lw>S#oG*$M;s2qL>M>T*D$N`~3jL`1CvUORm|r zf+~pQOJxuItclDEh*F0%Y}snmQ)zR+ZJ=)bk#w5)D}zEFm5cBZo|P=mxBPU zr?1HD1C@IEe)B{|9krSIu$2)P&WI5_b2l`=Fg5$B3n3?iK$a0~(D>EzEr)>NzF#0z z;zk{DON>BrIJ0^SPMgmBC5DzyxiwlJO`x=N%hbXI!_fH+UN0HG3|TpcfA{b|dMT<` zZXYs7rBS;MK+Wm$*GC7AMU{Taye1QIS}Z;EntAsF$FLOHl{%WFfO(Q>VJ_?s&E1#9v}pp*kXdc>}3{`q(g;-^8u zEcM@?2=AWxo1IS5MMP&_`_CJLsxsgFYH1efktA&FXga9B4r5Yssl`A4vrLt79D+*) ziDvG`LCV-alMID<*+CWj4OJ*~$XO$?d z8v0^ZrYij#VISX8!b1ZMQNz*$bj8Zn&LR*UjK9NS2IopjYr*oHH&* z^(^!$O~-b8U@FGb^FzkU&~?w=G%(nv1rd=Hc3PVr!%rAI!f*JvtOlwt;m>L$9oQIt z0%K?P$RPugPTF`7v6LP^jdB;ACLN-sPB2s{PiP(EJLL0EH&DG7h!Is&! zxCq$AA-0j3!It+2(;ex<68@ZSv?tr}Qq9i{I@p&D%?mbGZ)FCXu$=`V2j}H>Er>$* zYzkjxaaA#P$w*2mgFteE4b_{ucHW8-B&1f0wx)Mg3j@J?bxU5*Y<)!%qSQPN>+Ett z6H89IqZkMznpxW*kAzFCj2p;PE7D^m1vXIMX8|p(SJJh{aHLn6<>rws6$oIe56rgg z1i_V*rxsBYgWyGZHkR1Iu9=O7A*x+|u#W2O1aTRHXyjA-H=JNgCnTzYSo%~?7nxJv z)dd8aRz)Eh0fH#>5z1-jAt6j{7@u1o%DE?qx)}qy2jRuW%DT~bJjX)XbzBjo5h>#- z9;(L-8Y%*9X&wOE3@^l<*6<=HLTv$4q)t^Ahgh4XTTFji1=k z8T{1yb-~f@`4G9mPHgYdM^CVj;^)23tB;Y~2Eh`Us)J7JpHDAT@7D9s(8+n$*1&hU z4<#-yqjvf@z=ko_+G7*;o#zx=+Y_~$T^ggbQG?=Pc zUDFVQ!6;NqQgc)~kmzPP%I@R44T09gB5+i!>F6}`UTheCY6P8O!AbAYKthQM_8wnq z;3-x-AkdFnm=N!q1vF4n;_YQIpv@_Z%L1@&yea|~PqBr);o)EYEpl?|92aby!?=Z#*`9W<5Ye);iYxO-VH zc0OQ`VW!MF1PCy*qdK)Xh*BvNA>}b0LFn?^gLzI!`d{b>F}G1CtO%5<88>C;sdJ7? zI^wwqJMxHJSaKtQ=E)cml_hC*YsJwxvpRCK_#ZbDD zW;~VO6n#E#5=2HDRyK8JF--QFW|*9;2GX;`7vpB{AHwpsHz zsqgWUAWCh=j(#nu%%;Iyl~Rh^fGwNiYt1!rx6RPlM)iIOv9-IJ8Z}cdHYEsz-2p=O z#}=^MnAWHs?^EG&^~zY-MeOwh>fz&qovbzFt82}g1zW3^nnG-Zs#@F(WM&_afr>i> z5z{&f+1epIpxERDpm0tLFhk=S_FG$)aElqp7wmk3;f52EjwA@TbB`~jrME#h!aN{@ zXy{dQHiF50nxmDuE?qjb8mrE!bZ<2`TsayN=z_60&>Wkl=TD&dl$f%dkS2pQh&{J) ztvzXoOK4W()TnO}w7sHb46g9v1%({c`>(yB5N`oWo|daG9*5{s+R`YjtMTo8-QX_E zprbx#_u$4>mP67#GaRZHvhh4TYrq0!3falpLmz6}D1)Sgy-{2g2&t#OX_=gDSs`2E zQtfXIQdCu-Foy&GZR_zV z9(S!>!v z$>G%zeRwyR|kydGVil7-MP;M>2>;=eAVQgNd1 z_A!V@oLYeqcnV6#5MYRLbwlYMHVpm@`>TRE7d`~l^vwEcxmX_;uJQeZy8|T%r_4?y>@a5D9%}U!{Xlv=NMFF zPz`%0HC0`4PkxiRA7Nv9SEpdd6c~1nSDe=cyYzpjrMjy0`m~0*F>_@*?Ck<3uc*kv z)htR)3dtB`2(CD7BWdS_=pzn6-bt-vhVGV*l%!B4s+f1h&VJ7s*q4z`Inqa@?@PL2 z5gOAa*gA;bV(Uk3+uLD_+NE>w1hsxss$&N}4sL$PhGcMLOKHp@n+AyYId*gno&w7G zB$_LuPVWYZY0=7zIZ%irk&cR=UIGQ2p|`sy^`%ft^8rB$wW#i!@$S^50r)fU_oYi> z00z>$C;Y;YLUvvQ)v23j_~KyU%!J=%%0pLn<&JcL#_2td46b5SKJ*HC8G0C+DoLJ3 zs4)&=?LluojNWx3tZr#{Pls*t!hnmx93~VFI|dqypjBRP41~_J@)5_kodjdi zzXwFlG0(7c)i4a7Mu+tqX3z8>PVt0^1Ezy_VI3iRsXNVp0oY%NeM*c*tJ`56<-DO6 z1~s6?e#E2lGf;WaiI&Q+^!z+3!`k)hdJ4K3D&zEuA0nH0QVf*X0mrCh-)kTBVk+Z- zar||7FKF-n6Cv{?B<6;-CyVKe%ddQ=?5RFLOw%ZxE=yy_Q*jt@UvF#L!lF=ROp;;k zUR`#&q0tFz2Ti+o7;3|goI>^N$sUO^Iv697UAcljF%rc}NxdkA0ZZs@CIYBGWW4vP3A# zTA=!ZU}M|4ygD{yc)*{{iLWQMQry1K(HTsM0 zUx2&h*mXLScth53)L?B5zq|*}8Xf79(@1@Nl_x~$^cn8f4#UTsaRzXjNH}T!#=&EY z*x<}yK(WuavmXW|d#KX;W9OpHV0PGZ^;EDws+H%TfnHj-#LL*28CWjpRrANFU9&)X zf(9+s>`ds^O#?mMlHQ}*|RW`RxMFlgdxp38^>Nv&LYBC%j4Vme7fu4 z7$zcuWiY9S$LVRcB{AD7iqR@{#~`@nnp<(GS#1oi&7-r~vTM@7hrz*2mDxX->v7Aw zG^WZf#Qr1qoFD~CSo$5$#Zv#wIl&g<$rWo+RExpj%b4>)k)}8-ygcG9_KUD5XG0w{ zZ>5K4D8e)H?BMk{nDZvp@GG&tA83-3s@m6NW|CU7gEo2?KG1YZ4=fx;B_PT~ zzkUel*we8DXoPtO4CAzIgD@(g8ft@n9`jZRn|lmJ$(ZW(QI!Kj!q;ndWe3p^Rh)K1IL zk;8E_r+lb4Wu*s%KI0w_bXEiUVPk#OFoZ|vU1%7AH|)gnPJ>ZZ)Hg3cv(^`x{Apkj zoA^T3IO?VqCJx;20XgK(mtBB~|KU&=U{ZK_P=TQgou0=9*yM55Ce$=+dCdzSRQJp2 zt9~RE&@g2X4$UzV%P+!}jdw?(qt+D}awA>ou?eB7yb&HhrSE2FOpS)4xYjxfcJSH} z9#i8W8Y%~j0F^fkPflev1~ZzYCS8d6SUCzq8XCn+)3Cd$u9u;5Q_)2+LdCw(M<{1) zzH_NZs6B6|%_9*y?h?2SA76}icE-d0cD3*h)NtuX&6-dC<_J|XEl`ys(XMfoIje?G zm=s>(RoL^PFe#|a;u8AQ$jVOwagJv-@>$iR7bRyMCW0+U0a+8?P|bJ7F0RM8~oRQKs*s%h0TO7uVe5Ib4N0otic8 z{LOgQoGNLx934aTC^>fl@ATy|T1s^EjQ0-w!r2EzWkL!p@Ax`c_|jwY&WkT%a#4J7 z`{nvL!*#->}6; zHtNppN|4b?eo^%s!rjAJ$7bFZG@|_T2ig=hlb4qo}Z#Qys=*TkWn4 zUYG*j&bpV9+r}A#s2U*BsyxkwMvDngU%gE`6_3!_*w6`13EEP$#Xu@egPBipZ@ygt}Q{eA!7qwtkFgM!^@?j@H4|V@|H6XK(9+vS|0~%IEKR^e`qV_YT z>7H?ehpO;j(Vy}7@wE4_l1*j#xL)KkvzY+ z3H#4~Zlnc;_MegXcATCTsT&Dc!q2$`%C_r)Y3U4#p9&ugSkUAtq@0t8yKzl&%&d_fpa+<#B+sFa9u+yc{x%HB6iVmSQixO9e3dham_)usL^_i`3R7;!&a^$5 z3`n>n-@kH@`B1Ya<|A_xjfP79rh@c8HyW{rvUn?J=Va@Y#X}`p)v(*Z`zuLCNeRt~ zMq+-fdk4JB)2;=0J|fVJZ8NuVW=QqEGuXOS*gF(327=6sR%rgoo|!@G>B`}Z+c6SV zOL2j;2=ZgxnJ;BDQV-mLc5Ns8%}}gHIAG0~5@+|_jj45;!OlmlGl@+Fa%-@5VhzM! zjrB*(?R%#L&!>gy%4xx7D(eo?zI$+&jcrI9wSGOKoERAQ%?@n-&)C*A^ zY0V?*;=3W;tM0--rEAlU#{zB#`ZVfH&j-*E zoO61|-V0vACb-)@_(G3~My%BX)c$+1C(pSD2jAPaq?V-M&wL(!!*n>w8}7Ad+4%E8 zC##$KkN%uKoiHPdDcv&aLf3u{ti$7V=iE!Ev3`ojl0ZJ4T6I=f(sC7FKg?W+dBM6a z6CTlzF|Ri+zmD283u7hi;>-dv_e>|2Cjy7F6<*TvV#$ZQpF#BEYvRQEmncnFFduOF z%sJw1O5okl{lvC2i5^~K;7OGs98cWGV{c&amrzL|#SLOueO_CCO3qbwd10PCt$t>@Em@HGd`;C>)a?A9>`pGilw)At0U%lwFgq)$(HTW@IxD&yP4eTc&I=z#UzVRt*CZnQUXXK~y7&P=<3klZ z(HWcf)Q)Eo8mgb?f!hf`4?F~_zMPAHG>?QuH$18z@nVs9GL;4=Ly7RrlQ`f-aU{ED z#L<9B(oJ>vE*mF9Sks?{E$uTGi*+*1pvSb$*lO4u>`VV9l8AvyJs7084o}{`^Pw1R z9x^~tnFAgW&wo~RzCk!;fyXMb_7E$2Jc5?>58`8{RVJ#&^nrb_(GMDZun??@vqQT1 zp<@t&rz)*81G*`w`PurEY}I-Q9&Kia2B2aN&BF_WZCZMX@n#AWf3+I&Pi#x*CtX10 zg83%i!CCK+bV%9J-Fy$#k@%oMy7f#{ui`i_#FyI$MKa3iF(b%h+ z<~aL`E`b2Fn#!mg$%gB6?p_@1AP-OpAYIeLB-jC4ch6#ct}U|kNzn@nfPRA)JE&QY zVh2$E80aE}_vLA#=jD``zT{CFh%K*7JttJEguP4#WhCyb90yA19)R3owxs5&j@h%sl)T5nv`kLWM?_m@^#>DFk z)a2m+P1X6$y!E5PDAC2#mzE_N12zzI@KS*{^rA<>xFAh;{4QCFZpB;34fCyWP)|xj|Kuqe zWn-`);BQuL8aRCmwI=?UPShWMR?Dg2sImFL{kLb(obYST)F^!pgRfshez9N3B&U`r zSz@?`k|o|xR!AsRV*aM8-$qr%v!5VLc;rWY*lZ}EKoseWQ#f4b;k;_=a4e)ph^rt$LH9b zv|Ewv>yn3X6?XJWG_#;&5fwY3-dl+Y<;g{RZp1?E=P@(cYrE$eA|MePNJKCz!&LtloS#Gr^DvMp3 zDFl?pt;0s+V+^QyD;+x$O!YEU8af(gX69;DXnm&~`0zZE+x z8v4Rj$sl)I57%b_ea&)_-lUOBD^YBnt12AkuJctG+GxW(}dj;)&yhok4r* zUw<43BPW#2Imfw z-$yzcNxCp@a6REpJFlurZC(rKktHUbM z3ag|p1quF-wKIXY{3z=ECn1?k?qs%eCxl6WOacjHzvrHF?>PrT5*Cf@A)v?-2)jW^ z2#^3#Ic$Ow4WQ5b6=jLIp*{$rB2OO##0?aX<*~?45ZMG-BAe!YtGj;x)Bmpjow*4a z_}tW~?tib<)zwwi)qidTvcbM|D?GQFufCbZ^wGa+7gOoBY6JIMZ{2-|=HkC1Q)Y9; zyLU+nGTDJZk0+wDIO4Bb0xHd~#Uv$9)%(3ogWNra&YG3$Fi5@ats3My`Q?`UfxkLD z4>FdDJg#Qtv6g<%lFxnTMqfYT?Ib_zZ%DQ&I;r|vQ#3>s*?HXsRp2M_y|!6Ddc$v~ z&0Vi!UK9JRGBsDd1Jd}D>%@NRY$8pmC zrS*?_^x-ETxxQW=0Fg{n`|ob5iZ_0aQOqP%nh%kg4n)>M8Hu z=+J;%jf((v)Nnsg+E}hUTw*}U*GruB!NT!VWYY+>E5pS z#=E!iN|T9IrA60A`s&Xex_!9b9t780L(O_?U|erqWY={pZQl7V$mU%iI&3?Wbm`ym zKz80Js`+{Q$B#I-+4s)~hOc`EG!dw#Xu}d)kx>W4*}VPp2yj>0!6hjaVHk_k+eiLs z_l~>DTXKCZ%XUW5t<#q8+=i3F57z1QjxWqVa#neFJ7T3B)F_2#J#W*T{EvCI_Lfl! zEHy`Kw$wCP^~cGu{GXPbPF(AC`ZX`wX}iwbzXk307I54l+m2}-Pvg>E7cGWsf56Mj zH!$M1u|1Ewmd)g!sUsgfJDIjz%Eg6uyoW?Ihg)|sn}u|zoag;H*Huq_5YY^uVmb57 zm6pVu@wDc=kDCtW>rBS34;Z&$B6k!_HZ81|EgjSR>IWz$ujTT%qx!U#&*7%&m*2bJ z8eewj!6D77zPJ0RgV%ZA6RYIaFu|;bUN5h9deKI_+q{o9-zj!b^J*KML^)~!19)Wf z-yhih;O6`*2?F?(_mLsbPDPP^W^=>)l0PzzG0v?hf8Xsnhp%S~ zCYHsueaLV8cADl|=udo*9l-{v`L_?ELGdqN_D-1Hwcp>ev)S>Xc4W#smAQ&M7m&_w z3z_-wkBA*)b6q}&TJw{|^y43)q&yv^VNi|r=}4}#Z~5SUD{ZHPn)9m$|I$uJdD8Zx z^K`T^WjJrzOATMPZ|dpT>-Q}`3V(Xy`_WVU<%h_X=44VHajjDix`sJ<=Z7^XAw9)N zS^MyY-=`;F&iQ}UqFTAzj(vAPkus5u6mgRuK4Iwh%~U*D;pFx*F1c^{7}e)BH7V_j z8q{6)KVo0=x+QKYz44w3x?ZuwSE#KJ=58(KI5wP@m-u6J4ywL<-s4{L&}s zQJTg{c_C9Z+B7!jeu~}Uf{&RnVS;*ZjhaNl%wL2Ec&+s$8FnV+0bj*_`b)caEVbur zZZZ7NWCB1I~F(!aJ-P#%7*L-sBuG&KAg7qIgr*Ev$ykWYV{divAT zJCM))xDF4K`ulV(?y%2z3wBoN&D;NydnsS}*NO&=vECI~ntjdZoqd8IzF8pq^27CP zXbkz`DhN}@O@^FM&&RHP!Kd4aDovRh(Q_Vj=&{WuUpQoEbJ(YC#?wTV_RO5|wC1|c zB9R`vzGY8y+4Yt&kw$r1wUNAZj_=ZUEIpG(c{Edvi8TKF^N_}yKHZW=UyTdWNCwM4 z{S?J!(kT6$RjiT5;q4*5x%ic|D?iW1Z;w(mAJn|;nv`CB)}QNc zM1cA9=O`u5d!7D(bEXcKP} zH$TSo>*f)9<(DWgPj-1Cw!uk`4coumPIh@BF6+Z3HXV!KV@=Bh)>rFdy3^_S-Jfr# zJ8J>THXhqB-8=Qh7fydEFwq^pKm~ESuek|!c3{j;l2;1bT~|AZ?PTfVsHcZZec(Z24h zwCwylZ)IV9jV|Z0E{~+vvSYsjAN&5-I1lE9ReA)gooR00{B?-n`Y*Q&t8@y^8Bc2# zBYllMi~fW!wj-T=qr*t=*w!BICw`>A!9Ggn3suiB`M&wvU#CZxeF^6Cp|8-8Jl5q= z-FlQXd5`>RMf+dhxqV;r^S^iawynHeOgImwHl5aw|4*v(u7@$?Zth*MAzyNT!rXqH z5}nA()2X_S(0EL9{0*G{FZz~cgo_d;gYt(D8@uJ($5h?o5RF*WAF>$?o^fHIId} zU-1#bV;=pu?Z@q259Oz0=nMV}R(Ik5>|UxrfBT>D`MthL>(0CV;C9WMj@@#~$&``C z8rgV~J(dk>?Oc=AfXXd!v+I8N20k|$*gh$bip|USY&pBR?)Ai5dhQLr(#TO*4~YM? zh3oe=_353#cYlw9@;WOoUD`Ns-rd`r_kF0=D@w~fFNjo;4N|&UdCGrTA#9g@buDH) z8Ea3rcG+x`x3C>FZ#;I(X@}6|wPwe6sPg!|yu|a=?;~&i+MX?^Ab9V7bo2b(xOJTJ z9qP)x_q(m+Qm3aA z=ga>mPwTcp_iHPv`H!WgqnbPX06)lXVq@pY=9xdB>Af{4N98wJ&k{+bF7G;Y_mOAn z7{5Mgt;>_vUwP8{D^FUp>Lj0Jje5+$dQ|iI|86I+bn&$bJf}&~%3u87?d+97g9=JX zC)tA!9%M?{IVlf#6MU#H=KlOISaK$mGLTND$a~&uu(!F(|Im_5C9$3bl^lE=9Awqn zl&Pe6j~+EJRC2`nB=;nBGR4vPsEw@cc2*sVrtj~*M|0Axc*!gotS`Kg)HLqpAv-N~ z^Y3`dL9{$mRGn^4(7*l(lI`mq-PGpVzdUsBumAkO%}kSS>Nvmdd+`?1jS1|mli&L< zYz&XM)gbS`JG!4Y*DP&2x_Q&Byyoz6^2a^=5dU~r_hTp-zlOcdV=cY6+s}I$r z$U^Lr+lm{cA)c%run}%mIFr^GI#Il#=1bPUz5i#+_U5`n+xaigvT6RWJ{J$ACs~F( z{iRc8CbP4)4vuE`NJrOi9ZtK?Idy<(vRXyyTJGgbi;9ZvFB95$+u%0Mt+!CXMm4?T zaCq+X?~RBtum)usD<8!WjkQ)~L^iv;`WJ_gy`r(Bw+)UlkePYln$l8vy*H# zIlP}xa?j?y_k#JJxXZ@CwxwxlFU{3lzYA^nceV|(;}{Jo_mi|OJ#8n|y#J37Oz(RH z1*N%}mWOzs8}9?ui5k$nf-knwYv%@sMl`Xz2In?^dc@L^S8vzImN!*xUe5bHPAtD< z$%~P__Pj&)Y_X9Yj+={bz(M7_-S&CzQrY0N)6VY01^#1qIJq@Hv172a865=&GKJhh zD8}qe^~-xmPxM^6*IEP8QF+IaiwbIbHsxB1`tt)DSeu=qj>Iw_wFl0cj-t=mK{>W>?!>P`>HOXmh zbW^#QVO}?%u@SPbcU51H^P%*KR=PQ0=4!wGH%Hw15ZZ%;#Rab64{M%aQ57JdtJkx9L21>c_zM9^>=b{MRu9)JRLn`VYG8qWn^-F)Cp= zuDLDhwc%~{Q-db+HrXEf63d$>vpn&o$)sba&cb z8k$9+X?eUe(&MS4qno=P!{;{cJG#luCw`wv|D&V%Jk3fsm1|6P-}TS;(!>Q#yym>E zdrr3}mmv!s43S#-A;Fe|xpAq5m9%;0?OLu>9^&$>Tz3&K5}bIucFeLR)x}Rqv9`$4 z!R;l-kufc@@^EE6O};YQk0&|Le`yCzHQ6Fd2dj_mud>AiJ@&*M1P{JF1?CAV54>7! zZ4eC((%X?|?tL`btBHE!@k~@Y?EbqIW+UC)`q+WpT1toS8}|A1HG7U7>;n6oJHR`C zY9D`WF*HXWJJ<=9llGS9G`kZUt~Fy$ z-Hh41_k_Wb&Fdb%wB5#f_!y#LW)1DMbSRzTORKB3mha`49LBQ()A9nRWjX$^)0(s& zr7N6ozcVdN^Io1NrfYto_D4=K8fM+^oR-E*RdvwGaL;?3x@)6)nDpB4f%L`@m-W;9S|An%Do!A={fboHTey^Nu^gUGH!r8Peh_ zPjF;@`77~n{zFoeX(@l5??fHS;9@&@DZd0OUVAvGCgujJd#P}?}d{u52x1+G90?fcJ~h}OvDBm=9b|;E3k^QR|i{r zs`##Dc*zR~cWhQhgKa$-o<5=tcN`AR=t=vJ5sUm){b^4gNjqfR``!Orrw`8=4_12W`{oB|%Xi1UZF$dx?=D~K z{q8e+%gq(2J7}KM z?@9k|bN8P9o!WfK(*9R}+7s_Z+OB)_e)m~R`{n+$NBs!xJnWvm?fjUfy`VqsCw`Q) z8~fAVZ)rb!uii2ra4u>8)t~mRb7|)@ex$b#Kl)>&eZ4>J9hUYpKid1-tT7j;k!?r+uMgXTiPRjtT%1#zNCG)KkZGH_KWvr;k5gc;l20i?Z_Wn+Wqg_n|9IzNPBmG+8;cC2EF6{gEO`j+g&q!CFf!S z!tHL(dca^9lV1Hmmf8Qliu9L_2e*&ur(81F-5mb!geiIb!(p1%pP1>#&fdP8ZS>No zp*`-;aqLqm=C6BNa7Oc6mbCuB*f>PxO9zAI+TNt+p3hP~^}N{I)0-VnVZE=zrOS1ef|2xGb!+C zKhgKq!=6RbfAl7O$daD?(7vzEemMR5;zRrT_0P}dtH=Ii-&gm(f}~IOCjG4?J^W#P zU!Czt`t^~A_4VuY7c&~?KfLd&Pdhj=!+)tHYm9(yMxte!-HCeoWt2Uwa(=`pw7m_3P(f zz*mFE_I>qTOS-Bz>9QBXA+|kkuo73&r=Gx9FM3>Gfsc41Ne4f^FX_XU^qiigGnyZN z5=r0dNm^+>_#{})0f~+OCM7hqvo2EJS5+>|FU%wR2bIP^y78JtwK70GFgTegES20&t zySy@<_oIWunte~Dxc5D!BSR>|wQtPm*dyz6c^&xcg&X@MK zVb_CrQs+k7DS!Xc-V)CFM>71ZZHmV~tv5re;)Z9?hFA4xIPdvOTbu9v)uFqa+dRFu z4J*61ZEe1G86}`rFVDl83raYwdGh6q!}2qFGkp4;l<c8poB}F)t}*yc#G-e)3)wz{{30KZMg9N zQo_oMmv%Rge|CQcYXiY^4z>MwSM#}N_tulv;a~h54SCcRygYjQqVM6}l=X%>fhQpdy%^B}=f4;Yy zDZ|mvCBxtBC&Sg2;q2#ZSi;qhWf=bQIlWCteCn#_(TVkWZzocQrRS63Pxq7IMV8_8 z=WblW*B?h4{_wdQx8XT2po9~j*IU9lKL#`X-V5mEYoFKK%aq~r7n0#lR}tm|7LUa9 z!ogwpD=endQv27sGfZ`H995xvvjVvp7f82hr-VRx?+XVP9kkTUeqk^?XkYWwzc6?T zzdo9OZF^Dr_3Rg=v_F2);DYAF7Y}yrU0R>D3j0~Bu%E3Bo8gNGdnx9=FHRr6<;Cg4 zFXvwm`^EI@%3n-r-_5^H`6UYd{a>;|am@CH0m&zDWr$bgFQr1?{Y&Y?*-LUuUy^=( zH2>Om<>0*Lq6hQR$ZtF!J*k-m@XIM~r-&P!ZYQOZ=5vhk`6$^P2A-a%A(GUqLvy;>LrIXzuni z+&X&M-yU*Ee{Ks`)|`43^L^~|G0r?1=x$!O>2|Zyci%p`<-W^6?SSb*4>&iJ(b(iw z^>6`a z#Y0)pc-ob2&h5Stp!<6Rd5?ke$4fwMb!`Jzb)9H+HQNO#rG0gAbyb!sAeFbOc%G}P z*)C&WtRJZsuC|q|;uCq?&cm1I8jSX#rqz+p7EfNvK?6uN_^VBnJIc1`1gU2uy$Q(! zi*3nTsyv~Y2+O{3+uFX1S4ZuzjAXJ{9qGQ+s)thNlQz85s>f~Hw?uDM@mf1?GV2p| zPhQunQ(Zc4j(h0Rp84ub{%AldVI~Km)t2OV{K`{-4dG?af2uNbL`kb(KMkg9hs zmw0ZmfmQj2?kPAM^4!LMq|w? zkkjF4ZEdn8wRNd^>Hpexm% zAUO45qNpqoT=LPn2Nh4f+e<*I#Eqqvn(ebdv$oqaIq`#=Hb4D}!R_Yya3(M7QOn36 z=~R~$A(jTDp3QWf34+i0mI#6GsX$)xct!rTW8Y@>?HjL%K#m9WbqeI&9j~Zjpiy(| zZw+pTj`424HMs3u{AxV(WlPv_%`cGZTvhpF7E&H-ARqPds(J(DbWRX+eaoX;{zXeU zW2iFW=KIF&8y-MTcH@x-52(dCi7ky=&N1en7d;l&A?lwGGLVxZP@69Y<6_8CMBv1h z0oCd@SRCJ~pKI-StmP{(e7vTx20$9*HDC8jq{tgp`1!ZvyV z&C+nSckjpRZL5jRy0~}EIt-5 z8&Ac{fK>Ff9fg*=j;GqX#?zK&S_uN2Mr)S*>x=~vj8&vE^DTOb=%5<@naFHyMZ*u| zO;{U>%$PYJ%e8hNU28cg(FeT_AaBCj>SQ?2gGtCj9}pm?g|(42AfE^V z064(`DIYZICAV?e*V>?wQcf2BB>mUQ9eoiI*vH7a`Q*i@=52=({ zJE}8p))LEQvTvStD*_;Sj|ocT#Q=FF>nrW;s1L-xT@%pN$niwbT7vcfnRI<1pcf6c{ z=k~^o&oNK&=;M*7ADk-o_k(cI8TpD>T5m~j9bWBy@@V$t^C-s&a`Glzg+_YKra19G zkc(tBW=)KDeNB51kQ%e5-3VO!t;7|s-Q-G^fYhv3IwJyrzF40=NXfX>dHdJ3oVe30 z+(gnoOixYldzbWXB7p%$i0c_XlXKNDX`T0+;P)-9xAd-iFII#$ckU^ObSCY@xO=+g z(;kg;vYPG=zNAh?vU5NY$F!Z9skj!tor_cvhZforK51&gR7(?CM-wxZRF9L9=y
    |J?PmsuH!uI} zV6?O}l$&Gop3iciz0>D#!rApXl7I4ZXc``9f1dm~oJF7aISzhG; z&*v{R=t`jZ(s1Tiqyh5q0%Rg6F_{EPOdie>lPN$LD>)CAF#7=VkhYll1so^#J%SXU zJOE|6X+WOS;mjom0kpzdF@XBF0Ok>;zB}dcnHtmr?heesK!dOVl-bwvaOTSvKuvUJ zH=M1Fj!7#JNF(Krf*i_+%~@X<9Njk1xgiOvbAi#oFEFV%`rcxk+jD}^Ozsa*C#G;K z+b+-y~cK2wV(pXb3mpDsEhtg0D1DT zuDT+NqMd*N@*E%=+C}>x0!Sir`MuNI&=oYRLtpa% zz9fb&K%=Ns%Ur&1m6!lN)KY6ot4X6>h{~A6gob_zLYYu)iXliD3PzQQGf3Smvm+T>hh)lRplEJ8dyzO223a0#q8tA9#DOl%rW`i zxrh|LU^HRA>49-3qJt|KwM@%q=th*);UimUBY;*fk~AK^Ly{CRnt9$FJ??9Ry>s9E zRuKi#j#~oWF!qg{1XJA@a2Ce0@jMw=T7_d>WkGPU0eaA9ecfByXfNbR6# z?Q8|0&t1WU!c?C-03pqckWf4OHoNLF6WVsQ&`&=lrcF8!K)V*@XvF;J)GG8HFbbEB zzXY60R<*x6U`1=}_6KO@7I`!*EhYp9ap*)lAN|ywc{2TRiC9x zD_PZhlmM(0nPF@P(){r9>O1~(aEEg=WOos60jzA?Bn zMj)9#0NU@=GA+7QZO@C$+La58Mj@|IO4hyh8<`61A9Je@w~R z<~9Osg>96Ul6*alw9WaW_Y|$YOlB@HYO9t}k)(1KNoip2O7#m=B19|NDRO@R@BKXb z+nmIFJ&ar}fHf@@OetDAJP7X7qZKmJdXPR7!<(kiHJq&}4nR|w0ZP^)0B|8lIw{hW z+ZA9i(p)`+G)#mfLX=^zweZ~>AWdK{aR~#VlQRa9+~r#au#z_@5Ei*%+Gdi?ThBm`J?S9`I*%EWr!AhbKv>!d&4Pm!PmJJJsn4H8vA< zT7)ystd&NK$6`0|^*9!{?E_Sq2+!X?q>G|AHwaqMzKj-t*f16cZ?stKc-}($15$|; z?d%s7uf|Mg2R*<>l7>nrZW)_Na@rn?gx6Mb$XnD!;h}iQZ>tfPc3^D*tnw1Z;8>RHn&^B1 z_=Q`96^-fs0ACbqS{?ot3nh!X3m_Fp=bXRZ8p!FZ0M?rie1CBKT!P421=#1lHrKQQ zq*-5;VttcKWGktD0w+RlLE;^C-fl9Wm#7n%T zi{4bpMwsMynv!)QE&v~VOqVXo0cD0B0GP$tn8mvIdLN*nlYSVprWYr@ zklqx)l&UdIz}#A>v+?Et4WdM7o+wsjE#N{mMo=Yf0I>cPtsX#QcR+wf_vBF>62b{f z@6}6|BI=}LTrE6b#g^zOXU||XdTyfNlq)LN=?_=c{FO|iSf>4}1t456XEi(snrjYTzBOjNHypftO@)1}H`6tW5!~$5{2ig*@wkNsbjH z+cJQ5{ zN_3yR*7p-Y10Y5y$E7llFI`1w!p}|BGkIA^n!06`G*xyp02+Ohze*%>;LkQyG}M@E zfm3NXrxL*x76%QM^OmV=^yZ*^)lA(y3`WCR0klFYy#ml^O2#G-OkTW-m4cScH#G$= z0pnCQcV{w+)`5>J1pd8v0)`ZW*sAk$9GZBG&1fZp4-kWJwcSjWbhV%Sw1Q;vaD6L4Mfd?q4$h?^Xl?QpXELwx> zD}$uWmH^tGX((MP#MxA&;W}C{Y1}ErA8LkWzVPaLs)^p?zUnlB%* ze7m{pYw>7RBNu=g>5GL+3mWwd&=TjS0BWt%KbXAz0jT5!;GG?=xVI2D9-PYjIs^(~ zEsX;3f(ne+QDB@l3yinSF;=p73`|~`pnM*B09V0b+fYHi2Y^w7GCteVOK+zi|S;=i> z0mut&P9`lmUDE=Sx=7J}SOd_&`k{@xIU1n_AVT#UHRRa*zmGQ)#$qPn?&`xf2of_$Fj4nR4+CLBQhU16*ni#)zs7brhPU?p^VEdU>J zoJDLHC|WDA0DPYDrSPF@0d0S}=z?4JFiZGA8b)86U{sklOI^1j$rnH$;1iC0+N-Ys zyO>obrS;cE*#>nJF=-a8Xmxti0BZV8e0I}|Zr(6h-rKxwuza}v*@E8Sj|a=QYY_>% zq^$xQsE<|!P;Z5ywYLZ+l`P_Op&ajgtuGhK!Dy}*fTqv2Pvp_4yL%JM)oh<5c|id9 z%9g8x-^ojZ?;>=2O%Fh$A$bACkdKf1L0~9XOZ$N4yd#%+Ip@gb!*5F~#KQ_8HF&AH z@<^uS)kiKb`#FQVfLhNkGJ{dmvx0$fb4|nk0d>KZ5MG~-cAOHOV zJ|nu#!&faPwcbkhZXg%rp=28uuBwc_w$jU67V@3`N5Q=7NaI+(x+(2^KxQnuZ9BXEB6ip zqj456kEl^zGZd{=&0{+VFKbXQaD1 z0II$MD7wNht^32co^Ah`Qe~ShTJ#}Nf}5&7Fg-v%%~G@uu^R(WshJSDsp;dx)zVz> z{s7lLLuC4@3z!t>X;>XxCCD96Z}Zp{cwl^bx09+Y{$B#0Lv2%6P$IO8_nS>I(jx$YCw1~40 za0=vVrJ=CMq)^>3R7~X(BvsjpkwXi@#JZdRr4S?E}&Ya-U6a0| z1}J)?BnsSLl13;~`@P65DqqVUK@=@|?E^GIet(e69GUAq)oCJ}XRfpZkm(#k=0|sPD;*w~d3vPirpws-i2uM*5+HeN| zsRs1)i_EIStr8^pl2vE~FzSSD=IK148|0%JplF@&Z#lr3>w1z7jFK{x01bm!>3R>~ zQ@JkE=FMdvv%K@uXi<_Lj~;RP)ANXIxWQ={I60RvJx%OQAtOlg<>MHN7N3&s6^t6~ zwzU$YmM!uqvn$f(M4L!+M$sZgU2O#;>XO>6NYXG!rKW4Je3g?RJTu_%TNB;`xL$mb zNjJzxx;DNsfKuPhB%1_$H2ig09`9M1`H@QZ(Gj}Rh*PwfYY(6Wq{lJwSoL}80kk&U z9!$wv8)gO0>u;jXe4;~|ZvP_l`RElGpTmjURLGMs6s@D(L@Zf0VgiUb1MdQ9{dG}x zp06%f$?=9!!UWA_4VcP37d-H?hoLuVqW}3 zrc^zx7E$dN@X?&=7tZ-V%VBNq*Q8#oMP9K*{3v9)^zox&N>L_`knsVSorv zY+sS+NYZF#CQs4g_5~nX5Vw}4c_d471m>Vrw3dr*w-dI(XY3{dUSN)$0yl90dw{eV zEr9&v3!nge7-kZSW#Hyes3fU7XIbK<=mkcNUSQN9i8;ETKEh(|)FeYW1^F;KU`4li zHUWs=&khJs@(BK)%r1*Mv^Hg@CzErZ0#Fy-PEQ_ZxDHs+T@16(hw=5-o0eL}`b$nh>Ab}rp+usd!)h*5Xei^u>ex*DOpTIz6PUtfoe9*A2Az&zC{(1f50bu%z& z)U4<{dkis`=yDt-T zjcUaLqvp(3PD`AYOzMT7punhI8K7OWadYkII2FC}bexJZiw7y%@dX4%bGE>wHdzU6 z<7z-I5MzZaI{=V34`srNq*Wy!hOq((0QjH{Md!NzKOTzCHv}lzWnlnsv;pbx)J555 z+K17Ckuw6f*wP45w9C1K7WCnlR{a#Lefv zX+=}ACTsyXl?}E0=MGV{tKND6r_!NTwBitOfza31h5#k^_%5`Y0z@wW4QqL&b zh3cCKXq66UF1%p?5ano@5=AJl={`Udtc6I3ot#!TVDWbqMui>b+|JEP;M-X!%Qvw_W9wKwm0<_0cc=V z4(qQ*-8@VzdQ;`hH&Oioiq;&sY)*k1dhO$i@WAC-=SCi4d1=h`S`Bpzh#|v7fa>yN?s#?HLVnKW-mgfp1+*i3Y*xlY8?mCbw!vBf z)K+cCvhbIAG-gxne;(}BidOY5=$05!@FI^gYxx$Rw@GPWDA}djHi#_1$oD^a7=Tjq zeYlcU(YtlMC`*)nC1Wy>tpaY9$f(*(jP0Ru~G@B9l2Ej0UO(=DlfY=qXwYumIFk9kvvC0e1^uk89Fb z$kI=EZY5_qdzRr4pjoITBz_fn)Ty>)2~(XEp$8u#9{?pu*AGTYEx;Q1#X{ zub)a9DgdV?U7;V(+~XTSNumzFOK(rQq%nshF~E?C0_o${>ywdyM1NhB2?{p>gAu(` z;!XS9cCHP-|KW<>RLSgI8&=;*@`b7B1AOHZUIW%>&5BsG+#ALLn1ga!>I0l$W|^N; zv>1B<_+p<)*69JX{&->_OFuKoI`diP_Y^J0&szhYNh{LciAf3y8T7{mpuW0gpQEGt zlL1OzU^Hgpx3js<4l@6fyUo-VEsU17=b>oXITv4PZ50@GT89xmZN%pu8xW|Q$%@Vx z7Em&bDXIZ62$gkzKprv9&v~^06~3n0xa zjrR3d0KV+jR(#o&Kql!m9#qIwz9!ED0M(J#A4U8615i8sEDmv+VG5;;n%o2E8(%BD zf~5)+Mi!K)(@h9q5>Ziki*Z}RnoRcq-V0gj!H5+7=!=%fD;sJ7xG5cn0=BUve*t;( z3&0C1Fy4;><3qQ=_@-AB=*D?8Qr^}sVEf-jX?;nwy1$^l%$q3L)}NQyDOx?#@*le8 z109=&Ve$U&ND1IPPj4iG(FjGr z^<`^%qaXm3?1z#y?EIq71)vW35d8-=%-YxS0NhEx zL}_|``{4yWt&IZ$RDQrbRPU;|l-bwc0YB>$t-ia=iYC`LsXjohZy7=dNc$hp|0MZ> zf@(ls0>r=dS=le()aAYbxU{%j+zZ(BoXPr=a{z$P^L~L;hZQ|(p8k;KJGilBO<@Z5 z52VrHW0xLzhtPNY0&ub+K6)ON0?-&}ha&8Ev-0E1hxsd_6s&z|zkrI-mZf|1e6A6! z07`Zh8h}1`JsB8RzybMm-vq#lZog9X3rw5jq)}vUlU$8Z7L;g|qFs4Gl2h$116ZR~ zAdDj~qXnu>yBUBr+Sk*fKvy@C)DFI?w<^*$rrhuuGuf6cY{yO?iEZf{3@jqVw#m-6 zNYboifCg5Wee(h(=|Ub4T-a2bdjPd8Tcs%4%~QRACR}WSAX#~d%nA0CAq5=Z8BuSw zV{qYdy*}hFwW{qweW68tH!j8 zp=ej{^a4&OT-5e*7JxHJy`O*q&E2(tQqw5f4cG;sU0H7jb6M9XuK?6nZO?LfAhSx2 zN$vXMhNw4o0f;QLm+MgmUfgVx5~0v&iP@U~SYu`b))TdeQF_}!s5Z?24dUhjn$we6 zO_r1|uZ%hI-8JD79P~&jNt(WFdc5DrT_Msdt!HzMCn;?LqU2hAZF82VMFt>=Uq0#nQHv%ZxwLAc6 z7+8O(Q2jFzt{fbAv%qN@Wt;N&^vc13x1pUD=S6POTan2r zc!6mPa)+hzb04ZjW`7H{%;R(Kd6w2tW2kA;B^4MYE%I#CA?VF4sJI-c29dAcTPI1y z6+kxQ^r!id<=l9a6`ysItX37R<0{*q=h32pEea!mQ=-XV6zz6j0HsN2Rs04#CRB&^ z2n9zVpo5Zhg!88&DA_FseC?zphXybVW?Fx1qAqzP5XV8oC_u*fHMg$gN~D8LHmb9b z3XFfg?h5}h$$OYH+xk-o1!c0LeV&UFow^h~zkT$)1ll~RUgshYnor3UW=_l`L`o<} zAs7JkwImS5N#L~)HC_jNS?t#eKzZ^MQm43455WGHsiG(CfJyr=`hcI)=QUR&hc3vw0T{oE4mRFZXoxE_}7G&L2cQsjBu*L=i0@;j9;Fe*ngKb0gkl}=K?d`%{B z0ADr*CN-9#MIC*BPsUV-rm0)-_)6`NjzIAQ*rF0GARg$UdQiT{6`1yWr<_HSP9Rga z$*nDbeB7YXI&SD5Kl`BuU#ElYZ! zy)Y03R(7aDQRM;j(Jcbt^K*c5jczV z3^1=V(dwJ>eP3oZS`yqWOK_Ad=B0b$Ycqwc!l_at>>D6*Tc&D&JZJ#9XV90~;D~9Z z9DV{QF?soxm^O1Rg(ScAi6^FN@IxdRWpY1gFqtR-^2`I|o)#EaAR}sKHXQ(5h&o9o z##X3|QDx5RkSEn3XLSg#>X6H8B}TnpY39L7`1)@q^~I1`67Vk*M%xDxvqH&K~!cd zLQMC(W-PVM6O1=rH<3$>H*k^1&zD41a}sa>ub>J;$-_r81JcWI}@-iwUwgX zSXlzo=D(h@d`|{==<*SOTI*Zly51QFP_KQv1LKoeX+bG`L((p4@xr#B}}Zih&Lw^5_GyAjtb>d9UpS zd}$6d3#5T!f%^e;s~2x??_VF_=6*7zHsV+#T2^FnoW#9l1>iHU$l~NV5QdU_@-SkF z!SMonUP^Y+H?1kz?s&`1M^ENBj8UeCaBEMUDAUIH4A z@w6FUO6Wu_4W2&r7H$UcogN2!Cl}tP^v}f%Wm@8Nu8Hz9Cm8j8!f`H-i!1O5qL?sv z6T%E3H@(7L0IsaVsb7_g1M*^!7w0EVLNTg>7Lx%+*MK}tRT{O5Yzsh@xssW9jlOtf z5dxF);3?xu;u7%T)x}goLfWv{J|x2nfHzhk`j1QtNrjl88Y#x|Xea=m* zH~(4tRWBg2_3N;d?1CkMEPWjacvM7f5|dUYMY}x8g$|!-!nI~1AOdT1)-#}Sk)(o2 zbFo8N{M>?`NMDN(Wo04BXTAV5^kR~FL7U8)!VJ*BiHBzlX^dT!`zB$m=v*0V#dP-s z1r@b)fJEaPq}e`y;3TT*l(@OCRC4pSXDlCej^@j?LzL*ewGYsUy8{WC*P5?frb`6Y z9L<21?gAs3N3W8vZw^R|m6HA93NKgsV9E#t#v|<+lu1k`9|Eg-Z-|58Nn-ha)fbl> zN!z;o3&y*_<#zvS|3K1y@o;80H$_*NeSH`$Z<&S2(^lUCYJJ{lC|N6(YrvUZLT#qG zUsr@|0AEeI*bBxdun$lT+A+AO=Y7xXlsY9x%g_TRH}F^>-vRY1IXACV{bbx+_$=0Y z-XJJPM9cjGuR?T#L%U;o0OwIM=J2j)i%9?_i^%FEhGpg^^71ncM^%vJjzxDdmdE*e z3wT)UeMHvv%q8HWSBbK|;;Ye@I}ZcSsW%1i{@`Y+k_${GQctrsI&sNP1jc7v_AV~X z`E=lJ=k3SEH{_`?D9l}Dr*+$nok{_^a?u{6g3VDK!xe(gjvmd)B`wwRm5@bK>Dj) zKq4Mj8G=yT&F_o5eoD$DDiZi^AZt0rN1po64hZ z7;A!1t!R;xxD{c|y{`+5MreT%@hmVJkIYgi*;Pvb`dn&&93S0;PhBDAzNvrT2l)4H z9mFF=Yg(p2Fh10}n2V{&JJtuJ)+2oC_AWr0a*B2hCl)GS!5m-mc=Q3jbaca)uhb5$ zdk?-&$azB(6qM9jK*%Y^vMPNc(H zV2DYTXI=I{<)+mV84IAz+yYL+ixRcdafR1Q*6uTrq^I|^&B&|fOZc0(9RQ-y_nQZ3 zw3dv_lF(cpSLodAX#7@_4M;oq#LZ2lIW1vd^v3H%H<3y~!P3`dRm4D@$d$@P`URj# z)f!OPbTV829tmTdNExduyI8t?0eB>JiWc1~q&gV&LkmE+d09}o_06kafG;;6OOc>^ zx~w#(Kbad#jL&V6r_JnB;z>Bxh!-ocI!o7ktl;bSD^cF$sl+Kg%e^kcB|xf-qNR|~ z)n71c%?n?+eEeJ_rl+gdb(dEFUrWF#l2p0qu}Jc1Utruf4G#k+P`~&~$zz?eWeEkP z@5PK|5#{{{jokv!0J-`X!ZcGJk4N~V>(($Bjkk^>36p4cD#kmNETZV;yfC59GSSgy zQJC^jXR`0;(lG84YSjV|9Y{!+x)$utzLONBls$(bI&n^#`6{OwykhRTxOUk1JP z0`NJRxo<5=K9mbUW2$)1>xuv^VCl^-ddc#Mhisu9^v2C+u3A0;j_r)G1oAEhrUi2K zU=pzO=It+C{?@^GR?w|S?8DO{PyQ_p;JC5BlFyH|s=(2F0&Pnr zqN&Jr;6v&ddEODhB{v@*(A<37Iz97D7=`oZESej{sWO*?|Dgjs`I73wNtTw`a^^gf zTa6c5ZY+L2c^DA({WINz$4Z;?APGC52V~J*JHJs#JJc%qbIxk1K;*H-4rrrzZ?>SR z)F^uJfQ6Vxn6;6+2pQ!;yI|>zdtP$c#n0F`ot$;n(i1Lz{3VZl@)C46S!oVxmVdc9 z{Fev2nqAHEY0bNu<=Y>;Hf_GtERUL3w&;id254m7D}G~nwK@4$l>3Xnv3!2>X8(C& zbK`F=Z*89ao68SuZoGPVVm}|+{BpB=cJu460C(HpSU#&c{xxDY7)#e{xx%}*-^9-Hw z;AcGTvd2B+Ay0e46VAWnl4tBg?ix3beAV)7PwH^l$>DhN=GVPy`Qry2&W49=5F<-- z)oYe-doV_hKY7jaF^9mjN6oumvpk)nv|^U+-@c3YE!ls$w2_WA6)x=+6>eg>fDR7x zr;%6(2g)3xD%^^#wv{>9qT*R7a0O8*7MOxZRt2t_Bv;{*7*gTVDMdJ|pB_)}L$5z( z(Zq^pw(Rt=f18|sx;O%HK*beq)#RHBH&6D!3Yi|4hfE}ky2O@ySX>L0G8-L3jI#oefINq+3$ zu*=&4VP)k}McaAu+{~X<#$?>DaLE<6!X<`X;l|DG-=&X9;^-z}{jVu)NQY_?9}anI zv~JQcJ+fStH!Des_8M9B;pnA;rw9K8h1fedZR}&Br^{p*(J4+Ir+) zyBzt}_OG-^3uMwqR2fs8#|jsh6c?zCfmiv`aiWW3L6#JAJ5n(drsxl4Mk4Dn@Xe=w zZ{F+E-GA7tW>ykw5o7ZJHm8v1WQdg_{d_2a%kNuW3qTxxTLAC zaLIqT!lg)a6)rhbwzwYwve)TtH*b*{w_-bVjWd;4iDM{v_qln*A25_WF5OL}V1oPv z99wO`v9kvpdrgf)LyM!o!2W|3KP`g4hQ0? zdjyK+(SNvn;+ZA`w~09|S(hptHn5Oyt$F(&E-ycLW{1rxdpdx2am0)Y`EakT`p-;Z zn{6KVy5-qP>D_^u14 zKzZOyWl`lLGEl&+HP`%6+C1vPzyZe2MBO3?)Dhaw8yBHiT3*v4Y&)z%aHe!wS-D@? zM|{32O5)&>RP00a9oK6Mm}ig z&QM8?u-zRt;%FE7vM@?S{@4?uz=y_Q6)rxuf;p6?+g9C5xZ72)Q$qZk;-Q;wj1O*@ zMC6b#)G2&S^)a$&ATO?U#c!i9$U6b1NbGX{RW87U7DBFJ{ow{5E15-F$1oGVkOkXT1jqUol5Yj3mY_VI+&%V%9nykcGnP6Ox}45QbsHayc0HQ8k%l_ zcs+-X5CbUYOP*`(w`mQr%bKyVMFPOGllHWW<6cE55TC_*N{~o<@(triuTHoQUssGz zW-PF~@>2u3(O<6|ju562gr9KtJHx`F@>6T0*$1bGNdc7%IgEHH`{yntbD1>H*U zzzVqhi02|7<4+yDZx%j=VFesAa*e}3BUF+Vh>J>69TQH|nI%(ti9;5}ZrZ%~ z&A0;DtC*o(kXgOwqqjFTeOZiI0?c4t;Zm%;8W+yhM0PeNoA$AmmN5kc257QlJv-PA z!nVT0b*63aR*c>x;1Hn~<;}A$m})BmUx7bF`nH$d!YDu$v62*4vuaFSfa8NltIZq# za(Ve~={|Nh|7wbnP~mu4sP5zlRsUN!hRp&Y5*j2dJ(y+?E(it^1Q-sk;RH$Lc2BI^ zr2JxRcT47do=BXW=L5A6=d~tS98SY_&Qm%;)CJcrM_UzXOSV@`VkPNndbid2;fO9S z-*l~TNNshGdkz8E7YKA4GA5^ysz7*i$QLzaoXX79+WnT>Qem|6Ekp7ZTQbJ7IQ&p^ zXdqWILSyjxs2Kvm?}I4D_S9W6wk2Ee_&^|>79uVx@W;4U)IH`H9SIY&dsqYLnJhv= zces%0!*H=Dg!#lG8gVHCS+_i1G!6@$_r4vs*pdn39a#}PH#BAj_pKYvqudb}M-WSI zwP~>><0u?Sv z-ER@k>v_e$I1m{pzuYL6NxR<>r7>u3zy(G^5XZ2A5=k-6A?k$CXqI@{xx^;%mkx3o z`ja3aT8zA!L9hdcJqXojIK^80v+(U`JP*qNkugvxR+UH;`N`$(@U#2W%ViA*?Xt&w zz?C3`yhNY{nE)sNmg7jAQ zsdp*VVc`&9;YjLD1wZh5-J|hD-Oy+3GZA!c@EdCr_XL+*8@QqfNJ{iryw9f-9`@8VXr{})blMS zJ_f>=U^}w7fdm$AxAbdru*>*eTC&D41=WmV%nOSGT&YsG`uP|MKNQG=#$gn=dmZz| zEgXYIkQuo;92B73z+gkETa7)XrZ05Ffs?|zg8dFJ{2+CkXjyNJ9C!1m(*MQqqe%#j z!IvlEh8;Us8bMAofevvxi?|eg$wocZYva#l(4Kkc$=}dwZu>=CM;&Vgv)x4rid$+y z1%x_&E}?NT3f!g(RL!lZFeS)e0mrSj@GaJcfFt->rlVpL#C#k-w(Ym3j~uqcdb(&d z;dZJe&nQG+792pkXOE+8xDeyR62k!Zpnu>j8_j)O4G4Y?+*XL41Q}K&_N+h>yj&F) z70&eu*P+izbY9}@6OI;C8%c-R4+BZCq0n!hO)3X6)8{lw-)u;c`Qfk;dW`uzR6J$1 z)Uyg74OpGQ{Eqsb?TTjW)2Q(>{dBLmH{tAqv;E-2$l`oykYe>~xViMrK zp){y;p2sl!2yPW2Ax@xbYySS9u>YZwu4#T^#+Q2Bvb%D3EIB8KrV{=+P!zh@KzXBd z&7mtmz)9j5aeX{AhA_NgV$sos@j$l{8k3*HRY#0LFh-nJLQnF0Ys%>PqIDDTGzhH| z#KbVFNM)fhgo6$89}3-YNacD@P#~B^HjE7F&oByTyJBNfaILPg5xyJOK@O789R);^ zn*VWaC@^vk0zrO*-<#ujTV7(&$itQwc$yR0;i}r1N=QxiQ7 zB!K}=k-}>}gjPE2?^E<~VLHcicStKo0p?kYIjAwinSf0(FuQ4fvytH`MwRnK%{H+A z=Bj(brIoqsv|4wfLTWW@Z)gl# zZlDFss-ZEc#mZsLBbegSbQ{Au?v%&)o1aAFW{hiSHG+RwPAvCMfmYruJ+9KN7^QG% zG}h>OAUNDw!>}UHM;!LOYHgzx3riO3MlB|o8;umK&G5s^qj_YY7_Vwk5?7QI3%=7Y zv~Gdx6XUg1+*p3TTsX9wLE;5#%%#MiAR? z#Nn-4k2G;$eHj^A1djo72M7muZI1i&di><5JSjZ??%!k2#p z?G0)5^62sKoK0{~sPpA(*4^1+Wrci**?|<jvVm z)4g((cI;VU9guDT+d%L)=A;w^CT{bV5}3^!ogJ?020HGhIcD$a5(&ER9((K@;+7r7SqV)3G2ZaOt_BZcn(iRc#1t*Fnp` zw+waJzB4?D zA0ahEzHxeUwR0Tj<8V0=T)Rn2LIsz?gm)_$b?%|oJY9q5nQ55( zpjpx}R>nY!7&=0$u|7py5bSWw5BeV(Q(10*#)go9En&Dg^;Uu%1%?AWI963X)Yy!x z5rlK2b8cSx8N0q$>u4w>s2jnhFi_q3hj9n~gsweMH`;=Lo4CEN;M~X!DOhs15rw;(m~oP!1x&1e&p~pnxk6XK$H3cF8F@&ExMjCggtB@ zt7okhHkMIulHgL<;LZrbk8AOOe7fphP&|I>VX0w?j<_C1%jNf4n}oG55+jbJ-POYQ zlQF2fz~Puv4I$f2#Bp}3>4P8!wXO!Q)^LT~%#owoLO{mIAkh2QCUEiv83jXF5NXMm zBD-{_1cA*v*NU%voSty(X0)pj^(b(mqh3x7OdJK`?UuYf5jA%Vx}(Z552br;76*e= z5h@P$F*GpYc01pO(*rpEUzf*KNaBQ8hg;16xx!^3aN%+n6|-jRZ}TIT-SY7038TQ~ zqsAQTlA7s~!sde~MRWHr5LB*S;M|I-wKy2G;23p_*lp_dJw%BRad_6%-!3%P1(6UM zMon*9&K1niMf}q0O@tuzK{Dgwa;;;j->zxa_8M?0)K7wYl_*CbZT^IFe{;)lR}Vge3?rO#dp% zmU>q0j&MC&W(`fa3ybB;VyiXIv?RS*)$Jq($eL}#wWWd5Y{At~&Na{clKGnkL4^oT z^+;LlqjuV~KoIzYRAkw@M9z@Gs%y^5I|EtdD+LwqB?lSa)b#P=^&l@|2M)3Ur<5Q` zp)~CrV;{=+5dk~Bd(a)q=xW0@nZjLl^I?^)R~G}=Jm|}iPzuRcWoJRvB*Y0mtP{*l zp;)}`>t%u#GOR_0G55POxWsf0GsCVBD&`Cp8ptUiGzK@~uoiHLE9p5&fynW-9f_f} zkT*6ki!BPr@<@t!>x4yv-*%vJB3Fe*uaE8=R~*OfSm1gy{A$t*m5rxuZ2uuH3i2@M zy6ruO28^$fBDq)=s2LMNs<$&+SYbj&wu;bptWJR>*i+)S!DK~8&;b@5$+JbQi%>NF$|;LiNLk`fQ2~5UHQNYFq;Me zgG-fx;J^hs;sg-HHf{-29uA0OTp$Y)3mZmfnHW{0FA8fLSDwHSxw#RxL&S=nI*83% z%j{T>!xBUD5@b2NV1sZ#*o@k=L!;Bn1GIm^bh)ftQUz|{UAPiB@d0M(Sf7H%eyFSu8k?^0ZL__9{zA^M=#Yu@n9<=Nvy{5mvr z;pPJcrzbDF!-n3dW>)9{YqKNYUj1!sX~=$cui)E(A)ufNL)@d@!quba+o1fWGp?;H zHx{$1go<0gnSwB|Gla(AAQ8SLctFj|Va6SW!Wn7F;II=$0m-1|@0fI(Yrf5HjH0$4 zGy-SV^&|BKttu-1xNr~}Y6$`BS#6_3iu4f;J89K3ZO6WvA|P573GGGuTIL!oZ zk85cVl!&DkzlBUf3(!DVk8I`Wqze;^r-ku^y|A8tT#f?{g?P;a$2bB88iUamjj{Tn z9kl(iKrVOH7311ge4M|<&n{FV`uL$8e$W4qfPRuD?nK*(s6 zVq=JSR(AxOS0X6-zi15#_G$;FV)$S6F%gi%@N-=;$S`)jB#f$Ad5iKa3L^)9E^HOZ zj3XFxz~QRh#c^)HrLno>2WUs4t&jl<#KV2yT9}~$;qnPS(&6qQxZq*UB6W$s97+@d zVOPV8F6?SZgF*R(<8;_Z?AC4=4YXETnia8Al?>VHhd$A($BI!W)U8HD4*AfG*UXYzXhD>=0W24q z)w{lOQZzkcl8==T`gwTJo@I2mb;r4V8tnCEKna7vlL=uk*xAC&L>Ecu+g&$0+{t`_ z>H)&lCUnxwVpTo_->#=<8jQGoawn)m5lMH8*b#OKbDgfa2`nNU&$;$mdtV^}O`A&( zW^lP2T(=WbPd&MIEjU)i3#;|Fr~~T(w+ps4Q6YilMOzLoulaU5o~Q*ieJn6~X7D;y z;L1E26&u4wT~3Ux1^f`Z`$4WaO5@nxf{@5%xuDg?Gc>3$@$L-U3r^MNg{>MFn6TNw z_9`t7jYeaha=aV8(BUa_Uw_fK(6`KDdu~@ zkhu{XmnowX$RACS4U|z(%gwn?6i1Ycl5s?Ps0+nNXdsSGf&5XL7bI-;Lk)J(re2K5 z>tW%c&<<11WsSOl&|5g6AV|lVoF0{)r?N&YMgcASq`A}9Jty$wL(MMnxClC3cIzN# z;T0QXU=$g(ri+tLbfLmODbqyBUhKYVJsjLu4f;NmvXO1{=vuhL8i=gE!Wq1cxKp>QcS?9ym-gTjl; zxGoA5>J4l{L9plfv)C9k>h*f&{%hcgth8_dz%!$(Gi3bXaVX!zD1-|dM9tzv6!JyF zfd$+6pX6 z^>ATs4h0f6BNWK?AM=G^R9FxecR*WX1NZF14q~@TYvyi_GNEEhta&yD+hDxl-eJu# z=R{oMI$hmkH0GfaG*@9tFpvb|;>uEJG^T@?&ot}HwlB0B0}E`lK5qVX`yL!J;+iD1 zL?{J!=U7_^Xl-s^LGy#_MK~m2PRvKy<_~u4+5VtlH!;0eIC|NI+A#4mvADK(1g>3a z?!FW0D?P!NnE5(wALXWpb64P|Y=bqO(Dta2 z#LX}^8aJEJXbf}Z#HCG-+oNGdc)u`MBG3y4!NsoB)f*v-lb~nd8f;*Q++~^vW`%&$ zu{tm}NaJ{{)>A^@#85GT3PL_Co?)8InKzYJY1z}6&zHDUQxZj8A#LHvRk%y&l)?*~YIMisG>h+GjBS=}; zlY-R(^=k9Rqf8$fPU|>^#Xj~}TxVu;sTe1>W`l|WkGc9hj_igh)xK>$b<`g0=8-Pp z^7X>O&=w2l;9T=JG^jy4W|v??K7`M-MwsKp44d}2?iJxB7c=4$g6n1IeSQeIyI^s% zthXHV@h&@e3}0Yc43T5OO`2^WC!M&)igd4G;G4Sh$KhEUa6z~O7ZeNNID&;r7={{$lVFDvY_Z{AoiuN}Eo&?IVbJRk3k+O%1&1Pt zKoRO%_!PQ9lW)`W!$vLQP8K3O3oQjLk}+KI02kia;^UxK0vC2ez71A$a}DU63o{RY`GP?K zoUBhJEn-oq_y`#%wXh0z4j0+zaj*}73)UxaKJTIh#vfz|*$WQgzV01?C&I8|?d{1| zA6#g3IHZ7^&W@~B5Ied(%o9_79#@t#_$9517RTj`cG@YBfSsPRiIM$B(*hhik z*at3{k@4!mm9gpwm$+(~k8iv7 ziop?CxULu%)Owm=RJE!AkFoYPNvk3P$xFs{fkZV4J;q89ah$Qkw;cN-uG(~=KdjO9 zdDEiB)mj;FA;`7)zQt97k6dUV_KwgP>{qLmiik>>_`20tZt7t*$Lf#|z7<9gx8<5) za}sO~LFYGOV-5*p>5f|kqG$gUA7(WwZ zeLQ5KRtT}Gzy-rIxS(UDz!0VoT!=FSt{Y;5T>@%n)2(L#6Mn4vEJND!?47-2b||&@ZBLb zWzlHXOirdt_QF&*l`k+j)i{=77;u1ox*~zu}_0#tZ=8$R4fiWG2-JUBuwMU>iq08^1B`W;j!r30zk|63LCZ~Pa24V4W zv@%mkh#?2tz(c&6R&K$@2F7R3`L<&tvig|?w97RMd=%nu`0TsracD%Ur-IH`0x%=q(h zJog|@2hPbEvG$e4z#k&t;~o%hO^}Z*tsZHM-dqwxYBdJAuoPkKVM@>@)%onIc&KC+ z9;BmzD8X`L76&tAsW{ZEoO(~e^c9rX7T&DpqFkX35+It?idZ?A;l}|FG(NQ8gzTh& zwQ^#rrE7b!C)gM)HdiQ*hzb#h$6$RhOM#*4#=!eS@9+hvhZ=iOkXkJ6Pd$S8l(a-B z+r7Ov5zf07L8fLZc9pfJ8MG2%r#3BkhteT*JWT5b-UcK(gSy8fPI_y5_RQfh(8#vvZJL6PfxKm%OSYn4!r*twkULffny*hh_>5(mE&#fBG>)H z#4rV&&NY+uJ!b^ni3L0eQ^0NKKo52WsykNnH%hE_^ES)?DiNQ)Vu|8n8QRP^hmUb; ztK|dEA8}Q1nIiCz%J6D3Grm$G4gk1lQeguP@;GZJa8ho<1=Rqqx{-)cFV_JqYygA0 z0w>U*zqer0VYFa1VM_4R3R8#Nu9de~qWI>-k3)P~92(<^22Y`S-HQOdTb4{oy+_NF16yZ3chy4IuXLnV@2~mj#)rJ|pYI;FK z6X*q9Qs)emL^#xowa>8KW)F%5v@G@cgqK@GKry}zena4*76@&_Jgjv@$J%q+o`(%o z4o3(_Z?@w&)JQpDWRQogYhL?vb#ukc2Y@AtU0j_K5o& zmm-Upa*eknaK@j|rcp5kTMG(yw0QM>2EL6R5geW4G{M8dG@(!q!VXt|YkexT&t2xS z)ijz*uc3ErZ-IdEtq(E`HY*9q3tFOc4b^218+iDT&sPFksw2`M?2gHBE&Wy=!09V;k=5IM4Y<9 zGrtvfaM%bE748c`W=7c^HZ;=$)XR=LKS2(`j#SgaIWQ?6^Qno8V?rP}PC_+9!Y!mZ z?w*Jw7(MDDP})RXJiNn81Mfq04k9e4>Tn(*PEb%Y!_;t%>^8>uS1p=yxQ#k9?!g2f z1;X2e(*pM|JJO|v#v+&*ZVKIsG3J5}xN&o-4H}w)kQ7fki1@)`g9Abkr-&dK^oKCO zj`k}z(<2|1TOUD^x!YUU@gTDprNSVosE@5d4UM!ad6SJg@Hsp7{(FhXGz*=q1om8Fsmpj!p9sbYA%NM zpuVeuOA2a=ihXh4Gw6rlO7nUMVW=T2i1Fk4C~41GhK&UQItV$~&4Vz+>uJs%%7w;J zEwq}&9ELT%FNoyMwTimO?4Dsd5jHxj{wHk_#F+Ttq7{gs3vrGu7DZjL#oB4!bniWP zgt`NphTjFJMe2$ZhTCKq4%kG^JGiS9%t72gNK1Wp$>U-Bqfzs-_aQO@l6Ku@uAGH| z?&0+~>(`XPmL7d>Cs1p9EXCLmt8t6M6THeI|3e|^T6}$x@*}&W4UP9f}BO}GeSlr%n>Y6j|zh}!ls9UD= zw`PMJs$)L0(3j=eVBz`Jsl#xD&a7#WE4se9l!HL{+)y!_WvnDRej@t>&cg-RV3$C# z+TEogLOVfs2s2H)#ptbjhblDoF0NwY7uNI(KM(Gb#=FP$O<5%Fcv3+t8!T(MdxX6h zC2u%@aIrFy0_SvJ#f#G|_kY3;!Q&a>*hCbApcTX2A}$C%4I_x8(`6r=Zdsg>e{g;X zLyc_L{g`hNv0}cs)mcp5m=7CwZEa(52K^qwaX901B8;OzYr?(&^9j_?(iWk&r&}JX z2-^YAkAw}$A_<3KW#R+hV#_W?oJ7>ouaOw0IP<7AQqkMS6^5Eiv2{L-|BC$Yo^`U!?BD7rKDJCk1 z!R90##(@)Dw@4-wZdp#^r8o~D-khyy6$(z;6!iv~BWx;P4q&TRJPZS^hraG4C>cDy&O zersWP>C$|aYrQ?V@K6c35DE@lc>NGuxK9Rd9>Rx%gzUSmW@N%y8e9lgXh-;(If7fM z#3ydCp(jeCRYY6_8BVw0ny)_$b7FYS2zyF!@WM_K+;6dygoVwwXpZYH;*=I~zJ{45 zu`)gmix^yZe**`_U=^k@@UpsM_Lz!t$R~!L>oqa~8Eo0$f|Lgi?Q~tqINZ{sfhe9r zK7v~Z+z|KDfDA6y6v*KqTl631)3qwTQ?u7 zMtFE!I-HO~#kDEerVbe}3@gDSsy#N@PrDWKtqEois9XL2+d8|LZL_Pcrw8R@q}XQO z7Yf8M6I#B4^nKos=XqY4)=Z~UqA@}tAt(VesYCe?N=r2eBzNY(17id==zT`0XiyF$ z7?lu=GH?PRnlx}?)JV@gu<^hHP4M@>?(1Xk|L>lYnOV=i?!EWgYp?IMHjG^pV+Cl( z=qaA~$@#NCkbIxk?b7ggR8}J!fT@10zq%1BOkD zd9}7_7UxNOf?1%v81&YWmIQ3>(75n4%!hVg6JXxcYL8XZNx=)m1Jzi-NG*}e@2Tq< zFz!^JAVs{bR3-x6+A$wjS!#}n!k;D`3Y43t@q63LTLVu+lKMRJ%31G@p-@PAnvUs; zEQ{5s#bE2`TU7ORF$Yhh9?cUIgx1H%dEE#Q=#A)I#0aDM7M_+8MSYAk)5OFmMAbN( zG>-VJP>n}Ri%t;b>l^*hmQYAA;$t6&SLq==R>@O~{S#zoYE5x?Yn-Z;Qm@wr1u#s+ zd^_U$PWj0&ZRalr`VeixBA3(8hw z;raQ0ef+`0SEL=2U?Zu4Y0`EGKYD-uLQaRsY5g`_wIjvpUEgj;U}}Dq=1>v_^q~}& zA!GM~^zn2^FzqlCKj`Bo)+=!i`xW`17K+QHjCUlnMUqhOD;`RZPDumYCmRRY`6d1; z@!GryEUaRNG%aI=JSZa^6g+-*e)$JE8M!?PBC5w9!zmN*6C|MAltqR|r{MB?D(wNb zQrmPggh*R>q!DS8bo^l2Bz^|Nh!pjrN$?qwcK-2C5Uo-ComUUG(uBjV;LVt-i@pRJsOJ%&VTwzChyzr<3qN6IOxli=x%B00 z21&ZESV&&P)ZwFgFW*iFNGgy9@=SrKg!c?IF-Z$TByJ)hlK9g|B$umI$*l{<$sBEA zP4%O(K=QB_NTGO38U$fG;1P~Ds+<9Mv}4#$l~A95koutcq!9_KorL|%w}6ea)m02i z8pk9SjVlVF@5oj@Ns*a^HU<1oQr63q1rFWMq`i2p@((b6% z6=ocDNG57Wi^xPhN+P#NA3z&hDMc2lDYnyM;P$?X@`&Wx_0fGx%zoLmY}jahdVhY$ zkHN8(+Drk65x<5fu)?^_|$_J-&8*} za=7&BRA5p~2rEjy;g`?}@-W@`3!jECOz-57$@zkcA)XIRIss;^biurv+uV~Z(o6Jv zB8*gLH;!QiO{ElmNf!hrT`>L~O^jkRp4o*ko{);y6Q^Zs!_b!WgDIxgIK4tgb224v z0lWR{av350CBqS3V$L`oeN0Ja@5MZOcE0&cD%FHrzsyCZkm`Zp#Z^HU+V{&`!0egj z`GS>s4jLx*kF*MUoKBvI<>DYMbm>52a|OzceSV~nPG`zV^PUm(x0JmGWYnUWH&XfrXQQRiB6!fQVSd+|IJ`5wuK|jfD zlGRX*GTDOsLsFR~O(>dV3a_+KdBJK56)yBnr_6A06_oj^y@~df$CDm&7)H z(5w{&TM~tGY{jUOVyat`D)(*2+Z-s)2!(gg=zn&1e)*@P7)oAK&*tlVB_e<;$m_%} zu2XQ54{>{%Vq~48{Kvm1tRc`OfupXCqd^zV;yaR}7DtxI1l9dQVgoH=ODll|rKROu zUIy&sLtu{Q<1mzPM!?PnEBNPQ$fkGVR?*bOD&3uL)zbr*6Q zOv*R}yK-}Qno+2x!Lng{ld?lYL|%)Jq#i<72i;HS4z8Q|<$fAQ&dIb9G(^$^6(AxS zpuj3pLF^v4kJOPAFX%Y&)N3PTi+AH7UI=rJ7=_024G@x1Tr&G8Ucv8OIVKpxhQVD! z2_*~ix%)Gl2)p7daedyjDxPHvm@3Ak2B22lsb`~ zBbj9Pts$oT)VnhqMas7~HKpu2CJJ7pNumrKN4IH+SeUY+c{W`{lUHw>kn&V-9}{IY z%1+8V)V;Zcx8*4bQMX1%xvlgNvJ_f4aUl>{eN0!90yp1vbBM!p%^e{_ucU>sO~IUpwAOi zS?7-SWSDyDkesfH2w>D%a!kShuFB!QFuE`yL<DYhk-GBHskxj# ztlIH-WSArtinL@oAucH(Ax=!C5;(Rj!f9tXurzCM7COtjJM3Lb6?Z5lr#SiMiKC}j>9jDB;$%$D0@)P8o zH*14A$xI<8AntTeFKunrPYrQqL=SotjH+ zMe#-`Dh$a_cLR#5A53rbR(>K+I#@$8l*7Py;l;aP{!Km)v%Z6 z?KG2K_D%C~2=!gV;t%uhOFy5&l^QOhd#6e&pJ;N;XRw3R5d7r2!?4I&}+s4a^S z@w#I0>eq$Z0l}W4?l7J+^NQ%^`i7mGd z|IW>+DIMQiD>^C0m*LALnFuM@7#E0`F?4?A_sBB7F#N+MfW{#8fFf4uDmVt_u|OrOJU!IfD<6yOI$t1^ zZXp+*rs?70%eawAQu!}&O4?_eEfI}A1$cpwi-6N&5Hlm}!X&SxuD~N(IN~1J>QWBD ziaKm;as9Lyzq!co-JFcVD@p9szDmz~j&Ef<>iwi~xfYp#Eh+X)oDL1)6bF+8s6YW9 zt1y9aikJhNM1Ou6m^_BS>JET^1fd$NMd$x3e*!nmD`Yw$R7o)+=f4r zgJB~&KrB5WJjGc(aT+xWMl@Hj=fU@j50zR&=i=w*xA@aHsp6bJVTScD!E-;yaD1i0 z46Q)57{3pNuXEFGhU3Klsm=KTE52Qy0~mNNz$^CxX0HWC0`z`RBeiIp=K22$H-5Z) ztF$%7DVT;QR`pzr!!Rqw6rkFB@G;o#i4g!zaH);e(GeB&w|nq@S4^3NUWYvii6j%c zvSX~RrkNDDY8)}QzV#8m^8)EF1Uu^d2VklZM!~ZBgE!m6>UyLY=%yoXZ1;Um97F6I zM7RHhAC&)uZ|nA4;Zi`*?v`ZfT^7UusJBcT;u%We8jxN zMx0USzGoXAtTpem&S%Hbxv3d2%MBEh*3TH1r%S{C4%-hhCkJs};lj9zkjQ=@)H07_ zy9%fSQv#Rm^hv<%So zp(wP@iXTvmAUs)Imc|5@g7^weob`Ly^Wmg9gkATdaK245q>~yVC!VHp)ZuiZDc>rV z!M9Vd(vOA)0FpmcJHh8JW&@LJ`;Tu)kUjs_FFknQ`R9KLeGnPZ*oR+s{WHjub&pb^ zB$31u+iIjAG&xYcT-v&13ll=ANcCbkHZ71SW6L$X-QBf}n3>9oflWO)ms`b7lxD?1 z%OSE@+9km_bp#?zYkh`(`cl>&KXHLEAFJ&UFeF=}kUJtQ@IZ`UFkoSDbIg4IK+lxw zdv|!c`_d!`t@Fem*O!J$-QWJF4<39>$%RCTmFomdVMSod4TqYZpWpap^kJ)@c*xql z%unFNZp_7qn_=)%GRkIHcxQ_z7gMN3(x$c`7iG>%%3W;)a^ z`CgD1e&LFPSxHpfi*a8?c7oB@i>AUr3k1}xg`&@yKH`wU#+CDh(1s*lyi&pz>7hD0 za`-jz-Oc$6{}Ot)&cdO#I)ONt^scB~Vf}5@qCJtY(72$aMhBB5myDa}ohWC^CZw8p zG~sYF2~sbF3vxrWZ{k(sVf`p;APLHf(UZM0e_%R9-NjN-t+hL@k2Z48qh-Ge!WEAK zvsVZWjO%Cd?dxnY>c;&n+kx{p)l)usVNN5$Dbkb-LyOYgr_NGteE5c#6R*X#5k|t= z+^}L{Fu3}rQ^=~pfw&lR8>FKX5 z*9s)*9P&6!?U=_z+EYu8=G>#@@_XFW^N;=-sX1`Nak}NNsLGzr_I_$PHI8JrUKKim z#9m4&L(<_SyE>lCe^Cs{K3ZTTS#6!xKavx)Ko(P=+Hq8NGe36;)msM(1MW#Ey_Ee! zz{eLB7fdgjWGHQfm*-T?Ml91F4roG-$@IByisHVC0iaTCi3mHUv4AgBJCZjwno`H1 z9l1_Zdgg6uTooMlyTo>sJ zBKDh-PAw4CTNp>@_oC+q078p6efNm;aa(ZX=m#mT(hpMArE$sZ@8gdbx?#7s-|SmO zCXWXaxj6sFe~V}&)u4ZcBva8)l9x43<&20Y?z+Y)HH?JC`g`(~s^b7P;2AwGs`Apwg=aZ-pELZ;KM2ND5o@}P`S zSRmm`ULvdq@jF@($~B8hgFRYwM4hG56UZB%c~MY^7qyu$7I)`6qUO*Uq31v=ylie@ zs@zD$Bq(>3c}+T|WFJM{qpA=N&cyV)>WRr1nXpOQ316(RLX`CMHBjihaR^} zo4KuoXf-vHfHVExg$Quu zG_OEpO0uI<_{?xzi!cxZ{yk?!5dwAE9EI=G3Zp8pxyDQiETsnlG_L^Hy1!7t<%kFU zCRH+peile0tYCLtuM-BFn@f}_en zi^kj1ZDyHRZNCX%S+Ietu4+k|zD&|Mwt8aR_8a#;(&ngF6Z@vLQ!)a5Ut&2Gy?tc~ ziijUmv03~bF`fnrea2|I+DXDTx=y4!HT6@*shdM9ekny1xbmQ9oiYAG5mL%M`;70o ziJF)YiD9Y(B$fHFnfA1~loIP>!nzZ+a^^T4M1<%w(jn4wM(2)jC=8xZyhuoIEL;h= zl2js9j+m5Djd18&LjJG>0X3Ce_wYadwPm2;%U3WI5pIG@2)7rF|D>;7>JNoM+?V?T z*R(nKJQ*4D4~D1rV#ucLHg+6IHKGm2r1gbZI&K^Bg%b|V$>3c+#{AZi;8W0fMu(dh z&0_3QK%11h#M9NGg$4fy`f-~T7WhFFp`HYy)&fx}^ey^Q5gff%#-?6hyR>)!h;OMU zN%-~rHNO|nzTV2?N9IjIK2O>fOctCfJpuOVPW=b*2j{6G;|g zmpJYefu*-zn@C7H!t(PZNHtui1tW!_1rD5)Q~7iAz;wa&s?qA|2hpf+&+qwzg@4uj zNimnXOd5+!LyR_gZqRRgKP<^vE!2Kq*v-VhNRSjA6NMAY;I_07WSGo5>Nn+0%UhE z2z`5~^s6q*IC*=SmrAuFIDpDH0Y;FwCqV-j7RU+|20?0FzF2&lCv4|m0QCkTFg3;j zCZ!h`xhB0pd--7*vAhq$i(E3X{(7tV;mPP{+A{6}C#9Y4}^rhV_ggehRMD}Z6>YI+PP!PZ9+ z1`&g^itlS++Me_el20OuK-{yn+&I5l+W|IrN{fiWg`!r@z*vnIv-Y+bj*`s6HeTYA zX>A9XUYEd~^YqlF6a%q6dSaXcf{`*W%+S)SQB78w=fH-)@WMgFYoNe~I54|H>3CV9~PE$ItdAo_oM6o-$P2*tI2Uobiy()SmTTZU{sqx;)%Ho@jH*e_-cxMV0%{bMLrRc-u9`C*A>>4=CQ$yVLXuG3T)urG3lVdLexbd> z6bvMu9DOi(HZzPpYjTT&0Y~wAHH& z?L6{?y(lbDJJS3di(Wo4Fl{W*v&+Ay00Z;l52*M$QEoNj0j6RNU{iwmU*}`>wgt9wsez4Sn@kGI!c-sy5n(?k7eXg7s_28FBuu$hsxc9yH1NcL zQB5XE{UAvd_7>7)NZvx8)8a;i|BbsN6s)r&NG=p&_!?$!A)!O_4}AIiF|G0Sw2>Yo znpqSu1?*!l2>q0Rb_|bi)kKeP73RKAewpqk)g*E&5=0RCNpz9)Ch#SxjPqr)e0N2c z63}qR1^)vOk9Scp85E+AJnp%p@Y2{hE!aBAl$=>ajDBw<^ve?pd<+-vf%FUz3`3*! zkhDr@PKcr=I}F(fAES2 zCC!0_Ej%crU<>|}0xpXlhnSQNUSyTBK}Bynrue-pl%5wvdeQfO6q;-%HCIc9llj6G ze+n9*i4`5XkC-f~l?4y_AMv*dH4A30_*1BLGuI<$LPv7NpMp*@--z!G`mZ=t@I7{V z8mXDeL;+-;o#bVb9!Jb!e#CyEk7ufGAn$=Bmw66gX!qV7 zIWigue-}*sl=(qr-UCzVEB=Eub%^~R=tmP5E%3mGPz?r~Yh$)_jyTDZ>MNB5%1QbM z|H-uMOer?_QdN)0^jF^{D-S`#cZOx!)$oH`OBnpz;YQy9co zbNP1Q?)cMWl_h@S#i*$bo)zVn(}6}$E(0sc0RBnCvu%p~<;9SUB($cdeqjq|q0AAg zpyhs`g5&-hv^%^7{=xh6d;T}BFme!kE{a3@0%44L{&dmpZxI3hmaE(2h@UU}9xn?S zaI>5YBIyXAkKq|jCfq4`no{D^$fdA!vPPH7g3DH7f!r@?74T+V3?!MvOnOKOGk93E z=qHa)iofT*6CDX*PMx5$7s$E3vJte&zATh*uD5x(EOd%BnZWLaLel57K6YPcZK0wP;v*C zPSP8bOLka_fwdSC&9!LW3aW7@vV*MbAe5z>P!2b7uAC=jtr{2iopwy#XfFn30Ch3^ zZ_rmD4;l0nm56ht%&pIpJaZjW+W7ZkuqO{?Y;%R-h?EviG*&hjgsvR4G^N&J=(w#N zV-Yk9rkAb58|iLZ+$>}ylb0G0Nnqrp7wx{+{N7Ugw%~tP7gH^wiQ$;d3BxKpjsq<& z%3YEN1Ep=S=2l~gLKCAOSCb}LZH$R#{7NW&fmB!tj4)^~@ce)NFCDT~^N_dHy7L4u z6-)uMmywvGgckQn5)^0kMJ@e{;|;(;FEpYHii-V|Dayg4^V|OqrOH`T%r7QOn*(Lg zp|-aN20<#m#?27dAgPOPk3lf_+(`la5Zn#ykuSUw32g z%RVSo)Q(?Sq4igC{Uu02zOjH-)t4yAwG+vnzvE9Hyz{$N5KP*znzg48OnMB7)=DWM z?*8n_`Sd3bzWytO-6Xj_J^$99JovT`Hc}FUX`V|4l=na=^~pc}+K2BaHazVGN=mYh zewP-&O@;~LH-#jzK;!65+SEmC?V@i^cZYDZelXpXi!@P;L`g^sDVz|TH2eHftI)ZgR~?QstL2|+c>7DZ!t*dCL;?NVAsd7J8Gn+k69djyF?3wS?5VI zhjX`(FVFieLk3zd5;HIlB=1H$M&F!>3)(^vmw$}??wi{U5)3f8k*WSVqR8uYqM}yJ zIsxcD5^N7)l~Zc&{9ac0RGI+DKfm?PhvfQ+B=VAIA1i*mE3mD*?r|d{92;pAkco>Y z(6XH1`5V6I;k!;~l6~w+rxWa{ypAa$ZpO;_`b2OOX$~SN%SJ~Lk-|ak;EMa2f>AF9 zFHF;A#JheEzsI<_MMU69{VsOwY(ZI-DlD*)Z?RxIskFDo~m^1*kIpcvV!giI;`NFuB-mq36ZSnYPZq_9YMB z`4G=Xuln}({OXr-NgR))EK+O<=3rW6JL6GDcvAr;FGL;?)up5Udm){PKGPec*9i|2 z#)$-IKd2YYOVM#9_{ln%;z<|!jM~-{<9ZiK_yr7DuHMB#463LsBClhHaToK_>pU^- zXw**(W`E_Tp;T(oZhFJF3cv!}c30Bi5l3G~x;O%qcaD$F=U!4IOG^kS0sBbeS? zqBkGa7v)o3`dgGtVW6scfK6FC(L#Z#b9S_qO(L0 zyF%6X>M<67apw&HD|0``gtKKo;?<`LP=b(;^#ljy8|w2HrE*tER~Hd5B{uNwY`%tO zqQbYr&Bu7h=5vCl%iS6N-Pbeu-3?=6`PYFh&XEQG2W7hFBm-pw0h0#?m@LLLcMd@QvIm>T^7(@QqgNwC71Fc>#igXBa3D$5ZVC#jFs z+zpdPP7x-)f^fP=>i3?q{}$o|`iuqQV;)C2WH0cF6v<1Xbv;=0mN|WB6zTiZjzVCv zGl8kyA+Rb$2v$kIX*QPn)Sa8e%mn`oRGk?xsiwf>;{~Ru9xw$|;6v2pHnwoG^xNeO zRKyLKI@thI!&zYR=K_;8gk4Dc@S;VBS=wBd(~;+*DyDIWD?p)Xk1rVqfsE& zeN9MvD+QhCB-gfo(6LR%VYzDD<;BoZdXa8-+ub43XR7@GV1Y{A{y}(@CgIWwTj&|O zB_w8U<93ZA`MkQHv8`)j_)L4@@O3B!fP&c4ogs8WYpt_WOo)!s=b5zdzVDcH?d0NH zV!XXT?(w#}O}@e~g#{kbgu%Us6B$@ATJrg`Ux`>ytq~h~*3LMb2it2D2N$P%#T+xp z#$B39X`4!SBaSb;Y7h&&`K`EHeelJ)!aPb=d$?h;+ z2jB(PEj1a3Ro*m)tLgmedmp~|CJkfyC!FBV{3z!d$E_BuD~>Y#)AL(j#hFopQo|{J z^HyDB#80evAJIt?y_QaXtAf2``2efbqbWvvn~oX+d&X0#gJT7>&||8eA@YB-BNV&){?yOMgs)yB0`p zya*EK@MYffd%x!4myz}15h^Tzc`6$?9@BQ{0ON7IK1T|qwbL|v$he6J5~kFrgZFCQRUjf zO~zH29Q*^+>rKEe1wx>1*Y~O0+~x2lsc4F<%TEcTv4xwgX@LsayY5!Vj;&BoHrswr zq7RhuHmc#&BXKR~j^xpCa-QowKlC}Ss(fNexDj#T|7t#q9MnZesp`~ELIikLrbVOA z;YMxmbF$4W9Oy#VGKaOa6E;Uu7pEQsdkbEC+A;(35jYIjs#V)dE9hj^N4-i|AzF z?LsadoE9m0fmL%nzoD8@J5lKkyHgua3ij0cHO1eZWeSW)SEPhiMTa^&!4Oms zUMytby1DYLo(SRFx%2EoI;s3=lAwmuSfJ9`2i&gX zRd6EpUI3<`7^!ni)7U}dPbwm`9CRxk6J^vdSFsvd6`vwF2#DYmcizT%nA!h5L=IU_p2ngOejq2v!(?c!X9@xYBom9 zHq0?e&o3@|3P^?)>`4Arik(_RiEBbGG2E!~&Qn$^6CHCH799RJs4{u#wa<=nM_C`l zx>~PdG0=Zui-K5%oVYj#Eo_k*3Q;@>K{hW&iDXNTMsqlH!TpT4BSG)e5ucD(o`mpp z6Aj@np_=MkU0Hkr5>DhsN~^_-mpK;v@6wx^ew|&IWAlJK58XtFaWu15R0|YNxoeW; zauD?;`yKmIDRz9U=f;5PeZQpW8e5T0O$&alm1HwC0S}}NUVltSOToC@ecux^)zOg+ zFTw;}p_$CG zN0qZq3znu+#c8w50Sb>3q+5>mlQ>uJk@PPiJWcjA&PgQHdrfjMN0=z7Tw|%9)s9I3 z(!^JyC)C+WXUF!COCQ01lB9--$bvw*=)@jys?;c8s?h~T*sL&<=VmXM9#lv?ox6p* zU7*x;H~Pu76<^}P8}To)aON-^m?i^ao`VOT(^}~K#s^}~biNio2D{cVTqGSxp_Y85 z1XkpPN+z2!wYSS2M_S}wPF8Bjgygy_KWL*88FFPK$};Bn=9kYGTEwK+O`}3y<_zv( z>C=?J>ZT==r*CsK-KK4H#*<8D%reENaWCkmarn};NK=v{g7SaKVf^7Mj~;0e>D+uI zIgr{3WvqSexju@hkaD8v3Dv2ybqf9o+Bt11^{$$P%^8NryKh=b&l~1fm6$hum3m-` zU>im^4Z~P?k0V`36O$3Cag=ebzjv53q&jTX&~Mcqkf6F@B?Wa&rHnYFlXpd zVsxd(v?oCe)ncyb;*`5ecP3B9v_K+v_q9vnY;$5X1$6@;-&|J=#|PW%MdN9lcbp9~ z$vSQ~XZTcH3KyPSZg_^YJ8Ehrf$7mC6_zx%#_G4=YQk4kt4?`pYY!CPp5O5-+Jj7H zYC!5(iQeL&-8Q*N7FelH0n!#5q1;w9ixj>fa^%>!B<02630SFIsg7-tM#xJV61sAq zJ~0-q^MId)W0RxlQy{}rJ0`HyH*9pffrAL7rl8E2QvR&I5wejNoC9k@haln z;z5+!$_Sy7>&iIuv{d?cPks_#ERS%=OCI5n760OuAq5W7H&mDJQ1K6rP2&BPf!H+z zwMK_YS*y^>YIIB-vWS(s!;$)9fZ;)MQbFok=Yfn%Dzp}3UtjWs^eqXQUJPka?SMiH zF)dI5r60s6uOB3zK&VE1c-+zRb?4ZaIC8zD{zPwd+EM*>51(h6t>6) zb;N``Ls_R>k`Vg4NA%}W;PdCdEmGN-Bs$mf2thG(Q`o~jVn%BxjZ}WE$24DLrLXS+G=9_fX%-sdYLZ9B9%$;ll2LUl(bFZkblsnD`}^taoFI^ z9tbk>{;r62@S0uGPbD*AtVA1$-zNHer+^XFcH-gykAf5L5uxfFUg z7#I$T`WAWSyNaCCcBsHx7{W;JsV8VILFJM}8kGZ5({T$6xhW9oiODvdDg+mLCzPgG z+EVhM-Kn{DmLhF6lCQCf0ws3<>9Ed8BNtP*6zof*NHX(8I-LHJD%^`EEx0cl**{aNM|Aw*sM21 zC3;Ux=7?YfowR7&_=e#k8h-CWCJAp3KmRaj>p+QiecDVSc;9nzb1Bw4liXX1;p*sn7zM+2?>Ud zAtKDX6_;-hV+9Vc982V(IWe(l{UD-6m`RGD7TD?X7%Rz=6uU>2)lO21KK&qApk3vR z!N8hh2i6@{0wYE@8!G{JlpwGceZaU-o*2)O7Kj?$Fg!0CY&BN$gUtg1>jvV$@Th3w z&GoXY{rR>_QuA#`-*2FQ5<};+%g0^O2aKrceD3+dZdnxAm19y*hKx(Kd-&hDYVyHm zW|4{8mk?NoF#_u+H3RDoD}fEy^RF(7A3(B+G%;?LB23sVM)P!@p#)m%H0;Tf^T+-& z@{KCC=}$~WsjH{DEykH8br+?4+c!Mp4sYefa0_g;hr#a}$^%I7M<+;`?7W{$vek$U z-x4G6I9RMUr%+ygutRjfV5T$E%>p}BC9tk33aq2Wfvt6g(}wT*4dx7NAqot)fbM{D zWHOFtcY4S8VrLnearh6Gal3pAuv10?YiArV94k5|Y|EJxGp--k2n>((xWE(dRZ|L5 zwrXIMMvMcOajhaS4p+E{RZf|VBh|2f4;{PYTL!j;6>NAf8>fC{CxrI&ahvok2Gh#p+DK#^o+*vPJgIE33`A7- z#1bO7hjK}>K=sWG zjMJ;leFElKxvd%4Nm+rlLq4z$mj*`RX-z^Y@cHID<6{$dMhnuKDBvw^m7i6^;=qo# z#CM#fM{`e)V|^jTQP@)HYoyWANXS(g0232+@VG9*%((dhNggBwcz<_(`(x2Oq^{xg zMLNzJ7yLzx8!b`V2rKvHr(s_oI1jsAthfmo_!KlTUKw$dxPy9u7@rzP*10F1!-dQb z*~5FAJ>l{w(+;E&kMIw6a5ul#q42w|2 zd88J%1$G`X^AC3WjZEB0Ja~vv+9!ewz2R=(B-*Zdkm6T9{run+%n+?@MnlbRp+9n1 z&)P)h76CsxA(dEn6Cp`At;&$pR#B1c1bs>0;y4hj!#4RgPa(no_-8l%u(oAE(Dg$) z6t}MYO8g*>stv6>5#p@Vxf7h&k7U<8=-29j-Eo*nNOcgUBMw9}@xC_}s#{!G|0%Y* zh>J>*eTA#aLlbYQuxWhS6X$VTO^owOm`R-FrAOy~{=E-hK9Mb6VYN^$WK}!JLzR8D zBz#K_q~5nTKtQhUK679Yu-JtNb{e4Msl5FRgj#wBgj%GlQ`##zfD>l3vyM4PO*uBQ zB*SNteqt!F&d>?0JuZQ@Dh!N*%0Ak7i3EcPWac8RrO6vP?xfbOc?snS#sWs^K%|);bSYyL*ikWcERYR!wp|z-`#*L^@L9X#*mZW~ znCprqm8UmCVxC~6>5oLn{Mm^m9~@u2oT6T1fmAy&BE^N7180!1bx)Hcp`UC9VF725 zc8m@xVkHQyGsampkBfvPvthy3u7qN@VMNX!$Cm5bT6O||7g{MxnJ3A(if{+h1T3a+ zqlCKfF|dB#J+SU18d$$M5m=X&q|I7C1+l;$RohB{~0?u zP6o*mD&00we<-22WW*ln15|0DOssHnV6=%T>L-pS)t(~2VsI#-NmOadBu!h)f+h#j z6R2B}k&;-curO6%tr#RT`ca2>;%e#ZM2W9=2ag)>@p2^LDvbr$sK((R+F;+esY_3B z<_m>Tl7G-Q0#BDzb~O9*ufKtn-!X6yj{APTXuzJ@J5F(%%uyuDzV?0TLH!CDuhFCtEu~Y10M5 zeXldd9MbPm=sW#h7%aWErJGPlXqtrvH8Is&O$&rX^m~w&X$Cn{UHn+gl^6jwxioy# zWAB(u+{Qu(U9beSF30|KS1*XniLwMQ!huaqT9H%q6Ti zk>Vm=E5?S)jX9P>c;BEJ@u04h0xa4`PtU*m=EL`$K)ue0&M-}txP-+ZsjF3Vj9Sm> z4u`o?3@jy@7jqXcA9wuF;;04|$E8qEOom!pi5QeZA4hr?O?XQXhu&%j?4yfBcA;(t zI<`O=tan0j{muE8Kk@K=?@a~k@za-ICVFsp{_~&Up&G?6h{bmH64Qb~9Z1Tq`-dqB z5v+QrEy(8w7up%QIXeD`gv&}v*B7#Qq_(9P9$SfwJd8EzW;u0g*~Z^nxH()$Dlg@? zl?s`U?VqKl*^8!BMiXE0hR{#+B=d)aCSO0i&+}DdF(mHlTnV9h;`M#7k)-dphgb)0 zj*OJGLQafASyVd8X-LN>DHh;BMkTP>y%KJoiVW|L&Nxk66=)g=mh4-~1*?7<8+0+ME ztRy>HhE#3JB1*ubE)(SQ#H7^p6-&Xb7uX50`8`b1I+7IO3e!0Hr7oumw;2exQ#ubt zvr;)3CnpmAEIE--ICsNj_t~PQi%pW)D=zP%S$2%g(8Nj-iRlRax3Ef2Z1fwUHHKHC z5Uwn=qS$I2CYxvmBT!gHhTXN#7TT9=Ld+MViR@Q(dgOfRwaEU*U9g7MM`U>4@aD5k z!L3(lH#*a9mgcSp!EMF+DJPb)MS~Z8d!h_Ye4c*#zOyM%?jxbvN9PXv7Wzph@VCqR z)X_8!Rf7d?YTmIslKh*S;k#-=;^BhM5r#1Hh-5FCgq>qCtGkp!a1#x}L`RaR6!e2v zxE`M#lU&SWmS;?Vh$dEbC5ZU+6I<*AKZ~&N{K@%^pFpq0DcoyHv8iBAJfqN9KTDac zY%$7dORvq62;pXqQHLQEEnAGdGpPgA?0S+bDK#V*3gMV`6K7v^81^eNK^c=RhVdg> zOf7k1ki-FFhN6c3=B}<|JXrlwO|Cd`wn9HexDo*vAChnMDp=Rs@R{599_)kw;sVUPZC6a*n7OHAzrIF9n@0G2$j< z!Ebud&hDsGQi>4yd(yv%cF3|KuCzLQ2elSwhAd4U3Y6a)_IZef4$N4sqz0!gJSH`CJ9cnGLxMJ{_rsCMCAD(vt2J=E^ZG`sKMn75b^J0FOkICX` ziNVoHu;Ur@kzjnZ+{6-+u*YILe>UvKabKLOvMDjnWKB`IE4~f0lc594R~VKc&xMzd z>;`*Cr%Kyd|6p>n8o3l=^&#X<9vuSN4CA*8yMeJtLB-CLV~t8%ks=`?9Tvs1VmE0% z(gy<-pXNj$E+6$K;(b(oiqDZxCZz;EAa2h%@k46uR#vr_o-whS7zFm@{PN#^_yA?I z5l&%16DieIBEIxF>Fdjlma0JrP2LFf%+=f(xFWqzBxQNU)RWK?Koo(|(M*hDIUg3> zY2uXMnuEcn9Z4tNpg0ON$sOT_pXO?zj5pHgfjs?gegdscKTF#eA)Ius=6Qq!C35g* zTyT*_4x5=x(&a?<%i7_3n&j^8{Km3&|E}7_vvw$5+uxepkJID+5V5dO-A*WI!P)s& ze&*qqpRWA9yA-enim`-0c)W0RC5(w$FHsz4{DojgqEj3*QjOgH>3|mr)}@WP+cgp#+eJ!`e3~>s zaU;|mIU%57SpZb!ig$A`612$K2|){=PM>UHjda64enKLW$mw3!S05%Q6_5lcT{Akd zpURN{x{?bu9X~Lf6fLIQ#bj)q4ItRHtgbQ4RMKu_84#3~t^|L~uV>$BrQe z5m!iElQeEBg#){55dcgK30Pa^h#4F+YE(mYT%ilu zLzbx)NJe^{F^>6uVF)^k)iGlW*pQvLdOHCssUO&rGUfO|(l+ZzfXNlbw+co8Q_>-3 zA!!TR3CSZxtxO{K6lqAR$6%ZcC171u&qhdXgpQCuhdu*PxwgQdn?@l9trku1*Sem3 zE6&Q*9$QenzPOVBvcH}L1z+b*7DZ3O_RgREJ2Cl8Cd5*=o3^TqOoj5_Q<0vmJrZTs z9?1j{4A3Rf2_Y*OL7S7r4xH2zL@9d`u2W9}F%V(E#t!>kwi!4&O$tVujM@ zfOQ}DjO({F18a^&f*y!z;)`meMe}w^2asUCN_SfrvhF#J9*Vy+PI;KXP!xt8FB?X6 zzkGYBRjj%z)7c3%IXwwLUBR4*#yK1t)XZLrsP!yS=bqBjrUjhPoqx;6O8Nt)5>;Rj zS79Nwv7K|t=H@cFpet^fMn9Q8QburVwl&DNbQJ7~@h+}JxT{rcL<%AwV=nZ^u*xQ+ zAYn#-#3nhC)3=L+Eaxfs$NKl~NUPGSQMJaavtS0q2(9pUEtD~3lV~C7Dn0SDyFxV!L?xJy z3AG9#x36XsJt!WgbW#+AYV6DU!4P$OUV$l`KzxZva0QXMC932G){o1u6Jk+1PXY=v z!DZZ)D@!4I9 zcIV&y`{Z5q^MY)%o)=uMMqCm7BeX5j591uxea0khg38O)3pPfPNf2%lXPKA^G4W!Y z6K#FMVP!M`lhFWd)<)02`g0Gz`hyn1D7U%=M55aGM5NGq_~#$K_>SB28$b8(!8f+W zig-~F8Q7zYPQT*51OzNai}*jJ+sa7a&61#Mo=->dJypt77 zM6vij$z^TF^ReYas@HT)?HlCV)x@5zF0bK;7GSGFE3!qfJH3aCyl=-*MEk5iEcnM0 z-mY+NX?IlTm|(R!rYkHtkH2aoaMhNQkMHl$Hu_?vMW|qwh@^OV(S!;8Z9j*SjcoZ4 zgSHDZ72l`DtCU1Eu$I|qYD$qoQ?o(>dzf{A^Pm2OhwnVu`+#y}Hi>-hbElxQUHvQu z?_gmNWOZN^-E{T&jYLSohKP$=X-|@#2R+FRg(8BfaSIdAOe&2h0N$Oy@C&iU#SkH5 z+1S)|ie=zd7Ar_o-KN=8VGcZKVL?Q|FPCpZ1{_S7OnqfG$OIJWV4aJn;UAy; zOy9F0gM1WCoagp5vEl@nA&Pin4V)kSlf*2IAH;j#)f9YcCNQD10H$Jodsf% zX@R%TUy-Vk!`CDvZtm*vD&;6~J%8%V?NEX`O`GYE+Q>lTYl6&+0fzM(JFNYR)}DIP zWk1si60Q{KB*UN=bHxjb!tc`}5-o}#nP6Nr^Rq%cK%zzZ!RVgD%7hsTN`!E{LRvJA zcAfO|$BO#17{v!LS;>GYEZ`QG{{r<`r;ni_+D2JhH!{d89(HIKKNBmR9~hOvy%@~3 z-d!GkYnp}S%vxQ%GdMg&+_Bs}i3%OC@bL-vV$di1IEe;I@1b<_QN5?bVyOJ_$5(cY zZl!UgOf}7rUeR$#=GfmUJiIeckw8r}N#@h;B4&HX)L(irBqjGaUO1ZPz&pUV;!ETR z_&j0a^T&Ma%ol!7-N)$H(ND!@UR1)Why{pB-mA{bsSP6lDpaH4>z3$QSSF_6VB5&< zouT5>SB%0Tp&FY;6Q}G#3+(RAOiYGXZSI8b$9?LLQ<)&x(WZ5?4{5kyV#NidYe)MK zEt&+&XD_{o1RE?iUSfTGbN-EA#E{gR9jqS#CInMNspUf`h7skNwiLA zMC)n?!06cIj+K!0|Dfnn1>Nu)sc{{)7U}-5Z3^rdxZU)-|toxn(>B~ZJ1l15MKD+PN< zrH~0lefpJ&ZH1r#DX2(&z)I+YU?v++EGG`@zU5TTNO42$mtI8TgRUn^@oXSOFh8Ty zLSG$zP?CZ7Y7{Vlb;_(Et3!ew1zkE-o^U#~IzjclxI0CmQbh=sb>27|!FN&Dj-+^x zgSgglhhQdC*aM+K{UGl1WRja!1RHORZ-C|Jp?#OEL0+n0K z9Nki#d8yCoY!oh->TlCS#e9J{u9y!^m_@AQnQ0t}?He=O1v9?OD+AU4j>XJQfUs}4 z!v2GsJm4aVEt8Je3G4JrqYaISFmKf~s&TLD+<8x`Bz?Ne)ENiWqzLKvBJ3i6b_vM9 zJb9sk>#GsNxICWPXeCQkY7Bx`W(#@hhjzGyO;By*BE?ZD&P8R0&Nw+>jTx%=uZ&ZY z;0^qjBGuY)3OfahLDJ)JAGesLl2x*V#&nA3rD_69FZkab@u(GIxA=Dy0U#4j`U0;% zNu|YR6t75i>qh-Q{?euP4x14o?%ff-Xu^z2JMTE^6>f;>+eMSA5DGAK^JC&m77UJT zNN)N#aX&RD07es`9*5bYb0@Z|MW>-of6HP5d;duNXs@j}Gcg+5`49fZ!>>Qdze^Es z?L3PoowO%|bi61#rpQM$#)&apbo4<~<7rXm5rSIrd6c^=<7i~o3#2817LA289qU)a z>1YjX!h81o{MN7Ha-ZDx*@tki#9HBQ6*y)Y2cM8EX~n;)2Uu*bButCbQyv~vXAhu;eqZI zB5y=@a0x9C?Lk-|R_eu2;!tytouY~B7SNIsU%l&&qnC2snDoLD3 zXB@-Vt5#k)-{xtKSsqf+F`aP;jx0_cG1`b#NqkkhRiUksXqF$uz0^mSw`-eN3CPJw ziE6anl0%iuL$&&tG<;zpLEhdm9TEB}=ABxPqcCpz_Nzb*p*ErL$^H5B|0?W3sw^cN z@;^{|Yorlb7SiC=+7C=!<8bjUcVJ`>sCBZZxXrpOBz;Ia@eYtQPg1gGe`Cl5&%t>4 z7WR@R z&3(eRXgSR?$QfIIZxCOEv1GL2qmg7mC0CFKFTZ3d>Gbk#k-9Y>-NGJ_DcUjjS-a!< z=@O(uy|F;3TG&DY=ty|NR+c75ER7Q5LP31Qs?-+>OiV|J3T@5D-F9r+dW3U1ReSs3fl z4QwPY*)}=RRYxHT{0zl7*)dA6P)!X&(=j}JzV%@vB*Dm;6dkWG3vC0%Mo3SQU{77V zzwN>y<|XDKHUeo$*uzB>N99>tIjHmEY~SXT>Iw^(rR%tZjqouZfmvUoEtE6`a?Ryg zf%RR%g0)L(j!NahVMP**(Hhga7lTOG-M$jaK-6yTxZM(GDN=)I+GvLrDz@A*)aXhc z;gl)$0x3_xVJ6^6^zQQ&7*XPNbGyR|e@Xoyn1M34ftw?WxP+;nA_I-X=OMlTmnx1) zZ);Yq`-!NifyrH$%7GtB@(n{n+@2&_^ArYF`4l^T4*B=F!&$W$#7r+5q#82>I+~8i zqCB*Qi^D2sM+kkIcsZwHNbqwi!TN5~z(_ZOFh^q$PZtSa(;PQ9-;Mm0w4;DnrV9dC ztd)GEQZP4AQuPioa7SYf3S3u!f|og~q%cgI5mngsn&*n&9-TTh;y zbg3vN5ogEYE8d5zLrfPJf#P9#K1druzFjsl2v&@3pF6=4?S#$|+6l2;Pr?i1jjcAV zB3LQp^SKjY(x6>24Sez*(Pyy`nk<$SEisetJf zC6Y_^SRgQ`cantK65zx@y=(!@IqMWP%j`gG&3bB%4tu9IlAgwOB$P!gwhjD`e>Qdt zQ0*Fl;i{O?3as43DH+5&UFZjs?7s7lEjKZ6gh3=_Swnk$M_SCVc+XW zAZ?))FPzZN`^lP^?uwT=4t<6D*tQktNs!-@bhSywDWn8Ub@ znkT`A7PK1yV?PU97;v70f@@8J386_So6(V=&}x#jqUi^$(O zbEghK=Z?oq=T3VAojbvvvP4hV$!VZpt496Nxudgn1c+}j9s65W)7YXWvdv=bGTQOa z`bvrc6_o=vhX}c`!1UM%FkINZ7?g0qhO)bFzZm#o4DDZ8ctGizz#N*)Tbk#$eh+4< zm%w=&0S~3tM4e&5;!iY8k$GrNT{VEMK8KN+qR+Jn&OjpBJu!z+`ZVK|1`SM+1uDHz zJ%-;)DG$KM=TH4U$k3Z!gtfYR!-CJE4730>&0b)&&cImwFh9IJk5OC#ng=ofa<=ov zX&a()oCQ`stiWirT9c$?aol(&#xpWq{zw*lx)4Ww46OWG8P~=1fpx2Zz-Zr4zehHC zU1}O@J|>Xb6Q_#Qux{_g$0_)zNqDqmjuW#vU}ZlBhPl0byGs^;!~*)9uodgF!q$>7 zR}%v(T`%LhZ6UDqmLI?UR#wO(%vEpzQzX;07X$2k^Peb@5+dmrTpDE9Y6n*ropPR@ z+4HPg4tn) zJvz*BE>jC>W8mrHV$4<22jh6Xona&ooiWQXeHL&h~ z6xdp8H1Gp}-D@!e@%D@alu3|p^8%4PSLAd|p&7a1gXE_UzsLLmPOmI{=F@Nd@ZWgz z(=WX8$_KvthkyFbmuL`w|AFuN z^ybHZ^e6x3kG=GPr>_$2y?y=3YmYvB^WhIafAW!Ngs+p4|Jtj!ucMW|@SzXA@ROf; d Date: Thu, 4 Sep 2025 01:45:33 +0200 Subject: [PATCH 2/3] search: fix truncating path ends list if sorting by slack (#291) --- search/PathGroup.cc | 2 - test/regression_vars.tcl | 1 + test/report_checks_sorted.ok | 87 +++++++++++++++++++++++++++++++++++ test/report_checks_sorted.tcl | 12 +++++ test/report_checks_sorted.v | 15 ++++++ 5 files changed, 115 insertions(+), 2 deletions(-) create mode 100644 test/report_checks_sorted.ok create mode 100644 test/report_checks_sorted.tcl create mode 100644 test/report_checks_sorted.v diff --git a/search/PathGroup.cc b/search/PathGroup.cc index 2a0f7961..7b24f642 100644 --- a/search/PathGroup.cc +++ b/search/PathGroup.cc @@ -546,8 +546,6 @@ PathGroups::makePathEnds(ExceptionTo *to, pushGroupPathEnds(path_ends); if (sort_by_slack) { sort(path_ends, PathEndLess(this)); - if (static_cast(path_ends.size()) > group_path_count_) - path_ends.resize(group_path_count_); } if (unconstrained_paths diff --git a/test/regression_vars.tcl b/test/regression_vars.tcl index 9dcfd8f4..02bdadcd 100644 --- a/test/regression_vars.tcl +++ b/test/regression_vars.tcl @@ -156,6 +156,7 @@ record_sta_tests { report_json2 suppress_msg verilog_attribute + report_checks_sorted } define_test_group fast [group_tests all] diff --git a/test/report_checks_sorted.ok b/test/report_checks_sorted.ok new file mode 100644 index 00000000..7b983801 --- /dev/null +++ b/test/report_checks_sorted.ok @@ -0,0 +1,87 @@ +Startpoint: r1 (rising edge-triggered flip-flop clocked by clk) +Endpoint: r4 (rising edge-triggered flip-flop clocked by clk) +Path Group: long +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 ^ r1/CLK (DFFHQx4_ASAP7_75t_R) + 64.76 64.76 ^ r1/Q (DFFHQx4_ASAP7_75t_R) + 17.77 82.53 ^ u2/Y (BUFx2_ASAP7_75t_R) + 17.88 100.42 ^ u3/Y (BUFx2_ASAP7_75t_R) + 16.66 117.08 ^ u4/Y (BUFx2_ASAP7_75t_R) + 0.00 117.08 ^ r4/D (DFFHQx4_ASAP7_75t_R) + 117.08 data arrival time + + 500.00 500.00 clock clk (rise edge) + 0.00 500.00 clock network delay (ideal) + 0.00 500.00 clock reconvergence pessimism + 500.00 ^ r4/CLK (DFFHQx4_ASAP7_75t_R) + -12.61 487.39 library setup time + 487.39 data required time +--------------------------------------------------------- + 487.39 data required time + -117.08 data arrival time +--------------------------------------------------------- + 370.32 slack (MET) + + +Startpoint: r1 (rising edge-triggered flip-flop clocked by clk) +Endpoint: r3 (rising edge-triggered flip-flop clocked by clk) +Path Group: custom +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 ^ r1/CLK (DFFHQx4_ASAP7_75t_R) + 64.76 64.76 ^ r1/Q (DFFHQx4_ASAP7_75t_R) + 17.77 82.53 ^ u2/Y (BUFx2_ASAP7_75t_R) + 17.88 100.42 ^ u3/Y (BUFx2_ASAP7_75t_R) + 0.00 100.42 ^ r3/D (DFFHQx4_ASAP7_75t_R) + 100.42 data arrival time + + 500.00 500.00 clock clk (rise edge) + 0.00 500.00 clock network delay (ideal) + 0.00 500.00 clock reconvergence pessimism + 500.00 ^ r3/CLK (DFFHQx4_ASAP7_75t_R) + -12.98 487.02 library setup time + 487.02 data required time +--------------------------------------------------------- + 487.02 data required time + -100.42 data arrival time +--------------------------------------------------------- + 386.60 slack (MET) + + +Startpoint: r1 (rising edge-triggered flip-flop clocked by clk) +Endpoint: r2 (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 ^ r1/CLK (DFFHQx4_ASAP7_75t_R) + 64.76 64.76 ^ r1/Q (DFFHQx4_ASAP7_75t_R) + 17.77 82.53 ^ u2/Y (BUFx2_ASAP7_75t_R) + 0.00 82.53 ^ r2/D (DFFHQx4_ASAP7_75t_R) + 82.53 data arrival time + + 500.00 500.00 clock clk (rise edge) + 0.00 500.00 clock network delay (ideal) + 0.00 500.00 clock reconvergence pessimism + 500.00 ^ r2/CLK (DFFHQx4_ASAP7_75t_R) + -12.98 487.02 library setup time + 487.02 data required time +--------------------------------------------------------- + 487.02 data required time + -82.53 data arrival time +--------------------------------------------------------- + 404.48 slack (MET) + + diff --git a/test/report_checks_sorted.tcl b/test/report_checks_sorted.tcl new file mode 100644 index 00000000..8a1e595b --- /dev/null +++ b/test/report_checks_sorted.tcl @@ -0,0 +1,12 @@ +# report_checks with sorted path ends +read_liberty asap7_small.lib.gz +read_verilog report_checks_sorted.v +link_design top + +create_clock -name clk -period 500 {clk} +set_input_delay -clock clk 0 {in} + +group_path -name custom -to {r3} +group_path -name long -to {r4} + +report_checks -group_path_count 1 -sort_by_slack diff --git a/test/report_checks_sorted.v b/test/report_checks_sorted.v new file mode 100644 index 00000000..20f59112 --- /dev/null +++ b/test/report_checks_sorted.v @@ -0,0 +1,15 @@ +module top (input in, input clk, output out); + + wire w1, w2, w3, w4; + + DFFHQx4_ASAP7_75t_R r1 (.D(in), .CLK(clk), .Q(w1)); + + BUFx2_ASAP7_75t_R u2 (.A(w1), .Y(w2)); + BUFx2_ASAP7_75t_R u3 (.A(w2), .Y(w3)); + BUFx2_ASAP7_75t_R u4 (.A(w3), .Y(w4)); + + DFFHQx4_ASAP7_75t_R r2 (.D(w2), .CLK(clk), .Q(out)); + DFFHQx4_ASAP7_75t_R r3 (.D(w3), .CLK(clk), .Q(out)); + DFFHQx4_ASAP7_75t_R r4 (.D(w4), .CLK(clk), .Q(out)); + +endmodule From 774c3c68f11fa4df4bcc6b523058fe317bb9c983 Mon Sep 17 00:00:00 2001 From: James Cherry Date: Thu, 4 Sep 2025 18:36:18 -0700 Subject: [PATCH 3/3] setVertexArrivals use tag_group_lock Signed-off-by: James Cherry --- include/sta/Search.hh | 1 - search/Search.cc | 2 +- 2 files changed, 1 insertion(+), 2 deletions(-) diff --git a/include/sta/Search.hh b/include/sta/Search.hh index 40ddc542..308542cc 100644 --- a/include/sta/Search.hh +++ b/include/sta/Search.hh @@ -647,7 +647,6 @@ protected: // Capacity of tag_groups_. TagGroupIndex tag_group_capacity_; std::mutex tag_group_lock_; - std::mutex tag_group_ref_count_lock_; // Latches data outputs to queue on the next search pass. VertexSet *pending_latch_outputs_; std::mutex pending_latch_outputs_lock_; diff --git a/search/Search.cc b/search/Search.cc index 2023daca..ab2a8901 100644 --- a/search/Search.cc +++ b/search/Search.cc @@ -2783,7 +2783,7 @@ Search::setVertexArrivals(Vertex *vertex, } } if (tag_group != prev_tag_group) { - LockGuard lock(tag_group_ref_count_lock_); + LockGuard lock(tag_group_lock_); tag_group->incrRefCount(); if (prev_tag_group) { prev_tag_group->decrRefCount();