From 621de4b47b3304664daa0c329bbb8f51ee1cff23 Mon Sep 17 00:00:00 2001 From: Hunter Nichols Date: Wed, 31 Jan 2018 11:45:12 -0800 Subject: [PATCH 01/29] Added first test power model to sram --- compiler/characterizer/delay.py | 10 ++++++---- compiler/sram.py | 4 ++++ 2 files changed, 10 insertions(+), 4 deletions(-) diff --git a/compiler/characterizer/delay.py b/compiler/characterizer/delay.py index 094d2e15..1cc2693d 100644 --- a/compiler/characterizer/delay.py +++ b/compiler/characterizer/delay.py @@ -451,15 +451,17 @@ class delay(): LH_slew.append(bank_delay.slew/1e3) HL_slew.append(bank_delay.slew/1e3) + power = sram.analytical_power(slew, load) + data = {"min_period": 0, "delay1": LH_delay, "delay0": HL_delay, "slew1": LH_slew, "slew0": HL_slew, - "read0_power": 0, - "read1_power": 0, - "write0_power": 0, - "write1_power": 0 + "read0_power": power, + "read1_power": power, + "write0_power": power, + "write1_power": power } return data diff --git a/compiler/sram.py b/compiler/sram.py index 9d602097..d9eb9db8 100644 --- a/compiler/sram.py +++ b/compiler/sram.py @@ -1003,3 +1003,7 @@ class sram(design.design): def analytical_delay(self,slew,load): """ LH and HL are the same in analytical model. """ return self.bank.analytical_delay(slew,load) + + def analytical_power(self,slew,load): + """ Just a test function for the power.""" + return 1 From be1c59f10cab4526836261247edfdb649fa2cc61 Mon Sep 17 00:00:00 2001 From: Matt Guthaus Date: Wed, 31 Jan 2018 10:04:28 -0800 Subject: [PATCH 02/29] Make both gnd rails in 6T cell from top to bottom in SCMOS. Connect in bitcell array. --- compiler/bitcell_array.py | 2 +- technology/scn3me_subm/gds_lib/cell_6t.gds | Bin 5724 -> 5596 bytes .../scn3me_subm/gds_lib/replica_cell_6t.gds | Bin 5804 -> 5804 bytes 3 files changed, 1 insertion(+), 1 deletion(-) diff --git a/compiler/bitcell_array.py b/compiler/bitcell_array.py index 10bbf78a..30696b7c 100644 --- a/compiler/bitcell_array.py +++ b/compiler/bitcell_array.py @@ -116,7 +116,7 @@ class bitcell_array(design.design): for gnd_pin in gnd_pins: # avoid duplicates by only doing even rows # also skip if it isn't the pin that spans the entire cell down to the bottom - if gnd_pin.layer=="metal2" and col%2 == 0 and gnd_pin.by()==lower_y: + if gnd_pin.layer=="metal2" and gnd_pin.by()==lower_y: self.add_layout_pin(text="gnd", layer="metal2", offset=gnd_pin.ll(), diff --git a/technology/scn3me_subm/gds_lib/cell_6t.gds b/technology/scn3me_subm/gds_lib/cell_6t.gds index 7433d63f961547839c338fcb31eef23376858e9b..e7298ccee60d5f5ed6de698a0e07e62e82453894 100644 GIT binary patch delta 920 zcmbV~u}|Aj5XL`0T-!2~*d_)OMNVWyfD(l!c2iWA2z6xY#FU{zQAQ?4=pWD_@G^8j zRfQNBn8?Dwzz}tg5F?5@R8{`~)UCYw`SBV{WWvkOcc;&H_xbM1cdG$35KJJi5bQxg z0cISprQV~%U}a`px>+|j`i%Yg25j^XzqaUmVma@=01L~2WOcn)Qaq)%PrfTb*a2R> z1|-)Tz~U!BGVJtP>W~D{6|kZLl5ev>=^T)Zu6iv!rym#*V-L50`B@TB%776`CVABc zR0Ggn64ang698pv0`QK7E_W{}bl$P#6Mc20XOvC97_$>&f6w?%f?n0<=E$wUI%H6i2pHDG>Q`}$v)+Bd(=Jm+67{W*Ph>qR#z zlgg^N8sz!U_a&2*zPWKTNwqAOmpwtpR^X}@Twk~0`n2gqbiY1Fuf27-TNfjX&Ahh; z9kMfJbT_nb zc*4}a?gsOme_XBUt{=Alu0;lZ*NL0z%U}Gc+M=u@CaQQfnF_#ETa?a}dUevun^Yn_ z;eRBp`WOF19Srq@c#twGZ3am(w*GPHC|GIV{Dk6Q0yG!)Ts^m(*Y4Z*9-c`m?V0p| Y9)}AD;ft>HHTw2WD!+OW&5^Y58}HN3NB{r; diff --git a/technology/scn3me_subm/gds_lib/replica_cell_6t.gds b/technology/scn3me_subm/gds_lib/replica_cell_6t.gds index fbe8a28adc266b244ecddf38f178b94ac922e162..9f0f120d040f293a8cea1ab5c20632f3642fc06f 100644 GIT binary patch delta 1070 zcmbW0y>HV%7{(u8jcu7ye~`FNlrI^P7Svj#4k4lviaJN7LJXNYRZ*s>Q`Yhapvu*C zV2BVy2ZpEvkt&7`3>9++CI*BM1Ajo(4a}XdM=Z4yUVgsE_q-qX`X;NB)c_g@=1@`y zMo>_N$yT|{d*L8x+`UQeKQq_QlH~Fouzq%M+@~*z?LK`2+*<}D8|`UJ@s;U5`K$y{ z3_N@UNVYq`{a1iw6i-L$Hxe{Yfd?ue`6LI_CxB%0bUMxQ0^}_^-=K`=5qk$ delta 1059 zcmbW0F>KRN6h*Hc#|{A;JGI>;ib~{)3Q%eh2U6$|p{OGRV(L^eL{WzdRSX$e!pH=v z-qMkQfg$RWg@J*Q2{LqystycHOsE@}|NZ+Ei^75>>)6-t`oH_WJz1ZuhcKZdhq|U? z2n{t@SP>duUqf&ZwwC@T54y$8!z4L-18g2191X&_4>Vr_%=>%5>J5My_op4LqS33I zNuK7EWu1J^Y~7o7^b;+NPJvsu0p^AWTz>&Dqtj`}IMKuQ7`SNw%)8%!wF$s%kEb20 zW{s>ulKeUbEvbY@4zxUXb!8 zsQz9W6#WXIZv%dXcAP*L7&<$2>^k(-ap;Fzr$=s=>~fFBJ3%em@Oz5lsePdrJ);%x2h20_Q(y%S^7B2&sk!sR`YXas{eEKU`zQLGp6zd zTg(goc6JM1yMFo4k&9sty{IU^s>|=?PSBATj^w7wA Date: Wed, 31 Jan 2018 10:35:28 -0800 Subject: [PATCH 03/29] Fix via1 BL disconnect error. --- technology/scn3me_subm/mag_lib/cell_6t.mag | 22 ++++++------- .../scn3me_subm/mag_lib/replica_cell_6t.mag | 31 ++++++++++--------- 2 files changed, 27 insertions(+), 26 deletions(-) diff --git a/technology/scn3me_subm/mag_lib/cell_6t.mag b/technology/scn3me_subm/mag_lib/cell_6t.mag index e38b0aea..eb8b8605 100644 --- a/technology/scn3me_subm/mag_lib/cell_6t.mag +++ b/technology/scn3me_subm/mag_lib/cell_6t.mag @@ -1,6 +1,6 @@ magic tech scmos -timestamp 1517005451 +timestamp 1517421767 << nwell >> rect -8 29 42 51 << pwell >> @@ -75,39 +75,39 @@ rect 17 6 21 10 rect -2 44 32 48 rect -2 40 2 44 rect 32 40 36 44 +rect 11 36 12 40 +rect 26 36 27 40 rect -2 16 2 29 rect 11 18 15 36 rect 23 24 27 36 rect 25 20 27 24 +rect 14 14 15 18 rect 23 18 27 20 rect 32 26 36 29 +rect 23 14 24 18 rect 32 16 36 22 rect -2 6 17 9 rect 21 6 36 9 rect -2 5 36 6 -rect 9 -2 10 2 -rect 23 -2 24 2 << m2contact >> rect -2 29 2 33 rect 32 29 36 33 -rect 5 -2 9 2 -rect 19 -2 23 2 +rect 6 -2 10 2 +rect 20 -2 24 2 << metal2 >> rect -2 33 2 48 -rect -2 12 2 29 -rect 10 2 14 48 +rect -2 -2 2 29 +rect 10 -2 14 48 rect 20 2 24 48 -rect 9 -2 14 2 -rect 23 -2 24 2 rect 32 33 36 48 rect 32 -2 36 29 << m3p >> rect 0 0 34 46 << labels >> -rlabel m2contact 20 2 20 2 1 BR -rlabel metal2 10 2 10 2 1 BL rlabel metal1 2 6 2 6 3 WL rlabel metal2 -1 28 -1 28 1 gnd rlabel metal2 33 28 33 28 1 gnd rlabel metal1 17 46 17 46 5 vdd +rlabel metal2 11 43 11 43 1 BL +rlabel metal2 21 43 21 43 1 BR << end >> diff --git a/technology/scn3me_subm/mag_lib/replica_cell_6t.mag b/technology/scn3me_subm/mag_lib/replica_cell_6t.mag index 6ae96be7..24d0aa8e 100644 --- a/technology/scn3me_subm/mag_lib/replica_cell_6t.mag +++ b/technology/scn3me_subm/mag_lib/replica_cell_6t.mag @@ -1,6 +1,6 @@ magic tech scmos -timestamp 1517005488 +timestamp 1517421800 << nwell >> rect -8 29 42 51 << pwell >> @@ -75,41 +75,42 @@ rect 17 6 21 10 rect -2 44 32 48 rect -2 40 2 44 rect 32 40 36 44 -rect -2 18 2 29 -rect 11 18 15 36 +rect 11 36 12 40 +rect 26 36 27 40 +rect -2 25 2 29 +rect 11 25 15 36 +rect -2 21 15 25 rect 23 24 27 36 +rect -2 16 2 21 +rect 11 18 15 21 rect 25 20 27 24 +rect 14 14 15 18 rect 23 18 27 20 rect 32 26 36 29 -rect -2 16 10 18 -rect 2 14 10 16 +rect 23 14 24 18 rect 32 16 36 22 rect -2 6 17 9 rect 21 6 36 9 rect -2 5 36 6 -rect 9 -2 10 2 -rect 23 -2 24 2 << m2contact >> rect -2 29 2 33 rect 32 29 36 33 -rect 5 -2 9 2 -rect 19 -2 23 2 +rect 6 -2 10 2 +rect 20 -2 24 2 << metal2 >> rect -2 33 2 48 -rect -2 12 2 29 -rect 10 2 14 48 +rect -2 -2 2 29 +rect 10 -2 14 48 rect 20 2 24 48 -rect 9 -2 14 2 -rect 23 -2 24 2 rect 32 33 36 48 rect 32 -2 36 29 << m3p >> rect 0 0 34 46 << labels >> -rlabel m2contact 20 2 20 2 1 BR -rlabel metal2 10 2 10 2 1 BL rlabel metal1 2 6 2 6 3 WL rlabel metal2 -1 28 -1 28 1 gnd rlabel metal2 33 28 33 28 1 gnd rlabel metal1 17 46 17 46 5 vdd +rlabel metal2 11 43 11 43 1 BL +rlabel metal2 21 43 21 43 1 BR << end >> From 5527e73db08bffa230fca7c9f4ee3ca44ed5fbf8 Mon Sep 17 00:00:00 2001 From: Matt Guthaus Date: Wed, 31 Jan 2018 10:35:51 -0800 Subject: [PATCH 04/29] Add descriptive exceptions along with cleanup in unit test checking. --- compiler/tests/testutils.py | 22 +++++++++++++++++++--- 1 file changed, 19 insertions(+), 3 deletions(-) diff --git a/compiler/tests/testutils.py b/compiler/tests/testutils.py index 12a77c31..57932a68 100644 --- a/compiler/tests/testutils.py +++ b/compiler/tests/testutils.py @@ -26,16 +26,32 @@ class openram_test(unittest.TestCase): a.gds_write(tempgds) import verify - self.assertFalse(verify.run_drc(a.name, tempgds)) - self.assertFalse(verify.run_lvs(a.name, tempgds, tempspice)) + try: + self.assertFalse(verify.run_drc(a.name, tempgds)==0) + except: + self.reset() + raise Exception('DRC failed: {}'.format(a.name)) + + try: + self.assertFalse(verify.run_lvs(a.name, tempgds, tempspice)==0) + except: + self.reset() + raise Exception('LVS failed: {}'.format(a.name)) + self.cleanup() + + def cleanup(self): + """ Reset the duplicate checker and cleanup files. """ + self.reset() + files = glob.glob(OPTS.openram_temp + '*') for f in files: # Only remove the files if os.path.isfile(f): os.remove(f) - # reset the static duplicate name checker for unit tests + def reset(self): + """ Reset the static duplicate name checker for unit tests """ import design design.design.name_map=[] From 56f7caf59ff7c013a5a6b1d6aa3e5ee734572d17 Mon Sep 17 00:00:00 2001 From: Hunter Nichols Date: Wed, 31 Jan 2018 11:45:12 -0800 Subject: [PATCH 05/29] Added first test power model to sram --- compiler/characterizer/delay.py | 10 ++++++---- compiler/sram.py | 4 ++++ 2 files changed, 10 insertions(+), 4 deletions(-) diff --git a/compiler/characterizer/delay.py b/compiler/characterizer/delay.py index 094d2e15..1cc2693d 100644 --- a/compiler/characterizer/delay.py +++ b/compiler/characterizer/delay.py @@ -451,15 +451,17 @@ class delay(): LH_slew.append(bank_delay.slew/1e3) HL_slew.append(bank_delay.slew/1e3) + power = sram.analytical_power(slew, load) + data = {"min_period": 0, "delay1": LH_delay, "delay0": HL_delay, "slew1": LH_slew, "slew0": HL_slew, - "read0_power": 0, - "read1_power": 0, - "write0_power": 0, - "write1_power": 0 + "read0_power": power, + "read1_power": power, + "write0_power": power, + "write1_power": power } return data diff --git a/compiler/sram.py b/compiler/sram.py index 9d602097..d9eb9db8 100644 --- a/compiler/sram.py +++ b/compiler/sram.py @@ -1003,3 +1003,7 @@ class sram(design.design): def analytical_delay(self,slew,load): """ LH and HL are the same in analytical model. """ return self.bank.analytical_delay(slew,load) + + def analytical_power(self,slew,load): + """ Just a test function for the power.""" + return 1 From d0c9382d9730bc68ece434be91967b00591d4a22 Mon Sep 17 00:00:00 2001 From: mguthaus Date: Wed, 31 Jan 2018 11:48:41 -0800 Subject: [PATCH 06/29] Modify unit tests to distinguish between FAIL and ERROR. Move comparison utilities into our derived unit test class. --- compiler/debug.py | 7 +- compiler/tests/21_hspice_delay_test.py | 6 +- compiler/tests/21_hspice_setuphold_test.py | 6 +- compiler/tests/21_ngspice_delay_test.py | 6 +- compiler/tests/21_ngspice_setuphold_test.py | 6 +- compiler/tests/23_lib_sram_model_test.py | 4 +- compiler/tests/23_lib_sram_prune_test.py | 4 +- compiler/tests/23_lib_sram_test.py | 4 +- compiler/tests/24_lef_sram_test.py | 4 +- compiler/tests/25_verilog_sram_test.py | 4 +- compiler/tests/testutils.py | 193 ++++++++++---------- 11 files changed, 121 insertions(+), 123 deletions(-) diff --git a/compiler/debug.py b/compiler/debug.py index a5502fda..96303fed 100644 --- a/compiler/debug.py +++ b/compiler/debug.py @@ -14,14 +14,13 @@ def check(check,str): index) = inspect.getouterframes(inspect.currentframe())[1] if not check: print("ERROR: file {0}: line {1}: {2}".format(os.path.basename(filename),line_number,str)) - sys.exit(-1) + assert 0 -def error(str,return_value=None): +def error(str,return_value): (frame, filename, line_number, function_name, lines, index) = inspect.getouterframes(inspect.currentframe())[1] print("ERROR: file {0}: line {1}: {2}".format(os.path.basename(filename),line_number,str)) - if return_value: - sys.exit(return_value) + assert return_value==0 def warning(str): (frame, filename, line_number, function_name, lines, diff --git a/compiler/tests/21_hspice_delay_test.py b/compiler/tests/21_hspice_delay_test.py index b2568fd3..d408646c 100644 --- a/compiler/tests/21_hspice_delay_test.py +++ b/compiler/tests/21_hspice_delay_test.py @@ -4,7 +4,7 @@ Run a regresion test on various srams """ import unittest -from testutils import header,openram_test,isclose +from testutils import header,openram_test import sys,os sys.path.append(os.path.join(sys.path[0],"..")) import globals @@ -77,9 +77,9 @@ class timing_sram_test(openram_test): for k in data.keys(): if type(data[k])==list: for i in range(len(data[k])): - self.assertTrue(isclose(data[k][i],golden_data[k][i],0.15)) + self.isclose(data[k][i],golden_data[k][i],0.15) else: - self.assertTrue(isclose(data[k],golden_data[k],0.15)) + self.isclose(data[k],golden_data[k],0.15) # reset these options diff --git a/compiler/tests/21_hspice_setuphold_test.py b/compiler/tests/21_hspice_setuphold_test.py index ab0964fb..aae583a7 100644 --- a/compiler/tests/21_hspice_setuphold_test.py +++ b/compiler/tests/21_hspice_setuphold_test.py @@ -4,7 +4,7 @@ Run a regresion test on various srams """ import unittest -from testutils import header,openram_test,isclose +from testutils import header,openram_test import sys,os sys.path.append(os.path.join(sys.path[0],"..")) import globals @@ -54,9 +54,9 @@ class timing_setup_test(openram_test): for k in data.keys(): if type(data[k])==list: for i in range(len(data[k])): - self.assertTrue(isclose(data[k][i],golden_data[k][i],0.15)) + self.isclose(data[k][i],golden_data[k][i],0.15) else: - self.assertTrue(isclose(data[k],golden_data[k],0.15)) + self.isclose(data[k],golden_data[k],0.15) OPTS.check_lvsdrc = True OPTS.analytical_delay = True diff --git a/compiler/tests/21_ngspice_delay_test.py b/compiler/tests/21_ngspice_delay_test.py index fb1c2134..08ce0752 100644 --- a/compiler/tests/21_ngspice_delay_test.py +++ b/compiler/tests/21_ngspice_delay_test.py @@ -4,7 +4,7 @@ Run a regresion test on various srams """ import unittest -from testutils import header,openram_test,isclose +from testutils import header,openram_test import sys,os sys.path.append(os.path.join(sys.path[0],"..")) import globals @@ -76,9 +76,9 @@ class timing_sram_test(openram_test): for k in data.keys(): if type(data[k])==list: for i in range(len(data[k])): - self.assertTrue(isclose(data[k][i],golden_data[k][i],0.15)) + self.isclose(data[k][i],golden_data[k][i],0.15) else: - self.assertTrue(isclose(data[k],golden_data[k],0.15)) + self.isclose(data[k],golden_data[k],0.15) # reset these options OPTS.check_lvsdrc = True diff --git a/compiler/tests/21_ngspice_setuphold_test.py b/compiler/tests/21_ngspice_setuphold_test.py index 9cd9001c..87207271 100644 --- a/compiler/tests/21_ngspice_setuphold_test.py +++ b/compiler/tests/21_ngspice_setuphold_test.py @@ -4,7 +4,7 @@ Run a regresion test on various srams """ import unittest -from testutils import header,openram_test,isclose +from testutils import header,openram_test import sys,os sys.path.append(os.path.join(sys.path[0],"..")) import globals @@ -53,9 +53,9 @@ class timing_setup_test(openram_test): for k in data.keys(): if type(data[k])==list: for i in range(len(data[k])): - self.assertTrue(isclose(data[k][i],golden_data[k][i],0.15)) + self.isclose(data[k][i],golden_data[k][i],0.15) else: - self.assertTrue(isclose(data[k],golden_data[k],0.15)) + self.isclose(data[k],golden_data[k],0.15) # reset these options OPTS.check_lvsdrc = True diff --git a/compiler/tests/23_lib_sram_model_test.py b/compiler/tests/23_lib_sram_model_test.py index 0b318831..9b6ff73a 100644 --- a/compiler/tests/23_lib_sram_model_test.py +++ b/compiler/tests/23_lib_sram_model_test.py @@ -4,7 +4,7 @@ Check the .lib file for an SRAM """ import unittest -from testutils import header,openram_test,isapproxdiff +from testutils import header,openram_test import sys,os sys.path.append(os.path.join(sys.path[0],"..")) import globals @@ -36,7 +36,7 @@ class lib_test(openram_test): # let's diff the result with a golden model golden = "{0}/golden/{1}".format(os.path.dirname(os.path.realpath(__file__)),filename) - self.assertEqual(isapproxdiff(libname,golden,0.15),True) + self.isapproxdiff(libname,golden,0.15) globals.end_openram() diff --git a/compiler/tests/23_lib_sram_prune_test.py b/compiler/tests/23_lib_sram_prune_test.py index 0c5a5324..7bb6b557 100644 --- a/compiler/tests/23_lib_sram_prune_test.py +++ b/compiler/tests/23_lib_sram_prune_test.py @@ -4,7 +4,7 @@ Check the .lib file for an SRAM with pruning """ import unittest -from testutils import header,openram_test,isapproxdiff +from testutils import header,openram_test import sys,os sys.path.append(os.path.join(sys.path[0],"..")) import globals @@ -40,7 +40,7 @@ class lib_test(openram_test): # let's diff the result with a golden model golden = "{0}/golden/{1}".format(os.path.dirname(os.path.realpath(__file__)),filename) - self.assertEqual(isapproxdiff(libname,golden,0.30),True) + self.isapproxdiff(libname,golden,0.30) OPTS.analytical_delay = True reload(characterizer) diff --git a/compiler/tests/23_lib_sram_test.py b/compiler/tests/23_lib_sram_test.py index 37fa7d67..2c24bbc7 100644 --- a/compiler/tests/23_lib_sram_test.py +++ b/compiler/tests/23_lib_sram_test.py @@ -4,7 +4,7 @@ Check the .lib file for an SRAM """ import unittest -from testutils import header,openram_test,isapproxdiff +from testutils import header,openram_test import sys,os sys.path.append(os.path.join(sys.path[0],"..")) import globals @@ -40,7 +40,7 @@ class lib_test(openram_test): # let's diff the result with a golden model golden = "{0}/golden/{1}".format(os.path.dirname(os.path.realpath(__file__)),filename) - self.assertEqual(isapproxdiff(libname,golden,0.15),True) + self.isapproxdiff(libname,golden,0.15) OPTS.analytical_delay = True OPTS.trim_netlist = True diff --git a/compiler/tests/24_lef_sram_test.py b/compiler/tests/24_lef_sram_test.py index b85e7a83..8ebe94bc 100644 --- a/compiler/tests/24_lef_sram_test.py +++ b/compiler/tests/24_lef_sram_test.py @@ -4,7 +4,7 @@ Check the LEF file for an SRMA """ import unittest -from testutils import header,openram_test,isdiff +from testutils import header,openram_test import sys,os sys.path.append(os.path.join(sys.path[0],"..")) import globals @@ -37,7 +37,7 @@ class lef_test(openram_test): # let's diff the result with a golden model golden = "{0}/golden/{1}".format(os.path.dirname(os.path.realpath(__file__)),leffile) - self.assertEqual(isdiff(lefname,golden),True) + self.isdiff(lefname,golden) os.system("rm {0}".format(gdsname)) os.system("rm {0}".format(lefname)) diff --git a/compiler/tests/25_verilog_sram_test.py b/compiler/tests/25_verilog_sram_test.py index 319ffe2a..0da165dd 100644 --- a/compiler/tests/25_verilog_sram_test.py +++ b/compiler/tests/25_verilog_sram_test.py @@ -4,7 +4,7 @@ Check the .v file for an SRAM """ import unittest -from testutils import header,openram_test,isdiff +from testutils import header,openram_test import sys,os sys.path.append(os.path.join(sys.path[0],"..")) import globals @@ -35,7 +35,7 @@ class verilog_test(openram_test): # let's diff the result with a golden model golden = "{0}/golden/{1}".format(os.path.dirname(os.path.realpath(__file__)),vfile) - self.assertEqual(isdiff(vname,golden),True) + self.isdiff(vname,golden) os.system("rm {0}".format(vname)) diff --git a/compiler/tests/testutils.py b/compiler/tests/testutils.py index 57932a68..76f7b7fc 100644 --- a/compiler/tests/testutils.py +++ b/compiler/tests/testutils.py @@ -27,16 +27,17 @@ class openram_test(unittest.TestCase): import verify try: - self.assertFalse(verify.run_drc(a.name, tempgds)==0) + self.assertTrue(verify.run_drc(a.name, tempgds)==0) except: self.reset() - raise Exception('DRC failed: {}'.format(a.name)) + self.fail("DRC failed: {}".format(a.name)) + try: - self.assertFalse(verify.run_lvs(a.name, tempgds, tempspice)==0) + self.assertTrue(verify.run_lvs(a.name, tempgds, tempspice)==0) except: self.reset() - raise Exception('LVS failed: {}'.format(a.name)) + self.fail("LVS mismatch: {}".format(a.name)) self.cleanup() @@ -55,108 +56,106 @@ class openram_test(unittest.TestCase): import design design.design.name_map=[] -def isclose(value1,value2,error_tolerance=1e-2): - """ This is used to compare relative values. """ - import debug - relative_diff = abs(value1 - value2) / max(value1,value2) - check = relative_diff <= error_tolerance - if not check: - debug.info(1,"NOT CLOSE {0} {1} relative diff={2}".format(value1,value2,relative_diff)) - else: - debug.info(2,"CLOSE {0} {1} relative diff={2}".format(value1,value2,relative_diff)) - return (check) + def isclose(self, value1,value2,error_tolerance=1e-2): + """ This is used to compare relative values. """ + import debug + relative_diff = abs(value1 - value2) / max(value1,value2) + check = relative_diff <= error_tolerance + if not check: + debug.info(1,"NOT CLOSE {0} {1} relative diff={2}".format(value1,value2,relative_diff)) + else: + debug.info(2,"CLOSE {0} {1} relative diff={2}".format(value1,value2,relative_diff)) + return (check) -def relative_compare(value1,value2,error_tolerance): - """ This is used to compare relative values. """ - if (value1==value2): # if we don't need a relative comparison! - return True - return (abs(value1 - value2) / max(value1,value2) <= error_tolerance) + def relative_compare(self, value1,value2,error_tolerance): + """ This is used to compare relative values. """ + if (value1==value2): # if we don't need a relative comparison! + return True + return (abs(value1 - value2) / max(value1,value2) <= error_tolerance) -def isapproxdiff(f1, f2, error_tolerance=0.001): - """Compare two files. + def isapproxdiff(self, f1, f2, error_tolerance=0.001): + """Compare two files. - Arguments: - - f1 -- First file name - - f2 -- Second file name - - Return value: - - True if the files are the same, False otherwise. - - """ - import re - import debug - - with open(f1, 'rb') as fp1, open(f2, 'rb') as fp2: - while True: - b1 = fp1.readline() - b2 = fp2.readline() - #print "b1:",b1, - #print "b2:",b2, - - # 1. Find all of the floats using a regex - numeric_const_pattern = r""" - [-+]? # optional sign - (?: - (?: \d* \. \d+ ) # .1 .12 .123 etc 9.1 etc 98.1 etc - | - (?: \d+ \.? ) # 1. 12. 123. etc 1 12 123 etc - ) - # followed by optional exponent part if desired - (?: [Ee] [+-]? \d+ ) ? - """ - rx = re.compile(numeric_const_pattern, re.VERBOSE) - b1_floats=rx.findall(b1) - b2_floats=rx.findall(b2) - debug.info(3,"b1_floats: "+str(b1_floats)) - debug.info(3,"b2_floats: "+str(b2_floats)) + Arguments: - # 2. Remove the floats from the string - for f in b1_floats: - b1=b1.replace(str(f),"",1) - for f in b2_floats: - b2=b2.replace(str(f),"",1) - #print "b1:",b1, - #print "b2:",b2, + f1 -- First file name + + f2 -- Second file name + + Return value: + + True if the files are the same, False otherwise. + + """ + import re + import debug + + with open(f1, 'rb') as fp1, open(f2, 'rb') as fp2: + while True: + b1 = fp1.readline() + b2 = fp2.readline() + #print "b1:",b1, + #print "b2:",b2, + + # 1. Find all of the floats using a regex + numeric_const_pattern = r""" + [-+]? # optional sign + (?: + (?: \d* \. \d+ ) # .1 .12 .123 etc 9.1 etc 98.1 etc + | + (?: \d+ \.? ) # 1. 12. 123. etc 1 12 123 etc + ) + # followed by optional exponent part if desired + (?: [Ee] [+-]? \d+ ) ? + """ + rx = re.compile(numeric_const_pattern, re.VERBOSE) + b1_floats=rx.findall(b1) + b2_floats=rx.findall(b2) + debug.info(3,"b1_floats: "+str(b1_floats)) + debug.info(3,"b2_floats: "+str(b2_floats)) + + # 2. Remove the floats from the string + for f in b1_floats: + b1=b1.replace(str(f),"",1) + for f in b2_floats: + b2=b2.replace(str(f),"",1) + #print "b1:",b1, + #print "b2:",b2, - # 3. Check if remaining string matches - if b1 != b2: - debug.info(1,"Line: {0}\n!=\nLine: {1}".format(b1,b2)) - return False + # 3. Check if remaining string matches + if b1 != b2: + self.fail("Line: {0}\n!=\nLine: {1}".format(b1,b2)) - # 4. Now compare that the floats match - if len(b1_floats)!=len(b2_floats): - debug.info(1,"Len {0} != {1}".format(len(b1_floats),len(b2_floats))) - return False - for (f1,f2) in zip(b1_floats,b2_floats): - if not relative_compare(float(f1),float(f2),error_tolerance): - debug.info(1, "Float {0} != {1}".format(f1,f2)) - return False + # 4. Now compare that the floats match + if len(b1_floats)!=len(b2_floats): + self.fail("Len {0} != {1}".format(len(b1_floats),len(b2_floats))) + for (f1,f2) in zip(b1_floats,b2_floats): + if not relative_compare(float(f1),float(f2),error_tolerance): + self.fail("Float {0} != {1}".format(f1,f2)) - if not b1: - return True + if not b1: + return -def isdiff(file1,file2): - """ This is used to compare two files and display the diff if they are different.. """ - import debug - import filecmp - import difflib - check = filecmp.cmp(file1,file2) - if not check: - debug.info(2,"MISMATCH {0} {1}".format(file1,file2)) - f1 = open(file1,"r") - s1 = f1.readlines() - f2 = open(file2,"r") - s2 = f2.readlines() - for line in difflib.unified_diff(s1, s2): - debug.info(3,line) - debug.error("MISMATCH {0} {1}".format(file1,file2)) - else: - debug.info(2,"MATCH {0} {1}".format(file1,file2)) - return (check) + + def isdiff(self,file1,file2): + """ This is used to compare two files and display the diff if they are different.. """ + import debug + import filecmp + import difflib + check = filecmp.cmp(file1,file2) + if not check: + debug.info(2,"MISMATCH {0} {1}".format(file1,file2)) + f1 = open(file1,"r") + s1 = f1.readlines() + f2 = open(file2,"r") + s2 = f2.readlines() + for line in difflib.unified_diff(s1, s2): + debug.info(3,line) + self.fail("MISMATCH {0} {1}".format(file1,file2)) + else: + debug.info(2,"MATCH {0} {1}".format(file1,file2)) + def header(filename, technology): tst = "Running Test for:" From 2ad52205c53627362532b47af220a6e31026136b Mon Sep 17 00:00:00 2001 From: mguthaus Date: Wed, 31 Jan 2018 11:54:20 -0800 Subject: [PATCH 07/29] Clean up messages. --- compiler/debug.py | 2 +- compiler/tests/testutils.py | 11 +++++------ 2 files changed, 6 insertions(+), 7 deletions(-) diff --git a/compiler/debug.py b/compiler/debug.py index 96303fed..7001373e 100644 --- a/compiler/debug.py +++ b/compiler/debug.py @@ -16,7 +16,7 @@ def check(check,str): print("ERROR: file {0}: line {1}: {2}".format(os.path.basename(filename),line_number,str)) assert 0 -def error(str,return_value): +def error(str,return_value=0): (frame, filename, line_number, function_name, lines, index) = inspect.getouterframes(inspect.currentframe())[1] print("ERROR: file {0}: line {1}: {2}".format(os.path.basename(filename),line_number,str)) diff --git a/compiler/tests/testutils.py b/compiler/tests/testutils.py index 76f7b7fc..4907574b 100644 --- a/compiler/tests/testutils.py +++ b/compiler/tests/testutils.py @@ -62,10 +62,9 @@ class openram_test(unittest.TestCase): relative_diff = abs(value1 - value2) / max(value1,value2) check = relative_diff <= error_tolerance if not check: - debug.info(1,"NOT CLOSE {0} {1} relative diff={2}".format(value1,value2,relative_diff)) + self.fail("NOT CLOSE {0} {1} relative diff={2}".format(value1,value2,relative_diff)) else: debug.info(2,"CLOSE {0} {1} relative diff={2}".format(value1,value2,relative_diff)) - return (check) def relative_compare(self, value1,value2,error_tolerance): """ This is used to compare relative values. """ @@ -124,16 +123,16 @@ class openram_test(unittest.TestCase): # 3. Check if remaining string matches if b1 != b2: - self.fail("Line: {0}\n!=\nLine: {1}".format(b1,b2)) + self.fail("MISMATCH Line: {0}\n!=\nLine: {1}".format(b1,b2)) # 4. Now compare that the floats match if len(b1_floats)!=len(b2_floats): - self.fail("Len {0} != {1}".format(len(b1_floats),len(b2_floats))) + self.fail("MISMATCH Length {0} != {1}".format(len(b1_floats),len(b2_floats))) for (f1,f2) in zip(b1_floats,b2_floats): if not relative_compare(float(f1),float(f2),error_tolerance): - self.fail("Float {0} != {1}".format(f1,f2)) + self.fail("MISMATCH Float {0} != {1}".format(f1,f2)) - if not b1: + if not b1 and not b2: return From cc987daeb99784c95ca8284736516f2e77020877 Mon Sep 17 00:00:00 2001 From: Matt Guthaus Date: Wed, 31 Jan 2018 14:31:50 -0800 Subject: [PATCH 08/29] Add well around column muxes. --- compiler/hierarchy_layout.py | 20 ++++++++++++++++++++ compiler/single_level_column_mux_array.py | 2 ++ 2 files changed, 22 insertions(+) diff --git a/compiler/hierarchy_layout.py b/compiler/hierarchy_layout.py index 1c136dd9..dc923d44 100644 --- a/compiler/hierarchy_layout.py +++ b/compiler/hierarchy_layout.py @@ -496,6 +496,26 @@ class layout(lef.lef): return blockages + def add_enclosure(self, insts, layer="nwell"): + """ Add a layer that surrounds the given instances. Useful + for creating wells, for example. Doesn't check for minimum widths or + spacings.""" + + xmin=insts[0].lx() + ymin=insts[0].by() + xmax=insts[0].rx() + ymax=insts[0].uy() + for inst in insts: + xmin = min(xmin, inst.lx()) + ymin = min(ymin, inst.by()) + xmax = max(xmax, inst.rx()) + ymax = max(ymax, inst.uy()) + + self.add_rect(layer=layer, + offset=vector(xmin,ymin), + width=xmax-xmin, + height=ymax-ymin) + def pdf_write(self, pdf_name): # NOTE: Currently does not work (Needs further research) #self.pdf_name = self.name + ".pdf" diff --git a/compiler/single_level_column_mux_array.py b/compiler/single_level_column_mux_array.py index 1cadae8d..0e2c8752 100644 --- a/compiler/single_level_column_mux_array.py +++ b/compiler/single_level_column_mux_array.py @@ -40,6 +40,7 @@ class single_level_column_mux_array(design.design): self.setup_layout_constants() self.create_array() self.add_routing() + self.add_enclosure(self.mux_inst, "pwell") def add_modules(self): self.mux = single_level_column_mux(name="single_level_column_mux", @@ -60,6 +61,7 @@ class single_level_column_mux_array(design.design): # mux height plus routing signal height plus well spacing at the top self.height = self.mux.height + self.route_height + drc["pwell_to_nwell"] + def create_array(self): self.mux_inst = [] From 7c9c16e29c00778e85858f65faafed2a405bff2a Mon Sep 17 00:00:00 2001 From: Matt Guthaus Date: Wed, 31 Jan 2018 15:38:02 -0800 Subject: [PATCH 09/29] Fix assertion error syntax problem. Do not require hspice for functional test. Improve delay fail error message. --- compiler/characterizer/delay.py | 2 +- compiler/control_logic.py | 2 +- compiler/tests/21_hspice_delay_test.py | 3 +- compiler/tests/21_hspice_setuphold_test.py | 3 +- compiler/tests/21_ngspice_delay_test.py | 3 +- compiler/tests/21_ngspice_setuphold_test.py | 3 +- compiler/tests/23_lib_sram_prune_test.py | 2 +- .../tests/golden/sram_2_16_1_scn3me_subm.lef | 9848 ++++++++--------- compiler/tests/testutils.py | 2 +- 9 files changed, 4930 insertions(+), 4938 deletions(-) diff --git a/compiler/characterizer/delay.py b/compiler/characterizer/delay.py index 1cc2693d..f303374c 100644 --- a/compiler/characterizer/delay.py +++ b/compiler/characterizer/delay.py @@ -364,7 +364,7 @@ class delay(): for slew in slews: for load in loads: (success, delay1, slew1, delay0, slew0) = self.run_simulation(feasible_period, load, slew) - debug.check(success,"Couldn't run a simulation properly.\n") + debug.check(success,"Couldn't run a simulation. slew={0} load={1}\n".format(slew,load)) LH_delay.append(delay1) HL_delay.append(delay0) LH_slew.append(slew1) diff --git a/compiler/control_logic.py b/compiler/control_logic.py index b016196e..eceeb29a 100644 --- a/compiler/control_logic.py +++ b/compiler/control_logic.py @@ -275,7 +275,7 @@ class control_logic(design.design): mod=self.nand2, offset=self.tri_en_bar_offset, mirror="MX") - self.connect_inst(["oe", "clk_bar", "tri_en_bar", "vdd", "gnd"]) + self.connect_inst(["clk_bar", "oe", "tri_en_bar", "vdd", "gnd"]) x_off += self.nand2.width x_off += self.inv1.width + self.cell_gap diff --git a/compiler/tests/21_hspice_delay_test.py b/compiler/tests/21_hspice_delay_test.py index d408646c..1647adbc 100644 --- a/compiler/tests/21_hspice_delay_test.py +++ b/compiler/tests/21_hspice_delay_test.py @@ -24,8 +24,7 @@ class timing_sram_test(openram_test): reload(characterizer) from characterizer import delay if not OPTS.spice_exe: - self.error("Could not find {} simulator.".format(OPTS.spice_name)) - self.assertTrue(OPTS.spice_exe) + debug.error("Could not find {} simulator.".format(OPTS.spice_name),-1) import sram diff --git a/compiler/tests/21_hspice_setuphold_test.py b/compiler/tests/21_hspice_setuphold_test.py index aae583a7..5ec3b77e 100644 --- a/compiler/tests/21_hspice_setuphold_test.py +++ b/compiler/tests/21_hspice_setuphold_test.py @@ -24,8 +24,7 @@ class timing_setup_test(openram_test): reload(characterizer) from characterizer import setup_hold if not OPTS.spice_exe: - self.error("Could not find {} simulator.".format(OPTS.spice_name)) - self.assertTrue(OPTS.spice_exe) + debug.error("Could not find {} simulator.".format(OPTS.spice_name),-1) import sram diff --git a/compiler/tests/21_ngspice_delay_test.py b/compiler/tests/21_ngspice_delay_test.py index 08ce0752..ea0df783 100644 --- a/compiler/tests/21_ngspice_delay_test.py +++ b/compiler/tests/21_ngspice_delay_test.py @@ -24,8 +24,7 @@ class timing_sram_test(openram_test): reload(characterizer) from characterizer import delay if not OPTS.spice_exe: - self.error("Could not find {} simulator.".format(OPTS.spice_name)) - self.assertTrue(OPTS.spice_exe) + debug.error("Could not find {} simulator.".format(OPTS.spice_name),-1) import sram diff --git a/compiler/tests/21_ngspice_setuphold_test.py b/compiler/tests/21_ngspice_setuphold_test.py index 87207271..5fa383fc 100644 --- a/compiler/tests/21_ngspice_setuphold_test.py +++ b/compiler/tests/21_ngspice_setuphold_test.py @@ -24,8 +24,7 @@ class timing_setup_test(openram_test): reload(characterizer) from characterizer import setup_hold if not OPTS.spice_exe: - self.error("Could not find {} simulator.".format(OPTS.spice_name)) - self.assertTrue(OPTS.spice_exe) + debug.error("Could not find {} simulator.".format(OPTS.spice_name),-1) import sram import tech diff --git a/compiler/tests/23_lib_sram_prune_test.py b/compiler/tests/23_lib_sram_prune_test.py index 7bb6b557..71b6bd38 100644 --- a/compiler/tests/23_lib_sram_prune_test.py +++ b/compiler/tests/23_lib_sram_prune_test.py @@ -16,8 +16,8 @@ class lib_test(openram_test): def runTest(self): globals.init_openram("config_20_{0}".format(OPTS.tech_name)) OPTS.check_lvsdrc = False - OPTS.spice_name="hspice" OPTS.analytical_delay = False + OPTS.trim_netlist = True import characterizer reload(characterizer) from characterizer import lib diff --git a/compiler/tests/golden/sram_2_16_1_scn3me_subm.lef b/compiler/tests/golden/sram_2_16_1_scn3me_subm.lef index 068ff856..b0090eaa 100644 --- a/compiler/tests/golden/sram_2_16_1_scn3me_subm.lef +++ b/compiler/tests/golden/sram_2_16_1_scn3me_subm.lef @@ -18,42 +18,42 @@ MACRO sram_2_16_1_scn3me_subm DIRECTION INOUT ; PORT LAYER metal2 ; - RECT 180300.0 0.0 181200.0 1800.0 ; + RECT 180000.0 0.0 180900.0 1800.0 ; END END DATA[0] PIN DATA[1] DIRECTION INOUT ; PORT LAYER metal2 ; - RECT 190500.0 0.0 191400.0 1800.0 ; + RECT 190200.0 0.0 191100.0 1800.0 ; END END DATA[1] PIN ADDR[0] DIRECTION INPUT ; PORT LAYER metal3 ; - RECT 53100.0 75000.0 60300.0 76500.0 ; + RECT 52800.0 77400.0 60000.0 78900.0 ; END END ADDR[0] PIN ADDR[1] DIRECTION INPUT ; PORT LAYER metal3 ; - RECT 53100.0 64800.0 60300.0 66300.0 ; + RECT 52800.0 67200.0 60000.0 68700.0 ; END END ADDR[1] PIN ADDR[2] DIRECTION INPUT ; PORT LAYER metal3 ; - RECT 53100.0 54600.0 60300.0 56100.0 ; + RECT 52800.0 57000.0 60000.0 58500.0 ; END END ADDR[2] PIN ADDR[3] DIRECTION INPUT ; PORT LAYER metal3 ; - RECT 53100.0 44400.0 60300.0 45900.0 ; + RECT 52800.0 46800.0 60000.0 48300.0 ; END END ADDR[3] PIN CSb @@ -81,7 +81,7 @@ MACRO sram_2_16_1_scn3me_subm DIRECTION INPUT ; PORT LAYER metal1 ; - RECT 43050.0 202200.0 44250.0 205800.0 ; + RECT 42600.0 202200.0 43800.0 205800.0 ; END END clk PIN vdd @@ -90,9 +90,9 @@ MACRO sram_2_16_1_scn3me_subm SHAPE ABUTMENT ; PORT LAYER metal1 ; - RECT 198900.0 0.0 203400.0 444600.0 ; + RECT 198600.0 0.0 203100.0 436800.0 ; LAYER metal1 ; - RECT 53100.0 0.0 57600.0 444600.0 ; + RECT 52800.0 0.0 57300.0 436800.0 ; END END vdd PIN gnd @@ -101,3613 +101,3611 @@ MACRO sram_2_16_1_scn3me_subm SHAPE ABUTMENT ; PORT LAYER metal2 ; - RECT 148350.0 0.0 152850.0 444600.0 ; + RECT 148050.0 0.0 152550.0 436800.0 ; END END gnd OBS LAYER metal1 ; - RECT 54900.0 295650.0 55800.0 298350.0 ; - RECT 97800.0 205200.0 98700.0 206100.0 ; - RECT 97800.0 202950.0 98700.0 203850.0 ; - RECT 96450.0 205200.0 98250.0 206100.0 ; - RECT 97800.0 203400.0 98700.0 205650.0 ; - RECT 98250.0 202950.0 100200.0 203850.0 ; - RECT 155250.0 205200.0 156150.0 206100.0 ; - RECT 155250.0 201450.0 156150.0 202350.0 ; - RECT 136350.0 205200.0 155700.0 206100.0 ; - RECT 155250.0 201900.0 156150.0 205650.0 ; - RECT 155700.0 201450.0 175200.0 202350.0 ; - RECT 97800.0 220500.0 98700.0 221400.0 ; - RECT 97800.0 222750.0 98700.0 223650.0 ; - RECT 96450.0 220500.0 98250.0 221400.0 ; - RECT 97800.0 220950.0 98700.0 223200.0 ; - RECT 98250.0 222750.0 100200.0 223650.0 ; - RECT 155250.0 220500.0 156150.0 221400.0 ; - RECT 155250.0 224250.0 156150.0 225150.0 ; - RECT 136350.0 220500.0 155700.0 221400.0 ; - RECT 155250.0 220950.0 156150.0 224700.0 ; - RECT 155700.0 224250.0 175200.0 225150.0 ; - RECT 97800.0 233400.0 98700.0 234300.0 ; - RECT 97800.0 231150.0 98700.0 232050.0 ; - RECT 96450.0 233400.0 98250.0 234300.0 ; - RECT 97800.0 231600.0 98700.0 233850.0 ; - RECT 98250.0 231150.0 100200.0 232050.0 ; - RECT 155250.0 233400.0 156150.0 234300.0 ; - RECT 155250.0 229650.0 156150.0 230550.0 ; - RECT 136350.0 233400.0 155700.0 234300.0 ; - RECT 155250.0 230100.0 156150.0 233850.0 ; - RECT 155700.0 229650.0 175200.0 230550.0 ; - RECT 97800.0 248700.0 98700.0 249600.0 ; - RECT 97800.0 250950.0 98700.0 251850.0 ; - RECT 96450.0 248700.0 98250.0 249600.0 ; - RECT 97800.0 249150.0 98700.0 251400.0 ; - RECT 98250.0 250950.0 100200.0 251850.0 ; - RECT 155250.0 248700.0 156150.0 249600.0 ; - RECT 155250.0 252450.0 156150.0 253350.0 ; - RECT 136350.0 248700.0 155700.0 249600.0 ; - RECT 155250.0 249150.0 156150.0 252900.0 ; - RECT 155700.0 252450.0 175200.0 253350.0 ; - RECT 97800.0 261600.0 98700.0 262500.0 ; - RECT 97800.0 259350.0 98700.0 260250.0 ; - RECT 96450.0 261600.0 98250.0 262500.0 ; - RECT 97800.0 259800.0 98700.0 262050.0 ; - RECT 98250.0 259350.0 100200.0 260250.0 ; - RECT 155250.0 261600.0 156150.0 262500.0 ; - RECT 155250.0 257850.0 156150.0 258750.0 ; - RECT 136350.0 261600.0 155700.0 262500.0 ; - RECT 155250.0 258300.0 156150.0 262050.0 ; - RECT 155700.0 257850.0 175200.0 258750.0 ; - RECT 97800.0 276900.0 98700.0 277800.0 ; - RECT 97800.0 279150.0 98700.0 280050.0 ; - RECT 96450.0 276900.0 98250.0 277800.0 ; - RECT 97800.0 277350.0 98700.0 279600.0 ; - RECT 98250.0 279150.0 100200.0 280050.0 ; - RECT 155250.0 276900.0 156150.0 277800.0 ; - RECT 155250.0 280650.0 156150.0 281550.0 ; - RECT 136350.0 276900.0 155700.0 277800.0 ; - RECT 155250.0 277350.0 156150.0 281100.0 ; - RECT 155700.0 280650.0 175200.0 281550.0 ; - RECT 97800.0 289800.0 98700.0 290700.0 ; - RECT 97800.0 287550.0 98700.0 288450.0 ; - RECT 96450.0 289800.0 98250.0 290700.0 ; - RECT 97800.0 288000.0 98700.0 290250.0 ; - RECT 98250.0 287550.0 100200.0 288450.0 ; - RECT 155250.0 289800.0 156150.0 290700.0 ; - RECT 155250.0 286050.0 156150.0 286950.0 ; - RECT 136350.0 289800.0 155700.0 290700.0 ; - RECT 155250.0 286500.0 156150.0 290250.0 ; - RECT 155700.0 286050.0 175200.0 286950.0 ; - RECT 97800.0 305100.0 98700.0 306000.0 ; - RECT 97800.0 307350.0 98700.0 308250.0 ; - RECT 96450.0 305100.0 98250.0 306000.0 ; - RECT 97800.0 305550.0 98700.0 307800.0 ; - RECT 98250.0 307350.0 100200.0 308250.0 ; - RECT 155250.0 305100.0 156150.0 306000.0 ; - RECT 155250.0 308850.0 156150.0 309750.0 ; - RECT 136350.0 305100.0 155700.0 306000.0 ; - RECT 155250.0 305550.0 156150.0 309300.0 ; - RECT 155700.0 308850.0 175200.0 309750.0 ; - RECT 97800.0 318000.0 98700.0 318900.0 ; - RECT 97800.0 315750.0 98700.0 316650.0 ; - RECT 96450.0 318000.0 98250.0 318900.0 ; - RECT 97800.0 316200.0 98700.0 318450.0 ; - RECT 98250.0 315750.0 100200.0 316650.0 ; - RECT 155250.0 318000.0 156150.0 318900.0 ; - RECT 155250.0 314250.0 156150.0 315150.0 ; - RECT 136350.0 318000.0 155700.0 318900.0 ; - RECT 155250.0 314700.0 156150.0 318450.0 ; - RECT 155700.0 314250.0 175200.0 315150.0 ; - RECT 97800.0 333300.0 98700.0 334200.0 ; - RECT 97800.0 335550.0 98700.0 336450.0 ; - RECT 96450.0 333300.0 98250.0 334200.0 ; - RECT 97800.0 333750.0 98700.0 336000.0 ; - RECT 98250.0 335550.0 100200.0 336450.0 ; - RECT 155250.0 333300.0 156150.0 334200.0 ; - RECT 155250.0 337050.0 156150.0 337950.0 ; - RECT 136350.0 333300.0 155700.0 334200.0 ; - RECT 155250.0 333750.0 156150.0 337500.0 ; - RECT 155700.0 337050.0 175200.0 337950.0 ; - RECT 97800.0 346200.0 98700.0 347100.0 ; - RECT 97800.0 343950.0 98700.0 344850.0 ; - RECT 96450.0 346200.0 98250.0 347100.0 ; - RECT 97800.0 344400.0 98700.0 346650.0 ; - RECT 98250.0 343950.0 100200.0 344850.0 ; - RECT 155250.0 346200.0 156150.0 347100.0 ; - RECT 155250.0 342450.0 156150.0 343350.0 ; - RECT 136350.0 346200.0 155700.0 347100.0 ; - RECT 155250.0 342900.0 156150.0 346650.0 ; - RECT 155700.0 342450.0 175200.0 343350.0 ; - RECT 97800.0 361500.0 98700.0 362400.0 ; - RECT 97800.0 363750.0 98700.0 364650.0 ; - RECT 96450.0 361500.0 98250.0 362400.0 ; - RECT 97800.0 361950.0 98700.0 364200.0 ; - RECT 98250.0 363750.0 100200.0 364650.0 ; - RECT 155250.0 361500.0 156150.0 362400.0 ; - RECT 155250.0 365250.0 156150.0 366150.0 ; - RECT 136350.0 361500.0 155700.0 362400.0 ; - RECT 155250.0 361950.0 156150.0 365700.0 ; - RECT 155700.0 365250.0 175200.0 366150.0 ; - RECT 97800.0 374400.0 98700.0 375300.0 ; - RECT 97800.0 372150.0 98700.0 373050.0 ; - RECT 96450.0 374400.0 98250.0 375300.0 ; - RECT 97800.0 372600.0 98700.0 374850.0 ; - RECT 98250.0 372150.0 100200.0 373050.0 ; - RECT 155250.0 374400.0 156150.0 375300.0 ; - RECT 155250.0 370650.0 156150.0 371550.0 ; - RECT 136350.0 374400.0 155700.0 375300.0 ; - RECT 155250.0 371100.0 156150.0 374850.0 ; - RECT 155700.0 370650.0 175200.0 371550.0 ; - RECT 97800.0 389700.0 98700.0 390600.0 ; - RECT 97800.0 391950.0 98700.0 392850.0 ; - RECT 96450.0 389700.0 98250.0 390600.0 ; - RECT 97800.0 390150.0 98700.0 392400.0 ; - RECT 98250.0 391950.0 100200.0 392850.0 ; - RECT 155250.0 389700.0 156150.0 390600.0 ; - RECT 155250.0 393450.0 156150.0 394350.0 ; - RECT 136350.0 389700.0 155700.0 390600.0 ; - RECT 155250.0 390150.0 156150.0 393900.0 ; - RECT 155700.0 393450.0 175200.0 394350.0 ; - RECT 97800.0 402600.0 98700.0 403500.0 ; - RECT 97800.0 400350.0 98700.0 401250.0 ; - RECT 96450.0 402600.0 98250.0 403500.0 ; - RECT 97800.0 400800.0 98700.0 403050.0 ; - RECT 98250.0 400350.0 100200.0 401250.0 ; - RECT 155250.0 402600.0 156150.0 403500.0 ; - RECT 155250.0 398850.0 156150.0 399750.0 ; - RECT 136350.0 402600.0 155700.0 403500.0 ; - RECT 155250.0 399300.0 156150.0 403050.0 ; - RECT 155700.0 398850.0 175200.0 399750.0 ; - RECT 97800.0 417900.0 98700.0 418800.0 ; - RECT 97800.0 420150.0 98700.0 421050.0 ; - RECT 96450.0 417900.0 98250.0 418800.0 ; - RECT 97800.0 418350.0 98700.0 420600.0 ; - RECT 98250.0 420150.0 100200.0 421050.0 ; - RECT 155250.0 417900.0 156150.0 418800.0 ; - RECT 155250.0 421650.0 156150.0 422550.0 ; - RECT 136350.0 417900.0 155700.0 418800.0 ; - RECT 155250.0 418350.0 156150.0 422100.0 ; - RECT 155700.0 421650.0 175200.0 422550.0 ; - RECT 106500.0 198750.0 175800.0 199650.0 ; - RECT 106500.0 226950.0 175800.0 227850.0 ; - RECT 106500.0 255150.0 175800.0 256050.0 ; - RECT 106500.0 283350.0 175800.0 284250.0 ; - RECT 106500.0 311550.0 175800.0 312450.0 ; - RECT 106500.0 339750.0 175800.0 340650.0 ; - RECT 106500.0 367950.0 175800.0 368850.0 ; - RECT 106500.0 396150.0 175800.0 397050.0 ; - RECT 106500.0 424350.0 175800.0 425250.0 ; - RECT 53100.0 212850.0 203400.0 213750.0 ; - RECT 53100.0 241050.0 203400.0 241950.0 ; - RECT 53100.0 269250.0 203400.0 270150.0 ; - RECT 53100.0 297450.0 203400.0 298350.0 ; - RECT 53100.0 325650.0 203400.0 326550.0 ; - RECT 53100.0 353850.0 203400.0 354750.0 ; - RECT 53100.0 382050.0 203400.0 382950.0 ; - RECT 53100.0 410250.0 203400.0 411150.0 ; - RECT 130800.0 88650.0 135300.0 89550.0 ; - RECT 127800.0 102750.0 138000.0 103650.0 ; - RECT 130800.0 145050.0 140700.0 145950.0 ; - RECT 127800.0 159150.0 143400.0 160050.0 ; - RECT 130800.0 85950.0 132300.0 86850.0 ; - RECT 130800.0 114150.0 132300.0 115050.0 ; - RECT 130800.0 142350.0 132300.0 143250.0 ; - RECT 130800.0 170550.0 132300.0 171450.0 ; - RECT 53100.0 100050.0 130800.0 100950.0 ; - RECT 53100.0 128250.0 130800.0 129150.0 ; - RECT 53100.0 156450.0 130800.0 157350.0 ; - RECT 53100.0 184650.0 130800.0 185550.0 ; - RECT 119400.0 75450.0 135300.0 76350.0 ; - RECT 119400.0 65250.0 138000.0 66150.0 ; - RECT 119400.0 55050.0 140700.0 55950.0 ; - RECT 119400.0 44850.0 143400.0 45750.0 ; - RECT 119400.0 70350.0 149550.0 71250.0 ; - RECT 119400.0 49950.0 149550.0 50850.0 ; - RECT 115800.0 37650.0 116700.0 38550.0 ; - RECT 115800.0 38100.0 116700.0 40200.0 ; - RECT 53100.0 37650.0 116250.0 38550.0 ; - RECT 164100.0 32400.0 175800.0 33300.0 ; - RECT 158700.0 27900.0 175800.0 28800.0 ; - RECT 161400.0 25500.0 175800.0 26400.0 ; - RECT 164100.0 429600.0 175800.0 430500.0 ; - RECT 166800.0 96900.0 175800.0 97800.0 ; - RECT 169500.0 195000.0 175800.0 195900.0 ; - RECT 61800.0 82650.0 62700.0 83550.0 ; - RECT 61800.0 81000.0 62700.0 83100.0 ; - RECT 62250.0 82650.0 156000.0 83550.0 ; - RECT 103350.0 426450.0 156900.0 427350.0 ; - RECT 175800.0 443700.0 198900.0 444600.0 ; - RECT 175800.0 167700.0 198900.0 168600.0 ; - RECT 175800.0 99000.0 198900.0 99900.0 ; - RECT 175800.0 86400.0 198900.0 87300.0 ; - RECT 175800.0 9600.0 198900.0 10500.0 ; - RECT 152850.0 23400.0 175800.0 24300.0 ; - RECT 152850.0 192900.0 175800.0 193800.0 ; - RECT 152850.0 94800.0 175800.0 95700.0 ; - RECT 175800.0 199200.0 186000.0 213300.0 ; - RECT 175800.0 227400.0 186000.0 213300.0 ; - RECT 175800.0 227400.0 186000.0 241500.0 ; - RECT 175800.0 255600.0 186000.0 241500.0 ; - RECT 175800.0 255600.0 186000.0 269700.0 ; - RECT 175800.0 283800.0 186000.0 269700.0 ; - RECT 175800.0 283800.0 186000.0 297900.0 ; - RECT 175800.0 312000.0 186000.0 297900.0 ; - RECT 175800.0 312000.0 186000.0 326100.0 ; - RECT 175800.0 340200.0 186000.0 326100.0 ; - RECT 175800.0 340200.0 186000.0 354300.0 ; - RECT 175800.0 368400.0 186000.0 354300.0 ; - RECT 175800.0 368400.0 186000.0 382500.0 ; - RECT 175800.0 396600.0 186000.0 382500.0 ; - RECT 175800.0 396600.0 186000.0 410700.0 ; - RECT 175800.0 424800.0 186000.0 410700.0 ; - RECT 186000.0 199200.0 196200.0 213300.0 ; - RECT 186000.0 227400.0 196200.0 213300.0 ; - RECT 186000.0 227400.0 196200.0 241500.0 ; - RECT 186000.0 255600.0 196200.0 241500.0 ; - RECT 186000.0 255600.0 196200.0 269700.0 ; - RECT 186000.0 283800.0 196200.0 269700.0 ; - RECT 186000.0 283800.0 196200.0 297900.0 ; - RECT 186000.0 312000.0 196200.0 297900.0 ; - RECT 186000.0 312000.0 196200.0 326100.0 ; - RECT 186000.0 340200.0 196200.0 326100.0 ; - RECT 186000.0 340200.0 196200.0 354300.0 ; - RECT 186000.0 368400.0 196200.0 354300.0 ; - RECT 186000.0 368400.0 196200.0 382500.0 ; - RECT 186000.0 396600.0 196200.0 382500.0 ; - RECT 186000.0 396600.0 196200.0 410700.0 ; - RECT 186000.0 424800.0 196200.0 410700.0 ; - RECT 175200.0 201300.0 196800.0 202500.0 ; - RECT 175200.0 224100.0 196800.0 225300.0 ; - RECT 175200.0 229500.0 196800.0 230700.0 ; - RECT 175200.0 252300.0 196800.0 253500.0 ; - RECT 175200.0 257700.0 196800.0 258900.0 ; - RECT 175200.0 280500.0 196800.0 281700.0 ; - RECT 175200.0 285900.0 196800.0 287100.0 ; - RECT 175200.0 308700.0 196800.0 309900.0 ; - RECT 175200.0 314100.0 196800.0 315300.0 ; - RECT 175200.0 336900.0 196800.0 338100.0 ; - RECT 175200.0 342300.0 196800.0 343500.0 ; - RECT 175200.0 365100.0 196800.0 366300.0 ; - RECT 175200.0 370500.0 196800.0 371700.0 ; - RECT 175200.0 393300.0 196800.0 394500.0 ; - RECT 175200.0 398700.0 196800.0 399900.0 ; - RECT 175200.0 421500.0 196800.0 422700.0 ; - RECT 175200.0 212700.0 196800.0 213600.0 ; - RECT 175200.0 240900.0 196800.0 241800.0 ; - RECT 175200.0 269100.0 196800.0 270000.0 ; - RECT 175200.0 297300.0 196800.0 298200.0 ; - RECT 175200.0 325500.0 196800.0 326400.0 ; - RECT 175200.0 353700.0 196800.0 354600.0 ; - RECT 175200.0 381900.0 196800.0 382800.0 ; - RECT 175200.0 410100.0 196800.0 411000.0 ; - RECT 181200.0 436200.0 182400.0 444600.0 ; - RECT 178800.0 427200.0 180000.0 428400.0 ; - RECT 181200.0 427200.0 182400.0 428400.0 ; - RECT 181200.0 427200.0 182400.0 428400.0 ; - RECT 178800.0 427200.0 180000.0 428400.0 ; - RECT 178800.0 436200.0 180000.0 437400.0 ; - RECT 181200.0 436200.0 182400.0 437400.0 ; - RECT 181200.0 436200.0 182400.0 437400.0 ; - RECT 178800.0 436200.0 180000.0 437400.0 ; - RECT 181200.0 436200.0 182400.0 437400.0 ; - RECT 183600.0 436200.0 184800.0 437400.0 ; - RECT 183600.0 436200.0 184800.0 437400.0 ; - RECT 181200.0 436200.0 182400.0 437400.0 ; - RECT 180900.0 429450.0 179700.0 430650.0 ; - RECT 181200.0 442800.0 182400.0 444000.0 ; - RECT 178800.0 427200.0 180000.0 428400.0 ; - RECT 181200.0 427200.0 182400.0 428400.0 ; - RECT 178800.0 436200.0 180000.0 437400.0 ; - RECT 183600.0 436200.0 184800.0 437400.0 ; - RECT 175800.0 429600.0 186000.0 430500.0 ; - RECT 175800.0 443700.0 186000.0 444600.0 ; - RECT 191400.0 436200.0 192600.0 444600.0 ; - RECT 189000.0 427200.0 190200.0 428400.0 ; - RECT 191400.0 427200.0 192600.0 428400.0 ; - RECT 191400.0 427200.0 192600.0 428400.0 ; - RECT 189000.0 427200.0 190200.0 428400.0 ; - RECT 189000.0 436200.0 190200.0 437400.0 ; - RECT 191400.0 436200.0 192600.0 437400.0 ; - RECT 191400.0 436200.0 192600.0 437400.0 ; - RECT 189000.0 436200.0 190200.0 437400.0 ; - RECT 191400.0 436200.0 192600.0 437400.0 ; - RECT 193800.0 436200.0 195000.0 437400.0 ; - RECT 193800.0 436200.0 195000.0 437400.0 ; - RECT 191400.0 436200.0 192600.0 437400.0 ; - RECT 191100.0 429450.0 189900.0 430650.0 ; - RECT 191400.0 442800.0 192600.0 444000.0 ; - RECT 189000.0 427200.0 190200.0 428400.0 ; - RECT 191400.0 427200.0 192600.0 428400.0 ; - RECT 189000.0 436200.0 190200.0 437400.0 ; - RECT 193800.0 436200.0 195000.0 437400.0 ; - RECT 186000.0 429600.0 196200.0 430500.0 ; - RECT 186000.0 443700.0 196200.0 444600.0 ; - RECT 175800.0 429600.0 196200.0 430500.0 ; - RECT 175800.0 443700.0 196200.0 444600.0 ; - RECT 175800.0 150300.0 186000.0 199200.0 ; - RECT 186000.0 150300.0 196200.0 199200.0 ; - RECT 175800.0 195000.0 196200.0 195900.0 ; - RECT 175800.0 167700.0 196200.0 168600.0 ; - RECT 175800.0 192900.0 196200.0 193800.0 ; - RECT 175800.0 90000.0 186000.0 150300.0 ; - RECT 186000.0 90000.0 196200.0 150300.0 ; - RECT 175800.0 96900.0 196200.0 97800.0 ; - RECT 175800.0 99000.0 196200.0 99900.0 ; - RECT 175800.0 94800.0 196200.0 95700.0 ; - RECT 175800.0 30000.0 186000.0 90000.0 ; - RECT 196200.0 30000.0 186000.0 90000.0 ; - RECT 175800.0 32400.0 196200.0 33300.0 ; - RECT 175800.0 86400.0 196200.0 87300.0 ; - RECT 175800.0 30000.0 186000.0 8100.0 ; - RECT 186000.0 30000.0 196200.0 8100.0 ; - RECT 175800.0 26400.0 196200.0 25500.0 ; - RECT 175800.0 28800.0 196200.0 27900.0 ; - RECT 175800.0 10500.0 196200.0 9600.0 ; - RECT 175800.0 24300.0 196200.0 23400.0 ; - RECT 88050.0 206550.0 88950.0 207450.0 ; - RECT 88050.0 205200.0 88950.0 206100.0 ; - RECT 84000.0 206550.0 88500.0 207450.0 ; - RECT 88050.0 205650.0 88950.0 207000.0 ; - RECT 88500.0 205200.0 93000.0 206100.0 ; - RECT 88050.0 219150.0 88950.0 220050.0 ; - RECT 88050.0 220500.0 88950.0 221400.0 ; - RECT 84000.0 219150.0 88500.0 220050.0 ; - RECT 88050.0 219600.0 88950.0 220950.0 ; - RECT 88500.0 220500.0 93000.0 221400.0 ; - RECT 88050.0 234750.0 88950.0 235650.0 ; - RECT 88050.0 233400.0 88950.0 234300.0 ; - RECT 84000.0 234750.0 88500.0 235650.0 ; - RECT 88050.0 233850.0 88950.0 235200.0 ; - RECT 88500.0 233400.0 93000.0 234300.0 ; - RECT 88050.0 247350.0 88950.0 248250.0 ; - RECT 88050.0 248700.0 88950.0 249600.0 ; - RECT 84000.0 247350.0 88500.0 248250.0 ; - RECT 88050.0 247800.0 88950.0 249150.0 ; - RECT 88500.0 248700.0 93000.0 249600.0 ; - RECT 88050.0 262950.0 88950.0 263850.0 ; - RECT 88050.0 261600.0 88950.0 262500.0 ; - RECT 84000.0 262950.0 88500.0 263850.0 ; - RECT 88050.0 262050.0 88950.0 263400.0 ; - RECT 88500.0 261600.0 93000.0 262500.0 ; - RECT 88050.0 275550.0 88950.0 276450.0 ; - RECT 88050.0 276900.0 88950.0 277800.0 ; - RECT 84000.0 275550.0 88500.0 276450.0 ; - RECT 88050.0 276000.0 88950.0 277350.0 ; - RECT 88500.0 276900.0 93000.0 277800.0 ; - RECT 88050.0 291150.0 88950.0 292050.0 ; - RECT 88050.0 289800.0 88950.0 290700.0 ; - RECT 84000.0 291150.0 88500.0 292050.0 ; - RECT 88050.0 290250.0 88950.0 291600.0 ; - RECT 88500.0 289800.0 93000.0 290700.0 ; - RECT 88050.0 303750.0 88950.0 304650.0 ; - RECT 88050.0 305100.0 88950.0 306000.0 ; - RECT 84000.0 303750.0 88500.0 304650.0 ; - RECT 88050.0 304200.0 88950.0 305550.0 ; - RECT 88500.0 305100.0 93000.0 306000.0 ; - RECT 88050.0 319350.0 88950.0 320250.0 ; - RECT 88050.0 318000.0 88950.0 318900.0 ; - RECT 84000.0 319350.0 88500.0 320250.0 ; - RECT 88050.0 318450.0 88950.0 319800.0 ; - RECT 88500.0 318000.0 93000.0 318900.0 ; - RECT 88050.0 331950.0 88950.0 332850.0 ; - RECT 88050.0 333300.0 88950.0 334200.0 ; - RECT 84000.0 331950.0 88500.0 332850.0 ; - RECT 88050.0 332400.0 88950.0 333750.0 ; - RECT 88500.0 333300.0 93000.0 334200.0 ; - RECT 88050.0 347550.0 88950.0 348450.0 ; - RECT 88050.0 346200.0 88950.0 347100.0 ; - RECT 84000.0 347550.0 88500.0 348450.0 ; - RECT 88050.0 346650.0 88950.0 348000.0 ; - RECT 88500.0 346200.0 93000.0 347100.0 ; - RECT 88050.0 360150.0 88950.0 361050.0 ; - RECT 88050.0 361500.0 88950.0 362400.0 ; - RECT 84000.0 360150.0 88500.0 361050.0 ; - RECT 88050.0 360600.0 88950.0 361950.0 ; - RECT 88500.0 361500.0 93000.0 362400.0 ; - RECT 88050.0 375750.0 88950.0 376650.0 ; - RECT 88050.0 374400.0 88950.0 375300.0 ; - RECT 84000.0 375750.0 88500.0 376650.0 ; - RECT 88050.0 374850.0 88950.0 376200.0 ; - RECT 88500.0 374400.0 93000.0 375300.0 ; - RECT 88050.0 388350.0 88950.0 389250.0 ; - RECT 88050.0 389700.0 88950.0 390600.0 ; - RECT 84000.0 388350.0 88500.0 389250.0 ; - RECT 88050.0 388800.0 88950.0 390150.0 ; - RECT 88500.0 389700.0 93000.0 390600.0 ; - RECT 88050.0 403950.0 88950.0 404850.0 ; - RECT 88050.0 402600.0 88950.0 403500.0 ; - RECT 84000.0 403950.0 88500.0 404850.0 ; - RECT 88050.0 403050.0 88950.0 404400.0 ; - RECT 88500.0 402600.0 93000.0 403500.0 ; - RECT 88050.0 416550.0 88950.0 417450.0 ; - RECT 88050.0 417900.0 88950.0 418800.0 ; - RECT 84000.0 416550.0 88500.0 417450.0 ; - RECT 88050.0 417000.0 88950.0 418350.0 ; - RECT 88500.0 417900.0 93000.0 418800.0 ; - RECT 59850.0 92400.0 76200.0 93300.0 ; - RECT 61950.0 107700.0 76200.0 108600.0 ; - RECT 64050.0 120600.0 76200.0 121500.0 ; - RECT 66150.0 135900.0 76200.0 136800.0 ; - RECT 68250.0 148800.0 76200.0 149700.0 ; - RECT 70350.0 164100.0 76200.0 165000.0 ; - RECT 72450.0 177000.0 76200.0 177900.0 ; - RECT 74550.0 192300.0 76200.0 193200.0 ; - RECT 59850.0 206550.0 78600.0 207450.0 ; - RECT 68250.0 203850.0 81600.0 204750.0 ; - RECT 59850.0 219150.0 78600.0 220050.0 ; - RECT 70350.0 221850.0 81600.0 222750.0 ; - RECT 59850.0 234750.0 78600.0 235650.0 ; - RECT 72450.0 232050.0 81600.0 232950.0 ; - RECT 59850.0 247350.0 78600.0 248250.0 ; - RECT 74550.0 250050.0 81600.0 250950.0 ; - RECT 61950.0 262950.0 78600.0 263850.0 ; - RECT 68250.0 260250.0 81600.0 261150.0 ; - RECT 61950.0 275550.0 78600.0 276450.0 ; - RECT 70350.0 278250.0 81600.0 279150.0 ; - RECT 61950.0 291150.0 78600.0 292050.0 ; - RECT 72450.0 288450.0 81600.0 289350.0 ; - RECT 61950.0 303750.0 78600.0 304650.0 ; - RECT 74550.0 306450.0 81600.0 307350.0 ; - RECT 64050.0 319350.0 78600.0 320250.0 ; - RECT 68250.0 316650.0 81600.0 317550.0 ; - RECT 64050.0 331950.0 78600.0 332850.0 ; - RECT 70350.0 334650.0 81600.0 335550.0 ; - RECT 64050.0 347550.0 78600.0 348450.0 ; - RECT 72450.0 344850.0 81600.0 345750.0 ; - RECT 64050.0 360150.0 78600.0 361050.0 ; - RECT 74550.0 362850.0 81600.0 363750.0 ; - RECT 66150.0 375750.0 78600.0 376650.0 ; - RECT 68250.0 373050.0 81600.0 373950.0 ; - RECT 66150.0 388350.0 78600.0 389250.0 ; - RECT 70350.0 391050.0 81600.0 391950.0 ; - RECT 66150.0 403950.0 78600.0 404850.0 ; - RECT 72450.0 401250.0 81600.0 402150.0 ; - RECT 66150.0 416550.0 78600.0 417450.0 ; - RECT 74550.0 419250.0 81600.0 420150.0 ; - RECT 114750.0 92400.0 113850.0 93300.0 ; - RECT 114750.0 97350.0 113850.0 98250.0 ; - RECT 118950.0 92400.0 114300.0 93300.0 ; - RECT 114750.0 92850.0 113850.0 97800.0 ; - RECT 114300.0 97350.0 111750.0 98250.0 ; - RECT 130350.0 92400.0 122400.0 93300.0 ; - RECT 114750.0 107700.0 113850.0 108600.0 ; - RECT 114750.0 111450.0 113850.0 112350.0 ; - RECT 118950.0 107700.0 114300.0 108600.0 ; - RECT 114750.0 108150.0 113850.0 111900.0 ; - RECT 114300.0 111450.0 108750.0 112350.0 ; - RECT 127350.0 107700.0 122400.0 108600.0 ; - RECT 130350.0 116250.0 105750.0 117150.0 ; - RECT 127350.0 130350.0 102750.0 131250.0 ; - RECT 111750.0 93750.0 97800.0 94650.0 ; - RECT 108750.0 91050.0 94800.0 91950.0 ; - RECT 105750.0 106350.0 97800.0 107250.0 ; - RECT 108750.0 109050.0 94800.0 109950.0 ; - RECT 111750.0 121950.0 97800.0 122850.0 ; - RECT 102750.0 119250.0 94800.0 120150.0 ; - RECT 105750.0 134550.0 97800.0 135450.0 ; - RECT 102750.0 137250.0 94800.0 138150.0 ; - RECT 88350.0 93750.0 87450.0 94650.0 ; - RECT 88350.0 92400.0 87450.0 93300.0 ; - RECT 92400.0 93750.0 87900.0 94650.0 ; - RECT 88350.0 92850.0 87450.0 94200.0 ; - RECT 87900.0 92400.0 83400.0 93300.0 ; - RECT 88350.0 106350.0 87450.0 107250.0 ; - RECT 88350.0 107700.0 87450.0 108600.0 ; - RECT 92400.0 106350.0 87900.0 107250.0 ; - RECT 88350.0 106800.0 87450.0 108150.0 ; - RECT 87900.0 107700.0 83400.0 108600.0 ; - RECT 88350.0 121950.0 87450.0 122850.0 ; - RECT 88350.0 120600.0 87450.0 121500.0 ; - RECT 92400.0 121950.0 87900.0 122850.0 ; - RECT 88350.0 121050.0 87450.0 122400.0 ; - RECT 87900.0 120600.0 83400.0 121500.0 ; - RECT 88350.0 134550.0 87450.0 135450.0 ; - RECT 88350.0 135900.0 87450.0 136800.0 ; - RECT 92400.0 134550.0 87900.0 135450.0 ; - RECT 88350.0 135000.0 87450.0 136350.0 ; - RECT 87900.0 135900.0 83400.0 136800.0 ; - RECT 118200.0 98550.0 117000.0 100500.0 ; - RECT 118200.0 86400.0 117000.0 88650.0 ; - RECT 123000.0 87750.0 121800.0 85950.0 ; - RECT 123000.0 97350.0 121800.0 100950.0 ; - RECT 120300.0 88950.0 119400.0 97350.0 ; - RECT 123000.0 97350.0 121800.0 98550.0 ; - RECT 120600.0 97350.0 119400.0 98550.0 ; - RECT 120600.0 97350.0 119400.0 98550.0 ; - RECT 123000.0 97350.0 121800.0 98550.0 ; - RECT 123000.0 87750.0 121800.0 88950.0 ; - RECT 120600.0 87750.0 119400.0 88950.0 ; - RECT 120600.0 87750.0 119400.0 88950.0 ; - RECT 123000.0 87750.0 121800.0 88950.0 ; - RECT 118200.0 97950.0 117000.0 99150.0 ; - RECT 118200.0 88050.0 117000.0 89250.0 ; - RECT 122400.0 92250.0 121200.0 93450.0 ; - RECT 122400.0 92250.0 121200.0 93450.0 ; - RECT 119850.0 92400.0 118950.0 93300.0 ; - RECT 124800.0 100050.0 115200.0 100950.0 ; - RECT 124800.0 85950.0 115200.0 86850.0 ; - RECT 118200.0 102450.0 117000.0 100500.0 ; - RECT 118200.0 114600.0 117000.0 112350.0 ; - RECT 123000.0 113250.0 121800.0 115050.0 ; - RECT 123000.0 103650.0 121800.0 100050.0 ; - RECT 120300.0 112050.0 119400.0 103650.0 ; - RECT 123000.0 103650.0 121800.0 102450.0 ; - RECT 120600.0 103650.0 119400.0 102450.0 ; - RECT 120600.0 103650.0 119400.0 102450.0 ; - RECT 123000.0 103650.0 121800.0 102450.0 ; - RECT 123000.0 113250.0 121800.0 112050.0 ; - RECT 120600.0 113250.0 119400.0 112050.0 ; - RECT 120600.0 113250.0 119400.0 112050.0 ; - RECT 123000.0 113250.0 121800.0 112050.0 ; - RECT 118200.0 103050.0 117000.0 101850.0 ; - RECT 118200.0 112950.0 117000.0 111750.0 ; - RECT 122400.0 108750.0 121200.0 107550.0 ; - RECT 122400.0 108750.0 121200.0 107550.0 ; - RECT 119850.0 108600.0 118950.0 107700.0 ; - RECT 124800.0 100950.0 115200.0 100050.0 ; - RECT 124800.0 115050.0 115200.0 114150.0 ; - RECT 79200.0 98550.0 78000.0 100500.0 ; - RECT 79200.0 86400.0 78000.0 88650.0 ; - RECT 84000.0 87750.0 82800.0 85950.0 ; - RECT 84000.0 97350.0 82800.0 100950.0 ; - RECT 81300.0 88950.0 80400.0 97350.0 ; - RECT 84000.0 97350.0 82800.0 98550.0 ; - RECT 81600.0 97350.0 80400.0 98550.0 ; - RECT 81600.0 97350.0 80400.0 98550.0 ; - RECT 84000.0 97350.0 82800.0 98550.0 ; - RECT 84000.0 87750.0 82800.0 88950.0 ; - RECT 81600.0 87750.0 80400.0 88950.0 ; - RECT 81600.0 87750.0 80400.0 88950.0 ; - RECT 84000.0 87750.0 82800.0 88950.0 ; - RECT 79200.0 97950.0 78000.0 99150.0 ; - RECT 79200.0 88050.0 78000.0 89250.0 ; - RECT 83400.0 92250.0 82200.0 93450.0 ; - RECT 83400.0 92250.0 82200.0 93450.0 ; - RECT 80850.0 92400.0 79950.0 93300.0 ; - RECT 85800.0 100050.0 76200.0 100950.0 ; - RECT 85800.0 85950.0 76200.0 86850.0 ; - RECT 79200.0 102450.0 78000.0 100500.0 ; - RECT 79200.0 114600.0 78000.0 112350.0 ; - RECT 84000.0 113250.0 82800.0 115050.0 ; - RECT 84000.0 103650.0 82800.0 100050.0 ; - RECT 81300.0 112050.0 80400.0 103650.0 ; - RECT 84000.0 103650.0 82800.0 102450.0 ; - RECT 81600.0 103650.0 80400.0 102450.0 ; - RECT 81600.0 103650.0 80400.0 102450.0 ; - RECT 84000.0 103650.0 82800.0 102450.0 ; - RECT 84000.0 113250.0 82800.0 112050.0 ; - RECT 81600.0 113250.0 80400.0 112050.0 ; - RECT 81600.0 113250.0 80400.0 112050.0 ; - RECT 84000.0 113250.0 82800.0 112050.0 ; - RECT 79200.0 103050.0 78000.0 101850.0 ; - RECT 79200.0 112950.0 78000.0 111750.0 ; - RECT 83400.0 108750.0 82200.0 107550.0 ; - RECT 83400.0 108750.0 82200.0 107550.0 ; - RECT 80850.0 108600.0 79950.0 107700.0 ; - RECT 85800.0 100950.0 76200.0 100050.0 ; - RECT 85800.0 115050.0 76200.0 114150.0 ; - RECT 79200.0 126750.0 78000.0 128700.0 ; - RECT 79200.0 114600.0 78000.0 116850.0 ; - RECT 84000.0 115950.0 82800.0 114150.0 ; - RECT 84000.0 125550.0 82800.0 129150.0 ; - RECT 81300.0 117150.0 80400.0 125550.0 ; - RECT 84000.0 125550.0 82800.0 126750.0 ; - RECT 81600.0 125550.0 80400.0 126750.0 ; - RECT 81600.0 125550.0 80400.0 126750.0 ; - RECT 84000.0 125550.0 82800.0 126750.0 ; - RECT 84000.0 115950.0 82800.0 117150.0 ; - RECT 81600.0 115950.0 80400.0 117150.0 ; - RECT 81600.0 115950.0 80400.0 117150.0 ; - RECT 84000.0 115950.0 82800.0 117150.0 ; - RECT 79200.0 126150.0 78000.0 127350.0 ; - RECT 79200.0 116250.0 78000.0 117450.0 ; - RECT 83400.0 120450.0 82200.0 121650.0 ; - RECT 83400.0 120450.0 82200.0 121650.0 ; - RECT 80850.0 120600.0 79950.0 121500.0 ; - RECT 85800.0 128250.0 76200.0 129150.0 ; - RECT 85800.0 114150.0 76200.0 115050.0 ; - RECT 79200.0 130650.0 78000.0 128700.0 ; - RECT 79200.0 142800.0 78000.0 140550.0 ; - RECT 84000.0 141450.0 82800.0 143250.0 ; - RECT 84000.0 131850.0 82800.0 128250.0 ; - RECT 81300.0 140250.0 80400.0 131850.0 ; - RECT 84000.0 131850.0 82800.0 130650.0 ; - RECT 81600.0 131850.0 80400.0 130650.0 ; - RECT 81600.0 131850.0 80400.0 130650.0 ; - RECT 84000.0 131850.0 82800.0 130650.0 ; - RECT 84000.0 141450.0 82800.0 140250.0 ; - RECT 81600.0 141450.0 80400.0 140250.0 ; - RECT 81600.0 141450.0 80400.0 140250.0 ; - RECT 84000.0 141450.0 82800.0 140250.0 ; - RECT 79200.0 131250.0 78000.0 130050.0 ; - RECT 79200.0 141150.0 78000.0 139950.0 ; - RECT 83400.0 136950.0 82200.0 135750.0 ; - RECT 83400.0 136950.0 82200.0 135750.0 ; - RECT 80850.0 136800.0 79950.0 135900.0 ; - RECT 85800.0 129150.0 76200.0 128250.0 ; - RECT 85800.0 143250.0 76200.0 142350.0 ; - RECT 98400.0 88350.0 97200.0 85950.0 ; - RECT 98400.0 97350.0 97200.0 100950.0 ; - RECT 93600.0 97350.0 92400.0 100950.0 ; - RECT 91200.0 98550.0 90000.0 100500.0 ; - RECT 91200.0 86400.0 90000.0 88650.0 ; - RECT 98400.0 97350.0 97200.0 98550.0 ; - RECT 96000.0 97350.0 94800.0 98550.0 ; - RECT 96000.0 97350.0 94800.0 98550.0 ; - RECT 98400.0 97350.0 97200.0 98550.0 ; - RECT 96000.0 97350.0 94800.0 98550.0 ; - RECT 93600.0 97350.0 92400.0 98550.0 ; - RECT 93600.0 97350.0 92400.0 98550.0 ; - RECT 96000.0 97350.0 94800.0 98550.0 ; - RECT 98400.0 88350.0 97200.0 89550.0 ; - RECT 96000.0 88350.0 94800.0 89550.0 ; - RECT 96000.0 88350.0 94800.0 89550.0 ; - RECT 98400.0 88350.0 97200.0 89550.0 ; - RECT 96000.0 88350.0 94800.0 89550.0 ; - RECT 93600.0 88350.0 92400.0 89550.0 ; - RECT 93600.0 88350.0 92400.0 89550.0 ; - RECT 96000.0 88350.0 94800.0 89550.0 ; - RECT 91200.0 97950.0 90000.0 99150.0 ; - RECT 91200.0 88050.0 90000.0 89250.0 ; - RECT 93600.0 90900.0 94800.0 92100.0 ; - RECT 96600.0 93600.0 97800.0 94800.0 ; - RECT 96000.0 97350.0 94800.0 98550.0 ; - RECT 93600.0 88350.0 92400.0 89550.0 ; - RECT 92400.0 93600.0 93600.0 94800.0 ; - RECT 97800.0 93600.0 96600.0 94800.0 ; - RECT 94800.0 90900.0 93600.0 92100.0 ; - RECT 93600.0 93600.0 92400.0 94800.0 ; - RECT 100200.0 100050.0 85800.0 100950.0 ; - RECT 100200.0 85950.0 85800.0 86850.0 ; - RECT 98400.0 112650.0 97200.0 115050.0 ; - RECT 98400.0 103650.0 97200.0 100050.0 ; - RECT 93600.0 103650.0 92400.0 100050.0 ; - RECT 91200.0 102450.0 90000.0 100500.0 ; - RECT 91200.0 114600.0 90000.0 112350.0 ; - RECT 98400.0 103650.0 97200.0 102450.0 ; - RECT 96000.0 103650.0 94800.0 102450.0 ; - RECT 96000.0 103650.0 94800.0 102450.0 ; - RECT 98400.0 103650.0 97200.0 102450.0 ; - RECT 96000.0 103650.0 94800.0 102450.0 ; - RECT 93600.0 103650.0 92400.0 102450.0 ; - RECT 93600.0 103650.0 92400.0 102450.0 ; - RECT 96000.0 103650.0 94800.0 102450.0 ; - RECT 98400.0 112650.0 97200.0 111450.0 ; - RECT 96000.0 112650.0 94800.0 111450.0 ; - RECT 96000.0 112650.0 94800.0 111450.0 ; - RECT 98400.0 112650.0 97200.0 111450.0 ; - RECT 96000.0 112650.0 94800.0 111450.0 ; - RECT 93600.0 112650.0 92400.0 111450.0 ; - RECT 93600.0 112650.0 92400.0 111450.0 ; - RECT 96000.0 112650.0 94800.0 111450.0 ; - RECT 91200.0 103050.0 90000.0 101850.0 ; - RECT 91200.0 112950.0 90000.0 111750.0 ; - RECT 93600.0 110100.0 94800.0 108900.0 ; - RECT 96600.0 107400.0 97800.0 106200.0 ; - RECT 96000.0 103650.0 94800.0 102450.0 ; - RECT 93600.0 112650.0 92400.0 111450.0 ; - RECT 92400.0 107400.0 93600.0 106200.0 ; - RECT 97800.0 107400.0 96600.0 106200.0 ; - RECT 94800.0 110100.0 93600.0 108900.0 ; - RECT 93600.0 107400.0 92400.0 106200.0 ; - RECT 100200.0 100950.0 85800.0 100050.0 ; - RECT 100200.0 115050.0 85800.0 114150.0 ; - RECT 98400.0 116550.0 97200.0 114150.0 ; - RECT 98400.0 125550.0 97200.0 129150.0 ; - RECT 93600.0 125550.0 92400.0 129150.0 ; - RECT 91200.0 126750.0 90000.0 128700.0 ; - RECT 91200.0 114600.0 90000.0 116850.0 ; - RECT 98400.0 125550.0 97200.0 126750.0 ; - RECT 96000.0 125550.0 94800.0 126750.0 ; - RECT 96000.0 125550.0 94800.0 126750.0 ; - RECT 98400.0 125550.0 97200.0 126750.0 ; - RECT 96000.0 125550.0 94800.0 126750.0 ; - RECT 93600.0 125550.0 92400.0 126750.0 ; - RECT 93600.0 125550.0 92400.0 126750.0 ; - RECT 96000.0 125550.0 94800.0 126750.0 ; - RECT 98400.0 116550.0 97200.0 117750.0 ; - RECT 96000.0 116550.0 94800.0 117750.0 ; - RECT 96000.0 116550.0 94800.0 117750.0 ; - RECT 98400.0 116550.0 97200.0 117750.0 ; - RECT 96000.0 116550.0 94800.0 117750.0 ; - RECT 93600.0 116550.0 92400.0 117750.0 ; - RECT 93600.0 116550.0 92400.0 117750.0 ; - RECT 96000.0 116550.0 94800.0 117750.0 ; - RECT 91200.0 126150.0 90000.0 127350.0 ; - RECT 91200.0 116250.0 90000.0 117450.0 ; - RECT 93600.0 119100.0 94800.0 120300.0 ; - RECT 96600.0 121800.0 97800.0 123000.0 ; - RECT 96000.0 125550.0 94800.0 126750.0 ; - RECT 93600.0 116550.0 92400.0 117750.0 ; - RECT 92400.0 121800.0 93600.0 123000.0 ; - RECT 97800.0 121800.0 96600.0 123000.0 ; - RECT 94800.0 119100.0 93600.0 120300.0 ; - RECT 93600.0 121800.0 92400.0 123000.0 ; - RECT 100200.0 128250.0 85800.0 129150.0 ; - RECT 100200.0 114150.0 85800.0 115050.0 ; - RECT 98400.0 140850.0 97200.0 143250.0 ; - RECT 98400.0 131850.0 97200.0 128250.0 ; - RECT 93600.0 131850.0 92400.0 128250.0 ; - RECT 91200.0 130650.0 90000.0 128700.0 ; - RECT 91200.0 142800.0 90000.0 140550.0 ; - RECT 98400.0 131850.0 97200.0 130650.0 ; - RECT 96000.0 131850.0 94800.0 130650.0 ; - RECT 96000.0 131850.0 94800.0 130650.0 ; - RECT 98400.0 131850.0 97200.0 130650.0 ; - RECT 96000.0 131850.0 94800.0 130650.0 ; - RECT 93600.0 131850.0 92400.0 130650.0 ; - RECT 93600.0 131850.0 92400.0 130650.0 ; - RECT 96000.0 131850.0 94800.0 130650.0 ; - RECT 98400.0 140850.0 97200.0 139650.0 ; - RECT 96000.0 140850.0 94800.0 139650.0 ; - RECT 96000.0 140850.0 94800.0 139650.0 ; - RECT 98400.0 140850.0 97200.0 139650.0 ; - RECT 96000.0 140850.0 94800.0 139650.0 ; - RECT 93600.0 140850.0 92400.0 139650.0 ; - RECT 93600.0 140850.0 92400.0 139650.0 ; - RECT 96000.0 140850.0 94800.0 139650.0 ; - RECT 91200.0 131250.0 90000.0 130050.0 ; - RECT 91200.0 141150.0 90000.0 139950.0 ; - RECT 93600.0 138300.0 94800.0 137100.0 ; - RECT 96600.0 135600.0 97800.0 134400.0 ; - RECT 96000.0 131850.0 94800.0 130650.0 ; - RECT 93600.0 140850.0 92400.0 139650.0 ; - RECT 92400.0 135600.0 93600.0 134400.0 ; - RECT 97800.0 135600.0 96600.0 134400.0 ; - RECT 94800.0 138300.0 93600.0 137100.0 ; - RECT 93600.0 135600.0 92400.0 134400.0 ; - RECT 100200.0 129150.0 85800.0 128250.0 ; - RECT 100200.0 143250.0 85800.0 142350.0 ; - RECT 111150.0 97200.0 112350.0 98400.0 ; - RECT 129750.0 92250.0 130950.0 93450.0 ; - RECT 108150.0 111300.0 109350.0 112500.0 ; - RECT 126750.0 107550.0 127950.0 108750.0 ; - RECT 129750.0 116100.0 130950.0 117300.0 ; - RECT 105150.0 116100.0 106350.0 117300.0 ; - RECT 126750.0 130200.0 127950.0 131400.0 ; - RECT 102150.0 130200.0 103350.0 131400.0 ; - RECT 111150.0 93600.0 112350.0 94800.0 ; - RECT 108150.0 90900.0 109350.0 92100.0 ; - RECT 105150.0 106200.0 106350.0 107400.0 ; - RECT 108150.0 108900.0 109350.0 110100.0 ; - RECT 111150.0 121800.0 112350.0 123000.0 ; - RECT 102150.0 119100.0 103350.0 120300.0 ; - RECT 105150.0 134400.0 106350.0 135600.0 ; - RECT 102150.0 137100.0 103350.0 138300.0 ; - RECT 79950.0 92400.0 76200.0 93300.0 ; - RECT 79950.0 107700.0 76200.0 108600.0 ; - RECT 79950.0 120600.0 76200.0 121500.0 ; - RECT 79950.0 135900.0 76200.0 136800.0 ; - RECT 130800.0 100050.0 76200.0 100950.0 ; - RECT 130800.0 128250.0 76200.0 129150.0 ; - RECT 130800.0 85950.0 76200.0 86850.0 ; - RECT 130800.0 114150.0 76200.0 115050.0 ; - RECT 130800.0 142350.0 76200.0 143250.0 ; - RECT 114750.0 148800.0 113850.0 149700.0 ; - RECT 114750.0 153750.0 113850.0 154650.0 ; - RECT 118950.0 148800.0 114300.0 149700.0 ; - RECT 114750.0 149250.0 113850.0 154200.0 ; - RECT 114300.0 153750.0 111750.0 154650.0 ; - RECT 130350.0 148800.0 122400.0 149700.0 ; - RECT 114750.0 164100.0 113850.0 165000.0 ; - RECT 114750.0 167850.0 113850.0 168750.0 ; - RECT 118950.0 164100.0 114300.0 165000.0 ; - RECT 114750.0 164550.0 113850.0 168300.0 ; - RECT 114300.0 167850.0 108750.0 168750.0 ; - RECT 127350.0 164100.0 122400.0 165000.0 ; - RECT 130350.0 172650.0 105750.0 173550.0 ; - RECT 127350.0 186750.0 102750.0 187650.0 ; - RECT 111750.0 150150.0 97800.0 151050.0 ; - RECT 108750.0 147450.0 94800.0 148350.0 ; - RECT 105750.0 162750.0 97800.0 163650.0 ; - RECT 108750.0 165450.0 94800.0 166350.0 ; - RECT 111750.0 178350.0 97800.0 179250.0 ; - RECT 102750.0 175650.0 94800.0 176550.0 ; - RECT 105750.0 190950.0 97800.0 191850.0 ; - RECT 102750.0 193650.0 94800.0 194550.0 ; - RECT 88350.0 150150.0 87450.0 151050.0 ; - RECT 88350.0 148800.0 87450.0 149700.0 ; - RECT 92400.0 150150.0 87900.0 151050.0 ; - RECT 88350.0 149250.0 87450.0 150600.0 ; - RECT 87900.0 148800.0 83400.0 149700.0 ; - RECT 88350.0 162750.0 87450.0 163650.0 ; - RECT 88350.0 164100.0 87450.0 165000.0 ; - RECT 92400.0 162750.0 87900.0 163650.0 ; - RECT 88350.0 163200.0 87450.0 164550.0 ; - RECT 87900.0 164100.0 83400.0 165000.0 ; - RECT 88350.0 178350.0 87450.0 179250.0 ; - RECT 88350.0 177000.0 87450.0 177900.0 ; - RECT 92400.0 178350.0 87900.0 179250.0 ; - RECT 88350.0 177450.0 87450.0 178800.0 ; - RECT 87900.0 177000.0 83400.0 177900.0 ; - RECT 88350.0 190950.0 87450.0 191850.0 ; - RECT 88350.0 192300.0 87450.0 193200.0 ; - RECT 92400.0 190950.0 87900.0 191850.0 ; - RECT 88350.0 191400.0 87450.0 192750.0 ; - RECT 87900.0 192300.0 83400.0 193200.0 ; - RECT 118200.0 154950.0 117000.0 156900.0 ; - RECT 118200.0 142800.0 117000.0 145050.0 ; - RECT 123000.0 144150.0 121800.0 142350.0 ; - RECT 123000.0 153750.0 121800.0 157350.0 ; - RECT 120300.0 145350.0 119400.0 153750.0 ; - RECT 123000.0 153750.0 121800.0 154950.0 ; - RECT 120600.0 153750.0 119400.0 154950.0 ; - RECT 120600.0 153750.0 119400.0 154950.0 ; - RECT 123000.0 153750.0 121800.0 154950.0 ; - RECT 123000.0 144150.0 121800.0 145350.0 ; - RECT 120600.0 144150.0 119400.0 145350.0 ; - RECT 120600.0 144150.0 119400.0 145350.0 ; - RECT 123000.0 144150.0 121800.0 145350.0 ; - RECT 118200.0 154350.0 117000.0 155550.0 ; - RECT 118200.0 144450.0 117000.0 145650.0 ; - RECT 122400.0 148650.0 121200.0 149850.0 ; - RECT 122400.0 148650.0 121200.0 149850.0 ; - RECT 119850.0 148800.0 118950.0 149700.0 ; - RECT 124800.0 156450.0 115200.0 157350.0 ; - RECT 124800.0 142350.0 115200.0 143250.0 ; - RECT 118200.0 158850.0 117000.0 156900.0 ; - RECT 118200.0 171000.0 117000.0 168750.0 ; - RECT 123000.0 169650.0 121800.0 171450.0 ; - RECT 123000.0 160050.0 121800.0 156450.0 ; - RECT 120300.0 168450.0 119400.0 160050.0 ; - RECT 123000.0 160050.0 121800.0 158850.0 ; - RECT 120600.0 160050.0 119400.0 158850.0 ; - RECT 120600.0 160050.0 119400.0 158850.0 ; - RECT 123000.0 160050.0 121800.0 158850.0 ; - RECT 123000.0 169650.0 121800.0 168450.0 ; - RECT 120600.0 169650.0 119400.0 168450.0 ; - RECT 120600.0 169650.0 119400.0 168450.0 ; - RECT 123000.0 169650.0 121800.0 168450.0 ; - RECT 118200.0 159450.0 117000.0 158250.0 ; - RECT 118200.0 169350.0 117000.0 168150.0 ; - RECT 122400.0 165150.0 121200.0 163950.0 ; - RECT 122400.0 165150.0 121200.0 163950.0 ; - RECT 119850.0 165000.0 118950.0 164100.0 ; - RECT 124800.0 157350.0 115200.0 156450.0 ; - RECT 124800.0 171450.0 115200.0 170550.0 ; - RECT 79200.0 154950.0 78000.0 156900.0 ; - RECT 79200.0 142800.0 78000.0 145050.0 ; - RECT 84000.0 144150.0 82800.0 142350.0 ; - RECT 84000.0 153750.0 82800.0 157350.0 ; - RECT 81300.0 145350.0 80400.0 153750.0 ; - RECT 84000.0 153750.0 82800.0 154950.0 ; - RECT 81600.0 153750.0 80400.0 154950.0 ; - RECT 81600.0 153750.0 80400.0 154950.0 ; - RECT 84000.0 153750.0 82800.0 154950.0 ; - RECT 84000.0 144150.0 82800.0 145350.0 ; - RECT 81600.0 144150.0 80400.0 145350.0 ; - RECT 81600.0 144150.0 80400.0 145350.0 ; - RECT 84000.0 144150.0 82800.0 145350.0 ; - RECT 79200.0 154350.0 78000.0 155550.0 ; - RECT 79200.0 144450.0 78000.0 145650.0 ; - RECT 83400.0 148650.0 82200.0 149850.0 ; - RECT 83400.0 148650.0 82200.0 149850.0 ; - RECT 80850.0 148800.0 79950.0 149700.0 ; - RECT 85800.0 156450.0 76200.0 157350.0 ; - RECT 85800.0 142350.0 76200.0 143250.0 ; - RECT 79200.0 158850.0 78000.0 156900.0 ; - RECT 79200.0 171000.0 78000.0 168750.0 ; - RECT 84000.0 169650.0 82800.0 171450.0 ; - RECT 84000.0 160050.0 82800.0 156450.0 ; - RECT 81300.0 168450.0 80400.0 160050.0 ; - RECT 84000.0 160050.0 82800.0 158850.0 ; - RECT 81600.0 160050.0 80400.0 158850.0 ; - RECT 81600.0 160050.0 80400.0 158850.0 ; - RECT 84000.0 160050.0 82800.0 158850.0 ; - RECT 84000.0 169650.0 82800.0 168450.0 ; - RECT 81600.0 169650.0 80400.0 168450.0 ; - RECT 81600.0 169650.0 80400.0 168450.0 ; - RECT 84000.0 169650.0 82800.0 168450.0 ; - RECT 79200.0 159450.0 78000.0 158250.0 ; - RECT 79200.0 169350.0 78000.0 168150.0 ; - RECT 83400.0 165150.0 82200.0 163950.0 ; - RECT 83400.0 165150.0 82200.0 163950.0 ; - RECT 80850.0 165000.0 79950.0 164100.0 ; - RECT 85800.0 157350.0 76200.0 156450.0 ; - RECT 85800.0 171450.0 76200.0 170550.0 ; - RECT 79200.0 183150.0 78000.0 185100.0 ; - RECT 79200.0 171000.0 78000.0 173250.0 ; - RECT 84000.0 172350.0 82800.0 170550.0 ; - RECT 84000.0 181950.0 82800.0 185550.0 ; - RECT 81300.0 173550.0 80400.0 181950.0 ; - RECT 84000.0 181950.0 82800.0 183150.0 ; - RECT 81600.0 181950.0 80400.0 183150.0 ; - RECT 81600.0 181950.0 80400.0 183150.0 ; - RECT 84000.0 181950.0 82800.0 183150.0 ; - RECT 84000.0 172350.0 82800.0 173550.0 ; - RECT 81600.0 172350.0 80400.0 173550.0 ; - RECT 81600.0 172350.0 80400.0 173550.0 ; - RECT 84000.0 172350.0 82800.0 173550.0 ; - RECT 79200.0 182550.0 78000.0 183750.0 ; - RECT 79200.0 172650.0 78000.0 173850.0 ; - RECT 83400.0 176850.0 82200.0 178050.0 ; - RECT 83400.0 176850.0 82200.0 178050.0 ; - RECT 80850.0 177000.0 79950.0 177900.0 ; - RECT 85800.0 184650.0 76200.0 185550.0 ; - RECT 85800.0 170550.0 76200.0 171450.0 ; - RECT 79200.0 187050.0 78000.0 185100.0 ; - RECT 79200.0 199200.0 78000.0 196950.0 ; - RECT 84000.0 197850.0 82800.0 199650.0 ; - RECT 84000.0 188250.0 82800.0 184650.0 ; - RECT 81300.0 196650.0 80400.0 188250.0 ; - RECT 84000.0 188250.0 82800.0 187050.0 ; - RECT 81600.0 188250.0 80400.0 187050.0 ; - RECT 81600.0 188250.0 80400.0 187050.0 ; - RECT 84000.0 188250.0 82800.0 187050.0 ; - RECT 84000.0 197850.0 82800.0 196650.0 ; - RECT 81600.0 197850.0 80400.0 196650.0 ; - RECT 81600.0 197850.0 80400.0 196650.0 ; - RECT 84000.0 197850.0 82800.0 196650.0 ; - RECT 79200.0 187650.0 78000.0 186450.0 ; - RECT 79200.0 197550.0 78000.0 196350.0 ; - RECT 83400.0 193350.0 82200.0 192150.0 ; - RECT 83400.0 193350.0 82200.0 192150.0 ; - RECT 80850.0 193200.0 79950.0 192300.0 ; - RECT 85800.0 185550.0 76200.0 184650.0 ; - RECT 85800.0 199650.0 76200.0 198750.0 ; - RECT 98400.0 144750.0 97200.0 142350.0 ; - RECT 98400.0 153750.0 97200.0 157350.0 ; - RECT 93600.0 153750.0 92400.0 157350.0 ; - RECT 91200.0 154950.0 90000.0 156900.0 ; - RECT 91200.0 142800.0 90000.0 145050.0 ; - RECT 98400.0 153750.0 97200.0 154950.0 ; - RECT 96000.0 153750.0 94800.0 154950.0 ; - RECT 96000.0 153750.0 94800.0 154950.0 ; - RECT 98400.0 153750.0 97200.0 154950.0 ; - RECT 96000.0 153750.0 94800.0 154950.0 ; - RECT 93600.0 153750.0 92400.0 154950.0 ; - RECT 93600.0 153750.0 92400.0 154950.0 ; - RECT 96000.0 153750.0 94800.0 154950.0 ; - RECT 98400.0 144750.0 97200.0 145950.0 ; - RECT 96000.0 144750.0 94800.0 145950.0 ; - RECT 96000.0 144750.0 94800.0 145950.0 ; - RECT 98400.0 144750.0 97200.0 145950.0 ; - RECT 96000.0 144750.0 94800.0 145950.0 ; - RECT 93600.0 144750.0 92400.0 145950.0 ; - RECT 93600.0 144750.0 92400.0 145950.0 ; - RECT 96000.0 144750.0 94800.0 145950.0 ; - RECT 91200.0 154350.0 90000.0 155550.0 ; - RECT 91200.0 144450.0 90000.0 145650.0 ; - RECT 93600.0 147300.0 94800.0 148500.0 ; - RECT 96600.0 150000.0 97800.0 151200.0 ; - RECT 96000.0 153750.0 94800.0 154950.0 ; - RECT 93600.0 144750.0 92400.0 145950.0 ; - RECT 92400.0 150000.0 93600.0 151200.0 ; - RECT 97800.0 150000.0 96600.0 151200.0 ; - RECT 94800.0 147300.0 93600.0 148500.0 ; - RECT 93600.0 150000.0 92400.0 151200.0 ; - RECT 100200.0 156450.0 85800.0 157350.0 ; - RECT 100200.0 142350.0 85800.0 143250.0 ; - RECT 98400.0 169050.0 97200.0 171450.0 ; - RECT 98400.0 160050.0 97200.0 156450.0 ; - RECT 93600.0 160050.0 92400.0 156450.0 ; - RECT 91200.0 158850.0 90000.0 156900.0 ; - RECT 91200.0 171000.0 90000.0 168750.0 ; - RECT 98400.0 160050.0 97200.0 158850.0 ; - RECT 96000.0 160050.0 94800.0 158850.0 ; - RECT 96000.0 160050.0 94800.0 158850.0 ; - RECT 98400.0 160050.0 97200.0 158850.0 ; - RECT 96000.0 160050.0 94800.0 158850.0 ; - RECT 93600.0 160050.0 92400.0 158850.0 ; - RECT 93600.0 160050.0 92400.0 158850.0 ; - RECT 96000.0 160050.0 94800.0 158850.0 ; - RECT 98400.0 169050.0 97200.0 167850.0 ; - RECT 96000.0 169050.0 94800.0 167850.0 ; - RECT 96000.0 169050.0 94800.0 167850.0 ; - RECT 98400.0 169050.0 97200.0 167850.0 ; - RECT 96000.0 169050.0 94800.0 167850.0 ; - RECT 93600.0 169050.0 92400.0 167850.0 ; - RECT 93600.0 169050.0 92400.0 167850.0 ; - RECT 96000.0 169050.0 94800.0 167850.0 ; - RECT 91200.0 159450.0 90000.0 158250.0 ; - RECT 91200.0 169350.0 90000.0 168150.0 ; - RECT 93600.0 166500.0 94800.0 165300.0 ; - RECT 96600.0 163800.0 97800.0 162600.0 ; - RECT 96000.0 160050.0 94800.0 158850.0 ; - RECT 93600.0 169050.0 92400.0 167850.0 ; - RECT 92400.0 163800.0 93600.0 162600.0 ; - RECT 97800.0 163800.0 96600.0 162600.0 ; - RECT 94800.0 166500.0 93600.0 165300.0 ; - RECT 93600.0 163800.0 92400.0 162600.0 ; - RECT 100200.0 157350.0 85800.0 156450.0 ; - RECT 100200.0 171450.0 85800.0 170550.0 ; - RECT 98400.0 172950.0 97200.0 170550.0 ; - RECT 98400.0 181950.0 97200.0 185550.0 ; - RECT 93600.0 181950.0 92400.0 185550.0 ; - RECT 91200.0 183150.0 90000.0 185100.0 ; - RECT 91200.0 171000.0 90000.0 173250.0 ; - RECT 98400.0 181950.0 97200.0 183150.0 ; - RECT 96000.0 181950.0 94800.0 183150.0 ; - RECT 96000.0 181950.0 94800.0 183150.0 ; - RECT 98400.0 181950.0 97200.0 183150.0 ; - RECT 96000.0 181950.0 94800.0 183150.0 ; - RECT 93600.0 181950.0 92400.0 183150.0 ; - RECT 93600.0 181950.0 92400.0 183150.0 ; - RECT 96000.0 181950.0 94800.0 183150.0 ; - RECT 98400.0 172950.0 97200.0 174150.0 ; - RECT 96000.0 172950.0 94800.0 174150.0 ; - RECT 96000.0 172950.0 94800.0 174150.0 ; - RECT 98400.0 172950.0 97200.0 174150.0 ; - RECT 96000.0 172950.0 94800.0 174150.0 ; - RECT 93600.0 172950.0 92400.0 174150.0 ; - RECT 93600.0 172950.0 92400.0 174150.0 ; - RECT 96000.0 172950.0 94800.0 174150.0 ; - RECT 91200.0 182550.0 90000.0 183750.0 ; - RECT 91200.0 172650.0 90000.0 173850.0 ; - RECT 93600.0 175500.0 94800.0 176700.0 ; - RECT 96600.0 178200.0 97800.0 179400.0 ; - RECT 96000.0 181950.0 94800.0 183150.0 ; - RECT 93600.0 172950.0 92400.0 174150.0 ; - RECT 92400.0 178200.0 93600.0 179400.0 ; - RECT 97800.0 178200.0 96600.0 179400.0 ; - RECT 94800.0 175500.0 93600.0 176700.0 ; - RECT 93600.0 178200.0 92400.0 179400.0 ; - RECT 100200.0 184650.0 85800.0 185550.0 ; - RECT 100200.0 170550.0 85800.0 171450.0 ; - RECT 98400.0 197250.0 97200.0 199650.0 ; - RECT 98400.0 188250.0 97200.0 184650.0 ; - RECT 93600.0 188250.0 92400.0 184650.0 ; - RECT 91200.0 187050.0 90000.0 185100.0 ; - RECT 91200.0 199200.0 90000.0 196950.0 ; - RECT 98400.0 188250.0 97200.0 187050.0 ; - RECT 96000.0 188250.0 94800.0 187050.0 ; - RECT 96000.0 188250.0 94800.0 187050.0 ; - RECT 98400.0 188250.0 97200.0 187050.0 ; - RECT 96000.0 188250.0 94800.0 187050.0 ; - RECT 93600.0 188250.0 92400.0 187050.0 ; - RECT 93600.0 188250.0 92400.0 187050.0 ; - RECT 96000.0 188250.0 94800.0 187050.0 ; - RECT 98400.0 197250.0 97200.0 196050.0 ; - RECT 96000.0 197250.0 94800.0 196050.0 ; - RECT 96000.0 197250.0 94800.0 196050.0 ; - RECT 98400.0 197250.0 97200.0 196050.0 ; - RECT 96000.0 197250.0 94800.0 196050.0 ; - RECT 93600.0 197250.0 92400.0 196050.0 ; - RECT 93600.0 197250.0 92400.0 196050.0 ; - RECT 96000.0 197250.0 94800.0 196050.0 ; - RECT 91200.0 187650.0 90000.0 186450.0 ; - RECT 91200.0 197550.0 90000.0 196350.0 ; - RECT 93600.0 194700.0 94800.0 193500.0 ; - RECT 96600.0 192000.0 97800.0 190800.0 ; - RECT 96000.0 188250.0 94800.0 187050.0 ; - RECT 93600.0 197250.0 92400.0 196050.0 ; - RECT 92400.0 192000.0 93600.0 190800.0 ; - RECT 97800.0 192000.0 96600.0 190800.0 ; - RECT 94800.0 194700.0 93600.0 193500.0 ; - RECT 93600.0 192000.0 92400.0 190800.0 ; - RECT 100200.0 185550.0 85800.0 184650.0 ; - RECT 100200.0 199650.0 85800.0 198750.0 ; - RECT 111150.0 153600.0 112350.0 154800.0 ; - RECT 129750.0 148650.0 130950.0 149850.0 ; - RECT 108150.0 167700.0 109350.0 168900.0 ; - RECT 126750.0 163950.0 127950.0 165150.0 ; - RECT 129750.0 172500.0 130950.0 173700.0 ; - RECT 105150.0 172500.0 106350.0 173700.0 ; - RECT 126750.0 186600.0 127950.0 187800.0 ; - RECT 102150.0 186600.0 103350.0 187800.0 ; - RECT 111150.0 150000.0 112350.0 151200.0 ; - RECT 108150.0 147300.0 109350.0 148500.0 ; - RECT 105150.0 162600.0 106350.0 163800.0 ; - RECT 108150.0 165300.0 109350.0 166500.0 ; - RECT 111150.0 178200.0 112350.0 179400.0 ; - RECT 102150.0 175500.0 103350.0 176700.0 ; - RECT 105150.0 190800.0 106350.0 192000.0 ; - RECT 102150.0 193500.0 103350.0 194700.0 ; - RECT 79950.0 148800.0 76200.0 149700.0 ; - RECT 79950.0 164100.0 76200.0 165000.0 ; - RECT 79950.0 177000.0 76200.0 177900.0 ; - RECT 79950.0 192300.0 76200.0 193200.0 ; - RECT 130800.0 156450.0 76200.0 157350.0 ; - RECT 130800.0 184650.0 76200.0 185550.0 ; - RECT 130800.0 142350.0 76200.0 143250.0 ; - RECT 130800.0 170550.0 76200.0 171450.0 ; - RECT 130800.0 198750.0 76200.0 199650.0 ; - RECT 78000.0 201150.0 79200.0 198750.0 ; - RECT 78000.0 210150.0 79200.0 213750.0 ; - RECT 82800.0 210150.0 84000.0 213750.0 ; - RECT 85200.0 211350.0 86400.0 213300.0 ; - RECT 85200.0 199200.0 86400.0 201450.0 ; - RECT 78000.0 210150.0 79200.0 211350.0 ; - RECT 80400.0 210150.0 81600.0 211350.0 ; - RECT 80400.0 210150.0 81600.0 211350.0 ; - RECT 78000.0 210150.0 79200.0 211350.0 ; - RECT 80400.0 210150.0 81600.0 211350.0 ; - RECT 82800.0 210150.0 84000.0 211350.0 ; - RECT 82800.0 210150.0 84000.0 211350.0 ; - RECT 80400.0 210150.0 81600.0 211350.0 ; - RECT 78000.0 201150.0 79200.0 202350.0 ; - RECT 80400.0 201150.0 81600.0 202350.0 ; - RECT 80400.0 201150.0 81600.0 202350.0 ; - RECT 78000.0 201150.0 79200.0 202350.0 ; - RECT 80400.0 201150.0 81600.0 202350.0 ; - RECT 82800.0 201150.0 84000.0 202350.0 ; - RECT 82800.0 201150.0 84000.0 202350.0 ; - RECT 80400.0 201150.0 81600.0 202350.0 ; - RECT 85200.0 210750.0 86400.0 211950.0 ; - RECT 85200.0 200850.0 86400.0 202050.0 ; - RECT 82800.0 203700.0 81600.0 204900.0 ; - RECT 79800.0 206400.0 78600.0 207600.0 ; - RECT 80400.0 210150.0 81600.0 211350.0 ; - RECT 82800.0 201150.0 84000.0 202350.0 ; - RECT 84000.0 206400.0 82800.0 207600.0 ; - RECT 78600.0 206400.0 79800.0 207600.0 ; - RECT 81600.0 203700.0 82800.0 204900.0 ; - RECT 82800.0 206400.0 84000.0 207600.0 ; - RECT 76200.0 212850.0 90600.0 213750.0 ; - RECT 76200.0 198750.0 90600.0 199650.0 ; - RECT 78000.0 225450.0 79200.0 227850.0 ; - RECT 78000.0 216450.0 79200.0 212850.0 ; - RECT 82800.0 216450.0 84000.0 212850.0 ; - RECT 85200.0 215250.0 86400.0 213300.0 ; - RECT 85200.0 227400.0 86400.0 225150.0 ; - RECT 78000.0 216450.0 79200.0 215250.0 ; - RECT 80400.0 216450.0 81600.0 215250.0 ; - RECT 80400.0 216450.0 81600.0 215250.0 ; - RECT 78000.0 216450.0 79200.0 215250.0 ; - RECT 80400.0 216450.0 81600.0 215250.0 ; - RECT 82800.0 216450.0 84000.0 215250.0 ; - RECT 82800.0 216450.0 84000.0 215250.0 ; - RECT 80400.0 216450.0 81600.0 215250.0 ; - RECT 78000.0 225450.0 79200.0 224250.0 ; - RECT 80400.0 225450.0 81600.0 224250.0 ; - RECT 80400.0 225450.0 81600.0 224250.0 ; - RECT 78000.0 225450.0 79200.0 224250.0 ; - RECT 80400.0 225450.0 81600.0 224250.0 ; - RECT 82800.0 225450.0 84000.0 224250.0 ; - RECT 82800.0 225450.0 84000.0 224250.0 ; - RECT 80400.0 225450.0 81600.0 224250.0 ; - RECT 85200.0 215850.0 86400.0 214650.0 ; - RECT 85200.0 225750.0 86400.0 224550.0 ; - RECT 82800.0 222900.0 81600.0 221700.0 ; - RECT 79800.0 220200.0 78600.0 219000.0 ; - RECT 80400.0 216450.0 81600.0 215250.0 ; - RECT 82800.0 225450.0 84000.0 224250.0 ; - RECT 84000.0 220200.0 82800.0 219000.0 ; - RECT 78600.0 220200.0 79800.0 219000.0 ; - RECT 81600.0 222900.0 82800.0 221700.0 ; - RECT 82800.0 220200.0 84000.0 219000.0 ; - RECT 76200.0 213750.0 90600.0 212850.0 ; - RECT 76200.0 227850.0 90600.0 226950.0 ; - RECT 78000.0 229350.0 79200.0 226950.0 ; - RECT 78000.0 238350.0 79200.0 241950.0 ; - RECT 82800.0 238350.0 84000.0 241950.0 ; - RECT 85200.0 239550.0 86400.0 241500.0 ; - RECT 85200.0 227400.0 86400.0 229650.0 ; - RECT 78000.0 238350.0 79200.0 239550.0 ; - RECT 80400.0 238350.0 81600.0 239550.0 ; - RECT 80400.0 238350.0 81600.0 239550.0 ; - RECT 78000.0 238350.0 79200.0 239550.0 ; - RECT 80400.0 238350.0 81600.0 239550.0 ; - RECT 82800.0 238350.0 84000.0 239550.0 ; - RECT 82800.0 238350.0 84000.0 239550.0 ; - RECT 80400.0 238350.0 81600.0 239550.0 ; - RECT 78000.0 229350.0 79200.0 230550.0 ; - RECT 80400.0 229350.0 81600.0 230550.0 ; - RECT 80400.0 229350.0 81600.0 230550.0 ; - RECT 78000.0 229350.0 79200.0 230550.0 ; - RECT 80400.0 229350.0 81600.0 230550.0 ; - RECT 82800.0 229350.0 84000.0 230550.0 ; - RECT 82800.0 229350.0 84000.0 230550.0 ; - RECT 80400.0 229350.0 81600.0 230550.0 ; - RECT 85200.0 238950.0 86400.0 240150.0 ; - RECT 85200.0 229050.0 86400.0 230250.0 ; - RECT 82800.0 231900.0 81600.0 233100.0 ; - RECT 79800.0 234600.0 78600.0 235800.0 ; - RECT 80400.0 238350.0 81600.0 239550.0 ; - RECT 82800.0 229350.0 84000.0 230550.0 ; - RECT 84000.0 234600.0 82800.0 235800.0 ; - RECT 78600.0 234600.0 79800.0 235800.0 ; - RECT 81600.0 231900.0 82800.0 233100.0 ; - RECT 82800.0 234600.0 84000.0 235800.0 ; - RECT 76200.0 241050.0 90600.0 241950.0 ; - RECT 76200.0 226950.0 90600.0 227850.0 ; - RECT 78000.0 253650.0 79200.0 256050.0 ; - RECT 78000.0 244650.0 79200.0 241050.0 ; - RECT 82800.0 244650.0 84000.0 241050.0 ; - RECT 85200.0 243450.0 86400.0 241500.0 ; - RECT 85200.0 255600.0 86400.0 253350.0 ; - RECT 78000.0 244650.0 79200.0 243450.0 ; - RECT 80400.0 244650.0 81600.0 243450.0 ; - RECT 80400.0 244650.0 81600.0 243450.0 ; - RECT 78000.0 244650.0 79200.0 243450.0 ; - RECT 80400.0 244650.0 81600.0 243450.0 ; - RECT 82800.0 244650.0 84000.0 243450.0 ; - RECT 82800.0 244650.0 84000.0 243450.0 ; - RECT 80400.0 244650.0 81600.0 243450.0 ; - RECT 78000.0 253650.0 79200.0 252450.0 ; - RECT 80400.0 253650.0 81600.0 252450.0 ; - RECT 80400.0 253650.0 81600.0 252450.0 ; - RECT 78000.0 253650.0 79200.0 252450.0 ; - RECT 80400.0 253650.0 81600.0 252450.0 ; - RECT 82800.0 253650.0 84000.0 252450.0 ; - RECT 82800.0 253650.0 84000.0 252450.0 ; - RECT 80400.0 253650.0 81600.0 252450.0 ; - RECT 85200.0 244050.0 86400.0 242850.0 ; - RECT 85200.0 253950.0 86400.0 252750.0 ; - RECT 82800.0 251100.0 81600.0 249900.0 ; - RECT 79800.0 248400.0 78600.0 247200.0 ; - RECT 80400.0 244650.0 81600.0 243450.0 ; - RECT 82800.0 253650.0 84000.0 252450.0 ; - RECT 84000.0 248400.0 82800.0 247200.0 ; - RECT 78600.0 248400.0 79800.0 247200.0 ; - RECT 81600.0 251100.0 82800.0 249900.0 ; - RECT 82800.0 248400.0 84000.0 247200.0 ; - RECT 76200.0 241950.0 90600.0 241050.0 ; - RECT 76200.0 256050.0 90600.0 255150.0 ; - RECT 78000.0 257550.0 79200.0 255150.0 ; - RECT 78000.0 266550.0 79200.0 270150.0 ; - RECT 82800.0 266550.0 84000.0 270150.0 ; - RECT 85200.0 267750.0 86400.0 269700.0 ; - RECT 85200.0 255600.0 86400.0 257850.0 ; - RECT 78000.0 266550.0 79200.0 267750.0 ; - RECT 80400.0 266550.0 81600.0 267750.0 ; - RECT 80400.0 266550.0 81600.0 267750.0 ; - RECT 78000.0 266550.0 79200.0 267750.0 ; - RECT 80400.0 266550.0 81600.0 267750.0 ; - RECT 82800.0 266550.0 84000.0 267750.0 ; - RECT 82800.0 266550.0 84000.0 267750.0 ; - RECT 80400.0 266550.0 81600.0 267750.0 ; - RECT 78000.0 257550.0 79200.0 258750.0 ; - RECT 80400.0 257550.0 81600.0 258750.0 ; - RECT 80400.0 257550.0 81600.0 258750.0 ; - RECT 78000.0 257550.0 79200.0 258750.0 ; - RECT 80400.0 257550.0 81600.0 258750.0 ; - RECT 82800.0 257550.0 84000.0 258750.0 ; - RECT 82800.0 257550.0 84000.0 258750.0 ; - RECT 80400.0 257550.0 81600.0 258750.0 ; - RECT 85200.0 267150.0 86400.0 268350.0 ; - RECT 85200.0 257250.0 86400.0 258450.0 ; - RECT 82800.0 260100.0 81600.0 261300.0 ; - RECT 79800.0 262800.0 78600.0 264000.0 ; - RECT 80400.0 266550.0 81600.0 267750.0 ; - RECT 82800.0 257550.0 84000.0 258750.0 ; - RECT 84000.0 262800.0 82800.0 264000.0 ; - RECT 78600.0 262800.0 79800.0 264000.0 ; - RECT 81600.0 260100.0 82800.0 261300.0 ; - RECT 82800.0 262800.0 84000.0 264000.0 ; - RECT 76200.0 269250.0 90600.0 270150.0 ; - RECT 76200.0 255150.0 90600.0 256050.0 ; - RECT 78000.0 281850.0 79200.0 284250.0 ; - RECT 78000.0 272850.0 79200.0 269250.0 ; - RECT 82800.0 272850.0 84000.0 269250.0 ; - RECT 85200.0 271650.0 86400.0 269700.0 ; - RECT 85200.0 283800.0 86400.0 281550.0 ; - RECT 78000.0 272850.0 79200.0 271650.0 ; - RECT 80400.0 272850.0 81600.0 271650.0 ; - RECT 80400.0 272850.0 81600.0 271650.0 ; - RECT 78000.0 272850.0 79200.0 271650.0 ; - RECT 80400.0 272850.0 81600.0 271650.0 ; - RECT 82800.0 272850.0 84000.0 271650.0 ; - RECT 82800.0 272850.0 84000.0 271650.0 ; - RECT 80400.0 272850.0 81600.0 271650.0 ; - RECT 78000.0 281850.0 79200.0 280650.0 ; - RECT 80400.0 281850.0 81600.0 280650.0 ; - RECT 80400.0 281850.0 81600.0 280650.0 ; - RECT 78000.0 281850.0 79200.0 280650.0 ; - RECT 80400.0 281850.0 81600.0 280650.0 ; - RECT 82800.0 281850.0 84000.0 280650.0 ; - RECT 82800.0 281850.0 84000.0 280650.0 ; - RECT 80400.0 281850.0 81600.0 280650.0 ; - RECT 85200.0 272250.0 86400.0 271050.0 ; - RECT 85200.0 282150.0 86400.0 280950.0 ; - RECT 82800.0 279300.0 81600.0 278100.0 ; - RECT 79800.0 276600.0 78600.0 275400.0 ; - RECT 80400.0 272850.0 81600.0 271650.0 ; - RECT 82800.0 281850.0 84000.0 280650.0 ; - RECT 84000.0 276600.0 82800.0 275400.0 ; - RECT 78600.0 276600.0 79800.0 275400.0 ; - RECT 81600.0 279300.0 82800.0 278100.0 ; - RECT 82800.0 276600.0 84000.0 275400.0 ; - RECT 76200.0 270150.0 90600.0 269250.0 ; - RECT 76200.0 284250.0 90600.0 283350.0 ; - RECT 78000.0 285750.0 79200.0 283350.0 ; - RECT 78000.0 294750.0 79200.0 298350.0 ; - RECT 82800.0 294750.0 84000.0 298350.0 ; - RECT 85200.0 295950.0 86400.0 297900.0 ; - RECT 85200.0 283800.0 86400.0 286050.0 ; - RECT 78000.0 294750.0 79200.0 295950.0 ; - RECT 80400.0 294750.0 81600.0 295950.0 ; - RECT 80400.0 294750.0 81600.0 295950.0 ; - RECT 78000.0 294750.0 79200.0 295950.0 ; - RECT 80400.0 294750.0 81600.0 295950.0 ; - RECT 82800.0 294750.0 84000.0 295950.0 ; - RECT 82800.0 294750.0 84000.0 295950.0 ; - RECT 80400.0 294750.0 81600.0 295950.0 ; - RECT 78000.0 285750.0 79200.0 286950.0 ; - RECT 80400.0 285750.0 81600.0 286950.0 ; - RECT 80400.0 285750.0 81600.0 286950.0 ; - RECT 78000.0 285750.0 79200.0 286950.0 ; - RECT 80400.0 285750.0 81600.0 286950.0 ; - RECT 82800.0 285750.0 84000.0 286950.0 ; - RECT 82800.0 285750.0 84000.0 286950.0 ; - RECT 80400.0 285750.0 81600.0 286950.0 ; - RECT 85200.0 295350.0 86400.0 296550.0 ; - RECT 85200.0 285450.0 86400.0 286650.0 ; - RECT 82800.0 288300.0 81600.0 289500.0 ; - RECT 79800.0 291000.0 78600.0 292200.0 ; - RECT 80400.0 294750.0 81600.0 295950.0 ; - RECT 82800.0 285750.0 84000.0 286950.0 ; - RECT 84000.0 291000.0 82800.0 292200.0 ; - RECT 78600.0 291000.0 79800.0 292200.0 ; - RECT 81600.0 288300.0 82800.0 289500.0 ; - RECT 82800.0 291000.0 84000.0 292200.0 ; - RECT 76200.0 297450.0 90600.0 298350.0 ; - RECT 76200.0 283350.0 90600.0 284250.0 ; - RECT 78000.0 310050.0 79200.0 312450.0 ; - RECT 78000.0 301050.0 79200.0 297450.0 ; - RECT 82800.0 301050.0 84000.0 297450.0 ; - RECT 85200.0 299850.0 86400.0 297900.0 ; - RECT 85200.0 312000.0 86400.0 309750.0 ; - RECT 78000.0 301050.0 79200.0 299850.0 ; - RECT 80400.0 301050.0 81600.0 299850.0 ; - RECT 80400.0 301050.0 81600.0 299850.0 ; - RECT 78000.0 301050.0 79200.0 299850.0 ; - RECT 80400.0 301050.0 81600.0 299850.0 ; - RECT 82800.0 301050.0 84000.0 299850.0 ; - RECT 82800.0 301050.0 84000.0 299850.0 ; - RECT 80400.0 301050.0 81600.0 299850.0 ; - RECT 78000.0 310050.0 79200.0 308850.0 ; - RECT 80400.0 310050.0 81600.0 308850.0 ; - RECT 80400.0 310050.0 81600.0 308850.0 ; - RECT 78000.0 310050.0 79200.0 308850.0 ; - RECT 80400.0 310050.0 81600.0 308850.0 ; - RECT 82800.0 310050.0 84000.0 308850.0 ; - RECT 82800.0 310050.0 84000.0 308850.0 ; - RECT 80400.0 310050.0 81600.0 308850.0 ; - RECT 85200.0 300450.0 86400.0 299250.0 ; - RECT 85200.0 310350.0 86400.0 309150.0 ; - RECT 82800.0 307500.0 81600.0 306300.0 ; - RECT 79800.0 304800.0 78600.0 303600.0 ; - RECT 80400.0 301050.0 81600.0 299850.0 ; - RECT 82800.0 310050.0 84000.0 308850.0 ; - RECT 84000.0 304800.0 82800.0 303600.0 ; - RECT 78600.0 304800.0 79800.0 303600.0 ; - RECT 81600.0 307500.0 82800.0 306300.0 ; - RECT 82800.0 304800.0 84000.0 303600.0 ; - RECT 76200.0 298350.0 90600.0 297450.0 ; - RECT 76200.0 312450.0 90600.0 311550.0 ; - RECT 78000.0 313950.0 79200.0 311550.0 ; - RECT 78000.0 322950.0 79200.0 326550.0 ; - RECT 82800.0 322950.0 84000.0 326550.0 ; - RECT 85200.0 324150.0 86400.0 326100.0 ; - RECT 85200.0 312000.0 86400.0 314250.0 ; - RECT 78000.0 322950.0 79200.0 324150.0 ; - RECT 80400.0 322950.0 81600.0 324150.0 ; - RECT 80400.0 322950.0 81600.0 324150.0 ; - RECT 78000.0 322950.0 79200.0 324150.0 ; - RECT 80400.0 322950.0 81600.0 324150.0 ; - RECT 82800.0 322950.0 84000.0 324150.0 ; - RECT 82800.0 322950.0 84000.0 324150.0 ; - RECT 80400.0 322950.0 81600.0 324150.0 ; - RECT 78000.0 313950.0 79200.0 315150.0 ; - RECT 80400.0 313950.0 81600.0 315150.0 ; - RECT 80400.0 313950.0 81600.0 315150.0 ; - RECT 78000.0 313950.0 79200.0 315150.0 ; - RECT 80400.0 313950.0 81600.0 315150.0 ; - RECT 82800.0 313950.0 84000.0 315150.0 ; - RECT 82800.0 313950.0 84000.0 315150.0 ; - RECT 80400.0 313950.0 81600.0 315150.0 ; - RECT 85200.0 323550.0 86400.0 324750.0 ; - RECT 85200.0 313650.0 86400.0 314850.0 ; - RECT 82800.0 316500.0 81600.0 317700.0 ; - RECT 79800.0 319200.0 78600.0 320400.0 ; - RECT 80400.0 322950.0 81600.0 324150.0 ; - RECT 82800.0 313950.0 84000.0 315150.0 ; - RECT 84000.0 319200.0 82800.0 320400.0 ; - RECT 78600.0 319200.0 79800.0 320400.0 ; - RECT 81600.0 316500.0 82800.0 317700.0 ; - RECT 82800.0 319200.0 84000.0 320400.0 ; - RECT 76200.0 325650.0 90600.0 326550.0 ; - RECT 76200.0 311550.0 90600.0 312450.0 ; - RECT 78000.0 338250.0 79200.0 340650.0 ; - RECT 78000.0 329250.0 79200.0 325650.0 ; - RECT 82800.0 329250.0 84000.0 325650.0 ; - RECT 85200.0 328050.0 86400.0 326100.0 ; - RECT 85200.0 340200.0 86400.0 337950.0 ; - RECT 78000.0 329250.0 79200.0 328050.0 ; - RECT 80400.0 329250.0 81600.0 328050.0 ; - RECT 80400.0 329250.0 81600.0 328050.0 ; - RECT 78000.0 329250.0 79200.0 328050.0 ; - RECT 80400.0 329250.0 81600.0 328050.0 ; - RECT 82800.0 329250.0 84000.0 328050.0 ; - RECT 82800.0 329250.0 84000.0 328050.0 ; - RECT 80400.0 329250.0 81600.0 328050.0 ; - RECT 78000.0 338250.0 79200.0 337050.0 ; - RECT 80400.0 338250.0 81600.0 337050.0 ; - RECT 80400.0 338250.0 81600.0 337050.0 ; - RECT 78000.0 338250.0 79200.0 337050.0 ; - RECT 80400.0 338250.0 81600.0 337050.0 ; - RECT 82800.0 338250.0 84000.0 337050.0 ; - RECT 82800.0 338250.0 84000.0 337050.0 ; - RECT 80400.0 338250.0 81600.0 337050.0 ; - RECT 85200.0 328650.0 86400.0 327450.0 ; - RECT 85200.0 338550.0 86400.0 337350.0 ; - RECT 82800.0 335700.0 81600.0 334500.0 ; - RECT 79800.0 333000.0 78600.0 331800.0 ; - RECT 80400.0 329250.0 81600.0 328050.0 ; - RECT 82800.0 338250.0 84000.0 337050.0 ; - RECT 84000.0 333000.0 82800.0 331800.0 ; - RECT 78600.0 333000.0 79800.0 331800.0 ; - RECT 81600.0 335700.0 82800.0 334500.0 ; - RECT 82800.0 333000.0 84000.0 331800.0 ; - RECT 76200.0 326550.0 90600.0 325650.0 ; - RECT 76200.0 340650.0 90600.0 339750.0 ; - RECT 78000.0 342150.0 79200.0 339750.0 ; - RECT 78000.0 351150.0 79200.0 354750.0 ; - RECT 82800.0 351150.0 84000.0 354750.0 ; - RECT 85200.0 352350.0 86400.0 354300.0 ; - RECT 85200.0 340200.0 86400.0 342450.0 ; - RECT 78000.0 351150.0 79200.0 352350.0 ; - RECT 80400.0 351150.0 81600.0 352350.0 ; - RECT 80400.0 351150.0 81600.0 352350.0 ; - RECT 78000.0 351150.0 79200.0 352350.0 ; - RECT 80400.0 351150.0 81600.0 352350.0 ; - RECT 82800.0 351150.0 84000.0 352350.0 ; - RECT 82800.0 351150.0 84000.0 352350.0 ; - RECT 80400.0 351150.0 81600.0 352350.0 ; - RECT 78000.0 342150.0 79200.0 343350.0 ; - RECT 80400.0 342150.0 81600.0 343350.0 ; - RECT 80400.0 342150.0 81600.0 343350.0 ; - RECT 78000.0 342150.0 79200.0 343350.0 ; - RECT 80400.0 342150.0 81600.0 343350.0 ; - RECT 82800.0 342150.0 84000.0 343350.0 ; - RECT 82800.0 342150.0 84000.0 343350.0 ; - RECT 80400.0 342150.0 81600.0 343350.0 ; - RECT 85200.0 351750.0 86400.0 352950.0 ; - RECT 85200.0 341850.0 86400.0 343050.0 ; - RECT 82800.0 344700.0 81600.0 345900.0 ; - RECT 79800.0 347400.0 78600.0 348600.0 ; - RECT 80400.0 351150.0 81600.0 352350.0 ; - RECT 82800.0 342150.0 84000.0 343350.0 ; - RECT 84000.0 347400.0 82800.0 348600.0 ; - RECT 78600.0 347400.0 79800.0 348600.0 ; - RECT 81600.0 344700.0 82800.0 345900.0 ; - RECT 82800.0 347400.0 84000.0 348600.0 ; - RECT 76200.0 353850.0 90600.0 354750.0 ; - RECT 76200.0 339750.0 90600.0 340650.0 ; - RECT 78000.0 366450.0 79200.0 368850.0 ; - RECT 78000.0 357450.0 79200.0 353850.0 ; - RECT 82800.0 357450.0 84000.0 353850.0 ; - RECT 85200.0 356250.0 86400.0 354300.0 ; - RECT 85200.0 368400.0 86400.0 366150.0 ; - RECT 78000.0 357450.0 79200.0 356250.0 ; - RECT 80400.0 357450.0 81600.0 356250.0 ; - RECT 80400.0 357450.0 81600.0 356250.0 ; - RECT 78000.0 357450.0 79200.0 356250.0 ; - RECT 80400.0 357450.0 81600.0 356250.0 ; - RECT 82800.0 357450.0 84000.0 356250.0 ; - RECT 82800.0 357450.0 84000.0 356250.0 ; - RECT 80400.0 357450.0 81600.0 356250.0 ; - RECT 78000.0 366450.0 79200.0 365250.0 ; - RECT 80400.0 366450.0 81600.0 365250.0 ; - RECT 80400.0 366450.0 81600.0 365250.0 ; - RECT 78000.0 366450.0 79200.0 365250.0 ; - RECT 80400.0 366450.0 81600.0 365250.0 ; - RECT 82800.0 366450.0 84000.0 365250.0 ; - RECT 82800.0 366450.0 84000.0 365250.0 ; - RECT 80400.0 366450.0 81600.0 365250.0 ; - RECT 85200.0 356850.0 86400.0 355650.0 ; - RECT 85200.0 366750.0 86400.0 365550.0 ; - RECT 82800.0 363900.0 81600.0 362700.0 ; - RECT 79800.0 361200.0 78600.0 360000.0 ; - RECT 80400.0 357450.0 81600.0 356250.0 ; - RECT 82800.0 366450.0 84000.0 365250.0 ; - RECT 84000.0 361200.0 82800.0 360000.0 ; - RECT 78600.0 361200.0 79800.0 360000.0 ; - RECT 81600.0 363900.0 82800.0 362700.0 ; - RECT 82800.0 361200.0 84000.0 360000.0 ; - RECT 76200.0 354750.0 90600.0 353850.0 ; - RECT 76200.0 368850.0 90600.0 367950.0 ; - RECT 78000.0 370350.0 79200.0 367950.0 ; - RECT 78000.0 379350.0 79200.0 382950.0 ; - RECT 82800.0 379350.0 84000.0 382950.0 ; - RECT 85200.0 380550.0 86400.0 382500.0 ; - RECT 85200.0 368400.0 86400.0 370650.0 ; - RECT 78000.0 379350.0 79200.0 380550.0 ; - RECT 80400.0 379350.0 81600.0 380550.0 ; - RECT 80400.0 379350.0 81600.0 380550.0 ; - RECT 78000.0 379350.0 79200.0 380550.0 ; - RECT 80400.0 379350.0 81600.0 380550.0 ; - RECT 82800.0 379350.0 84000.0 380550.0 ; - RECT 82800.0 379350.0 84000.0 380550.0 ; - RECT 80400.0 379350.0 81600.0 380550.0 ; - RECT 78000.0 370350.0 79200.0 371550.0 ; - RECT 80400.0 370350.0 81600.0 371550.0 ; - RECT 80400.0 370350.0 81600.0 371550.0 ; - RECT 78000.0 370350.0 79200.0 371550.0 ; - RECT 80400.0 370350.0 81600.0 371550.0 ; - RECT 82800.0 370350.0 84000.0 371550.0 ; - RECT 82800.0 370350.0 84000.0 371550.0 ; - RECT 80400.0 370350.0 81600.0 371550.0 ; - RECT 85200.0 379950.0 86400.0 381150.0 ; - RECT 85200.0 370050.0 86400.0 371250.0 ; - RECT 82800.0 372900.0 81600.0 374100.0 ; - RECT 79800.0 375600.0 78600.0 376800.0 ; - RECT 80400.0 379350.0 81600.0 380550.0 ; - RECT 82800.0 370350.0 84000.0 371550.0 ; - RECT 84000.0 375600.0 82800.0 376800.0 ; - RECT 78600.0 375600.0 79800.0 376800.0 ; - RECT 81600.0 372900.0 82800.0 374100.0 ; - RECT 82800.0 375600.0 84000.0 376800.0 ; - RECT 76200.0 382050.0 90600.0 382950.0 ; - RECT 76200.0 367950.0 90600.0 368850.0 ; - RECT 78000.0 394650.0 79200.0 397050.0 ; - RECT 78000.0 385650.0 79200.0 382050.0 ; - RECT 82800.0 385650.0 84000.0 382050.0 ; - RECT 85200.0 384450.0 86400.0 382500.0 ; - RECT 85200.0 396600.0 86400.0 394350.0 ; - RECT 78000.0 385650.0 79200.0 384450.0 ; - RECT 80400.0 385650.0 81600.0 384450.0 ; - RECT 80400.0 385650.0 81600.0 384450.0 ; - RECT 78000.0 385650.0 79200.0 384450.0 ; - RECT 80400.0 385650.0 81600.0 384450.0 ; - RECT 82800.0 385650.0 84000.0 384450.0 ; - RECT 82800.0 385650.0 84000.0 384450.0 ; - RECT 80400.0 385650.0 81600.0 384450.0 ; - RECT 78000.0 394650.0 79200.0 393450.0 ; - RECT 80400.0 394650.0 81600.0 393450.0 ; - RECT 80400.0 394650.0 81600.0 393450.0 ; - RECT 78000.0 394650.0 79200.0 393450.0 ; - RECT 80400.0 394650.0 81600.0 393450.0 ; - RECT 82800.0 394650.0 84000.0 393450.0 ; - RECT 82800.0 394650.0 84000.0 393450.0 ; - RECT 80400.0 394650.0 81600.0 393450.0 ; - RECT 85200.0 385050.0 86400.0 383850.0 ; - RECT 85200.0 394950.0 86400.0 393750.0 ; - RECT 82800.0 392100.0 81600.0 390900.0 ; - RECT 79800.0 389400.0 78600.0 388200.0 ; - RECT 80400.0 385650.0 81600.0 384450.0 ; - RECT 82800.0 394650.0 84000.0 393450.0 ; - RECT 84000.0 389400.0 82800.0 388200.0 ; - RECT 78600.0 389400.0 79800.0 388200.0 ; - RECT 81600.0 392100.0 82800.0 390900.0 ; - RECT 82800.0 389400.0 84000.0 388200.0 ; - RECT 76200.0 382950.0 90600.0 382050.0 ; - RECT 76200.0 397050.0 90600.0 396150.0 ; - RECT 78000.0 398550.0 79200.0 396150.0 ; - RECT 78000.0 407550.0 79200.0 411150.0 ; - RECT 82800.0 407550.0 84000.0 411150.0 ; - RECT 85200.0 408750.0 86400.0 410700.0 ; - RECT 85200.0 396600.0 86400.0 398850.0 ; - RECT 78000.0 407550.0 79200.0 408750.0 ; - RECT 80400.0 407550.0 81600.0 408750.0 ; - RECT 80400.0 407550.0 81600.0 408750.0 ; - RECT 78000.0 407550.0 79200.0 408750.0 ; - RECT 80400.0 407550.0 81600.0 408750.0 ; - RECT 82800.0 407550.0 84000.0 408750.0 ; - RECT 82800.0 407550.0 84000.0 408750.0 ; - RECT 80400.0 407550.0 81600.0 408750.0 ; - RECT 78000.0 398550.0 79200.0 399750.0 ; - RECT 80400.0 398550.0 81600.0 399750.0 ; - RECT 80400.0 398550.0 81600.0 399750.0 ; - RECT 78000.0 398550.0 79200.0 399750.0 ; - RECT 80400.0 398550.0 81600.0 399750.0 ; - RECT 82800.0 398550.0 84000.0 399750.0 ; - RECT 82800.0 398550.0 84000.0 399750.0 ; - RECT 80400.0 398550.0 81600.0 399750.0 ; - RECT 85200.0 408150.0 86400.0 409350.0 ; - RECT 85200.0 398250.0 86400.0 399450.0 ; - RECT 82800.0 401100.0 81600.0 402300.0 ; - RECT 79800.0 403800.0 78600.0 405000.0 ; - RECT 80400.0 407550.0 81600.0 408750.0 ; - RECT 82800.0 398550.0 84000.0 399750.0 ; - RECT 84000.0 403800.0 82800.0 405000.0 ; - RECT 78600.0 403800.0 79800.0 405000.0 ; - RECT 81600.0 401100.0 82800.0 402300.0 ; - RECT 82800.0 403800.0 84000.0 405000.0 ; - RECT 76200.0 410250.0 90600.0 411150.0 ; - RECT 76200.0 396150.0 90600.0 397050.0 ; - RECT 78000.0 422850.0 79200.0 425250.0 ; - RECT 78000.0 413850.0 79200.0 410250.0 ; - RECT 82800.0 413850.0 84000.0 410250.0 ; - RECT 85200.0 412650.0 86400.0 410700.0 ; - RECT 85200.0 424800.0 86400.0 422550.0 ; - RECT 78000.0 413850.0 79200.0 412650.0 ; - RECT 80400.0 413850.0 81600.0 412650.0 ; - RECT 80400.0 413850.0 81600.0 412650.0 ; - RECT 78000.0 413850.0 79200.0 412650.0 ; - RECT 80400.0 413850.0 81600.0 412650.0 ; - RECT 82800.0 413850.0 84000.0 412650.0 ; - RECT 82800.0 413850.0 84000.0 412650.0 ; - RECT 80400.0 413850.0 81600.0 412650.0 ; - RECT 78000.0 422850.0 79200.0 421650.0 ; - RECT 80400.0 422850.0 81600.0 421650.0 ; - RECT 80400.0 422850.0 81600.0 421650.0 ; - RECT 78000.0 422850.0 79200.0 421650.0 ; - RECT 80400.0 422850.0 81600.0 421650.0 ; - RECT 82800.0 422850.0 84000.0 421650.0 ; - RECT 82800.0 422850.0 84000.0 421650.0 ; - RECT 80400.0 422850.0 81600.0 421650.0 ; - RECT 85200.0 413250.0 86400.0 412050.0 ; - RECT 85200.0 423150.0 86400.0 421950.0 ; - RECT 82800.0 420300.0 81600.0 419100.0 ; - RECT 79800.0 417600.0 78600.0 416400.0 ; - RECT 80400.0 413850.0 81600.0 412650.0 ; - RECT 82800.0 422850.0 84000.0 421650.0 ; - RECT 84000.0 417600.0 82800.0 416400.0 ; - RECT 78600.0 417600.0 79800.0 416400.0 ; - RECT 81600.0 420300.0 82800.0 419100.0 ; - RECT 82800.0 417600.0 84000.0 416400.0 ; - RECT 76200.0 411150.0 90600.0 410250.0 ; - RECT 76200.0 425250.0 90600.0 424350.0 ; - RECT 97200.0 211350.0 98400.0 213300.0 ; - RECT 97200.0 199200.0 98400.0 201450.0 ; - RECT 92400.0 200550.0 93600.0 198750.0 ; - RECT 92400.0 210150.0 93600.0 213750.0 ; - RECT 95100.0 201750.0 96000.0 210150.0 ; - RECT 92400.0 210150.0 93600.0 211350.0 ; - RECT 94800.0 210150.0 96000.0 211350.0 ; - RECT 94800.0 210150.0 96000.0 211350.0 ; - RECT 92400.0 210150.0 93600.0 211350.0 ; - RECT 92400.0 200550.0 93600.0 201750.0 ; - RECT 94800.0 200550.0 96000.0 201750.0 ; - RECT 94800.0 200550.0 96000.0 201750.0 ; - RECT 92400.0 200550.0 93600.0 201750.0 ; - RECT 97200.0 210750.0 98400.0 211950.0 ; - RECT 97200.0 200850.0 98400.0 202050.0 ; - RECT 93000.0 205050.0 94200.0 206250.0 ; - RECT 93000.0 205050.0 94200.0 206250.0 ; - RECT 95550.0 205200.0 96450.0 206100.0 ; - RECT 90600.0 212850.0 100200.0 213750.0 ; - RECT 90600.0 198750.0 100200.0 199650.0 ; - RECT 97200.0 215250.0 98400.0 213300.0 ; - RECT 97200.0 227400.0 98400.0 225150.0 ; - RECT 92400.0 226050.0 93600.0 227850.0 ; - RECT 92400.0 216450.0 93600.0 212850.0 ; - RECT 95100.0 224850.0 96000.0 216450.0 ; - RECT 92400.0 216450.0 93600.0 215250.0 ; - RECT 94800.0 216450.0 96000.0 215250.0 ; - RECT 94800.0 216450.0 96000.0 215250.0 ; - RECT 92400.0 216450.0 93600.0 215250.0 ; - RECT 92400.0 226050.0 93600.0 224850.0 ; - RECT 94800.0 226050.0 96000.0 224850.0 ; - RECT 94800.0 226050.0 96000.0 224850.0 ; - RECT 92400.0 226050.0 93600.0 224850.0 ; - RECT 97200.0 215850.0 98400.0 214650.0 ; - RECT 97200.0 225750.0 98400.0 224550.0 ; - RECT 93000.0 221550.0 94200.0 220350.0 ; - RECT 93000.0 221550.0 94200.0 220350.0 ; - RECT 95550.0 221400.0 96450.0 220500.0 ; - RECT 90600.0 213750.0 100200.0 212850.0 ; - RECT 90600.0 227850.0 100200.0 226950.0 ; - RECT 97200.0 239550.0 98400.0 241500.0 ; - RECT 97200.0 227400.0 98400.0 229650.0 ; - RECT 92400.0 228750.0 93600.0 226950.0 ; - RECT 92400.0 238350.0 93600.0 241950.0 ; - RECT 95100.0 229950.0 96000.0 238350.0 ; - RECT 92400.0 238350.0 93600.0 239550.0 ; - RECT 94800.0 238350.0 96000.0 239550.0 ; - RECT 94800.0 238350.0 96000.0 239550.0 ; - RECT 92400.0 238350.0 93600.0 239550.0 ; - RECT 92400.0 228750.0 93600.0 229950.0 ; - RECT 94800.0 228750.0 96000.0 229950.0 ; - RECT 94800.0 228750.0 96000.0 229950.0 ; - RECT 92400.0 228750.0 93600.0 229950.0 ; - RECT 97200.0 238950.0 98400.0 240150.0 ; - RECT 97200.0 229050.0 98400.0 230250.0 ; - RECT 93000.0 233250.0 94200.0 234450.0 ; - RECT 93000.0 233250.0 94200.0 234450.0 ; - RECT 95550.0 233400.0 96450.0 234300.0 ; - RECT 90600.0 241050.0 100200.0 241950.0 ; - RECT 90600.0 226950.0 100200.0 227850.0 ; - RECT 97200.0 243450.0 98400.0 241500.0 ; - RECT 97200.0 255600.0 98400.0 253350.0 ; - RECT 92400.0 254250.0 93600.0 256050.0 ; - RECT 92400.0 244650.0 93600.0 241050.0 ; - RECT 95100.0 253050.0 96000.0 244650.0 ; - RECT 92400.0 244650.0 93600.0 243450.0 ; - RECT 94800.0 244650.0 96000.0 243450.0 ; - RECT 94800.0 244650.0 96000.0 243450.0 ; - RECT 92400.0 244650.0 93600.0 243450.0 ; - RECT 92400.0 254250.0 93600.0 253050.0 ; - RECT 94800.0 254250.0 96000.0 253050.0 ; - RECT 94800.0 254250.0 96000.0 253050.0 ; - RECT 92400.0 254250.0 93600.0 253050.0 ; - RECT 97200.0 244050.0 98400.0 242850.0 ; - RECT 97200.0 253950.0 98400.0 252750.0 ; - RECT 93000.0 249750.0 94200.0 248550.0 ; - RECT 93000.0 249750.0 94200.0 248550.0 ; - RECT 95550.0 249600.0 96450.0 248700.0 ; - RECT 90600.0 241950.0 100200.0 241050.0 ; - RECT 90600.0 256050.0 100200.0 255150.0 ; - RECT 97200.0 267750.0 98400.0 269700.0 ; - RECT 97200.0 255600.0 98400.0 257850.0 ; - RECT 92400.0 256950.0 93600.0 255150.0 ; - RECT 92400.0 266550.0 93600.0 270150.0 ; - RECT 95100.0 258150.0 96000.0 266550.0 ; - RECT 92400.0 266550.0 93600.0 267750.0 ; - RECT 94800.0 266550.0 96000.0 267750.0 ; - RECT 94800.0 266550.0 96000.0 267750.0 ; - RECT 92400.0 266550.0 93600.0 267750.0 ; - RECT 92400.0 256950.0 93600.0 258150.0 ; - RECT 94800.0 256950.0 96000.0 258150.0 ; - RECT 94800.0 256950.0 96000.0 258150.0 ; - RECT 92400.0 256950.0 93600.0 258150.0 ; - RECT 97200.0 267150.0 98400.0 268350.0 ; - RECT 97200.0 257250.0 98400.0 258450.0 ; - RECT 93000.0 261450.0 94200.0 262650.0 ; - RECT 93000.0 261450.0 94200.0 262650.0 ; - RECT 95550.0 261600.0 96450.0 262500.0 ; - RECT 90600.0 269250.0 100200.0 270150.0 ; - RECT 90600.0 255150.0 100200.0 256050.0 ; - RECT 97200.0 271650.0 98400.0 269700.0 ; - RECT 97200.0 283800.0 98400.0 281550.0 ; - RECT 92400.0 282450.0 93600.0 284250.0 ; - RECT 92400.0 272850.0 93600.0 269250.0 ; - RECT 95100.0 281250.0 96000.0 272850.0 ; - RECT 92400.0 272850.0 93600.0 271650.0 ; - RECT 94800.0 272850.0 96000.0 271650.0 ; - RECT 94800.0 272850.0 96000.0 271650.0 ; - RECT 92400.0 272850.0 93600.0 271650.0 ; - RECT 92400.0 282450.0 93600.0 281250.0 ; - RECT 94800.0 282450.0 96000.0 281250.0 ; - RECT 94800.0 282450.0 96000.0 281250.0 ; - RECT 92400.0 282450.0 93600.0 281250.0 ; - RECT 97200.0 272250.0 98400.0 271050.0 ; - RECT 97200.0 282150.0 98400.0 280950.0 ; - RECT 93000.0 277950.0 94200.0 276750.0 ; - RECT 93000.0 277950.0 94200.0 276750.0 ; - RECT 95550.0 277800.0 96450.0 276900.0 ; - RECT 90600.0 270150.0 100200.0 269250.0 ; - RECT 90600.0 284250.0 100200.0 283350.0 ; - RECT 97200.0 295950.0 98400.0 297900.0 ; - RECT 97200.0 283800.0 98400.0 286050.0 ; - RECT 92400.0 285150.0 93600.0 283350.0 ; - RECT 92400.0 294750.0 93600.0 298350.0 ; - RECT 95100.0 286350.0 96000.0 294750.0 ; - RECT 92400.0 294750.0 93600.0 295950.0 ; - RECT 94800.0 294750.0 96000.0 295950.0 ; - RECT 94800.0 294750.0 96000.0 295950.0 ; - RECT 92400.0 294750.0 93600.0 295950.0 ; - RECT 92400.0 285150.0 93600.0 286350.0 ; - RECT 94800.0 285150.0 96000.0 286350.0 ; - RECT 94800.0 285150.0 96000.0 286350.0 ; - RECT 92400.0 285150.0 93600.0 286350.0 ; - RECT 97200.0 295350.0 98400.0 296550.0 ; - RECT 97200.0 285450.0 98400.0 286650.0 ; - RECT 93000.0 289650.0 94200.0 290850.0 ; - RECT 93000.0 289650.0 94200.0 290850.0 ; - RECT 95550.0 289800.0 96450.0 290700.0 ; - RECT 90600.0 297450.0 100200.0 298350.0 ; - RECT 90600.0 283350.0 100200.0 284250.0 ; - RECT 97200.0 299850.0 98400.0 297900.0 ; - RECT 97200.0 312000.0 98400.0 309750.0 ; - RECT 92400.0 310650.0 93600.0 312450.0 ; - RECT 92400.0 301050.0 93600.0 297450.0 ; - RECT 95100.0 309450.0 96000.0 301050.0 ; - RECT 92400.0 301050.0 93600.0 299850.0 ; - RECT 94800.0 301050.0 96000.0 299850.0 ; - RECT 94800.0 301050.0 96000.0 299850.0 ; - RECT 92400.0 301050.0 93600.0 299850.0 ; - RECT 92400.0 310650.0 93600.0 309450.0 ; - RECT 94800.0 310650.0 96000.0 309450.0 ; - RECT 94800.0 310650.0 96000.0 309450.0 ; - RECT 92400.0 310650.0 93600.0 309450.0 ; - RECT 97200.0 300450.0 98400.0 299250.0 ; - RECT 97200.0 310350.0 98400.0 309150.0 ; - RECT 93000.0 306150.0 94200.0 304950.0 ; - RECT 93000.0 306150.0 94200.0 304950.0 ; - RECT 95550.0 306000.0 96450.0 305100.0 ; - RECT 90600.0 298350.0 100200.0 297450.0 ; - RECT 90600.0 312450.0 100200.0 311550.0 ; - RECT 97200.0 324150.0 98400.0 326100.0 ; - RECT 97200.0 312000.0 98400.0 314250.0 ; - RECT 92400.0 313350.0 93600.0 311550.0 ; - RECT 92400.0 322950.0 93600.0 326550.0 ; - RECT 95100.0 314550.0 96000.0 322950.0 ; - RECT 92400.0 322950.0 93600.0 324150.0 ; - RECT 94800.0 322950.0 96000.0 324150.0 ; - RECT 94800.0 322950.0 96000.0 324150.0 ; - RECT 92400.0 322950.0 93600.0 324150.0 ; - RECT 92400.0 313350.0 93600.0 314550.0 ; - RECT 94800.0 313350.0 96000.0 314550.0 ; - RECT 94800.0 313350.0 96000.0 314550.0 ; - RECT 92400.0 313350.0 93600.0 314550.0 ; - RECT 97200.0 323550.0 98400.0 324750.0 ; - RECT 97200.0 313650.0 98400.0 314850.0 ; - RECT 93000.0 317850.0 94200.0 319050.0 ; - RECT 93000.0 317850.0 94200.0 319050.0 ; - RECT 95550.0 318000.0 96450.0 318900.0 ; - RECT 90600.0 325650.0 100200.0 326550.0 ; - RECT 90600.0 311550.0 100200.0 312450.0 ; - RECT 97200.0 328050.0 98400.0 326100.0 ; - RECT 97200.0 340200.0 98400.0 337950.0 ; - RECT 92400.0 338850.0 93600.0 340650.0 ; - RECT 92400.0 329250.0 93600.0 325650.0 ; - RECT 95100.0 337650.0 96000.0 329250.0 ; - RECT 92400.0 329250.0 93600.0 328050.0 ; - RECT 94800.0 329250.0 96000.0 328050.0 ; - RECT 94800.0 329250.0 96000.0 328050.0 ; - RECT 92400.0 329250.0 93600.0 328050.0 ; - RECT 92400.0 338850.0 93600.0 337650.0 ; - RECT 94800.0 338850.0 96000.0 337650.0 ; - RECT 94800.0 338850.0 96000.0 337650.0 ; - RECT 92400.0 338850.0 93600.0 337650.0 ; - RECT 97200.0 328650.0 98400.0 327450.0 ; - RECT 97200.0 338550.0 98400.0 337350.0 ; - RECT 93000.0 334350.0 94200.0 333150.0 ; - RECT 93000.0 334350.0 94200.0 333150.0 ; - RECT 95550.0 334200.0 96450.0 333300.0 ; - RECT 90600.0 326550.0 100200.0 325650.0 ; - RECT 90600.0 340650.0 100200.0 339750.0 ; - RECT 97200.0 352350.0 98400.0 354300.0 ; - RECT 97200.0 340200.0 98400.0 342450.0 ; - RECT 92400.0 341550.0 93600.0 339750.0 ; - RECT 92400.0 351150.0 93600.0 354750.0 ; - RECT 95100.0 342750.0 96000.0 351150.0 ; - RECT 92400.0 351150.0 93600.0 352350.0 ; - RECT 94800.0 351150.0 96000.0 352350.0 ; - RECT 94800.0 351150.0 96000.0 352350.0 ; - RECT 92400.0 351150.0 93600.0 352350.0 ; - RECT 92400.0 341550.0 93600.0 342750.0 ; - RECT 94800.0 341550.0 96000.0 342750.0 ; - RECT 94800.0 341550.0 96000.0 342750.0 ; - RECT 92400.0 341550.0 93600.0 342750.0 ; - RECT 97200.0 351750.0 98400.0 352950.0 ; - RECT 97200.0 341850.0 98400.0 343050.0 ; - RECT 93000.0 346050.0 94200.0 347250.0 ; - RECT 93000.0 346050.0 94200.0 347250.0 ; - RECT 95550.0 346200.0 96450.0 347100.0 ; - RECT 90600.0 353850.0 100200.0 354750.0 ; - RECT 90600.0 339750.0 100200.0 340650.0 ; - RECT 97200.0 356250.0 98400.0 354300.0 ; - RECT 97200.0 368400.0 98400.0 366150.0 ; - RECT 92400.0 367050.0 93600.0 368850.0 ; - RECT 92400.0 357450.0 93600.0 353850.0 ; - RECT 95100.0 365850.0 96000.0 357450.0 ; - RECT 92400.0 357450.0 93600.0 356250.0 ; - RECT 94800.0 357450.0 96000.0 356250.0 ; - RECT 94800.0 357450.0 96000.0 356250.0 ; - RECT 92400.0 357450.0 93600.0 356250.0 ; - RECT 92400.0 367050.0 93600.0 365850.0 ; - RECT 94800.0 367050.0 96000.0 365850.0 ; - RECT 94800.0 367050.0 96000.0 365850.0 ; - RECT 92400.0 367050.0 93600.0 365850.0 ; - RECT 97200.0 356850.0 98400.0 355650.0 ; - RECT 97200.0 366750.0 98400.0 365550.0 ; - RECT 93000.0 362550.0 94200.0 361350.0 ; - RECT 93000.0 362550.0 94200.0 361350.0 ; - RECT 95550.0 362400.0 96450.0 361500.0 ; - RECT 90600.0 354750.0 100200.0 353850.0 ; - RECT 90600.0 368850.0 100200.0 367950.0 ; - RECT 97200.0 380550.0 98400.0 382500.0 ; - RECT 97200.0 368400.0 98400.0 370650.0 ; - RECT 92400.0 369750.0 93600.0 367950.0 ; - RECT 92400.0 379350.0 93600.0 382950.0 ; - RECT 95100.0 370950.0 96000.0 379350.0 ; - RECT 92400.0 379350.0 93600.0 380550.0 ; - RECT 94800.0 379350.0 96000.0 380550.0 ; - RECT 94800.0 379350.0 96000.0 380550.0 ; - RECT 92400.0 379350.0 93600.0 380550.0 ; - RECT 92400.0 369750.0 93600.0 370950.0 ; - RECT 94800.0 369750.0 96000.0 370950.0 ; - RECT 94800.0 369750.0 96000.0 370950.0 ; - RECT 92400.0 369750.0 93600.0 370950.0 ; - RECT 97200.0 379950.0 98400.0 381150.0 ; - RECT 97200.0 370050.0 98400.0 371250.0 ; - RECT 93000.0 374250.0 94200.0 375450.0 ; - RECT 93000.0 374250.0 94200.0 375450.0 ; - RECT 95550.0 374400.0 96450.0 375300.0 ; - RECT 90600.0 382050.0 100200.0 382950.0 ; - RECT 90600.0 367950.0 100200.0 368850.0 ; - RECT 97200.0 384450.0 98400.0 382500.0 ; - RECT 97200.0 396600.0 98400.0 394350.0 ; - RECT 92400.0 395250.0 93600.0 397050.0 ; - RECT 92400.0 385650.0 93600.0 382050.0 ; - RECT 95100.0 394050.0 96000.0 385650.0 ; - RECT 92400.0 385650.0 93600.0 384450.0 ; - RECT 94800.0 385650.0 96000.0 384450.0 ; - RECT 94800.0 385650.0 96000.0 384450.0 ; - RECT 92400.0 385650.0 93600.0 384450.0 ; - RECT 92400.0 395250.0 93600.0 394050.0 ; - RECT 94800.0 395250.0 96000.0 394050.0 ; - RECT 94800.0 395250.0 96000.0 394050.0 ; - RECT 92400.0 395250.0 93600.0 394050.0 ; - RECT 97200.0 385050.0 98400.0 383850.0 ; - RECT 97200.0 394950.0 98400.0 393750.0 ; - RECT 93000.0 390750.0 94200.0 389550.0 ; - RECT 93000.0 390750.0 94200.0 389550.0 ; - RECT 95550.0 390600.0 96450.0 389700.0 ; - RECT 90600.0 382950.0 100200.0 382050.0 ; - RECT 90600.0 397050.0 100200.0 396150.0 ; - RECT 97200.0 408750.0 98400.0 410700.0 ; - RECT 97200.0 396600.0 98400.0 398850.0 ; - RECT 92400.0 397950.0 93600.0 396150.0 ; - RECT 92400.0 407550.0 93600.0 411150.0 ; - RECT 95100.0 399150.0 96000.0 407550.0 ; - RECT 92400.0 407550.0 93600.0 408750.0 ; - RECT 94800.0 407550.0 96000.0 408750.0 ; - RECT 94800.0 407550.0 96000.0 408750.0 ; - RECT 92400.0 407550.0 93600.0 408750.0 ; - RECT 92400.0 397950.0 93600.0 399150.0 ; - RECT 94800.0 397950.0 96000.0 399150.0 ; - RECT 94800.0 397950.0 96000.0 399150.0 ; - RECT 92400.0 397950.0 93600.0 399150.0 ; - RECT 97200.0 408150.0 98400.0 409350.0 ; - RECT 97200.0 398250.0 98400.0 399450.0 ; - RECT 93000.0 402450.0 94200.0 403650.0 ; - RECT 93000.0 402450.0 94200.0 403650.0 ; - RECT 95550.0 402600.0 96450.0 403500.0 ; - RECT 90600.0 410250.0 100200.0 411150.0 ; - RECT 90600.0 396150.0 100200.0 397050.0 ; - RECT 97200.0 412650.0 98400.0 410700.0 ; - RECT 97200.0 424800.0 98400.0 422550.0 ; - RECT 92400.0 423450.0 93600.0 425250.0 ; - RECT 92400.0 413850.0 93600.0 410250.0 ; - RECT 95100.0 422250.0 96000.0 413850.0 ; - RECT 92400.0 413850.0 93600.0 412650.0 ; - RECT 94800.0 413850.0 96000.0 412650.0 ; - RECT 94800.0 413850.0 96000.0 412650.0 ; - RECT 92400.0 413850.0 93600.0 412650.0 ; - RECT 92400.0 423450.0 93600.0 422250.0 ; - RECT 94800.0 423450.0 96000.0 422250.0 ; - RECT 94800.0 423450.0 96000.0 422250.0 ; - RECT 92400.0 423450.0 93600.0 422250.0 ; - RECT 97200.0 413250.0 98400.0 412050.0 ; - RECT 97200.0 423150.0 98400.0 421950.0 ; - RECT 93000.0 418950.0 94200.0 417750.0 ; - RECT 93000.0 418950.0 94200.0 417750.0 ; - RECT 95550.0 418800.0 96450.0 417900.0 ; - RECT 90600.0 411150.0 100200.0 410250.0 ; - RECT 90600.0 425250.0 100200.0 424350.0 ; - RECT 60450.0 92250.0 59250.0 93450.0 ; - RECT 62550.0 107550.0 61350.0 108750.0 ; - RECT 64650.0 120450.0 63450.0 121650.0 ; - RECT 66750.0 135750.0 65550.0 136950.0 ; - RECT 68850.0 148650.0 67650.0 149850.0 ; - RECT 70950.0 163950.0 69750.0 165150.0 ; - RECT 73050.0 176850.0 71850.0 178050.0 ; - RECT 75150.0 192150.0 73950.0 193350.0 ; - RECT 60450.0 206400.0 59250.0 207600.0 ; - RECT 68850.0 203700.0 67650.0 204900.0 ; - RECT 60450.0 219000.0 59250.0 220200.0 ; - RECT 70950.0 221700.0 69750.0 222900.0 ; - RECT 60450.0 234600.0 59250.0 235800.0 ; - RECT 73050.0 231900.0 71850.0 233100.0 ; - RECT 60450.0 247200.0 59250.0 248400.0 ; - RECT 75150.0 249900.0 73950.0 251100.0 ; - RECT 62550.0 262800.0 61350.0 264000.0 ; - RECT 68850.0 260100.0 67650.0 261300.0 ; - RECT 62550.0 275400.0 61350.0 276600.0 ; - RECT 70950.0 278100.0 69750.0 279300.0 ; - RECT 62550.0 291000.0 61350.0 292200.0 ; - RECT 73050.0 288300.0 71850.0 289500.0 ; - RECT 62550.0 303600.0 61350.0 304800.0 ; - RECT 75150.0 306300.0 73950.0 307500.0 ; - RECT 64650.0 319200.0 63450.0 320400.0 ; - RECT 68850.0 316500.0 67650.0 317700.0 ; - RECT 64650.0 331800.0 63450.0 333000.0 ; - RECT 70950.0 334500.0 69750.0 335700.0 ; - RECT 64650.0 347400.0 63450.0 348600.0 ; - RECT 73050.0 344700.0 71850.0 345900.0 ; - RECT 64650.0 360000.0 63450.0 361200.0 ; - RECT 75150.0 362700.0 73950.0 363900.0 ; - RECT 66750.0 375600.0 65550.0 376800.0 ; - RECT 68850.0 372900.0 67650.0 374100.0 ; - RECT 66750.0 388200.0 65550.0 389400.0 ; - RECT 70950.0 390900.0 69750.0 392100.0 ; - RECT 66750.0 403800.0 65550.0 405000.0 ; - RECT 73050.0 401100.0 71850.0 402300.0 ; - RECT 66750.0 416400.0 65550.0 417600.0 ; - RECT 75150.0 419100.0 73950.0 420300.0 ; - RECT 95550.0 205200.0 96450.0 206100.0 ; - RECT 95550.0 220500.0 96450.0 221400.0 ; - RECT 95550.0 233400.0 96450.0 234300.0 ; - RECT 95550.0 248700.0 96450.0 249600.0 ; - RECT 95550.0 261600.0 96450.0 262500.0 ; - RECT 95550.0 276900.0 96450.0 277800.0 ; - RECT 95550.0 289800.0 96450.0 290700.0 ; - RECT 95550.0 305100.0 96450.0 306000.0 ; - RECT 95550.0 318000.0 96450.0 318900.0 ; - RECT 95550.0 333300.0 96450.0 334200.0 ; - RECT 95550.0 346200.0 96450.0 347100.0 ; - RECT 95550.0 361500.0 96450.0 362400.0 ; - RECT 95550.0 374400.0 96450.0 375300.0 ; - RECT 95550.0 389700.0 96450.0 390600.0 ; - RECT 95550.0 402600.0 96450.0 403500.0 ; - RECT 95550.0 417900.0 96450.0 418800.0 ; - RECT 59400.0 100050.0 130800.0 100950.0 ; - RECT 59400.0 128250.0 130800.0 129150.0 ; - RECT 59400.0 156450.0 130800.0 157350.0 ; - RECT 59400.0 184650.0 130800.0 185550.0 ; - RECT 59400.0 212850.0 130800.0 213750.0 ; - RECT 59400.0 241050.0 130800.0 241950.0 ; - RECT 59400.0 269250.0 130800.0 270150.0 ; - RECT 59400.0 297450.0 130800.0 298350.0 ; - RECT 59400.0 325650.0 130800.0 326550.0 ; - RECT 59400.0 353850.0 130800.0 354750.0 ; - RECT 59400.0 382050.0 130800.0 382950.0 ; - RECT 59400.0 410250.0 130800.0 411150.0 ; - RECT 59400.0 85950.0 130800.0 86850.0 ; - RECT 59400.0 114150.0 130800.0 115050.0 ; - RECT 59400.0 142350.0 130800.0 143250.0 ; - RECT 59400.0 170550.0 130800.0 171450.0 ; - RECT 59400.0 198750.0 130800.0 199650.0 ; - RECT 59400.0 226950.0 130800.0 227850.0 ; - RECT 59400.0 255150.0 130800.0 256050.0 ; - RECT 59400.0 283350.0 130800.0 284250.0 ; - RECT 59400.0 311550.0 130800.0 312450.0 ; - RECT 59400.0 339750.0 130800.0 340650.0 ; - RECT 59400.0 367950.0 130800.0 368850.0 ; - RECT 59400.0 396150.0 130800.0 397050.0 ; - RECT 59400.0 424350.0 130800.0 425250.0 ; - RECT 103350.0 205200.0 108900.0 206100.0 ; - RECT 111450.0 206550.0 112350.0 207450.0 ; - RECT 111450.0 205200.0 112350.0 206100.0 ; - RECT 111450.0 206100.0 112350.0 207000.0 ; - RECT 111900.0 206550.0 118500.0 207450.0 ; - RECT 118500.0 206550.0 119700.0 207450.0 ; - RECT 127950.0 206550.0 128850.0 207450.0 ; - RECT 127950.0 205200.0 128850.0 206100.0 ; - RECT 123900.0 206550.0 128400.0 207450.0 ; - RECT 127950.0 205650.0 128850.0 207000.0 ; - RECT 128400.0 205200.0 132900.0 206100.0 ; - RECT 103350.0 220500.0 108900.0 221400.0 ; - RECT 111450.0 219150.0 112350.0 220050.0 ; - RECT 111450.0 220500.0 112350.0 221400.0 ; - RECT 111450.0 219600.0 112350.0 221400.0 ; - RECT 111900.0 219150.0 118500.0 220050.0 ; - RECT 118500.0 219150.0 119700.0 220050.0 ; - RECT 127950.0 219150.0 128850.0 220050.0 ; - RECT 127950.0 220500.0 128850.0 221400.0 ; - RECT 123900.0 219150.0 128400.0 220050.0 ; - RECT 127950.0 219600.0 128850.0 220950.0 ; - RECT 128400.0 220500.0 132900.0 221400.0 ; - RECT 103350.0 233400.0 108900.0 234300.0 ; - RECT 111450.0 234750.0 112350.0 235650.0 ; - RECT 111450.0 233400.0 112350.0 234300.0 ; - RECT 111450.0 234300.0 112350.0 235200.0 ; - RECT 111900.0 234750.0 118500.0 235650.0 ; - RECT 118500.0 234750.0 119700.0 235650.0 ; - RECT 127950.0 234750.0 128850.0 235650.0 ; - RECT 127950.0 233400.0 128850.0 234300.0 ; - RECT 123900.0 234750.0 128400.0 235650.0 ; - RECT 127950.0 233850.0 128850.0 235200.0 ; - RECT 128400.0 233400.0 132900.0 234300.0 ; - RECT 103350.0 248700.0 108900.0 249600.0 ; - RECT 111450.0 247350.0 112350.0 248250.0 ; - RECT 111450.0 248700.0 112350.0 249600.0 ; - RECT 111450.0 247800.0 112350.0 249600.0 ; - RECT 111900.0 247350.0 118500.0 248250.0 ; - RECT 118500.0 247350.0 119700.0 248250.0 ; - RECT 127950.0 247350.0 128850.0 248250.0 ; - RECT 127950.0 248700.0 128850.0 249600.0 ; - RECT 123900.0 247350.0 128400.0 248250.0 ; - RECT 127950.0 247800.0 128850.0 249150.0 ; - RECT 128400.0 248700.0 132900.0 249600.0 ; - RECT 103350.0 261600.0 108900.0 262500.0 ; - RECT 111450.0 262950.0 112350.0 263850.0 ; - RECT 111450.0 261600.0 112350.0 262500.0 ; - RECT 111450.0 262500.0 112350.0 263400.0 ; - RECT 111900.0 262950.0 118500.0 263850.0 ; - RECT 118500.0 262950.0 119700.0 263850.0 ; - RECT 127950.0 262950.0 128850.0 263850.0 ; - RECT 127950.0 261600.0 128850.0 262500.0 ; - RECT 123900.0 262950.0 128400.0 263850.0 ; - RECT 127950.0 262050.0 128850.0 263400.0 ; - RECT 128400.0 261600.0 132900.0 262500.0 ; - RECT 103350.0 276900.0 108900.0 277800.0 ; - RECT 111450.0 275550.0 112350.0 276450.0 ; - RECT 111450.0 276900.0 112350.0 277800.0 ; - RECT 111450.0 276000.0 112350.0 277800.0 ; - RECT 111900.0 275550.0 118500.0 276450.0 ; - RECT 118500.0 275550.0 119700.0 276450.0 ; - RECT 127950.0 275550.0 128850.0 276450.0 ; - RECT 127950.0 276900.0 128850.0 277800.0 ; - RECT 123900.0 275550.0 128400.0 276450.0 ; - RECT 127950.0 276000.0 128850.0 277350.0 ; - RECT 128400.0 276900.0 132900.0 277800.0 ; - RECT 103350.0 289800.0 108900.0 290700.0 ; - RECT 111450.0 291150.0 112350.0 292050.0 ; - RECT 111450.0 289800.0 112350.0 290700.0 ; - RECT 111450.0 290700.0 112350.0 291600.0 ; - RECT 111900.0 291150.0 118500.0 292050.0 ; - RECT 118500.0 291150.0 119700.0 292050.0 ; - RECT 127950.0 291150.0 128850.0 292050.0 ; - RECT 127950.0 289800.0 128850.0 290700.0 ; - RECT 123900.0 291150.0 128400.0 292050.0 ; - RECT 127950.0 290250.0 128850.0 291600.0 ; - RECT 128400.0 289800.0 132900.0 290700.0 ; - RECT 103350.0 305100.0 108900.0 306000.0 ; - RECT 111450.0 303750.0 112350.0 304650.0 ; - RECT 111450.0 305100.0 112350.0 306000.0 ; - RECT 111450.0 304200.0 112350.0 306000.0 ; - RECT 111900.0 303750.0 118500.0 304650.0 ; - RECT 118500.0 303750.0 119700.0 304650.0 ; - RECT 127950.0 303750.0 128850.0 304650.0 ; - RECT 127950.0 305100.0 128850.0 306000.0 ; - RECT 123900.0 303750.0 128400.0 304650.0 ; - RECT 127950.0 304200.0 128850.0 305550.0 ; - RECT 128400.0 305100.0 132900.0 306000.0 ; - RECT 103350.0 318000.0 108900.0 318900.0 ; - RECT 111450.0 319350.0 112350.0 320250.0 ; - RECT 111450.0 318000.0 112350.0 318900.0 ; - RECT 111450.0 318900.0 112350.0 319800.0 ; - RECT 111900.0 319350.0 118500.0 320250.0 ; - RECT 118500.0 319350.0 119700.0 320250.0 ; - RECT 127950.0 319350.0 128850.0 320250.0 ; - RECT 127950.0 318000.0 128850.0 318900.0 ; - RECT 123900.0 319350.0 128400.0 320250.0 ; - RECT 127950.0 318450.0 128850.0 319800.0 ; - RECT 128400.0 318000.0 132900.0 318900.0 ; - RECT 103350.0 333300.0 108900.0 334200.0 ; - RECT 111450.0 331950.0 112350.0 332850.0 ; - RECT 111450.0 333300.0 112350.0 334200.0 ; - RECT 111450.0 332400.0 112350.0 334200.0 ; - RECT 111900.0 331950.0 118500.0 332850.0 ; - RECT 118500.0 331950.0 119700.0 332850.0 ; - RECT 127950.0 331950.0 128850.0 332850.0 ; - RECT 127950.0 333300.0 128850.0 334200.0 ; - RECT 123900.0 331950.0 128400.0 332850.0 ; - RECT 127950.0 332400.0 128850.0 333750.0 ; - RECT 128400.0 333300.0 132900.0 334200.0 ; - RECT 103350.0 346200.0 108900.0 347100.0 ; - RECT 111450.0 347550.0 112350.0 348450.0 ; - RECT 111450.0 346200.0 112350.0 347100.0 ; - RECT 111450.0 347100.0 112350.0 348000.0 ; - RECT 111900.0 347550.0 118500.0 348450.0 ; - RECT 118500.0 347550.0 119700.0 348450.0 ; - RECT 127950.0 347550.0 128850.0 348450.0 ; - RECT 127950.0 346200.0 128850.0 347100.0 ; - RECT 123900.0 347550.0 128400.0 348450.0 ; - RECT 127950.0 346650.0 128850.0 348000.0 ; - RECT 128400.0 346200.0 132900.0 347100.0 ; - RECT 103350.0 361500.0 108900.0 362400.0 ; - RECT 111450.0 360150.0 112350.0 361050.0 ; - RECT 111450.0 361500.0 112350.0 362400.0 ; - RECT 111450.0 360600.0 112350.0 362400.0 ; - RECT 111900.0 360150.0 118500.0 361050.0 ; - RECT 118500.0 360150.0 119700.0 361050.0 ; - RECT 127950.0 360150.0 128850.0 361050.0 ; - RECT 127950.0 361500.0 128850.0 362400.0 ; - RECT 123900.0 360150.0 128400.0 361050.0 ; - RECT 127950.0 360600.0 128850.0 361950.0 ; - RECT 128400.0 361500.0 132900.0 362400.0 ; - RECT 103350.0 374400.0 108900.0 375300.0 ; - RECT 111450.0 375750.0 112350.0 376650.0 ; - RECT 111450.0 374400.0 112350.0 375300.0 ; - RECT 111450.0 375300.0 112350.0 376200.0 ; - RECT 111900.0 375750.0 118500.0 376650.0 ; - RECT 118500.0 375750.0 119700.0 376650.0 ; - RECT 127950.0 375750.0 128850.0 376650.0 ; - RECT 127950.0 374400.0 128850.0 375300.0 ; - RECT 123900.0 375750.0 128400.0 376650.0 ; - RECT 127950.0 374850.0 128850.0 376200.0 ; - RECT 128400.0 374400.0 132900.0 375300.0 ; - RECT 103350.0 389700.0 108900.0 390600.0 ; - RECT 111450.0 388350.0 112350.0 389250.0 ; - RECT 111450.0 389700.0 112350.0 390600.0 ; - RECT 111450.0 388800.0 112350.0 390600.0 ; - RECT 111900.0 388350.0 118500.0 389250.0 ; - RECT 118500.0 388350.0 119700.0 389250.0 ; - RECT 127950.0 388350.0 128850.0 389250.0 ; - RECT 127950.0 389700.0 128850.0 390600.0 ; - RECT 123900.0 388350.0 128400.0 389250.0 ; - RECT 127950.0 388800.0 128850.0 390150.0 ; - RECT 128400.0 389700.0 132900.0 390600.0 ; - RECT 103350.0 402600.0 108900.0 403500.0 ; - RECT 111450.0 403950.0 112350.0 404850.0 ; - RECT 111450.0 402600.0 112350.0 403500.0 ; - RECT 111450.0 403500.0 112350.0 404400.0 ; - RECT 111900.0 403950.0 118500.0 404850.0 ; - RECT 118500.0 403950.0 119700.0 404850.0 ; - RECT 127950.0 403950.0 128850.0 404850.0 ; - RECT 127950.0 402600.0 128850.0 403500.0 ; - RECT 123900.0 403950.0 128400.0 404850.0 ; - RECT 127950.0 403050.0 128850.0 404400.0 ; - RECT 128400.0 402600.0 132900.0 403500.0 ; - RECT 103350.0 417900.0 108900.0 418800.0 ; - RECT 111450.0 416550.0 112350.0 417450.0 ; - RECT 111450.0 417900.0 112350.0 418800.0 ; - RECT 111450.0 417000.0 112350.0 418800.0 ; - RECT 111900.0 416550.0 118500.0 417450.0 ; - RECT 118500.0 416550.0 119700.0 417450.0 ; - RECT 127950.0 416550.0 128850.0 417450.0 ; - RECT 127950.0 417900.0 128850.0 418800.0 ; - RECT 123900.0 416550.0 128400.0 417450.0 ; - RECT 127950.0 417000.0 128850.0 418350.0 ; - RECT 128400.0 417900.0 132900.0 418800.0 ; - RECT 113100.0 211350.0 114300.0 213300.0 ; - RECT 113100.0 199200.0 114300.0 201450.0 ; - RECT 108300.0 200550.0 109500.0 198750.0 ; - RECT 108300.0 210150.0 109500.0 213750.0 ; - RECT 111000.0 201750.0 111900.0 210150.0 ; - RECT 108300.0 210150.0 109500.0 211350.0 ; - RECT 110700.0 210150.0 111900.0 211350.0 ; - RECT 110700.0 210150.0 111900.0 211350.0 ; - RECT 108300.0 210150.0 109500.0 211350.0 ; - RECT 108300.0 200550.0 109500.0 201750.0 ; - RECT 110700.0 200550.0 111900.0 201750.0 ; - RECT 110700.0 200550.0 111900.0 201750.0 ; - RECT 108300.0 200550.0 109500.0 201750.0 ; - RECT 113100.0 210750.0 114300.0 211950.0 ; - RECT 113100.0 200850.0 114300.0 202050.0 ; - RECT 108900.0 205050.0 110100.0 206250.0 ; - RECT 108900.0 205050.0 110100.0 206250.0 ; - RECT 111450.0 205200.0 112350.0 206100.0 ; - RECT 106500.0 212850.0 116100.0 213750.0 ; - RECT 106500.0 198750.0 116100.0 199650.0 ; - RECT 117900.0 201150.0 119100.0 198750.0 ; - RECT 117900.0 210150.0 119100.0 213750.0 ; - RECT 122700.0 210150.0 123900.0 213750.0 ; - RECT 125100.0 211350.0 126300.0 213300.0 ; - RECT 125100.0 199200.0 126300.0 201450.0 ; - RECT 117900.0 210150.0 119100.0 211350.0 ; - RECT 120300.0 210150.0 121500.0 211350.0 ; - RECT 120300.0 210150.0 121500.0 211350.0 ; - RECT 117900.0 210150.0 119100.0 211350.0 ; - RECT 120300.0 210150.0 121500.0 211350.0 ; - RECT 122700.0 210150.0 123900.0 211350.0 ; - RECT 122700.0 210150.0 123900.0 211350.0 ; - RECT 120300.0 210150.0 121500.0 211350.0 ; - RECT 117900.0 201150.0 119100.0 202350.0 ; - RECT 120300.0 201150.0 121500.0 202350.0 ; - RECT 120300.0 201150.0 121500.0 202350.0 ; - RECT 117900.0 201150.0 119100.0 202350.0 ; - RECT 120300.0 201150.0 121500.0 202350.0 ; - RECT 122700.0 201150.0 123900.0 202350.0 ; - RECT 122700.0 201150.0 123900.0 202350.0 ; - RECT 120300.0 201150.0 121500.0 202350.0 ; - RECT 125100.0 210750.0 126300.0 211950.0 ; - RECT 125100.0 200850.0 126300.0 202050.0 ; - RECT 122700.0 203700.0 121500.0 204900.0 ; - RECT 119700.0 206400.0 118500.0 207600.0 ; - RECT 120300.0 210150.0 121500.0 211350.0 ; - RECT 122700.0 201150.0 123900.0 202350.0 ; - RECT 123900.0 206400.0 122700.0 207600.0 ; - RECT 118500.0 206400.0 119700.0 207600.0 ; - RECT 121500.0 203700.0 122700.0 204900.0 ; - RECT 122700.0 206400.0 123900.0 207600.0 ; - RECT 116100.0 212850.0 130500.0 213750.0 ; - RECT 116100.0 198750.0 130500.0 199650.0 ; - RECT 137100.0 211350.0 138300.0 213300.0 ; - RECT 137100.0 199200.0 138300.0 201450.0 ; - RECT 132300.0 200550.0 133500.0 198750.0 ; - RECT 132300.0 210150.0 133500.0 213750.0 ; - RECT 135000.0 201750.0 135900.0 210150.0 ; - RECT 132300.0 210150.0 133500.0 211350.0 ; - RECT 134700.0 210150.0 135900.0 211350.0 ; - RECT 134700.0 210150.0 135900.0 211350.0 ; - RECT 132300.0 210150.0 133500.0 211350.0 ; - RECT 132300.0 200550.0 133500.0 201750.0 ; - RECT 134700.0 200550.0 135900.0 201750.0 ; - RECT 134700.0 200550.0 135900.0 201750.0 ; - RECT 132300.0 200550.0 133500.0 201750.0 ; - RECT 137100.0 210750.0 138300.0 211950.0 ; - RECT 137100.0 200850.0 138300.0 202050.0 ; - RECT 132900.0 205050.0 134100.0 206250.0 ; - RECT 132900.0 205050.0 134100.0 206250.0 ; - RECT 135450.0 205200.0 136350.0 206100.0 ; - RECT 130500.0 212850.0 140100.0 213750.0 ; - RECT 130500.0 198750.0 140100.0 199650.0 ; - RECT 102750.0 205050.0 103950.0 206250.0 ; - RECT 104700.0 202800.0 105900.0 204000.0 ; - RECT 121500.0 203700.0 120300.0 204900.0 ; - RECT 113100.0 215250.0 114300.0 213300.0 ; - RECT 113100.0 227400.0 114300.0 225150.0 ; - RECT 108300.0 226050.0 109500.0 227850.0 ; - RECT 108300.0 216450.0 109500.0 212850.0 ; - RECT 111000.0 224850.0 111900.0 216450.0 ; - RECT 108300.0 216450.0 109500.0 215250.0 ; - RECT 110700.0 216450.0 111900.0 215250.0 ; - RECT 110700.0 216450.0 111900.0 215250.0 ; - RECT 108300.0 216450.0 109500.0 215250.0 ; - RECT 108300.0 226050.0 109500.0 224850.0 ; - RECT 110700.0 226050.0 111900.0 224850.0 ; - RECT 110700.0 226050.0 111900.0 224850.0 ; - RECT 108300.0 226050.0 109500.0 224850.0 ; - RECT 113100.0 215850.0 114300.0 214650.0 ; - RECT 113100.0 225750.0 114300.0 224550.0 ; - RECT 108900.0 221550.0 110100.0 220350.0 ; - RECT 108900.0 221550.0 110100.0 220350.0 ; - RECT 111450.0 221400.0 112350.0 220500.0 ; - RECT 106500.0 213750.0 116100.0 212850.0 ; - RECT 106500.0 227850.0 116100.0 226950.0 ; - RECT 117900.0 225450.0 119100.0 227850.0 ; - RECT 117900.0 216450.0 119100.0 212850.0 ; - RECT 122700.0 216450.0 123900.0 212850.0 ; - RECT 125100.0 215250.0 126300.0 213300.0 ; - RECT 125100.0 227400.0 126300.0 225150.0 ; - RECT 117900.0 216450.0 119100.0 215250.0 ; - RECT 120300.0 216450.0 121500.0 215250.0 ; - RECT 120300.0 216450.0 121500.0 215250.0 ; - RECT 117900.0 216450.0 119100.0 215250.0 ; - RECT 120300.0 216450.0 121500.0 215250.0 ; - RECT 122700.0 216450.0 123900.0 215250.0 ; - RECT 122700.0 216450.0 123900.0 215250.0 ; - RECT 120300.0 216450.0 121500.0 215250.0 ; - RECT 117900.0 225450.0 119100.0 224250.0 ; - RECT 120300.0 225450.0 121500.0 224250.0 ; - RECT 120300.0 225450.0 121500.0 224250.0 ; - RECT 117900.0 225450.0 119100.0 224250.0 ; - RECT 120300.0 225450.0 121500.0 224250.0 ; - RECT 122700.0 225450.0 123900.0 224250.0 ; - RECT 122700.0 225450.0 123900.0 224250.0 ; - RECT 120300.0 225450.0 121500.0 224250.0 ; - RECT 125100.0 215850.0 126300.0 214650.0 ; - RECT 125100.0 225750.0 126300.0 224550.0 ; - RECT 122700.0 222900.0 121500.0 221700.0 ; - RECT 119700.0 220200.0 118500.0 219000.0 ; - RECT 120300.0 216450.0 121500.0 215250.0 ; - RECT 122700.0 225450.0 123900.0 224250.0 ; - RECT 123900.0 220200.0 122700.0 219000.0 ; - RECT 118500.0 220200.0 119700.0 219000.0 ; - RECT 121500.0 222900.0 122700.0 221700.0 ; - RECT 122700.0 220200.0 123900.0 219000.0 ; - RECT 116100.0 213750.0 130500.0 212850.0 ; - RECT 116100.0 227850.0 130500.0 226950.0 ; - RECT 137100.0 215250.0 138300.0 213300.0 ; - RECT 137100.0 227400.0 138300.0 225150.0 ; - RECT 132300.0 226050.0 133500.0 227850.0 ; - RECT 132300.0 216450.0 133500.0 212850.0 ; - RECT 135000.0 224850.0 135900.0 216450.0 ; - RECT 132300.0 216450.0 133500.0 215250.0 ; - RECT 134700.0 216450.0 135900.0 215250.0 ; - RECT 134700.0 216450.0 135900.0 215250.0 ; - RECT 132300.0 216450.0 133500.0 215250.0 ; - RECT 132300.0 226050.0 133500.0 224850.0 ; - RECT 134700.0 226050.0 135900.0 224850.0 ; - RECT 134700.0 226050.0 135900.0 224850.0 ; - RECT 132300.0 226050.0 133500.0 224850.0 ; - RECT 137100.0 215850.0 138300.0 214650.0 ; - RECT 137100.0 225750.0 138300.0 224550.0 ; - RECT 132900.0 221550.0 134100.0 220350.0 ; - RECT 132900.0 221550.0 134100.0 220350.0 ; - RECT 135450.0 221400.0 136350.0 220500.0 ; - RECT 130500.0 213750.0 140100.0 212850.0 ; - RECT 130500.0 227850.0 140100.0 226950.0 ; - RECT 102750.0 220350.0 103950.0 221550.0 ; - RECT 104700.0 222600.0 105900.0 223800.0 ; - RECT 121500.0 221700.0 120300.0 222900.0 ; - RECT 113100.0 239550.0 114300.0 241500.0 ; - RECT 113100.0 227400.0 114300.0 229650.0 ; - RECT 108300.0 228750.0 109500.0 226950.0 ; - RECT 108300.0 238350.0 109500.0 241950.0 ; - RECT 111000.0 229950.0 111900.0 238350.0 ; - RECT 108300.0 238350.0 109500.0 239550.0 ; - RECT 110700.0 238350.0 111900.0 239550.0 ; - RECT 110700.0 238350.0 111900.0 239550.0 ; - RECT 108300.0 238350.0 109500.0 239550.0 ; - RECT 108300.0 228750.0 109500.0 229950.0 ; - RECT 110700.0 228750.0 111900.0 229950.0 ; - RECT 110700.0 228750.0 111900.0 229950.0 ; - RECT 108300.0 228750.0 109500.0 229950.0 ; - RECT 113100.0 238950.0 114300.0 240150.0 ; - RECT 113100.0 229050.0 114300.0 230250.0 ; - RECT 108900.0 233250.0 110100.0 234450.0 ; - RECT 108900.0 233250.0 110100.0 234450.0 ; - RECT 111450.0 233400.0 112350.0 234300.0 ; - RECT 106500.0 241050.0 116100.0 241950.0 ; - RECT 106500.0 226950.0 116100.0 227850.0 ; - RECT 117900.0 229350.0 119100.0 226950.0 ; - RECT 117900.0 238350.0 119100.0 241950.0 ; - RECT 122700.0 238350.0 123900.0 241950.0 ; - RECT 125100.0 239550.0 126300.0 241500.0 ; - RECT 125100.0 227400.0 126300.0 229650.0 ; - RECT 117900.0 238350.0 119100.0 239550.0 ; - RECT 120300.0 238350.0 121500.0 239550.0 ; - RECT 120300.0 238350.0 121500.0 239550.0 ; - RECT 117900.0 238350.0 119100.0 239550.0 ; - RECT 120300.0 238350.0 121500.0 239550.0 ; - RECT 122700.0 238350.0 123900.0 239550.0 ; - RECT 122700.0 238350.0 123900.0 239550.0 ; - RECT 120300.0 238350.0 121500.0 239550.0 ; - RECT 117900.0 229350.0 119100.0 230550.0 ; - RECT 120300.0 229350.0 121500.0 230550.0 ; - RECT 120300.0 229350.0 121500.0 230550.0 ; - RECT 117900.0 229350.0 119100.0 230550.0 ; - RECT 120300.0 229350.0 121500.0 230550.0 ; - RECT 122700.0 229350.0 123900.0 230550.0 ; - RECT 122700.0 229350.0 123900.0 230550.0 ; - RECT 120300.0 229350.0 121500.0 230550.0 ; - RECT 125100.0 238950.0 126300.0 240150.0 ; - RECT 125100.0 229050.0 126300.0 230250.0 ; - RECT 122700.0 231900.0 121500.0 233100.0 ; - RECT 119700.0 234600.0 118500.0 235800.0 ; - RECT 120300.0 238350.0 121500.0 239550.0 ; - RECT 122700.0 229350.0 123900.0 230550.0 ; - RECT 123900.0 234600.0 122700.0 235800.0 ; - RECT 118500.0 234600.0 119700.0 235800.0 ; - RECT 121500.0 231900.0 122700.0 233100.0 ; - RECT 122700.0 234600.0 123900.0 235800.0 ; - RECT 116100.0 241050.0 130500.0 241950.0 ; - RECT 116100.0 226950.0 130500.0 227850.0 ; - RECT 137100.0 239550.0 138300.0 241500.0 ; - RECT 137100.0 227400.0 138300.0 229650.0 ; - RECT 132300.0 228750.0 133500.0 226950.0 ; - RECT 132300.0 238350.0 133500.0 241950.0 ; - RECT 135000.0 229950.0 135900.0 238350.0 ; - RECT 132300.0 238350.0 133500.0 239550.0 ; - RECT 134700.0 238350.0 135900.0 239550.0 ; - RECT 134700.0 238350.0 135900.0 239550.0 ; - RECT 132300.0 238350.0 133500.0 239550.0 ; - RECT 132300.0 228750.0 133500.0 229950.0 ; - RECT 134700.0 228750.0 135900.0 229950.0 ; - RECT 134700.0 228750.0 135900.0 229950.0 ; - RECT 132300.0 228750.0 133500.0 229950.0 ; - RECT 137100.0 238950.0 138300.0 240150.0 ; - RECT 137100.0 229050.0 138300.0 230250.0 ; - RECT 132900.0 233250.0 134100.0 234450.0 ; - RECT 132900.0 233250.0 134100.0 234450.0 ; - RECT 135450.0 233400.0 136350.0 234300.0 ; - RECT 130500.0 241050.0 140100.0 241950.0 ; - RECT 130500.0 226950.0 140100.0 227850.0 ; - RECT 102750.0 233250.0 103950.0 234450.0 ; - RECT 104700.0 231000.0 105900.0 232200.0 ; - RECT 121500.0 231900.0 120300.0 233100.0 ; - RECT 113100.0 243450.0 114300.0 241500.0 ; - RECT 113100.0 255600.0 114300.0 253350.0 ; - RECT 108300.0 254250.0 109500.0 256050.0 ; - RECT 108300.0 244650.0 109500.0 241050.0 ; - RECT 111000.0 253050.0 111900.0 244650.0 ; - RECT 108300.0 244650.0 109500.0 243450.0 ; - RECT 110700.0 244650.0 111900.0 243450.0 ; - RECT 110700.0 244650.0 111900.0 243450.0 ; - RECT 108300.0 244650.0 109500.0 243450.0 ; - RECT 108300.0 254250.0 109500.0 253050.0 ; - RECT 110700.0 254250.0 111900.0 253050.0 ; - RECT 110700.0 254250.0 111900.0 253050.0 ; - RECT 108300.0 254250.0 109500.0 253050.0 ; - RECT 113100.0 244050.0 114300.0 242850.0 ; - RECT 113100.0 253950.0 114300.0 252750.0 ; - RECT 108900.0 249750.0 110100.0 248550.0 ; - RECT 108900.0 249750.0 110100.0 248550.0 ; - RECT 111450.0 249600.0 112350.0 248700.0 ; - RECT 106500.0 241950.0 116100.0 241050.0 ; - RECT 106500.0 256050.0 116100.0 255150.0 ; - RECT 117900.0 253650.0 119100.0 256050.0 ; - RECT 117900.0 244650.0 119100.0 241050.0 ; - RECT 122700.0 244650.0 123900.0 241050.0 ; - RECT 125100.0 243450.0 126300.0 241500.0 ; - RECT 125100.0 255600.0 126300.0 253350.0 ; - RECT 117900.0 244650.0 119100.0 243450.0 ; - RECT 120300.0 244650.0 121500.0 243450.0 ; - RECT 120300.0 244650.0 121500.0 243450.0 ; - RECT 117900.0 244650.0 119100.0 243450.0 ; - RECT 120300.0 244650.0 121500.0 243450.0 ; - RECT 122700.0 244650.0 123900.0 243450.0 ; - RECT 122700.0 244650.0 123900.0 243450.0 ; - RECT 120300.0 244650.0 121500.0 243450.0 ; - RECT 117900.0 253650.0 119100.0 252450.0 ; - RECT 120300.0 253650.0 121500.0 252450.0 ; - RECT 120300.0 253650.0 121500.0 252450.0 ; - RECT 117900.0 253650.0 119100.0 252450.0 ; - RECT 120300.0 253650.0 121500.0 252450.0 ; - RECT 122700.0 253650.0 123900.0 252450.0 ; - RECT 122700.0 253650.0 123900.0 252450.0 ; - RECT 120300.0 253650.0 121500.0 252450.0 ; - RECT 125100.0 244050.0 126300.0 242850.0 ; - RECT 125100.0 253950.0 126300.0 252750.0 ; - RECT 122700.0 251100.0 121500.0 249900.0 ; - RECT 119700.0 248400.0 118500.0 247200.0 ; - RECT 120300.0 244650.0 121500.0 243450.0 ; - RECT 122700.0 253650.0 123900.0 252450.0 ; - RECT 123900.0 248400.0 122700.0 247200.0 ; - RECT 118500.0 248400.0 119700.0 247200.0 ; - RECT 121500.0 251100.0 122700.0 249900.0 ; - RECT 122700.0 248400.0 123900.0 247200.0 ; - RECT 116100.0 241950.0 130500.0 241050.0 ; - RECT 116100.0 256050.0 130500.0 255150.0 ; - RECT 137100.0 243450.0 138300.0 241500.0 ; - RECT 137100.0 255600.0 138300.0 253350.0 ; - RECT 132300.0 254250.0 133500.0 256050.0 ; - RECT 132300.0 244650.0 133500.0 241050.0 ; - RECT 135000.0 253050.0 135900.0 244650.0 ; - RECT 132300.0 244650.0 133500.0 243450.0 ; - RECT 134700.0 244650.0 135900.0 243450.0 ; - RECT 134700.0 244650.0 135900.0 243450.0 ; - RECT 132300.0 244650.0 133500.0 243450.0 ; - RECT 132300.0 254250.0 133500.0 253050.0 ; - RECT 134700.0 254250.0 135900.0 253050.0 ; - RECT 134700.0 254250.0 135900.0 253050.0 ; - RECT 132300.0 254250.0 133500.0 253050.0 ; - RECT 137100.0 244050.0 138300.0 242850.0 ; - RECT 137100.0 253950.0 138300.0 252750.0 ; - RECT 132900.0 249750.0 134100.0 248550.0 ; - RECT 132900.0 249750.0 134100.0 248550.0 ; - RECT 135450.0 249600.0 136350.0 248700.0 ; - RECT 130500.0 241950.0 140100.0 241050.0 ; - RECT 130500.0 256050.0 140100.0 255150.0 ; - RECT 102750.0 248550.0 103950.0 249750.0 ; - RECT 104700.0 250800.0 105900.0 252000.0 ; - RECT 121500.0 249900.0 120300.0 251100.0 ; - RECT 113100.0 267750.0 114300.0 269700.0 ; - RECT 113100.0 255600.0 114300.0 257850.0 ; - RECT 108300.0 256950.0 109500.0 255150.0 ; - RECT 108300.0 266550.0 109500.0 270150.0 ; - RECT 111000.0 258150.0 111900.0 266550.0 ; - RECT 108300.0 266550.0 109500.0 267750.0 ; - RECT 110700.0 266550.0 111900.0 267750.0 ; - RECT 110700.0 266550.0 111900.0 267750.0 ; - RECT 108300.0 266550.0 109500.0 267750.0 ; - RECT 108300.0 256950.0 109500.0 258150.0 ; - RECT 110700.0 256950.0 111900.0 258150.0 ; - RECT 110700.0 256950.0 111900.0 258150.0 ; - RECT 108300.0 256950.0 109500.0 258150.0 ; - RECT 113100.0 267150.0 114300.0 268350.0 ; - RECT 113100.0 257250.0 114300.0 258450.0 ; - RECT 108900.0 261450.0 110100.0 262650.0 ; - RECT 108900.0 261450.0 110100.0 262650.0 ; - RECT 111450.0 261600.0 112350.0 262500.0 ; - RECT 106500.0 269250.0 116100.0 270150.0 ; - RECT 106500.0 255150.0 116100.0 256050.0 ; - RECT 117900.0 257550.0 119100.0 255150.0 ; - RECT 117900.0 266550.0 119100.0 270150.0 ; - RECT 122700.0 266550.0 123900.0 270150.0 ; - RECT 125100.0 267750.0 126300.0 269700.0 ; - RECT 125100.0 255600.0 126300.0 257850.0 ; - RECT 117900.0 266550.0 119100.0 267750.0 ; - RECT 120300.0 266550.0 121500.0 267750.0 ; - RECT 120300.0 266550.0 121500.0 267750.0 ; - RECT 117900.0 266550.0 119100.0 267750.0 ; - RECT 120300.0 266550.0 121500.0 267750.0 ; - RECT 122700.0 266550.0 123900.0 267750.0 ; - RECT 122700.0 266550.0 123900.0 267750.0 ; - RECT 120300.0 266550.0 121500.0 267750.0 ; - RECT 117900.0 257550.0 119100.0 258750.0 ; - RECT 120300.0 257550.0 121500.0 258750.0 ; - RECT 120300.0 257550.0 121500.0 258750.0 ; - RECT 117900.0 257550.0 119100.0 258750.0 ; - RECT 120300.0 257550.0 121500.0 258750.0 ; - RECT 122700.0 257550.0 123900.0 258750.0 ; - RECT 122700.0 257550.0 123900.0 258750.0 ; - RECT 120300.0 257550.0 121500.0 258750.0 ; - RECT 125100.0 267150.0 126300.0 268350.0 ; - RECT 125100.0 257250.0 126300.0 258450.0 ; - RECT 122700.0 260100.0 121500.0 261300.0 ; - RECT 119700.0 262800.0 118500.0 264000.0 ; - RECT 120300.0 266550.0 121500.0 267750.0 ; - RECT 122700.0 257550.0 123900.0 258750.0 ; - RECT 123900.0 262800.0 122700.0 264000.0 ; - RECT 118500.0 262800.0 119700.0 264000.0 ; - RECT 121500.0 260100.0 122700.0 261300.0 ; - RECT 122700.0 262800.0 123900.0 264000.0 ; - RECT 116100.0 269250.0 130500.0 270150.0 ; - RECT 116100.0 255150.0 130500.0 256050.0 ; - RECT 137100.0 267750.0 138300.0 269700.0 ; - RECT 137100.0 255600.0 138300.0 257850.0 ; - RECT 132300.0 256950.0 133500.0 255150.0 ; - RECT 132300.0 266550.0 133500.0 270150.0 ; - RECT 135000.0 258150.0 135900.0 266550.0 ; - RECT 132300.0 266550.0 133500.0 267750.0 ; - RECT 134700.0 266550.0 135900.0 267750.0 ; - RECT 134700.0 266550.0 135900.0 267750.0 ; - RECT 132300.0 266550.0 133500.0 267750.0 ; - RECT 132300.0 256950.0 133500.0 258150.0 ; - RECT 134700.0 256950.0 135900.0 258150.0 ; - RECT 134700.0 256950.0 135900.0 258150.0 ; - RECT 132300.0 256950.0 133500.0 258150.0 ; - RECT 137100.0 267150.0 138300.0 268350.0 ; - RECT 137100.0 257250.0 138300.0 258450.0 ; - RECT 132900.0 261450.0 134100.0 262650.0 ; - RECT 132900.0 261450.0 134100.0 262650.0 ; - RECT 135450.0 261600.0 136350.0 262500.0 ; - RECT 130500.0 269250.0 140100.0 270150.0 ; - RECT 130500.0 255150.0 140100.0 256050.0 ; - RECT 102750.0 261450.0 103950.0 262650.0 ; - RECT 104700.0 259200.0 105900.0 260400.0 ; - RECT 121500.0 260100.0 120300.0 261300.0 ; - RECT 113100.0 271650.0 114300.0 269700.0 ; - RECT 113100.0 283800.0 114300.0 281550.0 ; - RECT 108300.0 282450.0 109500.0 284250.0 ; - RECT 108300.0 272850.0 109500.0 269250.0 ; - RECT 111000.0 281250.0 111900.0 272850.0 ; - RECT 108300.0 272850.0 109500.0 271650.0 ; - RECT 110700.0 272850.0 111900.0 271650.0 ; - RECT 110700.0 272850.0 111900.0 271650.0 ; - RECT 108300.0 272850.0 109500.0 271650.0 ; - RECT 108300.0 282450.0 109500.0 281250.0 ; - RECT 110700.0 282450.0 111900.0 281250.0 ; - RECT 110700.0 282450.0 111900.0 281250.0 ; - RECT 108300.0 282450.0 109500.0 281250.0 ; - RECT 113100.0 272250.0 114300.0 271050.0 ; - RECT 113100.0 282150.0 114300.0 280950.0 ; - RECT 108900.0 277950.0 110100.0 276750.0 ; - RECT 108900.0 277950.0 110100.0 276750.0 ; - RECT 111450.0 277800.0 112350.0 276900.0 ; - RECT 106500.0 270150.0 116100.0 269250.0 ; - RECT 106500.0 284250.0 116100.0 283350.0 ; - RECT 117900.0 281850.0 119100.0 284250.0 ; - RECT 117900.0 272850.0 119100.0 269250.0 ; - RECT 122700.0 272850.0 123900.0 269250.0 ; - RECT 125100.0 271650.0 126300.0 269700.0 ; - RECT 125100.0 283800.0 126300.0 281550.0 ; - RECT 117900.0 272850.0 119100.0 271650.0 ; - RECT 120300.0 272850.0 121500.0 271650.0 ; - RECT 120300.0 272850.0 121500.0 271650.0 ; - RECT 117900.0 272850.0 119100.0 271650.0 ; - RECT 120300.0 272850.0 121500.0 271650.0 ; - RECT 122700.0 272850.0 123900.0 271650.0 ; - RECT 122700.0 272850.0 123900.0 271650.0 ; - RECT 120300.0 272850.0 121500.0 271650.0 ; - RECT 117900.0 281850.0 119100.0 280650.0 ; - RECT 120300.0 281850.0 121500.0 280650.0 ; - RECT 120300.0 281850.0 121500.0 280650.0 ; - RECT 117900.0 281850.0 119100.0 280650.0 ; - RECT 120300.0 281850.0 121500.0 280650.0 ; - RECT 122700.0 281850.0 123900.0 280650.0 ; - RECT 122700.0 281850.0 123900.0 280650.0 ; - RECT 120300.0 281850.0 121500.0 280650.0 ; - RECT 125100.0 272250.0 126300.0 271050.0 ; - RECT 125100.0 282150.0 126300.0 280950.0 ; - RECT 122700.0 279300.0 121500.0 278100.0 ; - RECT 119700.0 276600.0 118500.0 275400.0 ; - RECT 120300.0 272850.0 121500.0 271650.0 ; - RECT 122700.0 281850.0 123900.0 280650.0 ; - RECT 123900.0 276600.0 122700.0 275400.0 ; - RECT 118500.0 276600.0 119700.0 275400.0 ; - RECT 121500.0 279300.0 122700.0 278100.0 ; - RECT 122700.0 276600.0 123900.0 275400.0 ; - RECT 116100.0 270150.0 130500.0 269250.0 ; - RECT 116100.0 284250.0 130500.0 283350.0 ; - RECT 137100.0 271650.0 138300.0 269700.0 ; - RECT 137100.0 283800.0 138300.0 281550.0 ; - RECT 132300.0 282450.0 133500.0 284250.0 ; - RECT 132300.0 272850.0 133500.0 269250.0 ; - RECT 135000.0 281250.0 135900.0 272850.0 ; - RECT 132300.0 272850.0 133500.0 271650.0 ; - RECT 134700.0 272850.0 135900.0 271650.0 ; - RECT 134700.0 272850.0 135900.0 271650.0 ; - RECT 132300.0 272850.0 133500.0 271650.0 ; - RECT 132300.0 282450.0 133500.0 281250.0 ; - RECT 134700.0 282450.0 135900.0 281250.0 ; - RECT 134700.0 282450.0 135900.0 281250.0 ; - RECT 132300.0 282450.0 133500.0 281250.0 ; - RECT 137100.0 272250.0 138300.0 271050.0 ; - RECT 137100.0 282150.0 138300.0 280950.0 ; - RECT 132900.0 277950.0 134100.0 276750.0 ; - RECT 132900.0 277950.0 134100.0 276750.0 ; - RECT 135450.0 277800.0 136350.0 276900.0 ; - RECT 130500.0 270150.0 140100.0 269250.0 ; - RECT 130500.0 284250.0 140100.0 283350.0 ; - RECT 102750.0 276750.0 103950.0 277950.0 ; - RECT 104700.0 279000.0 105900.0 280200.0 ; - RECT 121500.0 278100.0 120300.0 279300.0 ; - RECT 113100.0 295950.0 114300.0 297900.0 ; - RECT 113100.0 283800.0 114300.0 286050.0 ; - RECT 108300.0 285150.0 109500.0 283350.0 ; - RECT 108300.0 294750.0 109500.0 298350.0 ; - RECT 111000.0 286350.0 111900.0 294750.0 ; - RECT 108300.0 294750.0 109500.0 295950.0 ; - RECT 110700.0 294750.0 111900.0 295950.0 ; - RECT 110700.0 294750.0 111900.0 295950.0 ; - RECT 108300.0 294750.0 109500.0 295950.0 ; - RECT 108300.0 285150.0 109500.0 286350.0 ; - RECT 110700.0 285150.0 111900.0 286350.0 ; - RECT 110700.0 285150.0 111900.0 286350.0 ; - RECT 108300.0 285150.0 109500.0 286350.0 ; - RECT 113100.0 295350.0 114300.0 296550.0 ; - RECT 113100.0 285450.0 114300.0 286650.0 ; - RECT 108900.0 289650.0 110100.0 290850.0 ; - RECT 108900.0 289650.0 110100.0 290850.0 ; - RECT 111450.0 289800.0 112350.0 290700.0 ; - RECT 106500.0 297450.0 116100.0 298350.0 ; - RECT 106500.0 283350.0 116100.0 284250.0 ; - RECT 117900.0 285750.0 119100.0 283350.0 ; - RECT 117900.0 294750.0 119100.0 298350.0 ; - RECT 122700.0 294750.0 123900.0 298350.0 ; - RECT 125100.0 295950.0 126300.0 297900.0 ; - RECT 125100.0 283800.0 126300.0 286050.0 ; - RECT 117900.0 294750.0 119100.0 295950.0 ; - RECT 120300.0 294750.0 121500.0 295950.0 ; - RECT 120300.0 294750.0 121500.0 295950.0 ; - RECT 117900.0 294750.0 119100.0 295950.0 ; - RECT 120300.0 294750.0 121500.0 295950.0 ; - RECT 122700.0 294750.0 123900.0 295950.0 ; - RECT 122700.0 294750.0 123900.0 295950.0 ; - RECT 120300.0 294750.0 121500.0 295950.0 ; - RECT 117900.0 285750.0 119100.0 286950.0 ; - RECT 120300.0 285750.0 121500.0 286950.0 ; - RECT 120300.0 285750.0 121500.0 286950.0 ; - RECT 117900.0 285750.0 119100.0 286950.0 ; - RECT 120300.0 285750.0 121500.0 286950.0 ; - RECT 122700.0 285750.0 123900.0 286950.0 ; - RECT 122700.0 285750.0 123900.0 286950.0 ; - RECT 120300.0 285750.0 121500.0 286950.0 ; - RECT 125100.0 295350.0 126300.0 296550.0 ; - RECT 125100.0 285450.0 126300.0 286650.0 ; - RECT 122700.0 288300.0 121500.0 289500.0 ; - RECT 119700.0 291000.0 118500.0 292200.0 ; - RECT 120300.0 294750.0 121500.0 295950.0 ; - RECT 122700.0 285750.0 123900.0 286950.0 ; - RECT 123900.0 291000.0 122700.0 292200.0 ; - RECT 118500.0 291000.0 119700.0 292200.0 ; - RECT 121500.0 288300.0 122700.0 289500.0 ; - RECT 122700.0 291000.0 123900.0 292200.0 ; - RECT 116100.0 297450.0 130500.0 298350.0 ; - RECT 116100.0 283350.0 130500.0 284250.0 ; - RECT 137100.0 295950.0 138300.0 297900.0 ; - RECT 137100.0 283800.0 138300.0 286050.0 ; - RECT 132300.0 285150.0 133500.0 283350.0 ; - RECT 132300.0 294750.0 133500.0 298350.0 ; - RECT 135000.0 286350.0 135900.0 294750.0 ; - RECT 132300.0 294750.0 133500.0 295950.0 ; - RECT 134700.0 294750.0 135900.0 295950.0 ; - RECT 134700.0 294750.0 135900.0 295950.0 ; - RECT 132300.0 294750.0 133500.0 295950.0 ; - RECT 132300.0 285150.0 133500.0 286350.0 ; - RECT 134700.0 285150.0 135900.0 286350.0 ; - RECT 134700.0 285150.0 135900.0 286350.0 ; - RECT 132300.0 285150.0 133500.0 286350.0 ; - RECT 137100.0 295350.0 138300.0 296550.0 ; - RECT 137100.0 285450.0 138300.0 286650.0 ; - RECT 132900.0 289650.0 134100.0 290850.0 ; - RECT 132900.0 289650.0 134100.0 290850.0 ; - RECT 135450.0 289800.0 136350.0 290700.0 ; - RECT 130500.0 297450.0 140100.0 298350.0 ; - RECT 130500.0 283350.0 140100.0 284250.0 ; - RECT 102750.0 289650.0 103950.0 290850.0 ; - RECT 104700.0 287400.0 105900.0 288600.0 ; - RECT 121500.0 288300.0 120300.0 289500.0 ; - RECT 113100.0 299850.0 114300.0 297900.0 ; - RECT 113100.0 312000.0 114300.0 309750.0 ; - RECT 108300.0 310650.0 109500.0 312450.0 ; - RECT 108300.0 301050.0 109500.0 297450.0 ; - RECT 111000.0 309450.0 111900.0 301050.0 ; - RECT 108300.0 301050.0 109500.0 299850.0 ; - RECT 110700.0 301050.0 111900.0 299850.0 ; - RECT 110700.0 301050.0 111900.0 299850.0 ; - RECT 108300.0 301050.0 109500.0 299850.0 ; - RECT 108300.0 310650.0 109500.0 309450.0 ; - RECT 110700.0 310650.0 111900.0 309450.0 ; - RECT 110700.0 310650.0 111900.0 309450.0 ; - RECT 108300.0 310650.0 109500.0 309450.0 ; - RECT 113100.0 300450.0 114300.0 299250.0 ; - RECT 113100.0 310350.0 114300.0 309150.0 ; - RECT 108900.0 306150.0 110100.0 304950.0 ; - RECT 108900.0 306150.0 110100.0 304950.0 ; - RECT 111450.0 306000.0 112350.0 305100.0 ; - RECT 106500.0 298350.0 116100.0 297450.0 ; - RECT 106500.0 312450.0 116100.0 311550.0 ; - RECT 117900.0 310050.0 119100.0 312450.0 ; - RECT 117900.0 301050.0 119100.0 297450.0 ; - RECT 122700.0 301050.0 123900.0 297450.0 ; - RECT 125100.0 299850.0 126300.0 297900.0 ; - RECT 125100.0 312000.0 126300.0 309750.0 ; - RECT 117900.0 301050.0 119100.0 299850.0 ; - RECT 120300.0 301050.0 121500.0 299850.0 ; - RECT 120300.0 301050.0 121500.0 299850.0 ; - RECT 117900.0 301050.0 119100.0 299850.0 ; - RECT 120300.0 301050.0 121500.0 299850.0 ; - RECT 122700.0 301050.0 123900.0 299850.0 ; - RECT 122700.0 301050.0 123900.0 299850.0 ; - RECT 120300.0 301050.0 121500.0 299850.0 ; - RECT 117900.0 310050.0 119100.0 308850.0 ; - RECT 120300.0 310050.0 121500.0 308850.0 ; - RECT 120300.0 310050.0 121500.0 308850.0 ; - RECT 117900.0 310050.0 119100.0 308850.0 ; - RECT 120300.0 310050.0 121500.0 308850.0 ; - RECT 122700.0 310050.0 123900.0 308850.0 ; - RECT 122700.0 310050.0 123900.0 308850.0 ; - RECT 120300.0 310050.0 121500.0 308850.0 ; - RECT 125100.0 300450.0 126300.0 299250.0 ; - RECT 125100.0 310350.0 126300.0 309150.0 ; - RECT 122700.0 307500.0 121500.0 306300.0 ; - RECT 119700.0 304800.0 118500.0 303600.0 ; - RECT 120300.0 301050.0 121500.0 299850.0 ; - RECT 122700.0 310050.0 123900.0 308850.0 ; - RECT 123900.0 304800.0 122700.0 303600.0 ; - RECT 118500.0 304800.0 119700.0 303600.0 ; - RECT 121500.0 307500.0 122700.0 306300.0 ; - RECT 122700.0 304800.0 123900.0 303600.0 ; - RECT 116100.0 298350.0 130500.0 297450.0 ; - RECT 116100.0 312450.0 130500.0 311550.0 ; - RECT 137100.0 299850.0 138300.0 297900.0 ; - RECT 137100.0 312000.0 138300.0 309750.0 ; - RECT 132300.0 310650.0 133500.0 312450.0 ; - RECT 132300.0 301050.0 133500.0 297450.0 ; - RECT 135000.0 309450.0 135900.0 301050.0 ; - RECT 132300.0 301050.0 133500.0 299850.0 ; - RECT 134700.0 301050.0 135900.0 299850.0 ; - RECT 134700.0 301050.0 135900.0 299850.0 ; - RECT 132300.0 301050.0 133500.0 299850.0 ; - RECT 132300.0 310650.0 133500.0 309450.0 ; - RECT 134700.0 310650.0 135900.0 309450.0 ; - RECT 134700.0 310650.0 135900.0 309450.0 ; - RECT 132300.0 310650.0 133500.0 309450.0 ; - RECT 137100.0 300450.0 138300.0 299250.0 ; - RECT 137100.0 310350.0 138300.0 309150.0 ; - RECT 132900.0 306150.0 134100.0 304950.0 ; - RECT 132900.0 306150.0 134100.0 304950.0 ; - RECT 135450.0 306000.0 136350.0 305100.0 ; - RECT 130500.0 298350.0 140100.0 297450.0 ; - RECT 130500.0 312450.0 140100.0 311550.0 ; - RECT 102750.0 304950.0 103950.0 306150.0 ; - RECT 104700.0 307200.0 105900.0 308400.0 ; - RECT 121500.0 306300.0 120300.0 307500.0 ; - RECT 113100.0 324150.0 114300.0 326100.0 ; - RECT 113100.0 312000.0 114300.0 314250.0 ; - RECT 108300.0 313350.0 109500.0 311550.0 ; - RECT 108300.0 322950.0 109500.0 326550.0 ; - RECT 111000.0 314550.0 111900.0 322950.0 ; - RECT 108300.0 322950.0 109500.0 324150.0 ; - RECT 110700.0 322950.0 111900.0 324150.0 ; - RECT 110700.0 322950.0 111900.0 324150.0 ; - RECT 108300.0 322950.0 109500.0 324150.0 ; - RECT 108300.0 313350.0 109500.0 314550.0 ; - RECT 110700.0 313350.0 111900.0 314550.0 ; - RECT 110700.0 313350.0 111900.0 314550.0 ; - RECT 108300.0 313350.0 109500.0 314550.0 ; - RECT 113100.0 323550.0 114300.0 324750.0 ; - RECT 113100.0 313650.0 114300.0 314850.0 ; - RECT 108900.0 317850.0 110100.0 319050.0 ; - RECT 108900.0 317850.0 110100.0 319050.0 ; - RECT 111450.0 318000.0 112350.0 318900.0 ; - RECT 106500.0 325650.0 116100.0 326550.0 ; - RECT 106500.0 311550.0 116100.0 312450.0 ; - RECT 117900.0 313950.0 119100.0 311550.0 ; - RECT 117900.0 322950.0 119100.0 326550.0 ; - RECT 122700.0 322950.0 123900.0 326550.0 ; - RECT 125100.0 324150.0 126300.0 326100.0 ; - RECT 125100.0 312000.0 126300.0 314250.0 ; - RECT 117900.0 322950.0 119100.0 324150.0 ; - RECT 120300.0 322950.0 121500.0 324150.0 ; - RECT 120300.0 322950.0 121500.0 324150.0 ; - RECT 117900.0 322950.0 119100.0 324150.0 ; - RECT 120300.0 322950.0 121500.0 324150.0 ; - RECT 122700.0 322950.0 123900.0 324150.0 ; - RECT 122700.0 322950.0 123900.0 324150.0 ; - RECT 120300.0 322950.0 121500.0 324150.0 ; - RECT 117900.0 313950.0 119100.0 315150.0 ; - RECT 120300.0 313950.0 121500.0 315150.0 ; - RECT 120300.0 313950.0 121500.0 315150.0 ; - RECT 117900.0 313950.0 119100.0 315150.0 ; - RECT 120300.0 313950.0 121500.0 315150.0 ; - RECT 122700.0 313950.0 123900.0 315150.0 ; - RECT 122700.0 313950.0 123900.0 315150.0 ; - RECT 120300.0 313950.0 121500.0 315150.0 ; - RECT 125100.0 323550.0 126300.0 324750.0 ; - RECT 125100.0 313650.0 126300.0 314850.0 ; - RECT 122700.0 316500.0 121500.0 317700.0 ; - RECT 119700.0 319200.0 118500.0 320400.0 ; - RECT 120300.0 322950.0 121500.0 324150.0 ; - RECT 122700.0 313950.0 123900.0 315150.0 ; - RECT 123900.0 319200.0 122700.0 320400.0 ; - RECT 118500.0 319200.0 119700.0 320400.0 ; - RECT 121500.0 316500.0 122700.0 317700.0 ; - RECT 122700.0 319200.0 123900.0 320400.0 ; - RECT 116100.0 325650.0 130500.0 326550.0 ; - RECT 116100.0 311550.0 130500.0 312450.0 ; - RECT 137100.0 324150.0 138300.0 326100.0 ; - RECT 137100.0 312000.0 138300.0 314250.0 ; - RECT 132300.0 313350.0 133500.0 311550.0 ; - RECT 132300.0 322950.0 133500.0 326550.0 ; - RECT 135000.0 314550.0 135900.0 322950.0 ; - RECT 132300.0 322950.0 133500.0 324150.0 ; - RECT 134700.0 322950.0 135900.0 324150.0 ; - RECT 134700.0 322950.0 135900.0 324150.0 ; - RECT 132300.0 322950.0 133500.0 324150.0 ; - RECT 132300.0 313350.0 133500.0 314550.0 ; - RECT 134700.0 313350.0 135900.0 314550.0 ; - RECT 134700.0 313350.0 135900.0 314550.0 ; - RECT 132300.0 313350.0 133500.0 314550.0 ; - RECT 137100.0 323550.0 138300.0 324750.0 ; - RECT 137100.0 313650.0 138300.0 314850.0 ; - RECT 132900.0 317850.0 134100.0 319050.0 ; - RECT 132900.0 317850.0 134100.0 319050.0 ; - RECT 135450.0 318000.0 136350.0 318900.0 ; - RECT 130500.0 325650.0 140100.0 326550.0 ; - RECT 130500.0 311550.0 140100.0 312450.0 ; - RECT 102750.0 317850.0 103950.0 319050.0 ; - RECT 104700.0 315600.0 105900.0 316800.0 ; - RECT 121500.0 316500.0 120300.0 317700.0 ; - RECT 113100.0 328050.0 114300.0 326100.0 ; - RECT 113100.0 340200.0 114300.0 337950.0 ; - RECT 108300.0 338850.0 109500.0 340650.0 ; - RECT 108300.0 329250.0 109500.0 325650.0 ; - RECT 111000.0 337650.0 111900.0 329250.0 ; - RECT 108300.0 329250.0 109500.0 328050.0 ; - RECT 110700.0 329250.0 111900.0 328050.0 ; - RECT 110700.0 329250.0 111900.0 328050.0 ; - RECT 108300.0 329250.0 109500.0 328050.0 ; - RECT 108300.0 338850.0 109500.0 337650.0 ; - RECT 110700.0 338850.0 111900.0 337650.0 ; - RECT 110700.0 338850.0 111900.0 337650.0 ; - RECT 108300.0 338850.0 109500.0 337650.0 ; - RECT 113100.0 328650.0 114300.0 327450.0 ; - RECT 113100.0 338550.0 114300.0 337350.0 ; - RECT 108900.0 334350.0 110100.0 333150.0 ; - RECT 108900.0 334350.0 110100.0 333150.0 ; - RECT 111450.0 334200.0 112350.0 333300.0 ; - RECT 106500.0 326550.0 116100.0 325650.0 ; - RECT 106500.0 340650.0 116100.0 339750.0 ; - RECT 117900.0 338250.0 119100.0 340650.0 ; - RECT 117900.0 329250.0 119100.0 325650.0 ; - RECT 122700.0 329250.0 123900.0 325650.0 ; - RECT 125100.0 328050.0 126300.0 326100.0 ; - RECT 125100.0 340200.0 126300.0 337950.0 ; - RECT 117900.0 329250.0 119100.0 328050.0 ; - RECT 120300.0 329250.0 121500.0 328050.0 ; - RECT 120300.0 329250.0 121500.0 328050.0 ; - RECT 117900.0 329250.0 119100.0 328050.0 ; - RECT 120300.0 329250.0 121500.0 328050.0 ; - RECT 122700.0 329250.0 123900.0 328050.0 ; - RECT 122700.0 329250.0 123900.0 328050.0 ; - RECT 120300.0 329250.0 121500.0 328050.0 ; - RECT 117900.0 338250.0 119100.0 337050.0 ; - RECT 120300.0 338250.0 121500.0 337050.0 ; - RECT 120300.0 338250.0 121500.0 337050.0 ; - RECT 117900.0 338250.0 119100.0 337050.0 ; - RECT 120300.0 338250.0 121500.0 337050.0 ; - RECT 122700.0 338250.0 123900.0 337050.0 ; - RECT 122700.0 338250.0 123900.0 337050.0 ; - RECT 120300.0 338250.0 121500.0 337050.0 ; - RECT 125100.0 328650.0 126300.0 327450.0 ; - RECT 125100.0 338550.0 126300.0 337350.0 ; - RECT 122700.0 335700.0 121500.0 334500.0 ; - RECT 119700.0 333000.0 118500.0 331800.0 ; - RECT 120300.0 329250.0 121500.0 328050.0 ; - RECT 122700.0 338250.0 123900.0 337050.0 ; - RECT 123900.0 333000.0 122700.0 331800.0 ; - RECT 118500.0 333000.0 119700.0 331800.0 ; - RECT 121500.0 335700.0 122700.0 334500.0 ; - RECT 122700.0 333000.0 123900.0 331800.0 ; - RECT 116100.0 326550.0 130500.0 325650.0 ; - RECT 116100.0 340650.0 130500.0 339750.0 ; - RECT 137100.0 328050.0 138300.0 326100.0 ; - RECT 137100.0 340200.0 138300.0 337950.0 ; - RECT 132300.0 338850.0 133500.0 340650.0 ; - RECT 132300.0 329250.0 133500.0 325650.0 ; - RECT 135000.0 337650.0 135900.0 329250.0 ; - RECT 132300.0 329250.0 133500.0 328050.0 ; - RECT 134700.0 329250.0 135900.0 328050.0 ; - RECT 134700.0 329250.0 135900.0 328050.0 ; - RECT 132300.0 329250.0 133500.0 328050.0 ; - RECT 132300.0 338850.0 133500.0 337650.0 ; - RECT 134700.0 338850.0 135900.0 337650.0 ; - RECT 134700.0 338850.0 135900.0 337650.0 ; - RECT 132300.0 338850.0 133500.0 337650.0 ; - RECT 137100.0 328650.0 138300.0 327450.0 ; - RECT 137100.0 338550.0 138300.0 337350.0 ; - RECT 132900.0 334350.0 134100.0 333150.0 ; - RECT 132900.0 334350.0 134100.0 333150.0 ; - RECT 135450.0 334200.0 136350.0 333300.0 ; - RECT 130500.0 326550.0 140100.0 325650.0 ; - RECT 130500.0 340650.0 140100.0 339750.0 ; - RECT 102750.0 333150.0 103950.0 334350.0 ; - RECT 104700.0 335400.0 105900.0 336600.0 ; - RECT 121500.0 334500.0 120300.0 335700.0 ; - RECT 113100.0 352350.0 114300.0 354300.0 ; - RECT 113100.0 340200.0 114300.0 342450.0 ; - RECT 108300.0 341550.0 109500.0 339750.0 ; - RECT 108300.0 351150.0 109500.0 354750.0 ; - RECT 111000.0 342750.0 111900.0 351150.0 ; - RECT 108300.0 351150.0 109500.0 352350.0 ; - RECT 110700.0 351150.0 111900.0 352350.0 ; - RECT 110700.0 351150.0 111900.0 352350.0 ; - RECT 108300.0 351150.0 109500.0 352350.0 ; - RECT 108300.0 341550.0 109500.0 342750.0 ; - RECT 110700.0 341550.0 111900.0 342750.0 ; - RECT 110700.0 341550.0 111900.0 342750.0 ; - RECT 108300.0 341550.0 109500.0 342750.0 ; - RECT 113100.0 351750.0 114300.0 352950.0 ; - RECT 113100.0 341850.0 114300.0 343050.0 ; - RECT 108900.0 346050.0 110100.0 347250.0 ; - RECT 108900.0 346050.0 110100.0 347250.0 ; - RECT 111450.0 346200.0 112350.0 347100.0 ; - RECT 106500.0 353850.0 116100.0 354750.0 ; - RECT 106500.0 339750.0 116100.0 340650.0 ; - RECT 117900.0 342150.0 119100.0 339750.0 ; - RECT 117900.0 351150.0 119100.0 354750.0 ; - RECT 122700.0 351150.0 123900.0 354750.0 ; - RECT 125100.0 352350.0 126300.0 354300.0 ; - RECT 125100.0 340200.0 126300.0 342450.0 ; - RECT 117900.0 351150.0 119100.0 352350.0 ; - RECT 120300.0 351150.0 121500.0 352350.0 ; - RECT 120300.0 351150.0 121500.0 352350.0 ; - RECT 117900.0 351150.0 119100.0 352350.0 ; - RECT 120300.0 351150.0 121500.0 352350.0 ; - RECT 122700.0 351150.0 123900.0 352350.0 ; - RECT 122700.0 351150.0 123900.0 352350.0 ; - RECT 120300.0 351150.0 121500.0 352350.0 ; - RECT 117900.0 342150.0 119100.0 343350.0 ; - RECT 120300.0 342150.0 121500.0 343350.0 ; - RECT 120300.0 342150.0 121500.0 343350.0 ; - RECT 117900.0 342150.0 119100.0 343350.0 ; - RECT 120300.0 342150.0 121500.0 343350.0 ; - RECT 122700.0 342150.0 123900.0 343350.0 ; - RECT 122700.0 342150.0 123900.0 343350.0 ; - RECT 120300.0 342150.0 121500.0 343350.0 ; - RECT 125100.0 351750.0 126300.0 352950.0 ; - RECT 125100.0 341850.0 126300.0 343050.0 ; - RECT 122700.0 344700.0 121500.0 345900.0 ; - RECT 119700.0 347400.0 118500.0 348600.0 ; - RECT 120300.0 351150.0 121500.0 352350.0 ; - RECT 122700.0 342150.0 123900.0 343350.0 ; - RECT 123900.0 347400.0 122700.0 348600.0 ; - RECT 118500.0 347400.0 119700.0 348600.0 ; - RECT 121500.0 344700.0 122700.0 345900.0 ; - RECT 122700.0 347400.0 123900.0 348600.0 ; - RECT 116100.0 353850.0 130500.0 354750.0 ; - RECT 116100.0 339750.0 130500.0 340650.0 ; - RECT 137100.0 352350.0 138300.0 354300.0 ; - RECT 137100.0 340200.0 138300.0 342450.0 ; - RECT 132300.0 341550.0 133500.0 339750.0 ; - RECT 132300.0 351150.0 133500.0 354750.0 ; - RECT 135000.0 342750.0 135900.0 351150.0 ; - RECT 132300.0 351150.0 133500.0 352350.0 ; - RECT 134700.0 351150.0 135900.0 352350.0 ; - RECT 134700.0 351150.0 135900.0 352350.0 ; - RECT 132300.0 351150.0 133500.0 352350.0 ; - RECT 132300.0 341550.0 133500.0 342750.0 ; - RECT 134700.0 341550.0 135900.0 342750.0 ; - RECT 134700.0 341550.0 135900.0 342750.0 ; - RECT 132300.0 341550.0 133500.0 342750.0 ; - RECT 137100.0 351750.0 138300.0 352950.0 ; - RECT 137100.0 341850.0 138300.0 343050.0 ; - RECT 132900.0 346050.0 134100.0 347250.0 ; - RECT 132900.0 346050.0 134100.0 347250.0 ; - RECT 135450.0 346200.0 136350.0 347100.0 ; - RECT 130500.0 353850.0 140100.0 354750.0 ; - RECT 130500.0 339750.0 140100.0 340650.0 ; - RECT 102750.0 346050.0 103950.0 347250.0 ; - RECT 104700.0 343800.0 105900.0 345000.0 ; - RECT 121500.0 344700.0 120300.0 345900.0 ; - RECT 113100.0 356250.0 114300.0 354300.0 ; - RECT 113100.0 368400.0 114300.0 366150.0 ; - RECT 108300.0 367050.0 109500.0 368850.0 ; - RECT 108300.0 357450.0 109500.0 353850.0 ; - RECT 111000.0 365850.0 111900.0 357450.0 ; - RECT 108300.0 357450.0 109500.0 356250.0 ; - RECT 110700.0 357450.0 111900.0 356250.0 ; - RECT 110700.0 357450.0 111900.0 356250.0 ; - RECT 108300.0 357450.0 109500.0 356250.0 ; - RECT 108300.0 367050.0 109500.0 365850.0 ; - RECT 110700.0 367050.0 111900.0 365850.0 ; - RECT 110700.0 367050.0 111900.0 365850.0 ; - RECT 108300.0 367050.0 109500.0 365850.0 ; - RECT 113100.0 356850.0 114300.0 355650.0 ; - RECT 113100.0 366750.0 114300.0 365550.0 ; - RECT 108900.0 362550.0 110100.0 361350.0 ; - RECT 108900.0 362550.0 110100.0 361350.0 ; - RECT 111450.0 362400.0 112350.0 361500.0 ; - RECT 106500.0 354750.0 116100.0 353850.0 ; - RECT 106500.0 368850.0 116100.0 367950.0 ; - RECT 117900.0 366450.0 119100.0 368850.0 ; - RECT 117900.0 357450.0 119100.0 353850.0 ; - RECT 122700.0 357450.0 123900.0 353850.0 ; - RECT 125100.0 356250.0 126300.0 354300.0 ; - RECT 125100.0 368400.0 126300.0 366150.0 ; - RECT 117900.0 357450.0 119100.0 356250.0 ; - RECT 120300.0 357450.0 121500.0 356250.0 ; - RECT 120300.0 357450.0 121500.0 356250.0 ; - RECT 117900.0 357450.0 119100.0 356250.0 ; - RECT 120300.0 357450.0 121500.0 356250.0 ; - RECT 122700.0 357450.0 123900.0 356250.0 ; - RECT 122700.0 357450.0 123900.0 356250.0 ; - RECT 120300.0 357450.0 121500.0 356250.0 ; - RECT 117900.0 366450.0 119100.0 365250.0 ; - RECT 120300.0 366450.0 121500.0 365250.0 ; - RECT 120300.0 366450.0 121500.0 365250.0 ; - RECT 117900.0 366450.0 119100.0 365250.0 ; - RECT 120300.0 366450.0 121500.0 365250.0 ; - RECT 122700.0 366450.0 123900.0 365250.0 ; - RECT 122700.0 366450.0 123900.0 365250.0 ; - RECT 120300.0 366450.0 121500.0 365250.0 ; - RECT 125100.0 356850.0 126300.0 355650.0 ; - RECT 125100.0 366750.0 126300.0 365550.0 ; - RECT 122700.0 363900.0 121500.0 362700.0 ; - RECT 119700.0 361200.0 118500.0 360000.0 ; - RECT 120300.0 357450.0 121500.0 356250.0 ; - RECT 122700.0 366450.0 123900.0 365250.0 ; - RECT 123900.0 361200.0 122700.0 360000.0 ; - RECT 118500.0 361200.0 119700.0 360000.0 ; - RECT 121500.0 363900.0 122700.0 362700.0 ; - RECT 122700.0 361200.0 123900.0 360000.0 ; - RECT 116100.0 354750.0 130500.0 353850.0 ; - RECT 116100.0 368850.0 130500.0 367950.0 ; - RECT 137100.0 356250.0 138300.0 354300.0 ; - RECT 137100.0 368400.0 138300.0 366150.0 ; - RECT 132300.0 367050.0 133500.0 368850.0 ; - RECT 132300.0 357450.0 133500.0 353850.0 ; - RECT 135000.0 365850.0 135900.0 357450.0 ; - RECT 132300.0 357450.0 133500.0 356250.0 ; - RECT 134700.0 357450.0 135900.0 356250.0 ; - RECT 134700.0 357450.0 135900.0 356250.0 ; - RECT 132300.0 357450.0 133500.0 356250.0 ; - RECT 132300.0 367050.0 133500.0 365850.0 ; - RECT 134700.0 367050.0 135900.0 365850.0 ; - RECT 134700.0 367050.0 135900.0 365850.0 ; - RECT 132300.0 367050.0 133500.0 365850.0 ; - RECT 137100.0 356850.0 138300.0 355650.0 ; - RECT 137100.0 366750.0 138300.0 365550.0 ; - RECT 132900.0 362550.0 134100.0 361350.0 ; - RECT 132900.0 362550.0 134100.0 361350.0 ; - RECT 135450.0 362400.0 136350.0 361500.0 ; - RECT 130500.0 354750.0 140100.0 353850.0 ; - RECT 130500.0 368850.0 140100.0 367950.0 ; - RECT 102750.0 361350.0 103950.0 362550.0 ; - RECT 104700.0 363600.0 105900.0 364800.0 ; - RECT 121500.0 362700.0 120300.0 363900.0 ; - RECT 113100.0 380550.0 114300.0 382500.0 ; - RECT 113100.0 368400.0 114300.0 370650.0 ; - RECT 108300.0 369750.0 109500.0 367950.0 ; - RECT 108300.0 379350.0 109500.0 382950.0 ; - RECT 111000.0 370950.0 111900.0 379350.0 ; - RECT 108300.0 379350.0 109500.0 380550.0 ; - RECT 110700.0 379350.0 111900.0 380550.0 ; - RECT 110700.0 379350.0 111900.0 380550.0 ; - RECT 108300.0 379350.0 109500.0 380550.0 ; - RECT 108300.0 369750.0 109500.0 370950.0 ; - RECT 110700.0 369750.0 111900.0 370950.0 ; - RECT 110700.0 369750.0 111900.0 370950.0 ; - RECT 108300.0 369750.0 109500.0 370950.0 ; - RECT 113100.0 379950.0 114300.0 381150.0 ; - RECT 113100.0 370050.0 114300.0 371250.0 ; - RECT 108900.0 374250.0 110100.0 375450.0 ; - RECT 108900.0 374250.0 110100.0 375450.0 ; - RECT 111450.0 374400.0 112350.0 375300.0 ; - RECT 106500.0 382050.0 116100.0 382950.0 ; - RECT 106500.0 367950.0 116100.0 368850.0 ; - RECT 117900.0 370350.0 119100.0 367950.0 ; - RECT 117900.0 379350.0 119100.0 382950.0 ; - RECT 122700.0 379350.0 123900.0 382950.0 ; - RECT 125100.0 380550.0 126300.0 382500.0 ; - RECT 125100.0 368400.0 126300.0 370650.0 ; - RECT 117900.0 379350.0 119100.0 380550.0 ; - RECT 120300.0 379350.0 121500.0 380550.0 ; - RECT 120300.0 379350.0 121500.0 380550.0 ; - RECT 117900.0 379350.0 119100.0 380550.0 ; - RECT 120300.0 379350.0 121500.0 380550.0 ; - RECT 122700.0 379350.0 123900.0 380550.0 ; - RECT 122700.0 379350.0 123900.0 380550.0 ; - RECT 120300.0 379350.0 121500.0 380550.0 ; - RECT 117900.0 370350.0 119100.0 371550.0 ; - RECT 120300.0 370350.0 121500.0 371550.0 ; - RECT 120300.0 370350.0 121500.0 371550.0 ; - RECT 117900.0 370350.0 119100.0 371550.0 ; - RECT 120300.0 370350.0 121500.0 371550.0 ; - RECT 122700.0 370350.0 123900.0 371550.0 ; - RECT 122700.0 370350.0 123900.0 371550.0 ; - RECT 120300.0 370350.0 121500.0 371550.0 ; - RECT 125100.0 379950.0 126300.0 381150.0 ; - RECT 125100.0 370050.0 126300.0 371250.0 ; - RECT 122700.0 372900.0 121500.0 374100.0 ; - RECT 119700.0 375600.0 118500.0 376800.0 ; - RECT 120300.0 379350.0 121500.0 380550.0 ; - RECT 122700.0 370350.0 123900.0 371550.0 ; - RECT 123900.0 375600.0 122700.0 376800.0 ; - RECT 118500.0 375600.0 119700.0 376800.0 ; - RECT 121500.0 372900.0 122700.0 374100.0 ; - RECT 122700.0 375600.0 123900.0 376800.0 ; - RECT 116100.0 382050.0 130500.0 382950.0 ; - RECT 116100.0 367950.0 130500.0 368850.0 ; - RECT 137100.0 380550.0 138300.0 382500.0 ; - RECT 137100.0 368400.0 138300.0 370650.0 ; - RECT 132300.0 369750.0 133500.0 367950.0 ; - RECT 132300.0 379350.0 133500.0 382950.0 ; - RECT 135000.0 370950.0 135900.0 379350.0 ; - RECT 132300.0 379350.0 133500.0 380550.0 ; - RECT 134700.0 379350.0 135900.0 380550.0 ; - RECT 134700.0 379350.0 135900.0 380550.0 ; - RECT 132300.0 379350.0 133500.0 380550.0 ; - RECT 132300.0 369750.0 133500.0 370950.0 ; - RECT 134700.0 369750.0 135900.0 370950.0 ; - RECT 134700.0 369750.0 135900.0 370950.0 ; - RECT 132300.0 369750.0 133500.0 370950.0 ; - RECT 137100.0 379950.0 138300.0 381150.0 ; - RECT 137100.0 370050.0 138300.0 371250.0 ; - RECT 132900.0 374250.0 134100.0 375450.0 ; - RECT 132900.0 374250.0 134100.0 375450.0 ; - RECT 135450.0 374400.0 136350.0 375300.0 ; - RECT 130500.0 382050.0 140100.0 382950.0 ; - RECT 130500.0 367950.0 140100.0 368850.0 ; - RECT 102750.0 374250.0 103950.0 375450.0 ; - RECT 104700.0 372000.0 105900.0 373200.0 ; - RECT 121500.0 372900.0 120300.0 374100.0 ; - RECT 113100.0 384450.0 114300.0 382500.0 ; - RECT 113100.0 396600.0 114300.0 394350.0 ; - RECT 108300.0 395250.0 109500.0 397050.0 ; - RECT 108300.0 385650.0 109500.0 382050.0 ; - RECT 111000.0 394050.0 111900.0 385650.0 ; - RECT 108300.0 385650.0 109500.0 384450.0 ; - RECT 110700.0 385650.0 111900.0 384450.0 ; - RECT 110700.0 385650.0 111900.0 384450.0 ; - RECT 108300.0 385650.0 109500.0 384450.0 ; - RECT 108300.0 395250.0 109500.0 394050.0 ; - RECT 110700.0 395250.0 111900.0 394050.0 ; - RECT 110700.0 395250.0 111900.0 394050.0 ; - RECT 108300.0 395250.0 109500.0 394050.0 ; - RECT 113100.0 385050.0 114300.0 383850.0 ; - RECT 113100.0 394950.0 114300.0 393750.0 ; - RECT 108900.0 390750.0 110100.0 389550.0 ; - RECT 108900.0 390750.0 110100.0 389550.0 ; - RECT 111450.0 390600.0 112350.0 389700.0 ; - RECT 106500.0 382950.0 116100.0 382050.0 ; - RECT 106500.0 397050.0 116100.0 396150.0 ; - RECT 117900.0 394650.0 119100.0 397050.0 ; - RECT 117900.0 385650.0 119100.0 382050.0 ; - RECT 122700.0 385650.0 123900.0 382050.0 ; - RECT 125100.0 384450.0 126300.0 382500.0 ; - RECT 125100.0 396600.0 126300.0 394350.0 ; - RECT 117900.0 385650.0 119100.0 384450.0 ; - RECT 120300.0 385650.0 121500.0 384450.0 ; - RECT 120300.0 385650.0 121500.0 384450.0 ; - RECT 117900.0 385650.0 119100.0 384450.0 ; - RECT 120300.0 385650.0 121500.0 384450.0 ; - RECT 122700.0 385650.0 123900.0 384450.0 ; - RECT 122700.0 385650.0 123900.0 384450.0 ; - RECT 120300.0 385650.0 121500.0 384450.0 ; - RECT 117900.0 394650.0 119100.0 393450.0 ; - RECT 120300.0 394650.0 121500.0 393450.0 ; - RECT 120300.0 394650.0 121500.0 393450.0 ; - RECT 117900.0 394650.0 119100.0 393450.0 ; - RECT 120300.0 394650.0 121500.0 393450.0 ; - RECT 122700.0 394650.0 123900.0 393450.0 ; - RECT 122700.0 394650.0 123900.0 393450.0 ; - RECT 120300.0 394650.0 121500.0 393450.0 ; - RECT 125100.0 385050.0 126300.0 383850.0 ; - RECT 125100.0 394950.0 126300.0 393750.0 ; - RECT 122700.0 392100.0 121500.0 390900.0 ; - RECT 119700.0 389400.0 118500.0 388200.0 ; - RECT 120300.0 385650.0 121500.0 384450.0 ; - RECT 122700.0 394650.0 123900.0 393450.0 ; - RECT 123900.0 389400.0 122700.0 388200.0 ; - RECT 118500.0 389400.0 119700.0 388200.0 ; - RECT 121500.0 392100.0 122700.0 390900.0 ; - RECT 122700.0 389400.0 123900.0 388200.0 ; - RECT 116100.0 382950.0 130500.0 382050.0 ; - RECT 116100.0 397050.0 130500.0 396150.0 ; - RECT 137100.0 384450.0 138300.0 382500.0 ; - RECT 137100.0 396600.0 138300.0 394350.0 ; - RECT 132300.0 395250.0 133500.0 397050.0 ; - RECT 132300.0 385650.0 133500.0 382050.0 ; - RECT 135000.0 394050.0 135900.0 385650.0 ; - RECT 132300.0 385650.0 133500.0 384450.0 ; - RECT 134700.0 385650.0 135900.0 384450.0 ; - RECT 134700.0 385650.0 135900.0 384450.0 ; - RECT 132300.0 385650.0 133500.0 384450.0 ; - RECT 132300.0 395250.0 133500.0 394050.0 ; - RECT 134700.0 395250.0 135900.0 394050.0 ; - RECT 134700.0 395250.0 135900.0 394050.0 ; - RECT 132300.0 395250.0 133500.0 394050.0 ; - RECT 137100.0 385050.0 138300.0 383850.0 ; - RECT 137100.0 394950.0 138300.0 393750.0 ; - RECT 132900.0 390750.0 134100.0 389550.0 ; - RECT 132900.0 390750.0 134100.0 389550.0 ; - RECT 135450.0 390600.0 136350.0 389700.0 ; - RECT 130500.0 382950.0 140100.0 382050.0 ; - RECT 130500.0 397050.0 140100.0 396150.0 ; - RECT 102750.0 389550.0 103950.0 390750.0 ; - RECT 104700.0 391800.0 105900.0 393000.0 ; - RECT 121500.0 390900.0 120300.0 392100.0 ; - RECT 113100.0 408750.0 114300.0 410700.0 ; - RECT 113100.0 396600.0 114300.0 398850.0 ; - RECT 108300.0 397950.0 109500.0 396150.0 ; - RECT 108300.0 407550.0 109500.0 411150.0 ; - RECT 111000.0 399150.0 111900.0 407550.0 ; - RECT 108300.0 407550.0 109500.0 408750.0 ; - RECT 110700.0 407550.0 111900.0 408750.0 ; - RECT 110700.0 407550.0 111900.0 408750.0 ; - RECT 108300.0 407550.0 109500.0 408750.0 ; - RECT 108300.0 397950.0 109500.0 399150.0 ; - RECT 110700.0 397950.0 111900.0 399150.0 ; - RECT 110700.0 397950.0 111900.0 399150.0 ; - RECT 108300.0 397950.0 109500.0 399150.0 ; - RECT 113100.0 408150.0 114300.0 409350.0 ; - RECT 113100.0 398250.0 114300.0 399450.0 ; - RECT 108900.0 402450.0 110100.0 403650.0 ; - RECT 108900.0 402450.0 110100.0 403650.0 ; - RECT 111450.0 402600.0 112350.0 403500.0 ; - RECT 106500.0 410250.0 116100.0 411150.0 ; - RECT 106500.0 396150.0 116100.0 397050.0 ; - RECT 117900.0 398550.0 119100.0 396150.0 ; - RECT 117900.0 407550.0 119100.0 411150.0 ; - RECT 122700.0 407550.0 123900.0 411150.0 ; - RECT 125100.0 408750.0 126300.0 410700.0 ; - RECT 125100.0 396600.0 126300.0 398850.0 ; - RECT 117900.0 407550.0 119100.0 408750.0 ; - RECT 120300.0 407550.0 121500.0 408750.0 ; - RECT 120300.0 407550.0 121500.0 408750.0 ; - RECT 117900.0 407550.0 119100.0 408750.0 ; - RECT 120300.0 407550.0 121500.0 408750.0 ; - RECT 122700.0 407550.0 123900.0 408750.0 ; - RECT 122700.0 407550.0 123900.0 408750.0 ; - RECT 120300.0 407550.0 121500.0 408750.0 ; - RECT 117900.0 398550.0 119100.0 399750.0 ; - RECT 120300.0 398550.0 121500.0 399750.0 ; - RECT 120300.0 398550.0 121500.0 399750.0 ; - RECT 117900.0 398550.0 119100.0 399750.0 ; - RECT 120300.0 398550.0 121500.0 399750.0 ; - RECT 122700.0 398550.0 123900.0 399750.0 ; - RECT 122700.0 398550.0 123900.0 399750.0 ; - RECT 120300.0 398550.0 121500.0 399750.0 ; - RECT 125100.0 408150.0 126300.0 409350.0 ; - RECT 125100.0 398250.0 126300.0 399450.0 ; - RECT 122700.0 401100.0 121500.0 402300.0 ; - RECT 119700.0 403800.0 118500.0 405000.0 ; - RECT 120300.0 407550.0 121500.0 408750.0 ; - RECT 122700.0 398550.0 123900.0 399750.0 ; - RECT 123900.0 403800.0 122700.0 405000.0 ; - RECT 118500.0 403800.0 119700.0 405000.0 ; - RECT 121500.0 401100.0 122700.0 402300.0 ; - RECT 122700.0 403800.0 123900.0 405000.0 ; - RECT 116100.0 410250.0 130500.0 411150.0 ; - RECT 116100.0 396150.0 130500.0 397050.0 ; - RECT 137100.0 408750.0 138300.0 410700.0 ; - RECT 137100.0 396600.0 138300.0 398850.0 ; - RECT 132300.0 397950.0 133500.0 396150.0 ; - RECT 132300.0 407550.0 133500.0 411150.0 ; - RECT 135000.0 399150.0 135900.0 407550.0 ; - RECT 132300.0 407550.0 133500.0 408750.0 ; - RECT 134700.0 407550.0 135900.0 408750.0 ; - RECT 134700.0 407550.0 135900.0 408750.0 ; - RECT 132300.0 407550.0 133500.0 408750.0 ; - RECT 132300.0 397950.0 133500.0 399150.0 ; - RECT 134700.0 397950.0 135900.0 399150.0 ; - RECT 134700.0 397950.0 135900.0 399150.0 ; - RECT 132300.0 397950.0 133500.0 399150.0 ; - RECT 137100.0 408150.0 138300.0 409350.0 ; - RECT 137100.0 398250.0 138300.0 399450.0 ; - RECT 132900.0 402450.0 134100.0 403650.0 ; - RECT 132900.0 402450.0 134100.0 403650.0 ; - RECT 135450.0 402600.0 136350.0 403500.0 ; - RECT 130500.0 410250.0 140100.0 411150.0 ; - RECT 130500.0 396150.0 140100.0 397050.0 ; - RECT 102750.0 402450.0 103950.0 403650.0 ; - RECT 104700.0 400200.0 105900.0 401400.0 ; - RECT 121500.0 401100.0 120300.0 402300.0 ; - RECT 113100.0 412650.0 114300.0 410700.0 ; - RECT 113100.0 424800.0 114300.0 422550.0 ; - RECT 108300.0 423450.0 109500.0 425250.0 ; - RECT 108300.0 413850.0 109500.0 410250.0 ; - RECT 111000.0 422250.0 111900.0 413850.0 ; - RECT 108300.0 413850.0 109500.0 412650.0 ; - RECT 110700.0 413850.0 111900.0 412650.0 ; - RECT 110700.0 413850.0 111900.0 412650.0 ; - RECT 108300.0 413850.0 109500.0 412650.0 ; - RECT 108300.0 423450.0 109500.0 422250.0 ; - RECT 110700.0 423450.0 111900.0 422250.0 ; - RECT 110700.0 423450.0 111900.0 422250.0 ; - RECT 108300.0 423450.0 109500.0 422250.0 ; - RECT 113100.0 413250.0 114300.0 412050.0 ; - RECT 113100.0 423150.0 114300.0 421950.0 ; - RECT 108900.0 418950.0 110100.0 417750.0 ; - RECT 108900.0 418950.0 110100.0 417750.0 ; - RECT 111450.0 418800.0 112350.0 417900.0 ; - RECT 106500.0 411150.0 116100.0 410250.0 ; - RECT 106500.0 425250.0 116100.0 424350.0 ; - RECT 117900.0 422850.0 119100.0 425250.0 ; - RECT 117900.0 413850.0 119100.0 410250.0 ; - RECT 122700.0 413850.0 123900.0 410250.0 ; - RECT 125100.0 412650.0 126300.0 410700.0 ; - RECT 125100.0 424800.0 126300.0 422550.0 ; - RECT 117900.0 413850.0 119100.0 412650.0 ; - RECT 120300.0 413850.0 121500.0 412650.0 ; - RECT 120300.0 413850.0 121500.0 412650.0 ; - RECT 117900.0 413850.0 119100.0 412650.0 ; - RECT 120300.0 413850.0 121500.0 412650.0 ; - RECT 122700.0 413850.0 123900.0 412650.0 ; - RECT 122700.0 413850.0 123900.0 412650.0 ; - RECT 120300.0 413850.0 121500.0 412650.0 ; - RECT 117900.0 422850.0 119100.0 421650.0 ; - RECT 120300.0 422850.0 121500.0 421650.0 ; - RECT 120300.0 422850.0 121500.0 421650.0 ; - RECT 117900.0 422850.0 119100.0 421650.0 ; - RECT 120300.0 422850.0 121500.0 421650.0 ; - RECT 122700.0 422850.0 123900.0 421650.0 ; - RECT 122700.0 422850.0 123900.0 421650.0 ; - RECT 120300.0 422850.0 121500.0 421650.0 ; - RECT 125100.0 413250.0 126300.0 412050.0 ; - RECT 125100.0 423150.0 126300.0 421950.0 ; - RECT 122700.0 420300.0 121500.0 419100.0 ; - RECT 119700.0 417600.0 118500.0 416400.0 ; - RECT 120300.0 413850.0 121500.0 412650.0 ; - RECT 122700.0 422850.0 123900.0 421650.0 ; - RECT 123900.0 417600.0 122700.0 416400.0 ; - RECT 118500.0 417600.0 119700.0 416400.0 ; - RECT 121500.0 420300.0 122700.0 419100.0 ; - RECT 122700.0 417600.0 123900.0 416400.0 ; - RECT 116100.0 411150.0 130500.0 410250.0 ; - RECT 116100.0 425250.0 130500.0 424350.0 ; - RECT 137100.0 412650.0 138300.0 410700.0 ; - RECT 137100.0 424800.0 138300.0 422550.0 ; - RECT 132300.0 423450.0 133500.0 425250.0 ; - RECT 132300.0 413850.0 133500.0 410250.0 ; - RECT 135000.0 422250.0 135900.0 413850.0 ; - RECT 132300.0 413850.0 133500.0 412650.0 ; - RECT 134700.0 413850.0 135900.0 412650.0 ; - RECT 134700.0 413850.0 135900.0 412650.0 ; - RECT 132300.0 413850.0 133500.0 412650.0 ; - RECT 132300.0 423450.0 133500.0 422250.0 ; - RECT 134700.0 423450.0 135900.0 422250.0 ; - RECT 134700.0 423450.0 135900.0 422250.0 ; - RECT 132300.0 423450.0 133500.0 422250.0 ; - RECT 137100.0 413250.0 138300.0 412050.0 ; - RECT 137100.0 423150.0 138300.0 421950.0 ; - RECT 132900.0 418950.0 134100.0 417750.0 ; - RECT 132900.0 418950.0 134100.0 417750.0 ; - RECT 135450.0 418800.0 136350.0 417900.0 ; - RECT 130500.0 411150.0 140100.0 410250.0 ; - RECT 130500.0 425250.0 140100.0 424350.0 ; - RECT 102750.0 417750.0 103950.0 418950.0 ; - RECT 104700.0 420000.0 105900.0 421200.0 ; - RECT 121500.0 419100.0 120300.0 420300.0 ; - RECT 100200.0 202950.0 105300.0 203850.0 ; - RECT 100200.0 222750.0 105300.0 223650.0 ; - RECT 100200.0 231150.0 105300.0 232050.0 ; - RECT 100200.0 250950.0 105300.0 251850.0 ; - RECT 100200.0 259350.0 105300.0 260250.0 ; - RECT 100200.0 279150.0 105300.0 280050.0 ; - RECT 100200.0 287550.0 105300.0 288450.0 ; - RECT 100200.0 307350.0 105300.0 308250.0 ; - RECT 100200.0 315750.0 105300.0 316650.0 ; - RECT 100200.0 335550.0 105300.0 336450.0 ; - RECT 100200.0 343950.0 105300.0 344850.0 ; - RECT 100200.0 363750.0 105300.0 364650.0 ; - RECT 100200.0 372150.0 105300.0 373050.0 ; - RECT 100200.0 391950.0 105300.0 392850.0 ; - RECT 100200.0 400350.0 105300.0 401250.0 ; - RECT 100200.0 420150.0 105300.0 421050.0 ; - RECT 135450.0 205200.0 136350.0 206100.0 ; - RECT 135450.0 220500.0 136350.0 221400.0 ; - RECT 135450.0 233400.0 136350.0 234300.0 ; - RECT 135450.0 248700.0 136350.0 249600.0 ; - RECT 135450.0 261600.0 136350.0 262500.0 ; - RECT 135450.0 276900.0 136350.0 277800.0 ; - RECT 135450.0 289800.0 136350.0 290700.0 ; - RECT 135450.0 305100.0 136350.0 306000.0 ; - RECT 135450.0 318000.0 136350.0 318900.0 ; - RECT 135450.0 333300.0 136350.0 334200.0 ; - RECT 135450.0 346200.0 136350.0 347100.0 ; - RECT 135450.0 361500.0 136350.0 362400.0 ; - RECT 135450.0 374400.0 136350.0 375300.0 ; - RECT 135450.0 389700.0 136350.0 390600.0 ; - RECT 135450.0 402600.0 136350.0 403500.0 ; - RECT 135450.0 417900.0 136350.0 418800.0 ; - RECT 100200.0 212850.0 106500.0 213750.0 ; - RECT 100200.0 241050.0 106500.0 241950.0 ; - RECT 100200.0 269250.0 106500.0 270150.0 ; - RECT 100200.0 297450.0 106500.0 298350.0 ; - RECT 100200.0 325650.0 106500.0 326550.0 ; - RECT 100200.0 353850.0 106500.0 354750.0 ; - RECT 100200.0 382050.0 106500.0 382950.0 ; - RECT 100200.0 410250.0 106500.0 411150.0 ; - RECT 100200.0 198750.0 106500.0 199650.0 ; - RECT 100200.0 226950.0 106500.0 227850.0 ; - RECT 100200.0 255150.0 106500.0 256050.0 ; - RECT 100200.0 283350.0 106500.0 284250.0 ; - RECT 100200.0 311550.0 106500.0 312450.0 ; - RECT 100200.0 339750.0 106500.0 340650.0 ; - RECT 100200.0 367950.0 106500.0 368850.0 ; - RECT 100200.0 396150.0 106500.0 397050.0 ; - RECT 100200.0 424350.0 106500.0 425250.0 ; - RECT 59400.0 81000.0 119400.0 70800.0 ; - RECT 59400.0 60600.0 119400.0 70800.0 ; - RECT 59400.0 60600.0 119400.0 50400.0 ; - RECT 59400.0 40200.0 119400.0 50400.0 ; - RECT 61800.0 81000.0 62700.0 40200.0 ; - RECT 115800.0 81000.0 116700.0 40200.0 ; - RECT 148350.0 199800.0 149550.0 198600.0 ; - RECT 148350.0 228000.0 149550.0 226800.0 ; - RECT 148350.0 256200.0 149550.0 255000.0 ; - RECT 148350.0 284400.0 149550.0 283200.0 ; - RECT 148350.0 312600.0 149550.0 311400.0 ; - RECT 148350.0 340800.0 149550.0 339600.0 ; - RECT 148350.0 369000.0 149550.0 367800.0 ; - RECT 148350.0 397200.0 149550.0 396000.0 ; - RECT 148350.0 425400.0 149550.0 424200.0 ; - RECT 130800.0 88650.0 129600.0 89850.0 ; - RECT 135900.0 88500.0 134700.0 89700.0 ; - RECT 127800.0 102750.0 126600.0 103950.0 ; - RECT 138600.0 102600.0 137400.0 103800.0 ; - RECT 130800.0 145050.0 129600.0 146250.0 ; - RECT 141300.0 144900.0 140100.0 146100.0 ; - RECT 127800.0 159150.0 126600.0 160350.0 ; - RECT 144000.0 159000.0 142800.0 160200.0 ; - RECT 132900.0 85800.0 131700.0 87000.0 ; - RECT 132900.0 114000.0 131700.0 115200.0 ; - RECT 132900.0 142200.0 131700.0 143400.0 ; - RECT 132900.0 170400.0 131700.0 171600.0 ; - RECT 120000.0 75300.0 118800.0 76500.0 ; - RECT 135900.0 75300.0 134700.0 76500.0 ; - RECT 120000.0 65100.0 118800.0 66300.0 ; - RECT 138600.0 65100.0 137400.0 66300.0 ; - RECT 120000.0 54900.0 118800.0 56100.0 ; - RECT 141300.0 54900.0 140100.0 56100.0 ; - RECT 120000.0 44700.0 118800.0 45900.0 ; - RECT 144000.0 44700.0 142800.0 45900.0 ; - RECT 120600.0 70200.0 119400.0 71400.0 ; - RECT 149550.0 70350.0 148350.0 71550.0 ; - RECT 120600.0 49800.0 119400.0 51000.0 ; - RECT 149550.0 49950.0 148350.0 51150.0 ; - RECT 164700.0 32250.0 163500.0 33450.0 ; - RECT 159300.0 27750.0 158100.0 28950.0 ; - RECT 162000.0 25350.0 160800.0 26550.0 ; - RECT 164700.0 429450.0 163500.0 430650.0 ; - RECT 167400.0 96750.0 166200.0 97950.0 ; - RECT 170100.0 194850.0 168900.0 196050.0 ; - RECT 156600.0 82500.0 155400.0 83700.0 ; - RECT 103950.0 426300.0 102750.0 427500.0 ; - RECT 156600.0 426300.0 155400.0 427500.0 ; - RECT 152850.0 23400.0 151650.0 24600.0 ; - RECT 152850.0 192900.0 151650.0 194100.0 ; - RECT 152850.0 94800.0 151650.0 96000.0 ; - RECT 198900.0 0.0 203400.0 444600.0 ; - RECT 53100.0 0.0 57600.0 444600.0 ; - RECT 44250.0 207150.0 43350.0 208050.0 ; - RECT 43800.0 207150.0 43650.0 208050.0 ; - RECT 44250.0 207600.0 43350.0 217200.0 ; - RECT 44400.0 223950.0 43500.0 224850.0 ; - RECT 43950.0 223950.0 43800.0 224850.0 ; - RECT 44400.0 224400.0 43500.0 231600.0 ; - RECT 44400.0 243600.0 43500.0 250800.0 ; + RECT 54600.0 295650.0 55500.0 298350.0 ; + RECT 97500.0 205350.0 98400.0 206250.0 ; + RECT 97500.0 202950.0 98400.0 203850.0 ; + RECT 96150.0 205350.0 97950.0 206250.0 ; + RECT 97500.0 203400.0 98400.0 205800.0 ; + RECT 97950.0 202950.0 99900.0 203850.0 ; + RECT 154950.0 205350.0 155850.0 206250.0 ; + RECT 154950.0 200850.0 155850.0 201750.0 ; + RECT 136050.0 205350.0 155400.0 206250.0 ; + RECT 154950.0 201300.0 155850.0 205800.0 ; + RECT 155400.0 200850.0 174900.0 201750.0 ; + RECT 97500.0 219750.0 98400.0 220650.0 ; + RECT 97500.0 222150.0 98400.0 223050.0 ; + RECT 96150.0 219750.0 97950.0 220650.0 ; + RECT 97500.0 220200.0 98400.0 222600.0 ; + RECT 97950.0 222150.0 99900.0 223050.0 ; + RECT 154950.0 219750.0 155850.0 220650.0 ; + RECT 154950.0 224250.0 155850.0 225150.0 ; + RECT 136050.0 219750.0 155400.0 220650.0 ; + RECT 154950.0 220200.0 155850.0 224700.0 ; + RECT 155400.0 224250.0 174900.0 225150.0 ; + RECT 97500.0 232950.0 98400.0 233850.0 ; + RECT 97500.0 230550.0 98400.0 231450.0 ; + RECT 96150.0 232950.0 97950.0 233850.0 ; + RECT 97500.0 231000.0 98400.0 233400.0 ; + RECT 97950.0 230550.0 99900.0 231450.0 ; + RECT 154950.0 232950.0 155850.0 233850.0 ; + RECT 154950.0 228450.0 155850.0 229350.0 ; + RECT 136050.0 232950.0 155400.0 233850.0 ; + RECT 154950.0 228900.0 155850.0 233400.0 ; + RECT 155400.0 228450.0 174900.0 229350.0 ; + RECT 97500.0 247350.0 98400.0 248250.0 ; + RECT 97500.0 249750.0 98400.0 250650.0 ; + RECT 96150.0 247350.0 97950.0 248250.0 ; + RECT 97500.0 247800.0 98400.0 250200.0 ; + RECT 97950.0 249750.0 99900.0 250650.0 ; + RECT 154950.0 247350.0 155850.0 248250.0 ; + RECT 154950.0 251850.0 155850.0 252750.0 ; + RECT 136050.0 247350.0 155400.0 248250.0 ; + RECT 154950.0 247800.0 155850.0 252300.0 ; + RECT 155400.0 251850.0 174900.0 252750.0 ; + RECT 97500.0 260550.0 98400.0 261450.0 ; + RECT 97500.0 258150.0 98400.0 259050.0 ; + RECT 96150.0 260550.0 97950.0 261450.0 ; + RECT 97500.0 258600.0 98400.0 261000.0 ; + RECT 97950.0 258150.0 99900.0 259050.0 ; + RECT 154950.0 260550.0 155850.0 261450.0 ; + RECT 154950.0 256050.0 155850.0 256950.0 ; + RECT 136050.0 260550.0 155400.0 261450.0 ; + RECT 154950.0 256500.0 155850.0 261000.0 ; + RECT 155400.0 256050.0 174900.0 256950.0 ; + RECT 97500.0 274950.0 98400.0 275850.0 ; + RECT 97500.0 277350.0 98400.0 278250.0 ; + RECT 96150.0 274950.0 97950.0 275850.0 ; + RECT 97500.0 275400.0 98400.0 277800.0 ; + RECT 97950.0 277350.0 99900.0 278250.0 ; + RECT 154950.0 274950.0 155850.0 275850.0 ; + RECT 154950.0 279450.0 155850.0 280350.0 ; + RECT 136050.0 274950.0 155400.0 275850.0 ; + RECT 154950.0 275400.0 155850.0 279900.0 ; + RECT 155400.0 279450.0 174900.0 280350.0 ; + RECT 97500.0 288150.0 98400.0 289050.0 ; + RECT 97500.0 285750.0 98400.0 286650.0 ; + RECT 96150.0 288150.0 97950.0 289050.0 ; + RECT 97500.0 286200.0 98400.0 288600.0 ; + RECT 97950.0 285750.0 99900.0 286650.0 ; + RECT 154950.0 288150.0 155850.0 289050.0 ; + RECT 154950.0 283650.0 155850.0 284550.0 ; + RECT 136050.0 288150.0 155400.0 289050.0 ; + RECT 154950.0 284100.0 155850.0 288600.0 ; + RECT 155400.0 283650.0 174900.0 284550.0 ; + RECT 97500.0 302550.0 98400.0 303450.0 ; + RECT 97500.0 304950.0 98400.0 305850.0 ; + RECT 96150.0 302550.0 97950.0 303450.0 ; + RECT 97500.0 303000.0 98400.0 305400.0 ; + RECT 97950.0 304950.0 99900.0 305850.0 ; + RECT 154950.0 302550.0 155850.0 303450.0 ; + RECT 154950.0 307050.0 155850.0 307950.0 ; + RECT 136050.0 302550.0 155400.0 303450.0 ; + RECT 154950.0 303000.0 155850.0 307500.0 ; + RECT 155400.0 307050.0 174900.0 307950.0 ; + RECT 97500.0 315750.0 98400.0 316650.0 ; + RECT 97500.0 313350.0 98400.0 314250.0 ; + RECT 96150.0 315750.0 97950.0 316650.0 ; + RECT 97500.0 313800.0 98400.0 316200.0 ; + RECT 97950.0 313350.0 99900.0 314250.0 ; + RECT 154950.0 315750.0 155850.0 316650.0 ; + RECT 154950.0 311250.0 155850.0 312150.0 ; + RECT 136050.0 315750.0 155400.0 316650.0 ; + RECT 154950.0 311700.0 155850.0 316200.0 ; + RECT 155400.0 311250.0 174900.0 312150.0 ; + RECT 97500.0 330150.0 98400.0 331050.0 ; + RECT 97500.0 332550.0 98400.0 333450.0 ; + RECT 96150.0 330150.0 97950.0 331050.0 ; + RECT 97500.0 330600.0 98400.0 333000.0 ; + RECT 97950.0 332550.0 99900.0 333450.0 ; + RECT 154950.0 330150.0 155850.0 331050.0 ; + RECT 154950.0 334650.0 155850.0 335550.0 ; + RECT 136050.0 330150.0 155400.0 331050.0 ; + RECT 154950.0 330600.0 155850.0 335100.0 ; + RECT 155400.0 334650.0 174900.0 335550.0 ; + RECT 97500.0 343350.0 98400.0 344250.0 ; + RECT 97500.0 340950.0 98400.0 341850.0 ; + RECT 96150.0 343350.0 97950.0 344250.0 ; + RECT 97500.0 341400.0 98400.0 343800.0 ; + RECT 97950.0 340950.0 99900.0 341850.0 ; + RECT 154950.0 343350.0 155850.0 344250.0 ; + RECT 154950.0 338850.0 155850.0 339750.0 ; + RECT 136050.0 343350.0 155400.0 344250.0 ; + RECT 154950.0 339300.0 155850.0 343800.0 ; + RECT 155400.0 338850.0 174900.0 339750.0 ; + RECT 97500.0 357750.0 98400.0 358650.0 ; + RECT 97500.0 360150.0 98400.0 361050.0 ; + RECT 96150.0 357750.0 97950.0 358650.0 ; + RECT 97500.0 358200.0 98400.0 360600.0 ; + RECT 97950.0 360150.0 99900.0 361050.0 ; + RECT 154950.0 357750.0 155850.0 358650.0 ; + RECT 154950.0 362250.0 155850.0 363150.0 ; + RECT 136050.0 357750.0 155400.0 358650.0 ; + RECT 154950.0 358200.0 155850.0 362700.0 ; + RECT 155400.0 362250.0 174900.0 363150.0 ; + RECT 97500.0 370950.0 98400.0 371850.0 ; + RECT 97500.0 368550.0 98400.0 369450.0 ; + RECT 96150.0 370950.0 97950.0 371850.0 ; + RECT 97500.0 369000.0 98400.0 371400.0 ; + RECT 97950.0 368550.0 99900.0 369450.0 ; + RECT 154950.0 370950.0 155850.0 371850.0 ; + RECT 154950.0 366450.0 155850.0 367350.0 ; + RECT 136050.0 370950.0 155400.0 371850.0 ; + RECT 154950.0 366900.0 155850.0 371400.0 ; + RECT 155400.0 366450.0 174900.0 367350.0 ; + RECT 97500.0 385350.0 98400.0 386250.0 ; + RECT 97500.0 387750.0 98400.0 388650.0 ; + RECT 96150.0 385350.0 97950.0 386250.0 ; + RECT 97500.0 385800.0 98400.0 388200.0 ; + RECT 97950.0 387750.0 99900.0 388650.0 ; + RECT 154950.0 385350.0 155850.0 386250.0 ; + RECT 154950.0 389850.0 155850.0 390750.0 ; + RECT 136050.0 385350.0 155400.0 386250.0 ; + RECT 154950.0 385800.0 155850.0 390300.0 ; + RECT 155400.0 389850.0 174900.0 390750.0 ; + RECT 97500.0 398550.0 98400.0 399450.0 ; + RECT 97500.0 396150.0 98400.0 397050.0 ; + RECT 96150.0 398550.0 97950.0 399450.0 ; + RECT 97500.0 396600.0 98400.0 399000.0 ; + RECT 97950.0 396150.0 99900.0 397050.0 ; + RECT 154950.0 398550.0 155850.0 399450.0 ; + RECT 154950.0 394050.0 155850.0 394950.0 ; + RECT 136050.0 398550.0 155400.0 399450.0 ; + RECT 154950.0 394500.0 155850.0 399000.0 ; + RECT 155400.0 394050.0 174900.0 394950.0 ; + RECT 97500.0 412950.0 98400.0 413850.0 ; + RECT 97500.0 415350.0 98400.0 416250.0 ; + RECT 96150.0 412950.0 97950.0 413850.0 ; + RECT 97500.0 413400.0 98400.0 415800.0 ; + RECT 97950.0 415350.0 99900.0 416250.0 ; + RECT 154950.0 412950.0 155850.0 413850.0 ; + RECT 154950.0 417450.0 155850.0 418350.0 ; + RECT 136050.0 412950.0 155400.0 413850.0 ; + RECT 154950.0 413400.0 155850.0 417900.0 ; + RECT 155400.0 417450.0 174900.0 418350.0 ; + RECT 106200.0 198750.0 175500.0 199650.0 ; + RECT 106200.0 226350.0 175500.0 227250.0 ; + RECT 106200.0 253950.0 175500.0 254850.0 ; + RECT 106200.0 281550.0 175500.0 282450.0 ; + RECT 106200.0 309150.0 175500.0 310050.0 ; + RECT 106200.0 336750.0 175500.0 337650.0 ; + RECT 106200.0 364350.0 175500.0 365250.0 ; + RECT 106200.0 391950.0 175500.0 392850.0 ; + RECT 106200.0 419550.0 175500.0 420450.0 ; + RECT 52800.0 212550.0 203100.0 213450.0 ; + RECT 52800.0 240150.0 203100.0 241050.0 ; + RECT 52800.0 267750.0 203100.0 268650.0 ; + RECT 52800.0 295350.0 203100.0 296250.0 ; + RECT 52800.0 322950.0 203100.0 323850.0 ; + RECT 52800.0 350550.0 203100.0 351450.0 ; + RECT 52800.0 378150.0 203100.0 379050.0 ; + RECT 52800.0 405750.0 203100.0 406650.0 ; + RECT 130500.0 91050.0 135000.0 91950.0 ; + RECT 127500.0 104850.0 137700.0 105750.0 ; + RECT 130500.0 146250.0 140400.0 147150.0 ; + RECT 127500.0 160050.0 143100.0 160950.0 ; + RECT 130500.0 88350.0 132000.0 89250.0 ; + RECT 130500.0 115950.0 132000.0 116850.0 ; + RECT 130500.0 143550.0 132000.0 144450.0 ; + RECT 130500.0 171150.0 132000.0 172050.0 ; + RECT 52800.0 102150.0 130500.0 103050.0 ; + RECT 52800.0 129750.0 130500.0 130650.0 ; + RECT 52800.0 157350.0 130500.0 158250.0 ; + RECT 52800.0 184950.0 130500.0 185850.0 ; + RECT 117900.0 77100.0 135000.0 78000.0 ; + RECT 117900.0 68400.0 137700.0 69300.0 ; + RECT 117900.0 56700.0 140400.0 57600.0 ; + RECT 117900.0 48000.0 143100.0 48900.0 ; + RECT 119100.0 72750.0 149250.0 73650.0 ; + RECT 119100.0 52350.0 149250.0 53250.0 ; + RECT 115500.0 40050.0 116400.0 40950.0 ; + RECT 115500.0 40500.0 116400.0 42600.0 ; + RECT 52800.0 40050.0 115950.0 40950.0 ; + RECT 163800.0 32400.0 175500.0 33300.0 ; + RECT 158400.0 27900.0 175500.0 28800.0 ; + RECT 161100.0 25500.0 175500.0 26400.0 ; + RECT 163800.0 424800.0 175500.0 425700.0 ; + RECT 166500.0 96900.0 175500.0 97800.0 ; + RECT 169200.0 195000.0 175500.0 195900.0 ; + RECT 61500.0 85050.0 62400.0 85950.0 ; + RECT 61500.0 83400.0 62400.0 85500.0 ; + RECT 61950.0 85050.0 155700.0 85950.0 ; + RECT 103050.0 421650.0 156600.0 422550.0 ; + RECT 175500.0 435900.0 198600.0 436800.0 ; + RECT 175500.0 167700.0 198600.0 168600.0 ; + RECT 175500.0 99000.0 198600.0 99900.0 ; + RECT 175500.0 86400.0 198600.0 87300.0 ; + RECT 175500.0 9600.0 198600.0 10500.0 ; + RECT 152550.0 23400.0 175500.0 24300.0 ; + RECT 152550.0 192900.0 175500.0 193800.0 ; + RECT 152550.0 94800.0 175500.0 95700.0 ; + RECT 175500.0 199200.0 185700.0 213000.0 ; + RECT 175500.0 226800.0 185700.0 213000.0 ; + RECT 175500.0 226800.0 185700.0 240600.0 ; + RECT 175500.0 254400.0 185700.0 240600.0 ; + RECT 175500.0 254400.0 185700.0 268200.0 ; + RECT 175500.0 282000.0 185700.0 268200.0 ; + RECT 175500.0 282000.0 185700.0 295800.0 ; + RECT 175500.0 309600.0 185700.0 295800.0 ; + RECT 175500.0 309600.0 185700.0 323400.0 ; + RECT 175500.0 337200.0 185700.0 323400.0 ; + RECT 175500.0 337200.0 185700.0 351000.0 ; + RECT 175500.0 364800.0 185700.0 351000.0 ; + RECT 175500.0 364800.0 185700.0 378600.0 ; + RECT 175500.0 392400.0 185700.0 378600.0 ; + RECT 175500.0 392400.0 185700.0 406200.0 ; + RECT 175500.0 420000.0 185700.0 406200.0 ; + RECT 185700.0 199200.0 195900.0 213000.0 ; + RECT 185700.0 226800.0 195900.0 213000.0 ; + RECT 185700.0 226800.0 195900.0 240600.0 ; + RECT 185700.0 254400.0 195900.0 240600.0 ; + RECT 185700.0 254400.0 195900.0 268200.0 ; + RECT 185700.0 282000.0 195900.0 268200.0 ; + RECT 185700.0 282000.0 195900.0 295800.0 ; + RECT 185700.0 309600.0 195900.0 295800.0 ; + RECT 185700.0 309600.0 195900.0 323400.0 ; + RECT 185700.0 337200.0 195900.0 323400.0 ; + RECT 185700.0 337200.0 195900.0 351000.0 ; + RECT 185700.0 364800.0 195900.0 351000.0 ; + RECT 185700.0 364800.0 195900.0 378600.0 ; + RECT 185700.0 392400.0 195900.0 378600.0 ; + RECT 185700.0 392400.0 195900.0 406200.0 ; + RECT 185700.0 420000.0 195900.0 406200.0 ; + RECT 174900.0 200700.0 196500.0 201900.0 ; + RECT 174900.0 224100.0 196500.0 225300.0 ; + RECT 174900.0 228300.0 196500.0 229500.0 ; + RECT 174900.0 251700.0 196500.0 252900.0 ; + RECT 174900.0 255900.0 196500.0 257100.0 ; + RECT 174900.0 279300.0 196500.0 280500.0 ; + RECT 174900.0 283500.0 196500.0 284700.0 ; + RECT 174900.0 306900.0 196500.0 308100.0 ; + RECT 174900.0 311100.0 196500.0 312300.0 ; + RECT 174900.0 334500.0 196500.0 335700.0 ; + RECT 174900.0 338700.0 196500.0 339900.0 ; + RECT 174900.0 362100.0 196500.0 363300.0 ; + RECT 174900.0 366300.0 196500.0 367500.0 ; + RECT 174900.0 389700.0 196500.0 390900.0 ; + RECT 174900.0 393900.0 196500.0 395100.0 ; + RECT 174900.0 417300.0 196500.0 418500.0 ; + RECT 174900.0 212400.0 196500.0 213300.0 ; + RECT 174900.0 240000.0 196500.0 240900.0 ; + RECT 174900.0 267600.0 196500.0 268500.0 ; + RECT 174900.0 295200.0 196500.0 296100.0 ; + RECT 174900.0 322800.0 196500.0 323700.0 ; + RECT 174900.0 350400.0 196500.0 351300.0 ; + RECT 174900.0 378000.0 196500.0 378900.0 ; + RECT 174900.0 405600.0 196500.0 406500.0 ; + RECT 180900.0 429600.0 182100.0 436800.0 ; + RECT 178500.0 422400.0 179700.0 423600.0 ; + RECT 180900.0 422400.0 182100.0 423600.0 ; + RECT 180900.0 422400.0 182100.0 423600.0 ; + RECT 178500.0 422400.0 179700.0 423600.0 ; + RECT 178500.0 429600.0 179700.0 430800.0 ; + RECT 180900.0 429600.0 182100.0 430800.0 ; + RECT 180900.0 429600.0 182100.0 430800.0 ; + RECT 178500.0 429600.0 179700.0 430800.0 ; + RECT 180900.0 429600.0 182100.0 430800.0 ; + RECT 183300.0 429600.0 184500.0 430800.0 ; + RECT 183300.0 429600.0 184500.0 430800.0 ; + RECT 180900.0 429600.0 182100.0 430800.0 ; + RECT 180600.0 424650.0 179400.0 425850.0 ; + RECT 180900.0 435000.0 182100.0 436200.0 ; + RECT 178500.0 422400.0 179700.0 423600.0 ; + RECT 180900.0 422400.0 182100.0 423600.0 ; + RECT 178500.0 429600.0 179700.0 430800.0 ; + RECT 183300.0 429600.0 184500.0 430800.0 ; + RECT 175500.0 424800.0 185700.0 425700.0 ; + RECT 175500.0 435900.0 185700.0 436800.0 ; + RECT 191100.0 429600.0 192300.0 436800.0 ; + RECT 188700.0 422400.0 189900.0 423600.0 ; + RECT 191100.0 422400.0 192300.0 423600.0 ; + RECT 191100.0 422400.0 192300.0 423600.0 ; + RECT 188700.0 422400.0 189900.0 423600.0 ; + RECT 188700.0 429600.0 189900.0 430800.0 ; + RECT 191100.0 429600.0 192300.0 430800.0 ; + RECT 191100.0 429600.0 192300.0 430800.0 ; + RECT 188700.0 429600.0 189900.0 430800.0 ; + RECT 191100.0 429600.0 192300.0 430800.0 ; + RECT 193500.0 429600.0 194700.0 430800.0 ; + RECT 193500.0 429600.0 194700.0 430800.0 ; + RECT 191100.0 429600.0 192300.0 430800.0 ; + RECT 190800.0 424650.0 189600.0 425850.0 ; + RECT 191100.0 435000.0 192300.0 436200.0 ; + RECT 188700.0 422400.0 189900.0 423600.0 ; + RECT 191100.0 422400.0 192300.0 423600.0 ; + RECT 188700.0 429600.0 189900.0 430800.0 ; + RECT 193500.0 429600.0 194700.0 430800.0 ; + RECT 185700.0 424800.0 195900.0 425700.0 ; + RECT 185700.0 435900.0 195900.0 436800.0 ; + RECT 175500.0 424800.0 195900.0 425700.0 ; + RECT 175500.0 435900.0 195900.0 436800.0 ; + RECT 175500.0 150300.0 185700.0 199200.0 ; + RECT 185700.0 150300.0 195900.0 199200.0 ; + RECT 175500.0 195000.0 195900.0 195900.0 ; + RECT 175500.0 167700.0 195900.0 168600.0 ; + RECT 175500.0 192900.0 195900.0 193800.0 ; + RECT 175500.0 90000.0 185700.0 150300.0 ; + RECT 185700.0 90000.0 195900.0 150300.0 ; + RECT 175500.0 96900.0 195900.0 97800.0 ; + RECT 175500.0 99000.0 195900.0 99900.0 ; + RECT 175500.0 94800.0 195900.0 95700.0 ; + RECT 175500.0 30000.0 185700.0 90000.0 ; + RECT 195900.0 30000.0 185700.0 90000.0 ; + RECT 175500.0 32400.0 195900.0 33300.0 ; + RECT 175500.0 86400.0 195900.0 87300.0 ; + RECT 175500.0 30000.0 185700.0 8100.0 ; + RECT 185700.0 30000.0 195900.0 8100.0 ; + RECT 175500.0 26400.0 195900.0 25500.0 ; + RECT 175500.0 28800.0 195900.0 27900.0 ; + RECT 175500.0 10500.0 195900.0 9600.0 ; + RECT 175500.0 24300.0 195900.0 23400.0 ; + RECT 87750.0 206550.0 88650.0 207450.0 ; + RECT 87750.0 205350.0 88650.0 206250.0 ; + RECT 83700.0 206550.0 88200.0 207450.0 ; + RECT 87750.0 205800.0 88650.0 207000.0 ; + RECT 88200.0 205350.0 92700.0 206250.0 ; + RECT 87750.0 218550.0 88650.0 219450.0 ; + RECT 87750.0 219750.0 88650.0 220650.0 ; + RECT 83700.0 218550.0 88200.0 219450.0 ; + RECT 87750.0 219000.0 88650.0 220200.0 ; + RECT 88200.0 219750.0 92700.0 220650.0 ; + RECT 87750.0 234150.0 88650.0 235050.0 ; + RECT 87750.0 232950.0 88650.0 233850.0 ; + RECT 83700.0 234150.0 88200.0 235050.0 ; + RECT 87750.0 233400.0 88650.0 234600.0 ; + RECT 88200.0 232950.0 92700.0 233850.0 ; + RECT 87750.0 246150.0 88650.0 247050.0 ; + RECT 87750.0 247350.0 88650.0 248250.0 ; + RECT 83700.0 246150.0 88200.0 247050.0 ; + RECT 87750.0 246600.0 88650.0 247800.0 ; + RECT 88200.0 247350.0 92700.0 248250.0 ; + RECT 87750.0 261750.0 88650.0 262650.0 ; + RECT 87750.0 260550.0 88650.0 261450.0 ; + RECT 83700.0 261750.0 88200.0 262650.0 ; + RECT 87750.0 261000.0 88650.0 262200.0 ; + RECT 88200.0 260550.0 92700.0 261450.0 ; + RECT 87750.0 273750.0 88650.0 274650.0 ; + RECT 87750.0 274950.0 88650.0 275850.0 ; + RECT 83700.0 273750.0 88200.0 274650.0 ; + RECT 87750.0 274200.0 88650.0 275400.0 ; + RECT 88200.0 274950.0 92700.0 275850.0 ; + RECT 87750.0 289350.0 88650.0 290250.0 ; + RECT 87750.0 288150.0 88650.0 289050.0 ; + RECT 83700.0 289350.0 88200.0 290250.0 ; + RECT 87750.0 288600.0 88650.0 289800.0 ; + RECT 88200.0 288150.0 92700.0 289050.0 ; + RECT 87750.0 301350.0 88650.0 302250.0 ; + RECT 87750.0 302550.0 88650.0 303450.0 ; + RECT 83700.0 301350.0 88200.0 302250.0 ; + RECT 87750.0 301800.0 88650.0 303000.0 ; + RECT 88200.0 302550.0 92700.0 303450.0 ; + RECT 87750.0 316950.0 88650.0 317850.0 ; + RECT 87750.0 315750.0 88650.0 316650.0 ; + RECT 83700.0 316950.0 88200.0 317850.0 ; + RECT 87750.0 316200.0 88650.0 317400.0 ; + RECT 88200.0 315750.0 92700.0 316650.0 ; + RECT 87750.0 328950.0 88650.0 329850.0 ; + RECT 87750.0 330150.0 88650.0 331050.0 ; + RECT 83700.0 328950.0 88200.0 329850.0 ; + RECT 87750.0 329400.0 88650.0 330600.0 ; + RECT 88200.0 330150.0 92700.0 331050.0 ; + RECT 87750.0 344550.0 88650.0 345450.0 ; + RECT 87750.0 343350.0 88650.0 344250.0 ; + RECT 83700.0 344550.0 88200.0 345450.0 ; + RECT 87750.0 343800.0 88650.0 345000.0 ; + RECT 88200.0 343350.0 92700.0 344250.0 ; + RECT 87750.0 356550.0 88650.0 357450.0 ; + RECT 87750.0 357750.0 88650.0 358650.0 ; + RECT 83700.0 356550.0 88200.0 357450.0 ; + RECT 87750.0 357000.0 88650.0 358200.0 ; + RECT 88200.0 357750.0 92700.0 358650.0 ; + RECT 87750.0 372150.0 88650.0 373050.0 ; + RECT 87750.0 370950.0 88650.0 371850.0 ; + RECT 83700.0 372150.0 88200.0 373050.0 ; + RECT 87750.0 371400.0 88650.0 372600.0 ; + RECT 88200.0 370950.0 92700.0 371850.0 ; + RECT 87750.0 384150.0 88650.0 385050.0 ; + RECT 87750.0 385350.0 88650.0 386250.0 ; + RECT 83700.0 384150.0 88200.0 385050.0 ; + RECT 87750.0 384600.0 88650.0 385800.0 ; + RECT 88200.0 385350.0 92700.0 386250.0 ; + RECT 87750.0 399750.0 88650.0 400650.0 ; + RECT 87750.0 398550.0 88650.0 399450.0 ; + RECT 83700.0 399750.0 88200.0 400650.0 ; + RECT 87750.0 399000.0 88650.0 400200.0 ; + RECT 88200.0 398550.0 92700.0 399450.0 ; + RECT 87750.0 411750.0 88650.0 412650.0 ; + RECT 87750.0 412950.0 88650.0 413850.0 ; + RECT 83700.0 411750.0 88200.0 412650.0 ; + RECT 87750.0 412200.0 88650.0 413400.0 ; + RECT 88200.0 412950.0 92700.0 413850.0 ; + RECT 59550.0 94950.0 75900.0 95850.0 ; + RECT 61650.0 109350.0 75900.0 110250.0 ; + RECT 63750.0 122550.0 75900.0 123450.0 ; + RECT 65850.0 136950.0 75900.0 137850.0 ; + RECT 67950.0 150150.0 75900.0 151050.0 ; + RECT 70050.0 164550.0 75900.0 165450.0 ; + RECT 72150.0 177750.0 75900.0 178650.0 ; + RECT 74250.0 192150.0 75900.0 193050.0 ; + RECT 59550.0 206550.0 78300.0 207450.0 ; + RECT 67950.0 203850.0 81300.0 204750.0 ; + RECT 59550.0 218550.0 78300.0 219450.0 ; + RECT 70050.0 221250.0 81300.0 222150.0 ; + RECT 59550.0 234150.0 78300.0 235050.0 ; + RECT 72150.0 231450.0 81300.0 232350.0 ; + RECT 59550.0 246150.0 78300.0 247050.0 ; + RECT 74250.0 248850.0 81300.0 249750.0 ; + RECT 61650.0 261750.0 78300.0 262650.0 ; + RECT 67950.0 259050.0 81300.0 259950.0 ; + RECT 61650.0 273750.0 78300.0 274650.0 ; + RECT 70050.0 276450.0 81300.0 277350.0 ; + RECT 61650.0 289350.0 78300.0 290250.0 ; + RECT 72150.0 286650.0 81300.0 287550.0 ; + RECT 61650.0 301350.0 78300.0 302250.0 ; + RECT 74250.0 304050.0 81300.0 304950.0 ; + RECT 63750.0 316950.0 78300.0 317850.0 ; + RECT 67950.0 314250.0 81300.0 315150.0 ; + RECT 63750.0 328950.0 78300.0 329850.0 ; + RECT 70050.0 331650.0 81300.0 332550.0 ; + RECT 63750.0 344550.0 78300.0 345450.0 ; + RECT 72150.0 341850.0 81300.0 342750.0 ; + RECT 63750.0 356550.0 78300.0 357450.0 ; + RECT 74250.0 359250.0 81300.0 360150.0 ; + RECT 65850.0 372150.0 78300.0 373050.0 ; + RECT 67950.0 369450.0 81300.0 370350.0 ; + RECT 65850.0 384150.0 78300.0 385050.0 ; + RECT 70050.0 386850.0 81300.0 387750.0 ; + RECT 65850.0 399750.0 78300.0 400650.0 ; + RECT 72150.0 397050.0 81300.0 397950.0 ; + RECT 65850.0 411750.0 78300.0 412650.0 ; + RECT 74250.0 414450.0 81300.0 415350.0 ; + RECT 114450.0 94950.0 113550.0 95850.0 ; + RECT 114450.0 99450.0 113550.0 100350.0 ; + RECT 118650.0 94950.0 114000.0 95850.0 ; + RECT 114450.0 95400.0 113550.0 99900.0 ; + RECT 114000.0 99450.0 111450.0 100350.0 ; + RECT 130050.0 94950.0 122100.0 95850.0 ; + RECT 114450.0 109350.0 113550.0 110250.0 ; + RECT 114450.0 113250.0 113550.0 114150.0 ; + RECT 118650.0 109350.0 114000.0 110250.0 ; + RECT 114450.0 109800.0 113550.0 113700.0 ; + RECT 114000.0 113250.0 108450.0 114150.0 ; + RECT 127050.0 109350.0 122100.0 110250.0 ; + RECT 130050.0 118050.0 105450.0 118950.0 ; + RECT 127050.0 131850.0 102450.0 132750.0 ; + RECT 111450.0 93450.0 94500.0 94350.0 ; + RECT 108450.0 96150.0 97500.0 97050.0 ; + RECT 105450.0 110850.0 94500.0 111750.0 ; + RECT 108450.0 108150.0 97500.0 109050.0 ; + RECT 111450.0 121050.0 94500.0 121950.0 ; + RECT 102450.0 123750.0 97500.0 124650.0 ; + RECT 105450.0 138450.0 94500.0 139350.0 ; + RECT 102450.0 135750.0 97500.0 136650.0 ; + RECT 88050.0 96150.0 87150.0 97050.0 ; + RECT 88050.0 94950.0 87150.0 95850.0 ; + RECT 92100.0 96150.0 87600.0 97050.0 ; + RECT 88050.0 95400.0 87150.0 96600.0 ; + RECT 87600.0 94950.0 83100.0 95850.0 ; + RECT 88050.0 108150.0 87150.0 109050.0 ; + RECT 88050.0 109350.0 87150.0 110250.0 ; + RECT 92100.0 108150.0 87600.0 109050.0 ; + RECT 88050.0 108600.0 87150.0 109800.0 ; + RECT 87600.0 109350.0 83100.0 110250.0 ; + RECT 88050.0 123750.0 87150.0 124650.0 ; + RECT 88050.0 122550.0 87150.0 123450.0 ; + RECT 92100.0 123750.0 87600.0 124650.0 ; + RECT 88050.0 123000.0 87150.0 124200.0 ; + RECT 87600.0 122550.0 83100.0 123450.0 ; + RECT 88050.0 135750.0 87150.0 136650.0 ; + RECT 88050.0 136950.0 87150.0 137850.0 ; + RECT 92100.0 135750.0 87600.0 136650.0 ; + RECT 88050.0 136200.0 87150.0 137400.0 ; + RECT 87600.0 136950.0 83100.0 137850.0 ; + RECT 117900.0 100650.0 116700.0 102600.0 ; + RECT 117900.0 88800.0 116700.0 90750.0 ; + RECT 122700.0 90150.0 121500.0 88350.0 ; + RECT 122700.0 99450.0 121500.0 103050.0 ; + RECT 120000.0 90150.0 119100.0 99450.0 ; + RECT 122700.0 99450.0 121500.0 100650.0 ; + RECT 120300.0 99450.0 119100.0 100650.0 ; + RECT 120300.0 99450.0 119100.0 100650.0 ; + RECT 122700.0 99450.0 121500.0 100650.0 ; + RECT 122700.0 90150.0 121500.0 91350.0 ; + RECT 120300.0 90150.0 119100.0 91350.0 ; + RECT 120300.0 90150.0 119100.0 91350.0 ; + RECT 122700.0 90150.0 121500.0 91350.0 ; + RECT 117900.0 100050.0 116700.0 101250.0 ; + RECT 117900.0 90150.0 116700.0 91350.0 ; + RECT 122100.0 94800.0 120900.0 96000.0 ; + RECT 122100.0 94800.0 120900.0 96000.0 ; + RECT 119550.0 94950.0 118650.0 95850.0 ; + RECT 124500.0 102150.0 114900.0 103050.0 ; + RECT 124500.0 88350.0 114900.0 89250.0 ; + RECT 117900.0 104550.0 116700.0 102600.0 ; + RECT 117900.0 116400.0 116700.0 114450.0 ; + RECT 122700.0 115050.0 121500.0 116850.0 ; + RECT 122700.0 105750.0 121500.0 102150.0 ; + RECT 120000.0 115050.0 119100.0 105750.0 ; + RECT 122700.0 105750.0 121500.0 104550.0 ; + RECT 120300.0 105750.0 119100.0 104550.0 ; + RECT 120300.0 105750.0 119100.0 104550.0 ; + RECT 122700.0 105750.0 121500.0 104550.0 ; + RECT 122700.0 115050.0 121500.0 113850.0 ; + RECT 120300.0 115050.0 119100.0 113850.0 ; + RECT 120300.0 115050.0 119100.0 113850.0 ; + RECT 122700.0 115050.0 121500.0 113850.0 ; + RECT 117900.0 105150.0 116700.0 103950.0 ; + RECT 117900.0 115050.0 116700.0 113850.0 ; + RECT 122100.0 110400.0 120900.0 109200.0 ; + RECT 122100.0 110400.0 120900.0 109200.0 ; + RECT 119550.0 110250.0 118650.0 109350.0 ; + RECT 124500.0 103050.0 114900.0 102150.0 ; + RECT 124500.0 116850.0 114900.0 115950.0 ; + RECT 78900.0 100650.0 77700.0 102600.0 ; + RECT 78900.0 88800.0 77700.0 90750.0 ; + RECT 83700.0 90150.0 82500.0 88350.0 ; + RECT 83700.0 99450.0 82500.0 103050.0 ; + RECT 81000.0 90150.0 80100.0 99450.0 ; + RECT 83700.0 99450.0 82500.0 100650.0 ; + RECT 81300.0 99450.0 80100.0 100650.0 ; + RECT 81300.0 99450.0 80100.0 100650.0 ; + RECT 83700.0 99450.0 82500.0 100650.0 ; + RECT 83700.0 90150.0 82500.0 91350.0 ; + RECT 81300.0 90150.0 80100.0 91350.0 ; + RECT 81300.0 90150.0 80100.0 91350.0 ; + RECT 83700.0 90150.0 82500.0 91350.0 ; + RECT 78900.0 100050.0 77700.0 101250.0 ; + RECT 78900.0 90150.0 77700.0 91350.0 ; + RECT 83100.0 94800.0 81900.0 96000.0 ; + RECT 83100.0 94800.0 81900.0 96000.0 ; + RECT 80550.0 94950.0 79650.0 95850.0 ; + RECT 85500.0 102150.0 75900.0 103050.0 ; + RECT 85500.0 88350.0 75900.0 89250.0 ; + RECT 78900.0 104550.0 77700.0 102600.0 ; + RECT 78900.0 116400.0 77700.0 114450.0 ; + RECT 83700.0 115050.0 82500.0 116850.0 ; + RECT 83700.0 105750.0 82500.0 102150.0 ; + RECT 81000.0 115050.0 80100.0 105750.0 ; + RECT 83700.0 105750.0 82500.0 104550.0 ; + RECT 81300.0 105750.0 80100.0 104550.0 ; + RECT 81300.0 105750.0 80100.0 104550.0 ; + RECT 83700.0 105750.0 82500.0 104550.0 ; + RECT 83700.0 115050.0 82500.0 113850.0 ; + RECT 81300.0 115050.0 80100.0 113850.0 ; + RECT 81300.0 115050.0 80100.0 113850.0 ; + RECT 83700.0 115050.0 82500.0 113850.0 ; + RECT 78900.0 105150.0 77700.0 103950.0 ; + RECT 78900.0 115050.0 77700.0 113850.0 ; + RECT 83100.0 110400.0 81900.0 109200.0 ; + RECT 83100.0 110400.0 81900.0 109200.0 ; + RECT 80550.0 110250.0 79650.0 109350.0 ; + RECT 85500.0 103050.0 75900.0 102150.0 ; + RECT 85500.0 116850.0 75900.0 115950.0 ; + RECT 78900.0 128250.0 77700.0 130200.0 ; + RECT 78900.0 116400.0 77700.0 118350.0 ; + RECT 83700.0 117750.0 82500.0 115950.0 ; + RECT 83700.0 127050.0 82500.0 130650.0 ; + RECT 81000.0 117750.0 80100.0 127050.0 ; + RECT 83700.0 127050.0 82500.0 128250.0 ; + RECT 81300.0 127050.0 80100.0 128250.0 ; + RECT 81300.0 127050.0 80100.0 128250.0 ; + RECT 83700.0 127050.0 82500.0 128250.0 ; + RECT 83700.0 117750.0 82500.0 118950.0 ; + RECT 81300.0 117750.0 80100.0 118950.0 ; + RECT 81300.0 117750.0 80100.0 118950.0 ; + RECT 83700.0 117750.0 82500.0 118950.0 ; + RECT 78900.0 127650.0 77700.0 128850.0 ; + RECT 78900.0 117750.0 77700.0 118950.0 ; + RECT 83100.0 122400.0 81900.0 123600.0 ; + RECT 83100.0 122400.0 81900.0 123600.0 ; + RECT 80550.0 122550.0 79650.0 123450.0 ; + RECT 85500.0 129750.0 75900.0 130650.0 ; + RECT 85500.0 115950.0 75900.0 116850.0 ; + RECT 78900.0 132150.0 77700.0 130200.0 ; + RECT 78900.0 144000.0 77700.0 142050.0 ; + RECT 83700.0 142650.0 82500.0 144450.0 ; + RECT 83700.0 133350.0 82500.0 129750.0 ; + RECT 81000.0 142650.0 80100.0 133350.0 ; + RECT 83700.0 133350.0 82500.0 132150.0 ; + RECT 81300.0 133350.0 80100.0 132150.0 ; + RECT 81300.0 133350.0 80100.0 132150.0 ; + RECT 83700.0 133350.0 82500.0 132150.0 ; + RECT 83700.0 142650.0 82500.0 141450.0 ; + RECT 81300.0 142650.0 80100.0 141450.0 ; + RECT 81300.0 142650.0 80100.0 141450.0 ; + RECT 83700.0 142650.0 82500.0 141450.0 ; + RECT 78900.0 132750.0 77700.0 131550.0 ; + RECT 78900.0 142650.0 77700.0 141450.0 ; + RECT 83100.0 138000.0 81900.0 136800.0 ; + RECT 83100.0 138000.0 81900.0 136800.0 ; + RECT 80550.0 137850.0 79650.0 136950.0 ; + RECT 85500.0 130650.0 75900.0 129750.0 ; + RECT 85500.0 144450.0 75900.0 143550.0 ; + RECT 98100.0 90750.0 96900.0 88350.0 ; + RECT 98100.0 99450.0 96900.0 103050.0 ; + RECT 93300.0 99450.0 92100.0 103050.0 ; + RECT 90900.0 100650.0 89700.0 102600.0 ; + RECT 90900.0 88800.0 89700.0 90750.0 ; + RECT 98100.0 99450.0 96900.0 100650.0 ; + RECT 95700.0 99450.0 94500.0 100650.0 ; + RECT 95700.0 99450.0 94500.0 100650.0 ; + RECT 98100.0 99450.0 96900.0 100650.0 ; + RECT 95700.0 99450.0 94500.0 100650.0 ; + RECT 93300.0 99450.0 92100.0 100650.0 ; + RECT 93300.0 99450.0 92100.0 100650.0 ; + RECT 95700.0 99450.0 94500.0 100650.0 ; + RECT 98100.0 90750.0 96900.0 91950.0 ; + RECT 95700.0 90750.0 94500.0 91950.0 ; + RECT 95700.0 90750.0 94500.0 91950.0 ; + RECT 98100.0 90750.0 96900.0 91950.0 ; + RECT 95700.0 90750.0 94500.0 91950.0 ; + RECT 93300.0 90750.0 92100.0 91950.0 ; + RECT 93300.0 90750.0 92100.0 91950.0 ; + RECT 95700.0 90750.0 94500.0 91950.0 ; + RECT 90900.0 100050.0 89700.0 101250.0 ; + RECT 90900.0 90150.0 89700.0 91350.0 ; + RECT 93300.0 93300.0 94500.0 94500.0 ; + RECT 96300.0 96000.0 97500.0 97200.0 ; + RECT 95700.0 99450.0 94500.0 100650.0 ; + RECT 93300.0 90750.0 92100.0 91950.0 ; + RECT 92100.0 96000.0 93300.0 97200.0 ; + RECT 97500.0 96000.0 96300.0 97200.0 ; + RECT 94500.0 93300.0 93300.0 94500.0 ; + RECT 93300.0 96000.0 92100.0 97200.0 ; + RECT 99900.0 102150.0 85500.0 103050.0 ; + RECT 99900.0 88350.0 85500.0 89250.0 ; + RECT 98100.0 114450.0 96900.0 116850.0 ; + RECT 98100.0 105750.0 96900.0 102150.0 ; + RECT 93300.0 105750.0 92100.0 102150.0 ; + RECT 90900.0 104550.0 89700.0 102600.0 ; + RECT 90900.0 116400.0 89700.0 114450.0 ; + RECT 98100.0 105750.0 96900.0 104550.0 ; + RECT 95700.0 105750.0 94500.0 104550.0 ; + RECT 95700.0 105750.0 94500.0 104550.0 ; + RECT 98100.0 105750.0 96900.0 104550.0 ; + RECT 95700.0 105750.0 94500.0 104550.0 ; + RECT 93300.0 105750.0 92100.0 104550.0 ; + RECT 93300.0 105750.0 92100.0 104550.0 ; + RECT 95700.0 105750.0 94500.0 104550.0 ; + RECT 98100.0 114450.0 96900.0 113250.0 ; + RECT 95700.0 114450.0 94500.0 113250.0 ; + RECT 95700.0 114450.0 94500.0 113250.0 ; + RECT 98100.0 114450.0 96900.0 113250.0 ; + RECT 95700.0 114450.0 94500.0 113250.0 ; + RECT 93300.0 114450.0 92100.0 113250.0 ; + RECT 93300.0 114450.0 92100.0 113250.0 ; + RECT 95700.0 114450.0 94500.0 113250.0 ; + RECT 90900.0 105150.0 89700.0 103950.0 ; + RECT 90900.0 115050.0 89700.0 113850.0 ; + RECT 93300.0 111900.0 94500.0 110700.0 ; + RECT 96300.0 109200.0 97500.0 108000.0 ; + RECT 95700.0 105750.0 94500.0 104550.0 ; + RECT 93300.0 114450.0 92100.0 113250.0 ; + RECT 92100.0 109200.0 93300.0 108000.0 ; + RECT 97500.0 109200.0 96300.0 108000.0 ; + RECT 94500.0 111900.0 93300.0 110700.0 ; + RECT 93300.0 109200.0 92100.0 108000.0 ; + RECT 99900.0 103050.0 85500.0 102150.0 ; + RECT 99900.0 116850.0 85500.0 115950.0 ; + RECT 98100.0 118350.0 96900.0 115950.0 ; + RECT 98100.0 127050.0 96900.0 130650.0 ; + RECT 93300.0 127050.0 92100.0 130650.0 ; + RECT 90900.0 128250.0 89700.0 130200.0 ; + RECT 90900.0 116400.0 89700.0 118350.0 ; + RECT 98100.0 127050.0 96900.0 128250.0 ; + RECT 95700.0 127050.0 94500.0 128250.0 ; + RECT 95700.0 127050.0 94500.0 128250.0 ; + RECT 98100.0 127050.0 96900.0 128250.0 ; + RECT 95700.0 127050.0 94500.0 128250.0 ; + RECT 93300.0 127050.0 92100.0 128250.0 ; + RECT 93300.0 127050.0 92100.0 128250.0 ; + RECT 95700.0 127050.0 94500.0 128250.0 ; + RECT 98100.0 118350.0 96900.0 119550.0 ; + RECT 95700.0 118350.0 94500.0 119550.0 ; + RECT 95700.0 118350.0 94500.0 119550.0 ; + RECT 98100.0 118350.0 96900.0 119550.0 ; + RECT 95700.0 118350.0 94500.0 119550.0 ; + RECT 93300.0 118350.0 92100.0 119550.0 ; + RECT 93300.0 118350.0 92100.0 119550.0 ; + RECT 95700.0 118350.0 94500.0 119550.0 ; + RECT 90900.0 127650.0 89700.0 128850.0 ; + RECT 90900.0 117750.0 89700.0 118950.0 ; + RECT 93300.0 120900.0 94500.0 122100.0 ; + RECT 96300.0 123600.0 97500.0 124800.0 ; + RECT 95700.0 127050.0 94500.0 128250.0 ; + RECT 93300.0 118350.0 92100.0 119550.0 ; + RECT 92100.0 123600.0 93300.0 124800.0 ; + RECT 97500.0 123600.0 96300.0 124800.0 ; + RECT 94500.0 120900.0 93300.0 122100.0 ; + RECT 93300.0 123600.0 92100.0 124800.0 ; + RECT 99900.0 129750.0 85500.0 130650.0 ; + RECT 99900.0 115950.0 85500.0 116850.0 ; + RECT 98100.0 142050.0 96900.0 144450.0 ; + RECT 98100.0 133350.0 96900.0 129750.0 ; + RECT 93300.0 133350.0 92100.0 129750.0 ; + RECT 90900.0 132150.0 89700.0 130200.0 ; + RECT 90900.0 144000.0 89700.0 142050.0 ; + RECT 98100.0 133350.0 96900.0 132150.0 ; + RECT 95700.0 133350.0 94500.0 132150.0 ; + RECT 95700.0 133350.0 94500.0 132150.0 ; + RECT 98100.0 133350.0 96900.0 132150.0 ; + RECT 95700.0 133350.0 94500.0 132150.0 ; + RECT 93300.0 133350.0 92100.0 132150.0 ; + RECT 93300.0 133350.0 92100.0 132150.0 ; + RECT 95700.0 133350.0 94500.0 132150.0 ; + RECT 98100.0 142050.0 96900.0 140850.0 ; + RECT 95700.0 142050.0 94500.0 140850.0 ; + RECT 95700.0 142050.0 94500.0 140850.0 ; + RECT 98100.0 142050.0 96900.0 140850.0 ; + RECT 95700.0 142050.0 94500.0 140850.0 ; + RECT 93300.0 142050.0 92100.0 140850.0 ; + RECT 93300.0 142050.0 92100.0 140850.0 ; + RECT 95700.0 142050.0 94500.0 140850.0 ; + RECT 90900.0 132750.0 89700.0 131550.0 ; + RECT 90900.0 142650.0 89700.0 141450.0 ; + RECT 93300.0 139500.0 94500.0 138300.0 ; + RECT 96300.0 136800.0 97500.0 135600.0 ; + RECT 95700.0 133350.0 94500.0 132150.0 ; + RECT 93300.0 142050.0 92100.0 140850.0 ; + RECT 92100.0 136800.0 93300.0 135600.0 ; + RECT 97500.0 136800.0 96300.0 135600.0 ; + RECT 94500.0 139500.0 93300.0 138300.0 ; + RECT 93300.0 136800.0 92100.0 135600.0 ; + RECT 99900.0 130650.0 85500.0 129750.0 ; + RECT 99900.0 144450.0 85500.0 143550.0 ; + RECT 110850.0 99300.0 112050.0 100500.0 ; + RECT 129450.0 94800.0 130650.0 96000.0 ; + RECT 107850.0 113100.0 109050.0 114300.0 ; + RECT 126450.0 109200.0 127650.0 110400.0 ; + RECT 129450.0 117900.0 130650.0 119100.0 ; + RECT 104850.0 117900.0 106050.0 119100.0 ; + RECT 126450.0 131700.0 127650.0 132900.0 ; + RECT 101850.0 131700.0 103050.0 132900.0 ; + RECT 110850.0 93300.0 112050.0 94500.0 ; + RECT 107850.0 96000.0 109050.0 97200.0 ; + RECT 104850.0 110700.0 106050.0 111900.0 ; + RECT 107850.0 108000.0 109050.0 109200.0 ; + RECT 110850.0 120900.0 112050.0 122100.0 ; + RECT 101850.0 123600.0 103050.0 124800.0 ; + RECT 104850.0 138300.0 106050.0 139500.0 ; + RECT 101850.0 135600.0 103050.0 136800.0 ; + RECT 79650.0 94950.0 75900.0 95850.0 ; + RECT 79650.0 109350.0 75900.0 110250.0 ; + RECT 79650.0 122550.0 75900.0 123450.0 ; + RECT 79650.0 136950.0 75900.0 137850.0 ; + RECT 130500.0 102150.0 75900.0 103050.0 ; + RECT 130500.0 129750.0 75900.0 130650.0 ; + RECT 130500.0 88350.0 75900.0 89250.0 ; + RECT 130500.0 115950.0 75900.0 116850.0 ; + RECT 130500.0 143550.0 75900.0 144450.0 ; + RECT 114450.0 150150.0 113550.0 151050.0 ; + RECT 114450.0 154650.0 113550.0 155550.0 ; + RECT 118650.0 150150.0 114000.0 151050.0 ; + RECT 114450.0 150600.0 113550.0 155100.0 ; + RECT 114000.0 154650.0 111450.0 155550.0 ; + RECT 130050.0 150150.0 122100.0 151050.0 ; + RECT 114450.0 164550.0 113550.0 165450.0 ; + RECT 114450.0 168450.0 113550.0 169350.0 ; + RECT 118650.0 164550.0 114000.0 165450.0 ; + RECT 114450.0 165000.0 113550.0 168900.0 ; + RECT 114000.0 168450.0 108450.0 169350.0 ; + RECT 127050.0 164550.0 122100.0 165450.0 ; + RECT 130050.0 173250.0 105450.0 174150.0 ; + RECT 127050.0 187050.0 102450.0 187950.0 ; + RECT 111450.0 148650.0 94500.0 149550.0 ; + RECT 108450.0 151350.0 97500.0 152250.0 ; + RECT 105450.0 166050.0 94500.0 166950.0 ; + RECT 108450.0 163350.0 97500.0 164250.0 ; + RECT 111450.0 176250.0 94500.0 177150.0 ; + RECT 102450.0 178950.0 97500.0 179850.0 ; + RECT 105450.0 193650.0 94500.0 194550.0 ; + RECT 102450.0 190950.0 97500.0 191850.0 ; + RECT 88050.0 151350.0 87150.0 152250.0 ; + RECT 88050.0 150150.0 87150.0 151050.0 ; + RECT 92100.0 151350.0 87600.0 152250.0 ; + RECT 88050.0 150600.0 87150.0 151800.0 ; + RECT 87600.0 150150.0 83100.0 151050.0 ; + RECT 88050.0 163350.0 87150.0 164250.0 ; + RECT 88050.0 164550.0 87150.0 165450.0 ; + RECT 92100.0 163350.0 87600.0 164250.0 ; + RECT 88050.0 163800.0 87150.0 165000.0 ; + RECT 87600.0 164550.0 83100.0 165450.0 ; + RECT 88050.0 178950.0 87150.0 179850.0 ; + RECT 88050.0 177750.0 87150.0 178650.0 ; + RECT 92100.0 178950.0 87600.0 179850.0 ; + RECT 88050.0 178200.0 87150.0 179400.0 ; + RECT 87600.0 177750.0 83100.0 178650.0 ; + RECT 88050.0 190950.0 87150.0 191850.0 ; + RECT 88050.0 192150.0 87150.0 193050.0 ; + RECT 92100.0 190950.0 87600.0 191850.0 ; + RECT 88050.0 191400.0 87150.0 192600.0 ; + RECT 87600.0 192150.0 83100.0 193050.0 ; + RECT 117900.0 155850.0 116700.0 157800.0 ; + RECT 117900.0 144000.0 116700.0 145950.0 ; + RECT 122700.0 145350.0 121500.0 143550.0 ; + RECT 122700.0 154650.0 121500.0 158250.0 ; + RECT 120000.0 145350.0 119100.0 154650.0 ; + RECT 122700.0 154650.0 121500.0 155850.0 ; + RECT 120300.0 154650.0 119100.0 155850.0 ; + RECT 120300.0 154650.0 119100.0 155850.0 ; + RECT 122700.0 154650.0 121500.0 155850.0 ; + RECT 122700.0 145350.0 121500.0 146550.0 ; + RECT 120300.0 145350.0 119100.0 146550.0 ; + RECT 120300.0 145350.0 119100.0 146550.0 ; + RECT 122700.0 145350.0 121500.0 146550.0 ; + RECT 117900.0 155250.0 116700.0 156450.0 ; + RECT 117900.0 145350.0 116700.0 146550.0 ; + RECT 122100.0 150000.0 120900.0 151200.0 ; + RECT 122100.0 150000.0 120900.0 151200.0 ; + RECT 119550.0 150150.0 118650.0 151050.0 ; + RECT 124500.0 157350.0 114900.0 158250.0 ; + RECT 124500.0 143550.0 114900.0 144450.0 ; + RECT 117900.0 159750.0 116700.0 157800.0 ; + RECT 117900.0 171600.0 116700.0 169650.0 ; + RECT 122700.0 170250.0 121500.0 172050.0 ; + RECT 122700.0 160950.0 121500.0 157350.0 ; + RECT 120000.0 170250.0 119100.0 160950.0 ; + RECT 122700.0 160950.0 121500.0 159750.0 ; + RECT 120300.0 160950.0 119100.0 159750.0 ; + RECT 120300.0 160950.0 119100.0 159750.0 ; + RECT 122700.0 160950.0 121500.0 159750.0 ; + RECT 122700.0 170250.0 121500.0 169050.0 ; + RECT 120300.0 170250.0 119100.0 169050.0 ; + RECT 120300.0 170250.0 119100.0 169050.0 ; + RECT 122700.0 170250.0 121500.0 169050.0 ; + RECT 117900.0 160350.0 116700.0 159150.0 ; + RECT 117900.0 170250.0 116700.0 169050.0 ; + RECT 122100.0 165600.0 120900.0 164400.0 ; + RECT 122100.0 165600.0 120900.0 164400.0 ; + RECT 119550.0 165450.0 118650.0 164550.0 ; + RECT 124500.0 158250.0 114900.0 157350.0 ; + RECT 124500.0 172050.0 114900.0 171150.0 ; + RECT 78900.0 155850.0 77700.0 157800.0 ; + RECT 78900.0 144000.0 77700.0 145950.0 ; + RECT 83700.0 145350.0 82500.0 143550.0 ; + RECT 83700.0 154650.0 82500.0 158250.0 ; + RECT 81000.0 145350.0 80100.0 154650.0 ; + RECT 83700.0 154650.0 82500.0 155850.0 ; + RECT 81300.0 154650.0 80100.0 155850.0 ; + RECT 81300.0 154650.0 80100.0 155850.0 ; + RECT 83700.0 154650.0 82500.0 155850.0 ; + RECT 83700.0 145350.0 82500.0 146550.0 ; + RECT 81300.0 145350.0 80100.0 146550.0 ; + RECT 81300.0 145350.0 80100.0 146550.0 ; + RECT 83700.0 145350.0 82500.0 146550.0 ; + RECT 78900.0 155250.0 77700.0 156450.0 ; + RECT 78900.0 145350.0 77700.0 146550.0 ; + RECT 83100.0 150000.0 81900.0 151200.0 ; + RECT 83100.0 150000.0 81900.0 151200.0 ; + RECT 80550.0 150150.0 79650.0 151050.0 ; + RECT 85500.0 157350.0 75900.0 158250.0 ; + RECT 85500.0 143550.0 75900.0 144450.0 ; + RECT 78900.0 159750.0 77700.0 157800.0 ; + RECT 78900.0 171600.0 77700.0 169650.0 ; + RECT 83700.0 170250.0 82500.0 172050.0 ; + RECT 83700.0 160950.0 82500.0 157350.0 ; + RECT 81000.0 170250.0 80100.0 160950.0 ; + RECT 83700.0 160950.0 82500.0 159750.0 ; + RECT 81300.0 160950.0 80100.0 159750.0 ; + RECT 81300.0 160950.0 80100.0 159750.0 ; + RECT 83700.0 160950.0 82500.0 159750.0 ; + RECT 83700.0 170250.0 82500.0 169050.0 ; + RECT 81300.0 170250.0 80100.0 169050.0 ; + RECT 81300.0 170250.0 80100.0 169050.0 ; + RECT 83700.0 170250.0 82500.0 169050.0 ; + RECT 78900.0 160350.0 77700.0 159150.0 ; + RECT 78900.0 170250.0 77700.0 169050.0 ; + RECT 83100.0 165600.0 81900.0 164400.0 ; + RECT 83100.0 165600.0 81900.0 164400.0 ; + RECT 80550.0 165450.0 79650.0 164550.0 ; + RECT 85500.0 158250.0 75900.0 157350.0 ; + RECT 85500.0 172050.0 75900.0 171150.0 ; + RECT 78900.0 183450.0 77700.0 185400.0 ; + RECT 78900.0 171600.0 77700.0 173550.0 ; + RECT 83700.0 172950.0 82500.0 171150.0 ; + RECT 83700.0 182250.0 82500.0 185850.0 ; + RECT 81000.0 172950.0 80100.0 182250.0 ; + RECT 83700.0 182250.0 82500.0 183450.0 ; + RECT 81300.0 182250.0 80100.0 183450.0 ; + RECT 81300.0 182250.0 80100.0 183450.0 ; + RECT 83700.0 182250.0 82500.0 183450.0 ; + RECT 83700.0 172950.0 82500.0 174150.0 ; + RECT 81300.0 172950.0 80100.0 174150.0 ; + RECT 81300.0 172950.0 80100.0 174150.0 ; + RECT 83700.0 172950.0 82500.0 174150.0 ; + RECT 78900.0 182850.0 77700.0 184050.0 ; + RECT 78900.0 172950.0 77700.0 174150.0 ; + RECT 83100.0 177600.0 81900.0 178800.0 ; + RECT 83100.0 177600.0 81900.0 178800.0 ; + RECT 80550.0 177750.0 79650.0 178650.0 ; + RECT 85500.0 184950.0 75900.0 185850.0 ; + RECT 85500.0 171150.0 75900.0 172050.0 ; + RECT 78900.0 187350.0 77700.0 185400.0 ; + RECT 78900.0 199200.0 77700.0 197250.0 ; + RECT 83700.0 197850.0 82500.0 199650.0 ; + RECT 83700.0 188550.0 82500.0 184950.0 ; + RECT 81000.0 197850.0 80100.0 188550.0 ; + RECT 83700.0 188550.0 82500.0 187350.0 ; + RECT 81300.0 188550.0 80100.0 187350.0 ; + RECT 81300.0 188550.0 80100.0 187350.0 ; + RECT 83700.0 188550.0 82500.0 187350.0 ; + RECT 83700.0 197850.0 82500.0 196650.0 ; + RECT 81300.0 197850.0 80100.0 196650.0 ; + RECT 81300.0 197850.0 80100.0 196650.0 ; + RECT 83700.0 197850.0 82500.0 196650.0 ; + RECT 78900.0 187950.0 77700.0 186750.0 ; + RECT 78900.0 197850.0 77700.0 196650.0 ; + RECT 83100.0 193200.0 81900.0 192000.0 ; + RECT 83100.0 193200.0 81900.0 192000.0 ; + RECT 80550.0 193050.0 79650.0 192150.0 ; + RECT 85500.0 185850.0 75900.0 184950.0 ; + RECT 85500.0 199650.0 75900.0 198750.0 ; + RECT 98100.0 145950.0 96900.0 143550.0 ; + RECT 98100.0 154650.0 96900.0 158250.0 ; + RECT 93300.0 154650.0 92100.0 158250.0 ; + RECT 90900.0 155850.0 89700.0 157800.0 ; + RECT 90900.0 144000.0 89700.0 145950.0 ; + RECT 98100.0 154650.0 96900.0 155850.0 ; + RECT 95700.0 154650.0 94500.0 155850.0 ; + RECT 95700.0 154650.0 94500.0 155850.0 ; + RECT 98100.0 154650.0 96900.0 155850.0 ; + RECT 95700.0 154650.0 94500.0 155850.0 ; + RECT 93300.0 154650.0 92100.0 155850.0 ; + RECT 93300.0 154650.0 92100.0 155850.0 ; + RECT 95700.0 154650.0 94500.0 155850.0 ; + RECT 98100.0 145950.0 96900.0 147150.0 ; + RECT 95700.0 145950.0 94500.0 147150.0 ; + RECT 95700.0 145950.0 94500.0 147150.0 ; + RECT 98100.0 145950.0 96900.0 147150.0 ; + RECT 95700.0 145950.0 94500.0 147150.0 ; + RECT 93300.0 145950.0 92100.0 147150.0 ; + RECT 93300.0 145950.0 92100.0 147150.0 ; + RECT 95700.0 145950.0 94500.0 147150.0 ; + RECT 90900.0 155250.0 89700.0 156450.0 ; + RECT 90900.0 145350.0 89700.0 146550.0 ; + RECT 93300.0 148500.0 94500.0 149700.0 ; + RECT 96300.0 151200.0 97500.0 152400.0 ; + RECT 95700.0 154650.0 94500.0 155850.0 ; + RECT 93300.0 145950.0 92100.0 147150.0 ; + RECT 92100.0 151200.0 93300.0 152400.0 ; + RECT 97500.0 151200.0 96300.0 152400.0 ; + RECT 94500.0 148500.0 93300.0 149700.0 ; + RECT 93300.0 151200.0 92100.0 152400.0 ; + RECT 99900.0 157350.0 85500.0 158250.0 ; + RECT 99900.0 143550.0 85500.0 144450.0 ; + RECT 98100.0 169650.0 96900.0 172050.0 ; + RECT 98100.0 160950.0 96900.0 157350.0 ; + RECT 93300.0 160950.0 92100.0 157350.0 ; + RECT 90900.0 159750.0 89700.0 157800.0 ; + RECT 90900.0 171600.0 89700.0 169650.0 ; + RECT 98100.0 160950.0 96900.0 159750.0 ; + RECT 95700.0 160950.0 94500.0 159750.0 ; + RECT 95700.0 160950.0 94500.0 159750.0 ; + RECT 98100.0 160950.0 96900.0 159750.0 ; + RECT 95700.0 160950.0 94500.0 159750.0 ; + RECT 93300.0 160950.0 92100.0 159750.0 ; + RECT 93300.0 160950.0 92100.0 159750.0 ; + RECT 95700.0 160950.0 94500.0 159750.0 ; + RECT 98100.0 169650.0 96900.0 168450.0 ; + RECT 95700.0 169650.0 94500.0 168450.0 ; + RECT 95700.0 169650.0 94500.0 168450.0 ; + RECT 98100.0 169650.0 96900.0 168450.0 ; + RECT 95700.0 169650.0 94500.0 168450.0 ; + RECT 93300.0 169650.0 92100.0 168450.0 ; + RECT 93300.0 169650.0 92100.0 168450.0 ; + RECT 95700.0 169650.0 94500.0 168450.0 ; + RECT 90900.0 160350.0 89700.0 159150.0 ; + RECT 90900.0 170250.0 89700.0 169050.0 ; + RECT 93300.0 167100.0 94500.0 165900.0 ; + RECT 96300.0 164400.0 97500.0 163200.0 ; + RECT 95700.0 160950.0 94500.0 159750.0 ; + RECT 93300.0 169650.0 92100.0 168450.0 ; + RECT 92100.0 164400.0 93300.0 163200.0 ; + RECT 97500.0 164400.0 96300.0 163200.0 ; + RECT 94500.0 167100.0 93300.0 165900.0 ; + RECT 93300.0 164400.0 92100.0 163200.0 ; + RECT 99900.0 158250.0 85500.0 157350.0 ; + RECT 99900.0 172050.0 85500.0 171150.0 ; + RECT 98100.0 173550.0 96900.0 171150.0 ; + RECT 98100.0 182250.0 96900.0 185850.0 ; + RECT 93300.0 182250.0 92100.0 185850.0 ; + RECT 90900.0 183450.0 89700.0 185400.0 ; + RECT 90900.0 171600.0 89700.0 173550.0 ; + RECT 98100.0 182250.0 96900.0 183450.0 ; + RECT 95700.0 182250.0 94500.0 183450.0 ; + RECT 95700.0 182250.0 94500.0 183450.0 ; + RECT 98100.0 182250.0 96900.0 183450.0 ; + RECT 95700.0 182250.0 94500.0 183450.0 ; + RECT 93300.0 182250.0 92100.0 183450.0 ; + RECT 93300.0 182250.0 92100.0 183450.0 ; + RECT 95700.0 182250.0 94500.0 183450.0 ; + RECT 98100.0 173550.0 96900.0 174750.0 ; + RECT 95700.0 173550.0 94500.0 174750.0 ; + RECT 95700.0 173550.0 94500.0 174750.0 ; + RECT 98100.0 173550.0 96900.0 174750.0 ; + RECT 95700.0 173550.0 94500.0 174750.0 ; + RECT 93300.0 173550.0 92100.0 174750.0 ; + RECT 93300.0 173550.0 92100.0 174750.0 ; + RECT 95700.0 173550.0 94500.0 174750.0 ; + RECT 90900.0 182850.0 89700.0 184050.0 ; + RECT 90900.0 172950.0 89700.0 174150.0 ; + RECT 93300.0 176100.0 94500.0 177300.0 ; + RECT 96300.0 178800.0 97500.0 180000.0 ; + RECT 95700.0 182250.0 94500.0 183450.0 ; + RECT 93300.0 173550.0 92100.0 174750.0 ; + RECT 92100.0 178800.0 93300.0 180000.0 ; + RECT 97500.0 178800.0 96300.0 180000.0 ; + RECT 94500.0 176100.0 93300.0 177300.0 ; + RECT 93300.0 178800.0 92100.0 180000.0 ; + RECT 99900.0 184950.0 85500.0 185850.0 ; + RECT 99900.0 171150.0 85500.0 172050.0 ; + RECT 98100.0 197250.0 96900.0 199650.0 ; + RECT 98100.0 188550.0 96900.0 184950.0 ; + RECT 93300.0 188550.0 92100.0 184950.0 ; + RECT 90900.0 187350.0 89700.0 185400.0 ; + RECT 90900.0 199200.0 89700.0 197250.0 ; + RECT 98100.0 188550.0 96900.0 187350.0 ; + RECT 95700.0 188550.0 94500.0 187350.0 ; + RECT 95700.0 188550.0 94500.0 187350.0 ; + RECT 98100.0 188550.0 96900.0 187350.0 ; + RECT 95700.0 188550.0 94500.0 187350.0 ; + RECT 93300.0 188550.0 92100.0 187350.0 ; + RECT 93300.0 188550.0 92100.0 187350.0 ; + RECT 95700.0 188550.0 94500.0 187350.0 ; + RECT 98100.0 197250.0 96900.0 196050.0 ; + RECT 95700.0 197250.0 94500.0 196050.0 ; + RECT 95700.0 197250.0 94500.0 196050.0 ; + RECT 98100.0 197250.0 96900.0 196050.0 ; + RECT 95700.0 197250.0 94500.0 196050.0 ; + RECT 93300.0 197250.0 92100.0 196050.0 ; + RECT 93300.0 197250.0 92100.0 196050.0 ; + RECT 95700.0 197250.0 94500.0 196050.0 ; + RECT 90900.0 187950.0 89700.0 186750.0 ; + RECT 90900.0 197850.0 89700.0 196650.0 ; + RECT 93300.0 194700.0 94500.0 193500.0 ; + RECT 96300.0 192000.0 97500.0 190800.0 ; + RECT 95700.0 188550.0 94500.0 187350.0 ; + RECT 93300.0 197250.0 92100.0 196050.0 ; + RECT 92100.0 192000.0 93300.0 190800.0 ; + RECT 97500.0 192000.0 96300.0 190800.0 ; + RECT 94500.0 194700.0 93300.0 193500.0 ; + RECT 93300.0 192000.0 92100.0 190800.0 ; + RECT 99900.0 185850.0 85500.0 184950.0 ; + RECT 99900.0 199650.0 85500.0 198750.0 ; + RECT 110850.0 154500.0 112050.0 155700.0 ; + RECT 129450.0 150000.0 130650.0 151200.0 ; + RECT 107850.0 168300.0 109050.0 169500.0 ; + RECT 126450.0 164400.0 127650.0 165600.0 ; + RECT 129450.0 173100.0 130650.0 174300.0 ; + RECT 104850.0 173100.0 106050.0 174300.0 ; + RECT 126450.0 186900.0 127650.0 188100.0 ; + RECT 101850.0 186900.0 103050.0 188100.0 ; + RECT 110850.0 148500.0 112050.0 149700.0 ; + RECT 107850.0 151200.0 109050.0 152400.0 ; + RECT 104850.0 165900.0 106050.0 167100.0 ; + RECT 107850.0 163200.0 109050.0 164400.0 ; + RECT 110850.0 176100.0 112050.0 177300.0 ; + RECT 101850.0 178800.0 103050.0 180000.0 ; + RECT 104850.0 193500.0 106050.0 194700.0 ; + RECT 101850.0 190800.0 103050.0 192000.0 ; + RECT 79650.0 150150.0 75900.0 151050.0 ; + RECT 79650.0 164550.0 75900.0 165450.0 ; + RECT 79650.0 177750.0 75900.0 178650.0 ; + RECT 79650.0 192150.0 75900.0 193050.0 ; + RECT 130500.0 157350.0 75900.0 158250.0 ; + RECT 130500.0 184950.0 75900.0 185850.0 ; + RECT 130500.0 143550.0 75900.0 144450.0 ; + RECT 130500.0 171150.0 75900.0 172050.0 ; + RECT 130500.0 198750.0 75900.0 199650.0 ; + RECT 77700.0 201150.0 78900.0 198750.0 ; + RECT 77700.0 209850.0 78900.0 213450.0 ; + RECT 82500.0 209850.0 83700.0 213450.0 ; + RECT 84900.0 211050.0 86100.0 213000.0 ; + RECT 84900.0 199200.0 86100.0 201150.0 ; + RECT 77700.0 209850.0 78900.0 211050.0 ; + RECT 80100.0 209850.0 81300.0 211050.0 ; + RECT 80100.0 209850.0 81300.0 211050.0 ; + RECT 77700.0 209850.0 78900.0 211050.0 ; + RECT 80100.0 209850.0 81300.0 211050.0 ; + RECT 82500.0 209850.0 83700.0 211050.0 ; + RECT 82500.0 209850.0 83700.0 211050.0 ; + RECT 80100.0 209850.0 81300.0 211050.0 ; + RECT 77700.0 201150.0 78900.0 202350.0 ; + RECT 80100.0 201150.0 81300.0 202350.0 ; + RECT 80100.0 201150.0 81300.0 202350.0 ; + RECT 77700.0 201150.0 78900.0 202350.0 ; + RECT 80100.0 201150.0 81300.0 202350.0 ; + RECT 82500.0 201150.0 83700.0 202350.0 ; + RECT 82500.0 201150.0 83700.0 202350.0 ; + RECT 80100.0 201150.0 81300.0 202350.0 ; + RECT 84900.0 210450.0 86100.0 211650.0 ; + RECT 84900.0 200550.0 86100.0 201750.0 ; + RECT 82500.0 203700.0 81300.0 204900.0 ; + RECT 79500.0 206400.0 78300.0 207600.0 ; + RECT 80100.0 209850.0 81300.0 211050.0 ; + RECT 82500.0 201150.0 83700.0 202350.0 ; + RECT 83700.0 206400.0 82500.0 207600.0 ; + RECT 78300.0 206400.0 79500.0 207600.0 ; + RECT 81300.0 203700.0 82500.0 204900.0 ; + RECT 82500.0 206400.0 83700.0 207600.0 ; + RECT 75900.0 212550.0 90300.0 213450.0 ; + RECT 75900.0 198750.0 90300.0 199650.0 ; + RECT 77700.0 224850.0 78900.0 227250.0 ; + RECT 77700.0 216150.0 78900.0 212550.0 ; + RECT 82500.0 216150.0 83700.0 212550.0 ; + RECT 84900.0 214950.0 86100.0 213000.0 ; + RECT 84900.0 226800.0 86100.0 224850.0 ; + RECT 77700.0 216150.0 78900.0 214950.0 ; + RECT 80100.0 216150.0 81300.0 214950.0 ; + RECT 80100.0 216150.0 81300.0 214950.0 ; + RECT 77700.0 216150.0 78900.0 214950.0 ; + RECT 80100.0 216150.0 81300.0 214950.0 ; + RECT 82500.0 216150.0 83700.0 214950.0 ; + RECT 82500.0 216150.0 83700.0 214950.0 ; + RECT 80100.0 216150.0 81300.0 214950.0 ; + RECT 77700.0 224850.0 78900.0 223650.0 ; + RECT 80100.0 224850.0 81300.0 223650.0 ; + RECT 80100.0 224850.0 81300.0 223650.0 ; + RECT 77700.0 224850.0 78900.0 223650.0 ; + RECT 80100.0 224850.0 81300.0 223650.0 ; + RECT 82500.0 224850.0 83700.0 223650.0 ; + RECT 82500.0 224850.0 83700.0 223650.0 ; + RECT 80100.0 224850.0 81300.0 223650.0 ; + RECT 84900.0 215550.0 86100.0 214350.0 ; + RECT 84900.0 225450.0 86100.0 224250.0 ; + RECT 82500.0 222300.0 81300.0 221100.0 ; + RECT 79500.0 219600.0 78300.0 218400.0 ; + RECT 80100.0 216150.0 81300.0 214950.0 ; + RECT 82500.0 224850.0 83700.0 223650.0 ; + RECT 83700.0 219600.0 82500.0 218400.0 ; + RECT 78300.0 219600.0 79500.0 218400.0 ; + RECT 81300.0 222300.0 82500.0 221100.0 ; + RECT 82500.0 219600.0 83700.0 218400.0 ; + RECT 75900.0 213450.0 90300.0 212550.0 ; + RECT 75900.0 227250.0 90300.0 226350.0 ; + RECT 77700.0 228750.0 78900.0 226350.0 ; + RECT 77700.0 237450.0 78900.0 241050.0 ; + RECT 82500.0 237450.0 83700.0 241050.0 ; + RECT 84900.0 238650.0 86100.0 240600.0 ; + RECT 84900.0 226800.0 86100.0 228750.0 ; + RECT 77700.0 237450.0 78900.0 238650.0 ; + RECT 80100.0 237450.0 81300.0 238650.0 ; + RECT 80100.0 237450.0 81300.0 238650.0 ; + RECT 77700.0 237450.0 78900.0 238650.0 ; + RECT 80100.0 237450.0 81300.0 238650.0 ; + RECT 82500.0 237450.0 83700.0 238650.0 ; + RECT 82500.0 237450.0 83700.0 238650.0 ; + RECT 80100.0 237450.0 81300.0 238650.0 ; + RECT 77700.0 228750.0 78900.0 229950.0 ; + RECT 80100.0 228750.0 81300.0 229950.0 ; + RECT 80100.0 228750.0 81300.0 229950.0 ; + RECT 77700.0 228750.0 78900.0 229950.0 ; + RECT 80100.0 228750.0 81300.0 229950.0 ; + RECT 82500.0 228750.0 83700.0 229950.0 ; + RECT 82500.0 228750.0 83700.0 229950.0 ; + RECT 80100.0 228750.0 81300.0 229950.0 ; + RECT 84900.0 238050.0 86100.0 239250.0 ; + RECT 84900.0 228150.0 86100.0 229350.0 ; + RECT 82500.0 231300.0 81300.0 232500.0 ; + RECT 79500.0 234000.0 78300.0 235200.0 ; + RECT 80100.0 237450.0 81300.0 238650.0 ; + RECT 82500.0 228750.0 83700.0 229950.0 ; + RECT 83700.0 234000.0 82500.0 235200.0 ; + RECT 78300.0 234000.0 79500.0 235200.0 ; + RECT 81300.0 231300.0 82500.0 232500.0 ; + RECT 82500.0 234000.0 83700.0 235200.0 ; + RECT 75900.0 240150.0 90300.0 241050.0 ; + RECT 75900.0 226350.0 90300.0 227250.0 ; + RECT 77700.0 252450.0 78900.0 254850.0 ; + RECT 77700.0 243750.0 78900.0 240150.0 ; + RECT 82500.0 243750.0 83700.0 240150.0 ; + RECT 84900.0 242550.0 86100.0 240600.0 ; + RECT 84900.0 254400.0 86100.0 252450.0 ; + RECT 77700.0 243750.0 78900.0 242550.0 ; + RECT 80100.0 243750.0 81300.0 242550.0 ; + RECT 80100.0 243750.0 81300.0 242550.0 ; + RECT 77700.0 243750.0 78900.0 242550.0 ; + RECT 80100.0 243750.0 81300.0 242550.0 ; + RECT 82500.0 243750.0 83700.0 242550.0 ; + RECT 82500.0 243750.0 83700.0 242550.0 ; + RECT 80100.0 243750.0 81300.0 242550.0 ; + RECT 77700.0 252450.0 78900.0 251250.0 ; + RECT 80100.0 252450.0 81300.0 251250.0 ; + RECT 80100.0 252450.0 81300.0 251250.0 ; + RECT 77700.0 252450.0 78900.0 251250.0 ; + RECT 80100.0 252450.0 81300.0 251250.0 ; + RECT 82500.0 252450.0 83700.0 251250.0 ; + RECT 82500.0 252450.0 83700.0 251250.0 ; + RECT 80100.0 252450.0 81300.0 251250.0 ; + RECT 84900.0 243150.0 86100.0 241950.0 ; + RECT 84900.0 253050.0 86100.0 251850.0 ; + RECT 82500.0 249900.0 81300.0 248700.0 ; + RECT 79500.0 247200.0 78300.0 246000.0 ; + RECT 80100.0 243750.0 81300.0 242550.0 ; + RECT 82500.0 252450.0 83700.0 251250.0 ; + RECT 83700.0 247200.0 82500.0 246000.0 ; + RECT 78300.0 247200.0 79500.0 246000.0 ; + RECT 81300.0 249900.0 82500.0 248700.0 ; + RECT 82500.0 247200.0 83700.0 246000.0 ; + RECT 75900.0 241050.0 90300.0 240150.0 ; + RECT 75900.0 254850.0 90300.0 253950.0 ; + RECT 77700.0 256350.0 78900.0 253950.0 ; + RECT 77700.0 265050.0 78900.0 268650.0 ; + RECT 82500.0 265050.0 83700.0 268650.0 ; + RECT 84900.0 266250.0 86100.0 268200.0 ; + RECT 84900.0 254400.0 86100.0 256350.0 ; + RECT 77700.0 265050.0 78900.0 266250.0 ; + RECT 80100.0 265050.0 81300.0 266250.0 ; + RECT 80100.0 265050.0 81300.0 266250.0 ; + RECT 77700.0 265050.0 78900.0 266250.0 ; + RECT 80100.0 265050.0 81300.0 266250.0 ; + RECT 82500.0 265050.0 83700.0 266250.0 ; + RECT 82500.0 265050.0 83700.0 266250.0 ; + RECT 80100.0 265050.0 81300.0 266250.0 ; + RECT 77700.0 256350.0 78900.0 257550.0 ; + RECT 80100.0 256350.0 81300.0 257550.0 ; + RECT 80100.0 256350.0 81300.0 257550.0 ; + RECT 77700.0 256350.0 78900.0 257550.0 ; + RECT 80100.0 256350.0 81300.0 257550.0 ; + RECT 82500.0 256350.0 83700.0 257550.0 ; + RECT 82500.0 256350.0 83700.0 257550.0 ; + RECT 80100.0 256350.0 81300.0 257550.0 ; + RECT 84900.0 265650.0 86100.0 266850.0 ; + RECT 84900.0 255750.0 86100.0 256950.0 ; + RECT 82500.0 258900.0 81300.0 260100.0 ; + RECT 79500.0 261600.0 78300.0 262800.0 ; + RECT 80100.0 265050.0 81300.0 266250.0 ; + RECT 82500.0 256350.0 83700.0 257550.0 ; + RECT 83700.0 261600.0 82500.0 262800.0 ; + RECT 78300.0 261600.0 79500.0 262800.0 ; + RECT 81300.0 258900.0 82500.0 260100.0 ; + RECT 82500.0 261600.0 83700.0 262800.0 ; + RECT 75900.0 267750.0 90300.0 268650.0 ; + RECT 75900.0 253950.0 90300.0 254850.0 ; + RECT 77700.0 280050.0 78900.0 282450.0 ; + RECT 77700.0 271350.0 78900.0 267750.0 ; + RECT 82500.0 271350.0 83700.0 267750.0 ; + RECT 84900.0 270150.0 86100.0 268200.0 ; + RECT 84900.0 282000.0 86100.0 280050.0 ; + RECT 77700.0 271350.0 78900.0 270150.0 ; + RECT 80100.0 271350.0 81300.0 270150.0 ; + RECT 80100.0 271350.0 81300.0 270150.0 ; + RECT 77700.0 271350.0 78900.0 270150.0 ; + RECT 80100.0 271350.0 81300.0 270150.0 ; + RECT 82500.0 271350.0 83700.0 270150.0 ; + RECT 82500.0 271350.0 83700.0 270150.0 ; + RECT 80100.0 271350.0 81300.0 270150.0 ; + RECT 77700.0 280050.0 78900.0 278850.0 ; + RECT 80100.0 280050.0 81300.0 278850.0 ; + RECT 80100.0 280050.0 81300.0 278850.0 ; + RECT 77700.0 280050.0 78900.0 278850.0 ; + RECT 80100.0 280050.0 81300.0 278850.0 ; + RECT 82500.0 280050.0 83700.0 278850.0 ; + RECT 82500.0 280050.0 83700.0 278850.0 ; + RECT 80100.0 280050.0 81300.0 278850.0 ; + RECT 84900.0 270750.0 86100.0 269550.0 ; + RECT 84900.0 280650.0 86100.0 279450.0 ; + RECT 82500.0 277500.0 81300.0 276300.0 ; + RECT 79500.0 274800.0 78300.0 273600.0 ; + RECT 80100.0 271350.0 81300.0 270150.0 ; + RECT 82500.0 280050.0 83700.0 278850.0 ; + RECT 83700.0 274800.0 82500.0 273600.0 ; + RECT 78300.0 274800.0 79500.0 273600.0 ; + RECT 81300.0 277500.0 82500.0 276300.0 ; + RECT 82500.0 274800.0 83700.0 273600.0 ; + RECT 75900.0 268650.0 90300.0 267750.0 ; + RECT 75900.0 282450.0 90300.0 281550.0 ; + RECT 77700.0 283950.0 78900.0 281550.0 ; + RECT 77700.0 292650.0 78900.0 296250.0 ; + RECT 82500.0 292650.0 83700.0 296250.0 ; + RECT 84900.0 293850.0 86100.0 295800.0 ; + RECT 84900.0 282000.0 86100.0 283950.0 ; + RECT 77700.0 292650.0 78900.0 293850.0 ; + RECT 80100.0 292650.0 81300.0 293850.0 ; + RECT 80100.0 292650.0 81300.0 293850.0 ; + RECT 77700.0 292650.0 78900.0 293850.0 ; + RECT 80100.0 292650.0 81300.0 293850.0 ; + RECT 82500.0 292650.0 83700.0 293850.0 ; + RECT 82500.0 292650.0 83700.0 293850.0 ; + RECT 80100.0 292650.0 81300.0 293850.0 ; + RECT 77700.0 283950.0 78900.0 285150.0 ; + RECT 80100.0 283950.0 81300.0 285150.0 ; + RECT 80100.0 283950.0 81300.0 285150.0 ; + RECT 77700.0 283950.0 78900.0 285150.0 ; + RECT 80100.0 283950.0 81300.0 285150.0 ; + RECT 82500.0 283950.0 83700.0 285150.0 ; + RECT 82500.0 283950.0 83700.0 285150.0 ; + RECT 80100.0 283950.0 81300.0 285150.0 ; + RECT 84900.0 293250.0 86100.0 294450.0 ; + RECT 84900.0 283350.0 86100.0 284550.0 ; + RECT 82500.0 286500.0 81300.0 287700.0 ; + RECT 79500.0 289200.0 78300.0 290400.0 ; + RECT 80100.0 292650.0 81300.0 293850.0 ; + RECT 82500.0 283950.0 83700.0 285150.0 ; + RECT 83700.0 289200.0 82500.0 290400.0 ; + RECT 78300.0 289200.0 79500.0 290400.0 ; + RECT 81300.0 286500.0 82500.0 287700.0 ; + RECT 82500.0 289200.0 83700.0 290400.0 ; + RECT 75900.0 295350.0 90300.0 296250.0 ; + RECT 75900.0 281550.0 90300.0 282450.0 ; + RECT 77700.0 307650.0 78900.0 310050.0 ; + RECT 77700.0 298950.0 78900.0 295350.0 ; + RECT 82500.0 298950.0 83700.0 295350.0 ; + RECT 84900.0 297750.0 86100.0 295800.0 ; + RECT 84900.0 309600.0 86100.0 307650.0 ; + RECT 77700.0 298950.0 78900.0 297750.0 ; + RECT 80100.0 298950.0 81300.0 297750.0 ; + RECT 80100.0 298950.0 81300.0 297750.0 ; + RECT 77700.0 298950.0 78900.0 297750.0 ; + RECT 80100.0 298950.0 81300.0 297750.0 ; + RECT 82500.0 298950.0 83700.0 297750.0 ; + RECT 82500.0 298950.0 83700.0 297750.0 ; + RECT 80100.0 298950.0 81300.0 297750.0 ; + RECT 77700.0 307650.0 78900.0 306450.0 ; + RECT 80100.0 307650.0 81300.0 306450.0 ; + RECT 80100.0 307650.0 81300.0 306450.0 ; + RECT 77700.0 307650.0 78900.0 306450.0 ; + RECT 80100.0 307650.0 81300.0 306450.0 ; + RECT 82500.0 307650.0 83700.0 306450.0 ; + RECT 82500.0 307650.0 83700.0 306450.0 ; + RECT 80100.0 307650.0 81300.0 306450.0 ; + RECT 84900.0 298350.0 86100.0 297150.0 ; + RECT 84900.0 308250.0 86100.0 307050.0 ; + RECT 82500.0 305100.0 81300.0 303900.0 ; + RECT 79500.0 302400.0 78300.0 301200.0 ; + RECT 80100.0 298950.0 81300.0 297750.0 ; + RECT 82500.0 307650.0 83700.0 306450.0 ; + RECT 83700.0 302400.0 82500.0 301200.0 ; + RECT 78300.0 302400.0 79500.0 301200.0 ; + RECT 81300.0 305100.0 82500.0 303900.0 ; + RECT 82500.0 302400.0 83700.0 301200.0 ; + RECT 75900.0 296250.0 90300.0 295350.0 ; + RECT 75900.0 310050.0 90300.0 309150.0 ; + RECT 77700.0 311550.0 78900.0 309150.0 ; + RECT 77700.0 320250.0 78900.0 323850.0 ; + RECT 82500.0 320250.0 83700.0 323850.0 ; + RECT 84900.0 321450.0 86100.0 323400.0 ; + RECT 84900.0 309600.0 86100.0 311550.0 ; + RECT 77700.0 320250.0 78900.0 321450.0 ; + RECT 80100.0 320250.0 81300.0 321450.0 ; + RECT 80100.0 320250.0 81300.0 321450.0 ; + RECT 77700.0 320250.0 78900.0 321450.0 ; + RECT 80100.0 320250.0 81300.0 321450.0 ; + RECT 82500.0 320250.0 83700.0 321450.0 ; + RECT 82500.0 320250.0 83700.0 321450.0 ; + RECT 80100.0 320250.0 81300.0 321450.0 ; + RECT 77700.0 311550.0 78900.0 312750.0 ; + RECT 80100.0 311550.0 81300.0 312750.0 ; + RECT 80100.0 311550.0 81300.0 312750.0 ; + RECT 77700.0 311550.0 78900.0 312750.0 ; + RECT 80100.0 311550.0 81300.0 312750.0 ; + RECT 82500.0 311550.0 83700.0 312750.0 ; + RECT 82500.0 311550.0 83700.0 312750.0 ; + RECT 80100.0 311550.0 81300.0 312750.0 ; + RECT 84900.0 320850.0 86100.0 322050.0 ; + RECT 84900.0 310950.0 86100.0 312150.0 ; + RECT 82500.0 314100.0 81300.0 315300.0 ; + RECT 79500.0 316800.0 78300.0 318000.0 ; + RECT 80100.0 320250.0 81300.0 321450.0 ; + RECT 82500.0 311550.0 83700.0 312750.0 ; + RECT 83700.0 316800.0 82500.0 318000.0 ; + RECT 78300.0 316800.0 79500.0 318000.0 ; + RECT 81300.0 314100.0 82500.0 315300.0 ; + RECT 82500.0 316800.0 83700.0 318000.0 ; + RECT 75900.0 322950.0 90300.0 323850.0 ; + RECT 75900.0 309150.0 90300.0 310050.0 ; + RECT 77700.0 335250.0 78900.0 337650.0 ; + RECT 77700.0 326550.0 78900.0 322950.0 ; + RECT 82500.0 326550.0 83700.0 322950.0 ; + RECT 84900.0 325350.0 86100.0 323400.0 ; + RECT 84900.0 337200.0 86100.0 335250.0 ; + RECT 77700.0 326550.0 78900.0 325350.0 ; + RECT 80100.0 326550.0 81300.0 325350.0 ; + RECT 80100.0 326550.0 81300.0 325350.0 ; + RECT 77700.0 326550.0 78900.0 325350.0 ; + RECT 80100.0 326550.0 81300.0 325350.0 ; + RECT 82500.0 326550.0 83700.0 325350.0 ; + RECT 82500.0 326550.0 83700.0 325350.0 ; + RECT 80100.0 326550.0 81300.0 325350.0 ; + RECT 77700.0 335250.0 78900.0 334050.0 ; + RECT 80100.0 335250.0 81300.0 334050.0 ; + RECT 80100.0 335250.0 81300.0 334050.0 ; + RECT 77700.0 335250.0 78900.0 334050.0 ; + RECT 80100.0 335250.0 81300.0 334050.0 ; + RECT 82500.0 335250.0 83700.0 334050.0 ; + RECT 82500.0 335250.0 83700.0 334050.0 ; + RECT 80100.0 335250.0 81300.0 334050.0 ; + RECT 84900.0 325950.0 86100.0 324750.0 ; + RECT 84900.0 335850.0 86100.0 334650.0 ; + RECT 82500.0 332700.0 81300.0 331500.0 ; + RECT 79500.0 330000.0 78300.0 328800.0 ; + RECT 80100.0 326550.0 81300.0 325350.0 ; + RECT 82500.0 335250.0 83700.0 334050.0 ; + RECT 83700.0 330000.0 82500.0 328800.0 ; + RECT 78300.0 330000.0 79500.0 328800.0 ; + RECT 81300.0 332700.0 82500.0 331500.0 ; + RECT 82500.0 330000.0 83700.0 328800.0 ; + RECT 75900.0 323850.0 90300.0 322950.0 ; + RECT 75900.0 337650.0 90300.0 336750.0 ; + RECT 77700.0 339150.0 78900.0 336750.0 ; + RECT 77700.0 347850.0 78900.0 351450.0 ; + RECT 82500.0 347850.0 83700.0 351450.0 ; + RECT 84900.0 349050.0 86100.0 351000.0 ; + RECT 84900.0 337200.0 86100.0 339150.0 ; + RECT 77700.0 347850.0 78900.0 349050.0 ; + RECT 80100.0 347850.0 81300.0 349050.0 ; + RECT 80100.0 347850.0 81300.0 349050.0 ; + RECT 77700.0 347850.0 78900.0 349050.0 ; + RECT 80100.0 347850.0 81300.0 349050.0 ; + RECT 82500.0 347850.0 83700.0 349050.0 ; + RECT 82500.0 347850.0 83700.0 349050.0 ; + RECT 80100.0 347850.0 81300.0 349050.0 ; + RECT 77700.0 339150.0 78900.0 340350.0 ; + RECT 80100.0 339150.0 81300.0 340350.0 ; + RECT 80100.0 339150.0 81300.0 340350.0 ; + RECT 77700.0 339150.0 78900.0 340350.0 ; + RECT 80100.0 339150.0 81300.0 340350.0 ; + RECT 82500.0 339150.0 83700.0 340350.0 ; + RECT 82500.0 339150.0 83700.0 340350.0 ; + RECT 80100.0 339150.0 81300.0 340350.0 ; + RECT 84900.0 348450.0 86100.0 349650.0 ; + RECT 84900.0 338550.0 86100.0 339750.0 ; + RECT 82500.0 341700.0 81300.0 342900.0 ; + RECT 79500.0 344400.0 78300.0 345600.0 ; + RECT 80100.0 347850.0 81300.0 349050.0 ; + RECT 82500.0 339150.0 83700.0 340350.0 ; + RECT 83700.0 344400.0 82500.0 345600.0 ; + RECT 78300.0 344400.0 79500.0 345600.0 ; + RECT 81300.0 341700.0 82500.0 342900.0 ; + RECT 82500.0 344400.0 83700.0 345600.0 ; + RECT 75900.0 350550.0 90300.0 351450.0 ; + RECT 75900.0 336750.0 90300.0 337650.0 ; + RECT 77700.0 362850.0 78900.0 365250.0 ; + RECT 77700.0 354150.0 78900.0 350550.0 ; + RECT 82500.0 354150.0 83700.0 350550.0 ; + RECT 84900.0 352950.0 86100.0 351000.0 ; + RECT 84900.0 364800.0 86100.0 362850.0 ; + RECT 77700.0 354150.0 78900.0 352950.0 ; + RECT 80100.0 354150.0 81300.0 352950.0 ; + RECT 80100.0 354150.0 81300.0 352950.0 ; + RECT 77700.0 354150.0 78900.0 352950.0 ; + RECT 80100.0 354150.0 81300.0 352950.0 ; + RECT 82500.0 354150.0 83700.0 352950.0 ; + RECT 82500.0 354150.0 83700.0 352950.0 ; + RECT 80100.0 354150.0 81300.0 352950.0 ; + RECT 77700.0 362850.0 78900.0 361650.0 ; + RECT 80100.0 362850.0 81300.0 361650.0 ; + RECT 80100.0 362850.0 81300.0 361650.0 ; + RECT 77700.0 362850.0 78900.0 361650.0 ; + RECT 80100.0 362850.0 81300.0 361650.0 ; + RECT 82500.0 362850.0 83700.0 361650.0 ; + RECT 82500.0 362850.0 83700.0 361650.0 ; + RECT 80100.0 362850.0 81300.0 361650.0 ; + RECT 84900.0 353550.0 86100.0 352350.0 ; + RECT 84900.0 363450.0 86100.0 362250.0 ; + RECT 82500.0 360300.0 81300.0 359100.0 ; + RECT 79500.0 357600.0 78300.0 356400.0 ; + RECT 80100.0 354150.0 81300.0 352950.0 ; + RECT 82500.0 362850.0 83700.0 361650.0 ; + RECT 83700.0 357600.0 82500.0 356400.0 ; + RECT 78300.0 357600.0 79500.0 356400.0 ; + RECT 81300.0 360300.0 82500.0 359100.0 ; + RECT 82500.0 357600.0 83700.0 356400.0 ; + RECT 75900.0 351450.0 90300.0 350550.0 ; + RECT 75900.0 365250.0 90300.0 364350.0 ; + RECT 77700.0 366750.0 78900.0 364350.0 ; + RECT 77700.0 375450.0 78900.0 379050.0 ; + RECT 82500.0 375450.0 83700.0 379050.0 ; + RECT 84900.0 376650.0 86100.0 378600.0 ; + RECT 84900.0 364800.0 86100.0 366750.0 ; + RECT 77700.0 375450.0 78900.0 376650.0 ; + RECT 80100.0 375450.0 81300.0 376650.0 ; + RECT 80100.0 375450.0 81300.0 376650.0 ; + RECT 77700.0 375450.0 78900.0 376650.0 ; + RECT 80100.0 375450.0 81300.0 376650.0 ; + RECT 82500.0 375450.0 83700.0 376650.0 ; + RECT 82500.0 375450.0 83700.0 376650.0 ; + RECT 80100.0 375450.0 81300.0 376650.0 ; + RECT 77700.0 366750.0 78900.0 367950.0 ; + RECT 80100.0 366750.0 81300.0 367950.0 ; + RECT 80100.0 366750.0 81300.0 367950.0 ; + RECT 77700.0 366750.0 78900.0 367950.0 ; + RECT 80100.0 366750.0 81300.0 367950.0 ; + RECT 82500.0 366750.0 83700.0 367950.0 ; + RECT 82500.0 366750.0 83700.0 367950.0 ; + RECT 80100.0 366750.0 81300.0 367950.0 ; + RECT 84900.0 376050.0 86100.0 377250.0 ; + RECT 84900.0 366150.0 86100.0 367350.0 ; + RECT 82500.0 369300.0 81300.0 370500.0 ; + RECT 79500.0 372000.0 78300.0 373200.0 ; + RECT 80100.0 375450.0 81300.0 376650.0 ; + RECT 82500.0 366750.0 83700.0 367950.0 ; + RECT 83700.0 372000.0 82500.0 373200.0 ; + RECT 78300.0 372000.0 79500.0 373200.0 ; + RECT 81300.0 369300.0 82500.0 370500.0 ; + RECT 82500.0 372000.0 83700.0 373200.0 ; + RECT 75900.0 378150.0 90300.0 379050.0 ; + RECT 75900.0 364350.0 90300.0 365250.0 ; + RECT 77700.0 390450.0 78900.0 392850.0 ; + RECT 77700.0 381750.0 78900.0 378150.0 ; + RECT 82500.0 381750.0 83700.0 378150.0 ; + RECT 84900.0 380550.0 86100.0 378600.0 ; + RECT 84900.0 392400.0 86100.0 390450.0 ; + RECT 77700.0 381750.0 78900.0 380550.0 ; + RECT 80100.0 381750.0 81300.0 380550.0 ; + RECT 80100.0 381750.0 81300.0 380550.0 ; + RECT 77700.0 381750.0 78900.0 380550.0 ; + RECT 80100.0 381750.0 81300.0 380550.0 ; + RECT 82500.0 381750.0 83700.0 380550.0 ; + RECT 82500.0 381750.0 83700.0 380550.0 ; + RECT 80100.0 381750.0 81300.0 380550.0 ; + RECT 77700.0 390450.0 78900.0 389250.0 ; + RECT 80100.0 390450.0 81300.0 389250.0 ; + RECT 80100.0 390450.0 81300.0 389250.0 ; + RECT 77700.0 390450.0 78900.0 389250.0 ; + RECT 80100.0 390450.0 81300.0 389250.0 ; + RECT 82500.0 390450.0 83700.0 389250.0 ; + RECT 82500.0 390450.0 83700.0 389250.0 ; + RECT 80100.0 390450.0 81300.0 389250.0 ; + RECT 84900.0 381150.0 86100.0 379950.0 ; + RECT 84900.0 391050.0 86100.0 389850.0 ; + RECT 82500.0 387900.0 81300.0 386700.0 ; + RECT 79500.0 385200.0 78300.0 384000.0 ; + RECT 80100.0 381750.0 81300.0 380550.0 ; + RECT 82500.0 390450.0 83700.0 389250.0 ; + RECT 83700.0 385200.0 82500.0 384000.0 ; + RECT 78300.0 385200.0 79500.0 384000.0 ; + RECT 81300.0 387900.0 82500.0 386700.0 ; + RECT 82500.0 385200.0 83700.0 384000.0 ; + RECT 75900.0 379050.0 90300.0 378150.0 ; + RECT 75900.0 392850.0 90300.0 391950.0 ; + RECT 77700.0 394350.0 78900.0 391950.0 ; + RECT 77700.0 403050.0 78900.0 406650.0 ; + RECT 82500.0 403050.0 83700.0 406650.0 ; + RECT 84900.0 404250.0 86100.0 406200.0 ; + RECT 84900.0 392400.0 86100.0 394350.0 ; + RECT 77700.0 403050.0 78900.0 404250.0 ; + RECT 80100.0 403050.0 81300.0 404250.0 ; + RECT 80100.0 403050.0 81300.0 404250.0 ; + RECT 77700.0 403050.0 78900.0 404250.0 ; + RECT 80100.0 403050.0 81300.0 404250.0 ; + RECT 82500.0 403050.0 83700.0 404250.0 ; + RECT 82500.0 403050.0 83700.0 404250.0 ; + RECT 80100.0 403050.0 81300.0 404250.0 ; + RECT 77700.0 394350.0 78900.0 395550.0 ; + RECT 80100.0 394350.0 81300.0 395550.0 ; + RECT 80100.0 394350.0 81300.0 395550.0 ; + RECT 77700.0 394350.0 78900.0 395550.0 ; + RECT 80100.0 394350.0 81300.0 395550.0 ; + RECT 82500.0 394350.0 83700.0 395550.0 ; + RECT 82500.0 394350.0 83700.0 395550.0 ; + RECT 80100.0 394350.0 81300.0 395550.0 ; + RECT 84900.0 403650.0 86100.0 404850.0 ; + RECT 84900.0 393750.0 86100.0 394950.0 ; + RECT 82500.0 396900.0 81300.0 398100.0 ; + RECT 79500.0 399600.0 78300.0 400800.0 ; + RECT 80100.0 403050.0 81300.0 404250.0 ; + RECT 82500.0 394350.0 83700.0 395550.0 ; + RECT 83700.0 399600.0 82500.0 400800.0 ; + RECT 78300.0 399600.0 79500.0 400800.0 ; + RECT 81300.0 396900.0 82500.0 398100.0 ; + RECT 82500.0 399600.0 83700.0 400800.0 ; + RECT 75900.0 405750.0 90300.0 406650.0 ; + RECT 75900.0 391950.0 90300.0 392850.0 ; + RECT 77700.0 418050.0 78900.0 420450.0 ; + RECT 77700.0 409350.0 78900.0 405750.0 ; + RECT 82500.0 409350.0 83700.0 405750.0 ; + RECT 84900.0 408150.0 86100.0 406200.0 ; + RECT 84900.0 420000.0 86100.0 418050.0 ; + RECT 77700.0 409350.0 78900.0 408150.0 ; + RECT 80100.0 409350.0 81300.0 408150.0 ; + RECT 80100.0 409350.0 81300.0 408150.0 ; + RECT 77700.0 409350.0 78900.0 408150.0 ; + RECT 80100.0 409350.0 81300.0 408150.0 ; + RECT 82500.0 409350.0 83700.0 408150.0 ; + RECT 82500.0 409350.0 83700.0 408150.0 ; + RECT 80100.0 409350.0 81300.0 408150.0 ; + RECT 77700.0 418050.0 78900.0 416850.0 ; + RECT 80100.0 418050.0 81300.0 416850.0 ; + RECT 80100.0 418050.0 81300.0 416850.0 ; + RECT 77700.0 418050.0 78900.0 416850.0 ; + RECT 80100.0 418050.0 81300.0 416850.0 ; + RECT 82500.0 418050.0 83700.0 416850.0 ; + RECT 82500.0 418050.0 83700.0 416850.0 ; + RECT 80100.0 418050.0 81300.0 416850.0 ; + RECT 84900.0 408750.0 86100.0 407550.0 ; + RECT 84900.0 418650.0 86100.0 417450.0 ; + RECT 82500.0 415500.0 81300.0 414300.0 ; + RECT 79500.0 412800.0 78300.0 411600.0 ; + RECT 80100.0 409350.0 81300.0 408150.0 ; + RECT 82500.0 418050.0 83700.0 416850.0 ; + RECT 83700.0 412800.0 82500.0 411600.0 ; + RECT 78300.0 412800.0 79500.0 411600.0 ; + RECT 81300.0 415500.0 82500.0 414300.0 ; + RECT 82500.0 412800.0 83700.0 411600.0 ; + RECT 75900.0 406650.0 90300.0 405750.0 ; + RECT 75900.0 420450.0 90300.0 419550.0 ; + RECT 96900.0 211050.0 98100.0 213000.0 ; + RECT 96900.0 199200.0 98100.0 201150.0 ; + RECT 92100.0 200550.0 93300.0 198750.0 ; + RECT 92100.0 209850.0 93300.0 213450.0 ; + RECT 94800.0 200550.0 95700.0 209850.0 ; + RECT 92100.0 209850.0 93300.0 211050.0 ; + RECT 94500.0 209850.0 95700.0 211050.0 ; + RECT 94500.0 209850.0 95700.0 211050.0 ; + RECT 92100.0 209850.0 93300.0 211050.0 ; + RECT 92100.0 200550.0 93300.0 201750.0 ; + RECT 94500.0 200550.0 95700.0 201750.0 ; + RECT 94500.0 200550.0 95700.0 201750.0 ; + RECT 92100.0 200550.0 93300.0 201750.0 ; + RECT 96900.0 210450.0 98100.0 211650.0 ; + RECT 96900.0 200550.0 98100.0 201750.0 ; + RECT 92700.0 205200.0 93900.0 206400.0 ; + RECT 92700.0 205200.0 93900.0 206400.0 ; + RECT 95250.0 205350.0 96150.0 206250.0 ; + RECT 90300.0 212550.0 99900.0 213450.0 ; + RECT 90300.0 198750.0 99900.0 199650.0 ; + RECT 96900.0 214950.0 98100.0 213000.0 ; + RECT 96900.0 226800.0 98100.0 224850.0 ; + RECT 92100.0 225450.0 93300.0 227250.0 ; + RECT 92100.0 216150.0 93300.0 212550.0 ; + RECT 94800.0 225450.0 95700.0 216150.0 ; + RECT 92100.0 216150.0 93300.0 214950.0 ; + RECT 94500.0 216150.0 95700.0 214950.0 ; + RECT 94500.0 216150.0 95700.0 214950.0 ; + RECT 92100.0 216150.0 93300.0 214950.0 ; + RECT 92100.0 225450.0 93300.0 224250.0 ; + RECT 94500.0 225450.0 95700.0 224250.0 ; + RECT 94500.0 225450.0 95700.0 224250.0 ; + RECT 92100.0 225450.0 93300.0 224250.0 ; + RECT 96900.0 215550.0 98100.0 214350.0 ; + RECT 96900.0 225450.0 98100.0 224250.0 ; + RECT 92700.0 220800.0 93900.0 219600.0 ; + RECT 92700.0 220800.0 93900.0 219600.0 ; + RECT 95250.0 220650.0 96150.0 219750.0 ; + RECT 90300.0 213450.0 99900.0 212550.0 ; + RECT 90300.0 227250.0 99900.0 226350.0 ; + RECT 96900.0 238650.0 98100.0 240600.0 ; + RECT 96900.0 226800.0 98100.0 228750.0 ; + RECT 92100.0 228150.0 93300.0 226350.0 ; + RECT 92100.0 237450.0 93300.0 241050.0 ; + RECT 94800.0 228150.0 95700.0 237450.0 ; + RECT 92100.0 237450.0 93300.0 238650.0 ; + RECT 94500.0 237450.0 95700.0 238650.0 ; + RECT 94500.0 237450.0 95700.0 238650.0 ; + RECT 92100.0 237450.0 93300.0 238650.0 ; + RECT 92100.0 228150.0 93300.0 229350.0 ; + RECT 94500.0 228150.0 95700.0 229350.0 ; + RECT 94500.0 228150.0 95700.0 229350.0 ; + RECT 92100.0 228150.0 93300.0 229350.0 ; + RECT 96900.0 238050.0 98100.0 239250.0 ; + RECT 96900.0 228150.0 98100.0 229350.0 ; + RECT 92700.0 232800.0 93900.0 234000.0 ; + RECT 92700.0 232800.0 93900.0 234000.0 ; + RECT 95250.0 232950.0 96150.0 233850.0 ; + RECT 90300.0 240150.0 99900.0 241050.0 ; + RECT 90300.0 226350.0 99900.0 227250.0 ; + RECT 96900.0 242550.0 98100.0 240600.0 ; + RECT 96900.0 254400.0 98100.0 252450.0 ; + RECT 92100.0 253050.0 93300.0 254850.0 ; + RECT 92100.0 243750.0 93300.0 240150.0 ; + RECT 94800.0 253050.0 95700.0 243750.0 ; + RECT 92100.0 243750.0 93300.0 242550.0 ; + RECT 94500.0 243750.0 95700.0 242550.0 ; + RECT 94500.0 243750.0 95700.0 242550.0 ; + RECT 92100.0 243750.0 93300.0 242550.0 ; + RECT 92100.0 253050.0 93300.0 251850.0 ; + RECT 94500.0 253050.0 95700.0 251850.0 ; + RECT 94500.0 253050.0 95700.0 251850.0 ; + RECT 92100.0 253050.0 93300.0 251850.0 ; + RECT 96900.0 243150.0 98100.0 241950.0 ; + RECT 96900.0 253050.0 98100.0 251850.0 ; + RECT 92700.0 248400.0 93900.0 247200.0 ; + RECT 92700.0 248400.0 93900.0 247200.0 ; + RECT 95250.0 248250.0 96150.0 247350.0 ; + RECT 90300.0 241050.0 99900.0 240150.0 ; + RECT 90300.0 254850.0 99900.0 253950.0 ; + RECT 96900.0 266250.0 98100.0 268200.0 ; + RECT 96900.0 254400.0 98100.0 256350.0 ; + RECT 92100.0 255750.0 93300.0 253950.0 ; + RECT 92100.0 265050.0 93300.0 268650.0 ; + RECT 94800.0 255750.0 95700.0 265050.0 ; + RECT 92100.0 265050.0 93300.0 266250.0 ; + RECT 94500.0 265050.0 95700.0 266250.0 ; + RECT 94500.0 265050.0 95700.0 266250.0 ; + RECT 92100.0 265050.0 93300.0 266250.0 ; + RECT 92100.0 255750.0 93300.0 256950.0 ; + RECT 94500.0 255750.0 95700.0 256950.0 ; + RECT 94500.0 255750.0 95700.0 256950.0 ; + RECT 92100.0 255750.0 93300.0 256950.0 ; + RECT 96900.0 265650.0 98100.0 266850.0 ; + RECT 96900.0 255750.0 98100.0 256950.0 ; + RECT 92700.0 260400.0 93900.0 261600.0 ; + RECT 92700.0 260400.0 93900.0 261600.0 ; + RECT 95250.0 260550.0 96150.0 261450.0 ; + RECT 90300.0 267750.0 99900.0 268650.0 ; + RECT 90300.0 253950.0 99900.0 254850.0 ; + RECT 96900.0 270150.0 98100.0 268200.0 ; + RECT 96900.0 282000.0 98100.0 280050.0 ; + RECT 92100.0 280650.0 93300.0 282450.0 ; + RECT 92100.0 271350.0 93300.0 267750.0 ; + RECT 94800.0 280650.0 95700.0 271350.0 ; + RECT 92100.0 271350.0 93300.0 270150.0 ; + RECT 94500.0 271350.0 95700.0 270150.0 ; + RECT 94500.0 271350.0 95700.0 270150.0 ; + RECT 92100.0 271350.0 93300.0 270150.0 ; + RECT 92100.0 280650.0 93300.0 279450.0 ; + RECT 94500.0 280650.0 95700.0 279450.0 ; + RECT 94500.0 280650.0 95700.0 279450.0 ; + RECT 92100.0 280650.0 93300.0 279450.0 ; + RECT 96900.0 270750.0 98100.0 269550.0 ; + RECT 96900.0 280650.0 98100.0 279450.0 ; + RECT 92700.0 276000.0 93900.0 274800.0 ; + RECT 92700.0 276000.0 93900.0 274800.0 ; + RECT 95250.0 275850.0 96150.0 274950.0 ; + RECT 90300.0 268650.0 99900.0 267750.0 ; + RECT 90300.0 282450.0 99900.0 281550.0 ; + RECT 96900.0 293850.0 98100.0 295800.0 ; + RECT 96900.0 282000.0 98100.0 283950.0 ; + RECT 92100.0 283350.0 93300.0 281550.0 ; + RECT 92100.0 292650.0 93300.0 296250.0 ; + RECT 94800.0 283350.0 95700.0 292650.0 ; + RECT 92100.0 292650.0 93300.0 293850.0 ; + RECT 94500.0 292650.0 95700.0 293850.0 ; + RECT 94500.0 292650.0 95700.0 293850.0 ; + RECT 92100.0 292650.0 93300.0 293850.0 ; + RECT 92100.0 283350.0 93300.0 284550.0 ; + RECT 94500.0 283350.0 95700.0 284550.0 ; + RECT 94500.0 283350.0 95700.0 284550.0 ; + RECT 92100.0 283350.0 93300.0 284550.0 ; + RECT 96900.0 293250.0 98100.0 294450.0 ; + RECT 96900.0 283350.0 98100.0 284550.0 ; + RECT 92700.0 288000.0 93900.0 289200.0 ; + RECT 92700.0 288000.0 93900.0 289200.0 ; + RECT 95250.0 288150.0 96150.0 289050.0 ; + RECT 90300.0 295350.0 99900.0 296250.0 ; + RECT 90300.0 281550.0 99900.0 282450.0 ; + RECT 96900.0 297750.0 98100.0 295800.0 ; + RECT 96900.0 309600.0 98100.0 307650.0 ; + RECT 92100.0 308250.0 93300.0 310050.0 ; + RECT 92100.0 298950.0 93300.0 295350.0 ; + RECT 94800.0 308250.0 95700.0 298950.0 ; + RECT 92100.0 298950.0 93300.0 297750.0 ; + RECT 94500.0 298950.0 95700.0 297750.0 ; + RECT 94500.0 298950.0 95700.0 297750.0 ; + RECT 92100.0 298950.0 93300.0 297750.0 ; + RECT 92100.0 308250.0 93300.0 307050.0 ; + RECT 94500.0 308250.0 95700.0 307050.0 ; + RECT 94500.0 308250.0 95700.0 307050.0 ; + RECT 92100.0 308250.0 93300.0 307050.0 ; + RECT 96900.0 298350.0 98100.0 297150.0 ; + RECT 96900.0 308250.0 98100.0 307050.0 ; + RECT 92700.0 303600.0 93900.0 302400.0 ; + RECT 92700.0 303600.0 93900.0 302400.0 ; + RECT 95250.0 303450.0 96150.0 302550.0 ; + RECT 90300.0 296250.0 99900.0 295350.0 ; + RECT 90300.0 310050.0 99900.0 309150.0 ; + RECT 96900.0 321450.0 98100.0 323400.0 ; + RECT 96900.0 309600.0 98100.0 311550.0 ; + RECT 92100.0 310950.0 93300.0 309150.0 ; + RECT 92100.0 320250.0 93300.0 323850.0 ; + RECT 94800.0 310950.0 95700.0 320250.0 ; + RECT 92100.0 320250.0 93300.0 321450.0 ; + RECT 94500.0 320250.0 95700.0 321450.0 ; + RECT 94500.0 320250.0 95700.0 321450.0 ; + RECT 92100.0 320250.0 93300.0 321450.0 ; + RECT 92100.0 310950.0 93300.0 312150.0 ; + RECT 94500.0 310950.0 95700.0 312150.0 ; + RECT 94500.0 310950.0 95700.0 312150.0 ; + RECT 92100.0 310950.0 93300.0 312150.0 ; + RECT 96900.0 320850.0 98100.0 322050.0 ; + RECT 96900.0 310950.0 98100.0 312150.0 ; + RECT 92700.0 315600.0 93900.0 316800.0 ; + RECT 92700.0 315600.0 93900.0 316800.0 ; + RECT 95250.0 315750.0 96150.0 316650.0 ; + RECT 90300.0 322950.0 99900.0 323850.0 ; + RECT 90300.0 309150.0 99900.0 310050.0 ; + RECT 96900.0 325350.0 98100.0 323400.0 ; + RECT 96900.0 337200.0 98100.0 335250.0 ; + RECT 92100.0 335850.0 93300.0 337650.0 ; + RECT 92100.0 326550.0 93300.0 322950.0 ; + RECT 94800.0 335850.0 95700.0 326550.0 ; + RECT 92100.0 326550.0 93300.0 325350.0 ; + RECT 94500.0 326550.0 95700.0 325350.0 ; + RECT 94500.0 326550.0 95700.0 325350.0 ; + RECT 92100.0 326550.0 93300.0 325350.0 ; + RECT 92100.0 335850.0 93300.0 334650.0 ; + RECT 94500.0 335850.0 95700.0 334650.0 ; + RECT 94500.0 335850.0 95700.0 334650.0 ; + RECT 92100.0 335850.0 93300.0 334650.0 ; + RECT 96900.0 325950.0 98100.0 324750.0 ; + RECT 96900.0 335850.0 98100.0 334650.0 ; + RECT 92700.0 331200.0 93900.0 330000.0 ; + RECT 92700.0 331200.0 93900.0 330000.0 ; + RECT 95250.0 331050.0 96150.0 330150.0 ; + RECT 90300.0 323850.0 99900.0 322950.0 ; + RECT 90300.0 337650.0 99900.0 336750.0 ; + RECT 96900.0 349050.0 98100.0 351000.0 ; + RECT 96900.0 337200.0 98100.0 339150.0 ; + RECT 92100.0 338550.0 93300.0 336750.0 ; + RECT 92100.0 347850.0 93300.0 351450.0 ; + RECT 94800.0 338550.0 95700.0 347850.0 ; + RECT 92100.0 347850.0 93300.0 349050.0 ; + RECT 94500.0 347850.0 95700.0 349050.0 ; + RECT 94500.0 347850.0 95700.0 349050.0 ; + RECT 92100.0 347850.0 93300.0 349050.0 ; + RECT 92100.0 338550.0 93300.0 339750.0 ; + RECT 94500.0 338550.0 95700.0 339750.0 ; + RECT 94500.0 338550.0 95700.0 339750.0 ; + RECT 92100.0 338550.0 93300.0 339750.0 ; + RECT 96900.0 348450.0 98100.0 349650.0 ; + RECT 96900.0 338550.0 98100.0 339750.0 ; + RECT 92700.0 343200.0 93900.0 344400.0 ; + RECT 92700.0 343200.0 93900.0 344400.0 ; + RECT 95250.0 343350.0 96150.0 344250.0 ; + RECT 90300.0 350550.0 99900.0 351450.0 ; + RECT 90300.0 336750.0 99900.0 337650.0 ; + RECT 96900.0 352950.0 98100.0 351000.0 ; + RECT 96900.0 364800.0 98100.0 362850.0 ; + RECT 92100.0 363450.0 93300.0 365250.0 ; + RECT 92100.0 354150.0 93300.0 350550.0 ; + RECT 94800.0 363450.0 95700.0 354150.0 ; + RECT 92100.0 354150.0 93300.0 352950.0 ; + RECT 94500.0 354150.0 95700.0 352950.0 ; + RECT 94500.0 354150.0 95700.0 352950.0 ; + RECT 92100.0 354150.0 93300.0 352950.0 ; + RECT 92100.0 363450.0 93300.0 362250.0 ; + RECT 94500.0 363450.0 95700.0 362250.0 ; + RECT 94500.0 363450.0 95700.0 362250.0 ; + RECT 92100.0 363450.0 93300.0 362250.0 ; + RECT 96900.0 353550.0 98100.0 352350.0 ; + RECT 96900.0 363450.0 98100.0 362250.0 ; + RECT 92700.0 358800.0 93900.0 357600.0 ; + RECT 92700.0 358800.0 93900.0 357600.0 ; + RECT 95250.0 358650.0 96150.0 357750.0 ; + RECT 90300.0 351450.0 99900.0 350550.0 ; + RECT 90300.0 365250.0 99900.0 364350.0 ; + RECT 96900.0 376650.0 98100.0 378600.0 ; + RECT 96900.0 364800.0 98100.0 366750.0 ; + RECT 92100.0 366150.0 93300.0 364350.0 ; + RECT 92100.0 375450.0 93300.0 379050.0 ; + RECT 94800.0 366150.0 95700.0 375450.0 ; + RECT 92100.0 375450.0 93300.0 376650.0 ; + RECT 94500.0 375450.0 95700.0 376650.0 ; + RECT 94500.0 375450.0 95700.0 376650.0 ; + RECT 92100.0 375450.0 93300.0 376650.0 ; + RECT 92100.0 366150.0 93300.0 367350.0 ; + RECT 94500.0 366150.0 95700.0 367350.0 ; + RECT 94500.0 366150.0 95700.0 367350.0 ; + RECT 92100.0 366150.0 93300.0 367350.0 ; + RECT 96900.0 376050.0 98100.0 377250.0 ; + RECT 96900.0 366150.0 98100.0 367350.0 ; + RECT 92700.0 370800.0 93900.0 372000.0 ; + RECT 92700.0 370800.0 93900.0 372000.0 ; + RECT 95250.0 370950.0 96150.0 371850.0 ; + RECT 90300.0 378150.0 99900.0 379050.0 ; + RECT 90300.0 364350.0 99900.0 365250.0 ; + RECT 96900.0 380550.0 98100.0 378600.0 ; + RECT 96900.0 392400.0 98100.0 390450.0 ; + RECT 92100.0 391050.0 93300.0 392850.0 ; + RECT 92100.0 381750.0 93300.0 378150.0 ; + RECT 94800.0 391050.0 95700.0 381750.0 ; + RECT 92100.0 381750.0 93300.0 380550.0 ; + RECT 94500.0 381750.0 95700.0 380550.0 ; + RECT 94500.0 381750.0 95700.0 380550.0 ; + RECT 92100.0 381750.0 93300.0 380550.0 ; + RECT 92100.0 391050.0 93300.0 389850.0 ; + RECT 94500.0 391050.0 95700.0 389850.0 ; + RECT 94500.0 391050.0 95700.0 389850.0 ; + RECT 92100.0 391050.0 93300.0 389850.0 ; + RECT 96900.0 381150.0 98100.0 379950.0 ; + RECT 96900.0 391050.0 98100.0 389850.0 ; + RECT 92700.0 386400.0 93900.0 385200.0 ; + RECT 92700.0 386400.0 93900.0 385200.0 ; + RECT 95250.0 386250.0 96150.0 385350.0 ; + RECT 90300.0 379050.0 99900.0 378150.0 ; + RECT 90300.0 392850.0 99900.0 391950.0 ; + RECT 96900.0 404250.0 98100.0 406200.0 ; + RECT 96900.0 392400.0 98100.0 394350.0 ; + RECT 92100.0 393750.0 93300.0 391950.0 ; + RECT 92100.0 403050.0 93300.0 406650.0 ; + RECT 94800.0 393750.0 95700.0 403050.0 ; + RECT 92100.0 403050.0 93300.0 404250.0 ; + RECT 94500.0 403050.0 95700.0 404250.0 ; + RECT 94500.0 403050.0 95700.0 404250.0 ; + RECT 92100.0 403050.0 93300.0 404250.0 ; + RECT 92100.0 393750.0 93300.0 394950.0 ; + RECT 94500.0 393750.0 95700.0 394950.0 ; + RECT 94500.0 393750.0 95700.0 394950.0 ; + RECT 92100.0 393750.0 93300.0 394950.0 ; + RECT 96900.0 403650.0 98100.0 404850.0 ; + RECT 96900.0 393750.0 98100.0 394950.0 ; + RECT 92700.0 398400.0 93900.0 399600.0 ; + RECT 92700.0 398400.0 93900.0 399600.0 ; + RECT 95250.0 398550.0 96150.0 399450.0 ; + RECT 90300.0 405750.0 99900.0 406650.0 ; + RECT 90300.0 391950.0 99900.0 392850.0 ; + RECT 96900.0 408150.0 98100.0 406200.0 ; + RECT 96900.0 420000.0 98100.0 418050.0 ; + RECT 92100.0 418650.0 93300.0 420450.0 ; + RECT 92100.0 409350.0 93300.0 405750.0 ; + RECT 94800.0 418650.0 95700.0 409350.0 ; + RECT 92100.0 409350.0 93300.0 408150.0 ; + RECT 94500.0 409350.0 95700.0 408150.0 ; + RECT 94500.0 409350.0 95700.0 408150.0 ; + RECT 92100.0 409350.0 93300.0 408150.0 ; + RECT 92100.0 418650.0 93300.0 417450.0 ; + RECT 94500.0 418650.0 95700.0 417450.0 ; + RECT 94500.0 418650.0 95700.0 417450.0 ; + RECT 92100.0 418650.0 93300.0 417450.0 ; + RECT 96900.0 408750.0 98100.0 407550.0 ; + RECT 96900.0 418650.0 98100.0 417450.0 ; + RECT 92700.0 414000.0 93900.0 412800.0 ; + RECT 92700.0 414000.0 93900.0 412800.0 ; + RECT 95250.0 413850.0 96150.0 412950.0 ; + RECT 90300.0 406650.0 99900.0 405750.0 ; + RECT 90300.0 420450.0 99900.0 419550.0 ; + RECT 60150.0 94800.0 58950.0 96000.0 ; + RECT 62250.0 109200.0 61050.0 110400.0 ; + RECT 64350.0 122400.0 63150.0 123600.0 ; + RECT 66450.0 136800.0 65250.0 138000.0 ; + RECT 68550.0 150000.0 67350.0 151200.0 ; + RECT 70650.0 164400.0 69450.0 165600.0 ; + RECT 72750.0 177600.0 71550.0 178800.0 ; + RECT 74850.0 192000.0 73650.0 193200.0 ; + RECT 60150.0 206400.0 58950.0 207600.0 ; + RECT 68550.0 203700.0 67350.0 204900.0 ; + RECT 60150.0 218400.0 58950.0 219600.0 ; + RECT 70650.0 221100.0 69450.0 222300.0 ; + RECT 60150.0 234000.0 58950.0 235200.0 ; + RECT 72750.0 231300.0 71550.0 232500.0 ; + RECT 60150.0 246000.0 58950.0 247200.0 ; + RECT 74850.0 248700.0 73650.0 249900.0 ; + RECT 62250.0 261600.0 61050.0 262800.0 ; + RECT 68550.0 258900.0 67350.0 260100.0 ; + RECT 62250.0 273600.0 61050.0 274800.0 ; + RECT 70650.0 276300.0 69450.0 277500.0 ; + RECT 62250.0 289200.0 61050.0 290400.0 ; + RECT 72750.0 286500.0 71550.0 287700.0 ; + RECT 62250.0 301200.0 61050.0 302400.0 ; + RECT 74850.0 303900.0 73650.0 305100.0 ; + RECT 64350.0 316800.0 63150.0 318000.0 ; + RECT 68550.0 314100.0 67350.0 315300.0 ; + RECT 64350.0 328800.0 63150.0 330000.0 ; + RECT 70650.0 331500.0 69450.0 332700.0 ; + RECT 64350.0 344400.0 63150.0 345600.0 ; + RECT 72750.0 341700.0 71550.0 342900.0 ; + RECT 64350.0 356400.0 63150.0 357600.0 ; + RECT 74850.0 359100.0 73650.0 360300.0 ; + RECT 66450.0 372000.0 65250.0 373200.0 ; + RECT 68550.0 369300.0 67350.0 370500.0 ; + RECT 66450.0 384000.0 65250.0 385200.0 ; + RECT 70650.0 386700.0 69450.0 387900.0 ; + RECT 66450.0 399600.0 65250.0 400800.0 ; + RECT 72750.0 396900.0 71550.0 398100.0 ; + RECT 66450.0 411600.0 65250.0 412800.0 ; + RECT 74850.0 414300.0 73650.0 415500.0 ; + RECT 95250.0 205350.0 96150.0 206250.0 ; + RECT 95250.0 219750.0 96150.0 220650.0 ; + RECT 95250.0 232950.0 96150.0 233850.0 ; + RECT 95250.0 247350.0 96150.0 248250.0 ; + RECT 95250.0 260550.0 96150.0 261450.0 ; + RECT 95250.0 274950.0 96150.0 275850.0 ; + RECT 95250.0 288150.0 96150.0 289050.0 ; + RECT 95250.0 302550.0 96150.0 303450.0 ; + RECT 95250.0 315750.0 96150.0 316650.0 ; + RECT 95250.0 330150.0 96150.0 331050.0 ; + RECT 95250.0 343350.0 96150.0 344250.0 ; + RECT 95250.0 357750.0 96150.0 358650.0 ; + RECT 95250.0 370950.0 96150.0 371850.0 ; + RECT 95250.0 385350.0 96150.0 386250.0 ; + RECT 95250.0 398550.0 96150.0 399450.0 ; + RECT 95250.0 412950.0 96150.0 413850.0 ; + RECT 59100.0 102150.0 130500.0 103050.0 ; + RECT 59100.0 129750.0 130500.0 130650.0 ; + RECT 59100.0 157350.0 130500.0 158250.0 ; + RECT 59100.0 184950.0 130500.0 185850.0 ; + RECT 59100.0 212550.0 130500.0 213450.0 ; + RECT 59100.0 240150.0 130500.0 241050.0 ; + RECT 59100.0 267750.0 130500.0 268650.0 ; + RECT 59100.0 295350.0 130500.0 296250.0 ; + RECT 59100.0 322950.0 130500.0 323850.0 ; + RECT 59100.0 350550.0 130500.0 351450.0 ; + RECT 59100.0 378150.0 130500.0 379050.0 ; + RECT 59100.0 405750.0 130500.0 406650.0 ; + RECT 59100.0 88350.0 130500.0 89250.0 ; + RECT 59100.0 115950.0 130500.0 116850.0 ; + RECT 59100.0 143550.0 130500.0 144450.0 ; + RECT 59100.0 171150.0 130500.0 172050.0 ; + RECT 59100.0 198750.0 130500.0 199650.0 ; + RECT 59100.0 226350.0 130500.0 227250.0 ; + RECT 59100.0 253950.0 130500.0 254850.0 ; + RECT 59100.0 281550.0 130500.0 282450.0 ; + RECT 59100.0 309150.0 130500.0 310050.0 ; + RECT 59100.0 336750.0 130500.0 337650.0 ; + RECT 59100.0 364350.0 130500.0 365250.0 ; + RECT 59100.0 391950.0 130500.0 392850.0 ; + RECT 59100.0 419550.0 130500.0 420450.0 ; + RECT 103050.0 205350.0 108600.0 206250.0 ; + RECT 111150.0 206550.0 112050.0 207450.0 ; + RECT 111150.0 205350.0 112050.0 206250.0 ; + RECT 111150.0 206250.0 112050.0 207000.0 ; + RECT 111600.0 206550.0 118200.0 207450.0 ; + RECT 118200.0 206550.0 119400.0 207450.0 ; + RECT 127650.0 206550.0 128550.0 207450.0 ; + RECT 127650.0 205350.0 128550.0 206250.0 ; + RECT 123600.0 206550.0 128100.0 207450.0 ; + RECT 127650.0 205800.0 128550.0 207000.0 ; + RECT 128100.0 205350.0 132600.0 206250.0 ; + RECT 103050.0 219750.0 108600.0 220650.0 ; + RECT 111150.0 218550.0 112050.0 219450.0 ; + RECT 111150.0 219750.0 112050.0 220650.0 ; + RECT 111150.0 219000.0 112050.0 220650.0 ; + RECT 111600.0 218550.0 118200.0 219450.0 ; + RECT 118200.0 218550.0 119400.0 219450.0 ; + RECT 127650.0 218550.0 128550.0 219450.0 ; + RECT 127650.0 219750.0 128550.0 220650.0 ; + RECT 123600.0 218550.0 128100.0 219450.0 ; + RECT 127650.0 219000.0 128550.0 220200.0 ; + RECT 128100.0 219750.0 132600.0 220650.0 ; + RECT 103050.0 232950.0 108600.0 233850.0 ; + RECT 111150.0 234150.0 112050.0 235050.0 ; + RECT 111150.0 232950.0 112050.0 233850.0 ; + RECT 111150.0 233850.0 112050.0 234600.0 ; + RECT 111600.0 234150.0 118200.0 235050.0 ; + RECT 118200.0 234150.0 119400.0 235050.0 ; + RECT 127650.0 234150.0 128550.0 235050.0 ; + RECT 127650.0 232950.0 128550.0 233850.0 ; + RECT 123600.0 234150.0 128100.0 235050.0 ; + RECT 127650.0 233400.0 128550.0 234600.0 ; + RECT 128100.0 232950.0 132600.0 233850.0 ; + RECT 103050.0 247350.0 108600.0 248250.0 ; + RECT 111150.0 246150.0 112050.0 247050.0 ; + RECT 111150.0 247350.0 112050.0 248250.0 ; + RECT 111150.0 246600.0 112050.0 248250.0 ; + RECT 111600.0 246150.0 118200.0 247050.0 ; + RECT 118200.0 246150.0 119400.0 247050.0 ; + RECT 127650.0 246150.0 128550.0 247050.0 ; + RECT 127650.0 247350.0 128550.0 248250.0 ; + RECT 123600.0 246150.0 128100.0 247050.0 ; + RECT 127650.0 246600.0 128550.0 247800.0 ; + RECT 128100.0 247350.0 132600.0 248250.0 ; + RECT 103050.0 260550.0 108600.0 261450.0 ; + RECT 111150.0 261750.0 112050.0 262650.0 ; + RECT 111150.0 260550.0 112050.0 261450.0 ; + RECT 111150.0 261450.0 112050.0 262200.0 ; + RECT 111600.0 261750.0 118200.0 262650.0 ; + RECT 118200.0 261750.0 119400.0 262650.0 ; + RECT 127650.0 261750.0 128550.0 262650.0 ; + RECT 127650.0 260550.0 128550.0 261450.0 ; + RECT 123600.0 261750.0 128100.0 262650.0 ; + RECT 127650.0 261000.0 128550.0 262200.0 ; + RECT 128100.0 260550.0 132600.0 261450.0 ; + RECT 103050.0 274950.0 108600.0 275850.0 ; + RECT 111150.0 273750.0 112050.0 274650.0 ; + RECT 111150.0 274950.0 112050.0 275850.0 ; + RECT 111150.0 274200.0 112050.0 275850.0 ; + RECT 111600.0 273750.0 118200.0 274650.0 ; + RECT 118200.0 273750.0 119400.0 274650.0 ; + RECT 127650.0 273750.0 128550.0 274650.0 ; + RECT 127650.0 274950.0 128550.0 275850.0 ; + RECT 123600.0 273750.0 128100.0 274650.0 ; + RECT 127650.0 274200.0 128550.0 275400.0 ; + RECT 128100.0 274950.0 132600.0 275850.0 ; + RECT 103050.0 288150.0 108600.0 289050.0 ; + RECT 111150.0 289350.0 112050.0 290250.0 ; + RECT 111150.0 288150.0 112050.0 289050.0 ; + RECT 111150.0 289050.0 112050.0 289800.0 ; + RECT 111600.0 289350.0 118200.0 290250.0 ; + RECT 118200.0 289350.0 119400.0 290250.0 ; + RECT 127650.0 289350.0 128550.0 290250.0 ; + RECT 127650.0 288150.0 128550.0 289050.0 ; + RECT 123600.0 289350.0 128100.0 290250.0 ; + RECT 127650.0 288600.0 128550.0 289800.0 ; + RECT 128100.0 288150.0 132600.0 289050.0 ; + RECT 103050.0 302550.0 108600.0 303450.0 ; + RECT 111150.0 301350.0 112050.0 302250.0 ; + RECT 111150.0 302550.0 112050.0 303450.0 ; + RECT 111150.0 301800.0 112050.0 303450.0 ; + RECT 111600.0 301350.0 118200.0 302250.0 ; + RECT 118200.0 301350.0 119400.0 302250.0 ; + RECT 127650.0 301350.0 128550.0 302250.0 ; + RECT 127650.0 302550.0 128550.0 303450.0 ; + RECT 123600.0 301350.0 128100.0 302250.0 ; + RECT 127650.0 301800.0 128550.0 303000.0 ; + RECT 128100.0 302550.0 132600.0 303450.0 ; + RECT 103050.0 315750.0 108600.0 316650.0 ; + RECT 111150.0 316950.0 112050.0 317850.0 ; + RECT 111150.0 315750.0 112050.0 316650.0 ; + RECT 111150.0 316650.0 112050.0 317400.0 ; + RECT 111600.0 316950.0 118200.0 317850.0 ; + RECT 118200.0 316950.0 119400.0 317850.0 ; + RECT 127650.0 316950.0 128550.0 317850.0 ; + RECT 127650.0 315750.0 128550.0 316650.0 ; + RECT 123600.0 316950.0 128100.0 317850.0 ; + RECT 127650.0 316200.0 128550.0 317400.0 ; + RECT 128100.0 315750.0 132600.0 316650.0 ; + RECT 103050.0 330150.0 108600.0 331050.0 ; + RECT 111150.0 328950.0 112050.0 329850.0 ; + RECT 111150.0 330150.0 112050.0 331050.0 ; + RECT 111150.0 329400.0 112050.0 331050.0 ; + RECT 111600.0 328950.0 118200.0 329850.0 ; + RECT 118200.0 328950.0 119400.0 329850.0 ; + RECT 127650.0 328950.0 128550.0 329850.0 ; + RECT 127650.0 330150.0 128550.0 331050.0 ; + RECT 123600.0 328950.0 128100.0 329850.0 ; + RECT 127650.0 329400.0 128550.0 330600.0 ; + RECT 128100.0 330150.0 132600.0 331050.0 ; + RECT 103050.0 343350.0 108600.0 344250.0 ; + RECT 111150.0 344550.0 112050.0 345450.0 ; + RECT 111150.0 343350.0 112050.0 344250.0 ; + RECT 111150.0 344250.0 112050.0 345000.0 ; + RECT 111600.0 344550.0 118200.0 345450.0 ; + RECT 118200.0 344550.0 119400.0 345450.0 ; + RECT 127650.0 344550.0 128550.0 345450.0 ; + RECT 127650.0 343350.0 128550.0 344250.0 ; + RECT 123600.0 344550.0 128100.0 345450.0 ; + RECT 127650.0 343800.0 128550.0 345000.0 ; + RECT 128100.0 343350.0 132600.0 344250.0 ; + RECT 103050.0 357750.0 108600.0 358650.0 ; + RECT 111150.0 356550.0 112050.0 357450.0 ; + RECT 111150.0 357750.0 112050.0 358650.0 ; + RECT 111150.0 357000.0 112050.0 358650.0 ; + RECT 111600.0 356550.0 118200.0 357450.0 ; + RECT 118200.0 356550.0 119400.0 357450.0 ; + RECT 127650.0 356550.0 128550.0 357450.0 ; + RECT 127650.0 357750.0 128550.0 358650.0 ; + RECT 123600.0 356550.0 128100.0 357450.0 ; + RECT 127650.0 357000.0 128550.0 358200.0 ; + RECT 128100.0 357750.0 132600.0 358650.0 ; + RECT 103050.0 370950.0 108600.0 371850.0 ; + RECT 111150.0 372150.0 112050.0 373050.0 ; + RECT 111150.0 370950.0 112050.0 371850.0 ; + RECT 111150.0 371850.0 112050.0 372600.0 ; + RECT 111600.0 372150.0 118200.0 373050.0 ; + RECT 118200.0 372150.0 119400.0 373050.0 ; + RECT 127650.0 372150.0 128550.0 373050.0 ; + RECT 127650.0 370950.0 128550.0 371850.0 ; + RECT 123600.0 372150.0 128100.0 373050.0 ; + RECT 127650.0 371400.0 128550.0 372600.0 ; + RECT 128100.0 370950.0 132600.0 371850.0 ; + RECT 103050.0 385350.0 108600.0 386250.0 ; + RECT 111150.0 384150.0 112050.0 385050.0 ; + RECT 111150.0 385350.0 112050.0 386250.0 ; + RECT 111150.0 384600.0 112050.0 386250.0 ; + RECT 111600.0 384150.0 118200.0 385050.0 ; + RECT 118200.0 384150.0 119400.0 385050.0 ; + RECT 127650.0 384150.0 128550.0 385050.0 ; + RECT 127650.0 385350.0 128550.0 386250.0 ; + RECT 123600.0 384150.0 128100.0 385050.0 ; + RECT 127650.0 384600.0 128550.0 385800.0 ; + RECT 128100.0 385350.0 132600.0 386250.0 ; + RECT 103050.0 398550.0 108600.0 399450.0 ; + RECT 111150.0 399750.0 112050.0 400650.0 ; + RECT 111150.0 398550.0 112050.0 399450.0 ; + RECT 111150.0 399450.0 112050.0 400200.0 ; + RECT 111600.0 399750.0 118200.0 400650.0 ; + RECT 118200.0 399750.0 119400.0 400650.0 ; + RECT 127650.0 399750.0 128550.0 400650.0 ; + RECT 127650.0 398550.0 128550.0 399450.0 ; + RECT 123600.0 399750.0 128100.0 400650.0 ; + RECT 127650.0 399000.0 128550.0 400200.0 ; + RECT 128100.0 398550.0 132600.0 399450.0 ; + RECT 103050.0 412950.0 108600.0 413850.0 ; + RECT 111150.0 411750.0 112050.0 412650.0 ; + RECT 111150.0 412950.0 112050.0 413850.0 ; + RECT 111150.0 412200.0 112050.0 413850.0 ; + RECT 111600.0 411750.0 118200.0 412650.0 ; + RECT 118200.0 411750.0 119400.0 412650.0 ; + RECT 127650.0 411750.0 128550.0 412650.0 ; + RECT 127650.0 412950.0 128550.0 413850.0 ; + RECT 123600.0 411750.0 128100.0 412650.0 ; + RECT 127650.0 412200.0 128550.0 413400.0 ; + RECT 128100.0 412950.0 132600.0 413850.0 ; + RECT 112800.0 211050.0 114000.0 213000.0 ; + RECT 112800.0 199200.0 114000.0 201150.0 ; + RECT 108000.0 200550.0 109200.0 198750.0 ; + RECT 108000.0 209850.0 109200.0 213450.0 ; + RECT 110700.0 200550.0 111600.0 209850.0 ; + RECT 108000.0 209850.0 109200.0 211050.0 ; + RECT 110400.0 209850.0 111600.0 211050.0 ; + RECT 110400.0 209850.0 111600.0 211050.0 ; + RECT 108000.0 209850.0 109200.0 211050.0 ; + RECT 108000.0 200550.0 109200.0 201750.0 ; + RECT 110400.0 200550.0 111600.0 201750.0 ; + RECT 110400.0 200550.0 111600.0 201750.0 ; + RECT 108000.0 200550.0 109200.0 201750.0 ; + RECT 112800.0 210450.0 114000.0 211650.0 ; + RECT 112800.0 200550.0 114000.0 201750.0 ; + RECT 108600.0 205200.0 109800.0 206400.0 ; + RECT 108600.0 205200.0 109800.0 206400.0 ; + RECT 111150.0 205350.0 112050.0 206250.0 ; + RECT 106200.0 212550.0 115800.0 213450.0 ; + RECT 106200.0 198750.0 115800.0 199650.0 ; + RECT 117600.0 201150.0 118800.0 198750.0 ; + RECT 117600.0 209850.0 118800.0 213450.0 ; + RECT 122400.0 209850.0 123600.0 213450.0 ; + RECT 124800.0 211050.0 126000.0 213000.0 ; + RECT 124800.0 199200.0 126000.0 201150.0 ; + RECT 117600.0 209850.0 118800.0 211050.0 ; + RECT 120000.0 209850.0 121200.0 211050.0 ; + RECT 120000.0 209850.0 121200.0 211050.0 ; + RECT 117600.0 209850.0 118800.0 211050.0 ; + RECT 120000.0 209850.0 121200.0 211050.0 ; + RECT 122400.0 209850.0 123600.0 211050.0 ; + RECT 122400.0 209850.0 123600.0 211050.0 ; + RECT 120000.0 209850.0 121200.0 211050.0 ; + RECT 117600.0 201150.0 118800.0 202350.0 ; + RECT 120000.0 201150.0 121200.0 202350.0 ; + RECT 120000.0 201150.0 121200.0 202350.0 ; + RECT 117600.0 201150.0 118800.0 202350.0 ; + RECT 120000.0 201150.0 121200.0 202350.0 ; + RECT 122400.0 201150.0 123600.0 202350.0 ; + RECT 122400.0 201150.0 123600.0 202350.0 ; + RECT 120000.0 201150.0 121200.0 202350.0 ; + RECT 124800.0 210450.0 126000.0 211650.0 ; + RECT 124800.0 200550.0 126000.0 201750.0 ; + RECT 122400.0 203700.0 121200.0 204900.0 ; + RECT 119400.0 206400.0 118200.0 207600.0 ; + RECT 120000.0 209850.0 121200.0 211050.0 ; + RECT 122400.0 201150.0 123600.0 202350.0 ; + RECT 123600.0 206400.0 122400.0 207600.0 ; + RECT 118200.0 206400.0 119400.0 207600.0 ; + RECT 121200.0 203700.0 122400.0 204900.0 ; + RECT 122400.0 206400.0 123600.0 207600.0 ; + RECT 115800.0 212550.0 130200.0 213450.0 ; + RECT 115800.0 198750.0 130200.0 199650.0 ; + RECT 136800.0 211050.0 138000.0 213000.0 ; + RECT 136800.0 199200.0 138000.0 201150.0 ; + RECT 132000.0 200550.0 133200.0 198750.0 ; + RECT 132000.0 209850.0 133200.0 213450.0 ; + RECT 134700.0 200550.0 135600.0 209850.0 ; + RECT 132000.0 209850.0 133200.0 211050.0 ; + RECT 134400.0 209850.0 135600.0 211050.0 ; + RECT 134400.0 209850.0 135600.0 211050.0 ; + RECT 132000.0 209850.0 133200.0 211050.0 ; + RECT 132000.0 200550.0 133200.0 201750.0 ; + RECT 134400.0 200550.0 135600.0 201750.0 ; + RECT 134400.0 200550.0 135600.0 201750.0 ; + RECT 132000.0 200550.0 133200.0 201750.0 ; + RECT 136800.0 210450.0 138000.0 211650.0 ; + RECT 136800.0 200550.0 138000.0 201750.0 ; + RECT 132600.0 205200.0 133800.0 206400.0 ; + RECT 132600.0 205200.0 133800.0 206400.0 ; + RECT 135150.0 205350.0 136050.0 206250.0 ; + RECT 130200.0 212550.0 139800.0 213450.0 ; + RECT 130200.0 198750.0 139800.0 199650.0 ; + RECT 102450.0 205200.0 103650.0 206400.0 ; + RECT 104400.0 202800.0 105600.0 204000.0 ; + RECT 121200.0 203700.0 120000.0 204900.0 ; + RECT 112800.0 214950.0 114000.0 213000.0 ; + RECT 112800.0 226800.0 114000.0 224850.0 ; + RECT 108000.0 225450.0 109200.0 227250.0 ; + RECT 108000.0 216150.0 109200.0 212550.0 ; + RECT 110700.0 225450.0 111600.0 216150.0 ; + RECT 108000.0 216150.0 109200.0 214950.0 ; + RECT 110400.0 216150.0 111600.0 214950.0 ; + RECT 110400.0 216150.0 111600.0 214950.0 ; + RECT 108000.0 216150.0 109200.0 214950.0 ; + RECT 108000.0 225450.0 109200.0 224250.0 ; + RECT 110400.0 225450.0 111600.0 224250.0 ; + RECT 110400.0 225450.0 111600.0 224250.0 ; + RECT 108000.0 225450.0 109200.0 224250.0 ; + RECT 112800.0 215550.0 114000.0 214350.0 ; + RECT 112800.0 225450.0 114000.0 224250.0 ; + RECT 108600.0 220800.0 109800.0 219600.0 ; + RECT 108600.0 220800.0 109800.0 219600.0 ; + RECT 111150.0 220650.0 112050.0 219750.0 ; + RECT 106200.0 213450.0 115800.0 212550.0 ; + RECT 106200.0 227250.0 115800.0 226350.0 ; + RECT 117600.0 224850.0 118800.0 227250.0 ; + RECT 117600.0 216150.0 118800.0 212550.0 ; + RECT 122400.0 216150.0 123600.0 212550.0 ; + RECT 124800.0 214950.0 126000.0 213000.0 ; + RECT 124800.0 226800.0 126000.0 224850.0 ; + RECT 117600.0 216150.0 118800.0 214950.0 ; + RECT 120000.0 216150.0 121200.0 214950.0 ; + RECT 120000.0 216150.0 121200.0 214950.0 ; + RECT 117600.0 216150.0 118800.0 214950.0 ; + RECT 120000.0 216150.0 121200.0 214950.0 ; + RECT 122400.0 216150.0 123600.0 214950.0 ; + RECT 122400.0 216150.0 123600.0 214950.0 ; + RECT 120000.0 216150.0 121200.0 214950.0 ; + RECT 117600.0 224850.0 118800.0 223650.0 ; + RECT 120000.0 224850.0 121200.0 223650.0 ; + RECT 120000.0 224850.0 121200.0 223650.0 ; + RECT 117600.0 224850.0 118800.0 223650.0 ; + RECT 120000.0 224850.0 121200.0 223650.0 ; + RECT 122400.0 224850.0 123600.0 223650.0 ; + RECT 122400.0 224850.0 123600.0 223650.0 ; + RECT 120000.0 224850.0 121200.0 223650.0 ; + RECT 124800.0 215550.0 126000.0 214350.0 ; + RECT 124800.0 225450.0 126000.0 224250.0 ; + RECT 122400.0 222300.0 121200.0 221100.0 ; + RECT 119400.0 219600.0 118200.0 218400.0 ; + RECT 120000.0 216150.0 121200.0 214950.0 ; + RECT 122400.0 224850.0 123600.0 223650.0 ; + RECT 123600.0 219600.0 122400.0 218400.0 ; + RECT 118200.0 219600.0 119400.0 218400.0 ; + RECT 121200.0 222300.0 122400.0 221100.0 ; + RECT 122400.0 219600.0 123600.0 218400.0 ; + RECT 115800.0 213450.0 130200.0 212550.0 ; + RECT 115800.0 227250.0 130200.0 226350.0 ; + RECT 136800.0 214950.0 138000.0 213000.0 ; + RECT 136800.0 226800.0 138000.0 224850.0 ; + RECT 132000.0 225450.0 133200.0 227250.0 ; + RECT 132000.0 216150.0 133200.0 212550.0 ; + RECT 134700.0 225450.0 135600.0 216150.0 ; + RECT 132000.0 216150.0 133200.0 214950.0 ; + RECT 134400.0 216150.0 135600.0 214950.0 ; + RECT 134400.0 216150.0 135600.0 214950.0 ; + RECT 132000.0 216150.0 133200.0 214950.0 ; + RECT 132000.0 225450.0 133200.0 224250.0 ; + RECT 134400.0 225450.0 135600.0 224250.0 ; + RECT 134400.0 225450.0 135600.0 224250.0 ; + RECT 132000.0 225450.0 133200.0 224250.0 ; + RECT 136800.0 215550.0 138000.0 214350.0 ; + RECT 136800.0 225450.0 138000.0 224250.0 ; + RECT 132600.0 220800.0 133800.0 219600.0 ; + RECT 132600.0 220800.0 133800.0 219600.0 ; + RECT 135150.0 220650.0 136050.0 219750.0 ; + RECT 130200.0 213450.0 139800.0 212550.0 ; + RECT 130200.0 227250.0 139800.0 226350.0 ; + RECT 102450.0 219600.0 103650.0 220800.0 ; + RECT 104400.0 222000.0 105600.0 223200.0 ; + RECT 121200.0 221100.0 120000.0 222300.0 ; + RECT 112800.0 238650.0 114000.0 240600.0 ; + RECT 112800.0 226800.0 114000.0 228750.0 ; + RECT 108000.0 228150.0 109200.0 226350.0 ; + RECT 108000.0 237450.0 109200.0 241050.0 ; + RECT 110700.0 228150.0 111600.0 237450.0 ; + RECT 108000.0 237450.0 109200.0 238650.0 ; + RECT 110400.0 237450.0 111600.0 238650.0 ; + RECT 110400.0 237450.0 111600.0 238650.0 ; + RECT 108000.0 237450.0 109200.0 238650.0 ; + RECT 108000.0 228150.0 109200.0 229350.0 ; + RECT 110400.0 228150.0 111600.0 229350.0 ; + RECT 110400.0 228150.0 111600.0 229350.0 ; + RECT 108000.0 228150.0 109200.0 229350.0 ; + RECT 112800.0 238050.0 114000.0 239250.0 ; + RECT 112800.0 228150.0 114000.0 229350.0 ; + RECT 108600.0 232800.0 109800.0 234000.0 ; + RECT 108600.0 232800.0 109800.0 234000.0 ; + RECT 111150.0 232950.0 112050.0 233850.0 ; + RECT 106200.0 240150.0 115800.0 241050.0 ; + RECT 106200.0 226350.0 115800.0 227250.0 ; + RECT 117600.0 228750.0 118800.0 226350.0 ; + RECT 117600.0 237450.0 118800.0 241050.0 ; + RECT 122400.0 237450.0 123600.0 241050.0 ; + RECT 124800.0 238650.0 126000.0 240600.0 ; + RECT 124800.0 226800.0 126000.0 228750.0 ; + RECT 117600.0 237450.0 118800.0 238650.0 ; + RECT 120000.0 237450.0 121200.0 238650.0 ; + RECT 120000.0 237450.0 121200.0 238650.0 ; + RECT 117600.0 237450.0 118800.0 238650.0 ; + RECT 120000.0 237450.0 121200.0 238650.0 ; + RECT 122400.0 237450.0 123600.0 238650.0 ; + RECT 122400.0 237450.0 123600.0 238650.0 ; + RECT 120000.0 237450.0 121200.0 238650.0 ; + RECT 117600.0 228750.0 118800.0 229950.0 ; + RECT 120000.0 228750.0 121200.0 229950.0 ; + RECT 120000.0 228750.0 121200.0 229950.0 ; + RECT 117600.0 228750.0 118800.0 229950.0 ; + RECT 120000.0 228750.0 121200.0 229950.0 ; + RECT 122400.0 228750.0 123600.0 229950.0 ; + RECT 122400.0 228750.0 123600.0 229950.0 ; + RECT 120000.0 228750.0 121200.0 229950.0 ; + RECT 124800.0 238050.0 126000.0 239250.0 ; + RECT 124800.0 228150.0 126000.0 229350.0 ; + RECT 122400.0 231300.0 121200.0 232500.0 ; + RECT 119400.0 234000.0 118200.0 235200.0 ; + RECT 120000.0 237450.0 121200.0 238650.0 ; + RECT 122400.0 228750.0 123600.0 229950.0 ; + RECT 123600.0 234000.0 122400.0 235200.0 ; + RECT 118200.0 234000.0 119400.0 235200.0 ; + RECT 121200.0 231300.0 122400.0 232500.0 ; + RECT 122400.0 234000.0 123600.0 235200.0 ; + RECT 115800.0 240150.0 130200.0 241050.0 ; + RECT 115800.0 226350.0 130200.0 227250.0 ; + RECT 136800.0 238650.0 138000.0 240600.0 ; + RECT 136800.0 226800.0 138000.0 228750.0 ; + RECT 132000.0 228150.0 133200.0 226350.0 ; + RECT 132000.0 237450.0 133200.0 241050.0 ; + RECT 134700.0 228150.0 135600.0 237450.0 ; + RECT 132000.0 237450.0 133200.0 238650.0 ; + RECT 134400.0 237450.0 135600.0 238650.0 ; + RECT 134400.0 237450.0 135600.0 238650.0 ; + RECT 132000.0 237450.0 133200.0 238650.0 ; + RECT 132000.0 228150.0 133200.0 229350.0 ; + RECT 134400.0 228150.0 135600.0 229350.0 ; + RECT 134400.0 228150.0 135600.0 229350.0 ; + RECT 132000.0 228150.0 133200.0 229350.0 ; + RECT 136800.0 238050.0 138000.0 239250.0 ; + RECT 136800.0 228150.0 138000.0 229350.0 ; + RECT 132600.0 232800.0 133800.0 234000.0 ; + RECT 132600.0 232800.0 133800.0 234000.0 ; + RECT 135150.0 232950.0 136050.0 233850.0 ; + RECT 130200.0 240150.0 139800.0 241050.0 ; + RECT 130200.0 226350.0 139800.0 227250.0 ; + RECT 102450.0 232800.0 103650.0 234000.0 ; + RECT 104400.0 230400.0 105600.0 231600.0 ; + RECT 121200.0 231300.0 120000.0 232500.0 ; + RECT 112800.0 242550.0 114000.0 240600.0 ; + RECT 112800.0 254400.0 114000.0 252450.0 ; + RECT 108000.0 253050.0 109200.0 254850.0 ; + RECT 108000.0 243750.0 109200.0 240150.0 ; + RECT 110700.0 253050.0 111600.0 243750.0 ; + RECT 108000.0 243750.0 109200.0 242550.0 ; + RECT 110400.0 243750.0 111600.0 242550.0 ; + RECT 110400.0 243750.0 111600.0 242550.0 ; + RECT 108000.0 243750.0 109200.0 242550.0 ; + RECT 108000.0 253050.0 109200.0 251850.0 ; + RECT 110400.0 253050.0 111600.0 251850.0 ; + RECT 110400.0 253050.0 111600.0 251850.0 ; + RECT 108000.0 253050.0 109200.0 251850.0 ; + RECT 112800.0 243150.0 114000.0 241950.0 ; + RECT 112800.0 253050.0 114000.0 251850.0 ; + RECT 108600.0 248400.0 109800.0 247200.0 ; + RECT 108600.0 248400.0 109800.0 247200.0 ; + RECT 111150.0 248250.0 112050.0 247350.0 ; + RECT 106200.0 241050.0 115800.0 240150.0 ; + RECT 106200.0 254850.0 115800.0 253950.0 ; + RECT 117600.0 252450.0 118800.0 254850.0 ; + RECT 117600.0 243750.0 118800.0 240150.0 ; + RECT 122400.0 243750.0 123600.0 240150.0 ; + RECT 124800.0 242550.0 126000.0 240600.0 ; + RECT 124800.0 254400.0 126000.0 252450.0 ; + RECT 117600.0 243750.0 118800.0 242550.0 ; + RECT 120000.0 243750.0 121200.0 242550.0 ; + RECT 120000.0 243750.0 121200.0 242550.0 ; + RECT 117600.0 243750.0 118800.0 242550.0 ; + RECT 120000.0 243750.0 121200.0 242550.0 ; + RECT 122400.0 243750.0 123600.0 242550.0 ; + RECT 122400.0 243750.0 123600.0 242550.0 ; + RECT 120000.0 243750.0 121200.0 242550.0 ; + RECT 117600.0 252450.0 118800.0 251250.0 ; + RECT 120000.0 252450.0 121200.0 251250.0 ; + RECT 120000.0 252450.0 121200.0 251250.0 ; + RECT 117600.0 252450.0 118800.0 251250.0 ; + RECT 120000.0 252450.0 121200.0 251250.0 ; + RECT 122400.0 252450.0 123600.0 251250.0 ; + RECT 122400.0 252450.0 123600.0 251250.0 ; + RECT 120000.0 252450.0 121200.0 251250.0 ; + RECT 124800.0 243150.0 126000.0 241950.0 ; + RECT 124800.0 253050.0 126000.0 251850.0 ; + RECT 122400.0 249900.0 121200.0 248700.0 ; + RECT 119400.0 247200.0 118200.0 246000.0 ; + RECT 120000.0 243750.0 121200.0 242550.0 ; + RECT 122400.0 252450.0 123600.0 251250.0 ; + RECT 123600.0 247200.0 122400.0 246000.0 ; + RECT 118200.0 247200.0 119400.0 246000.0 ; + RECT 121200.0 249900.0 122400.0 248700.0 ; + RECT 122400.0 247200.0 123600.0 246000.0 ; + RECT 115800.0 241050.0 130200.0 240150.0 ; + RECT 115800.0 254850.0 130200.0 253950.0 ; + RECT 136800.0 242550.0 138000.0 240600.0 ; + RECT 136800.0 254400.0 138000.0 252450.0 ; + RECT 132000.0 253050.0 133200.0 254850.0 ; + RECT 132000.0 243750.0 133200.0 240150.0 ; + RECT 134700.0 253050.0 135600.0 243750.0 ; + RECT 132000.0 243750.0 133200.0 242550.0 ; + RECT 134400.0 243750.0 135600.0 242550.0 ; + RECT 134400.0 243750.0 135600.0 242550.0 ; + RECT 132000.0 243750.0 133200.0 242550.0 ; + RECT 132000.0 253050.0 133200.0 251850.0 ; + RECT 134400.0 253050.0 135600.0 251850.0 ; + RECT 134400.0 253050.0 135600.0 251850.0 ; + RECT 132000.0 253050.0 133200.0 251850.0 ; + RECT 136800.0 243150.0 138000.0 241950.0 ; + RECT 136800.0 253050.0 138000.0 251850.0 ; + RECT 132600.0 248400.0 133800.0 247200.0 ; + RECT 132600.0 248400.0 133800.0 247200.0 ; + RECT 135150.0 248250.0 136050.0 247350.0 ; + RECT 130200.0 241050.0 139800.0 240150.0 ; + RECT 130200.0 254850.0 139800.0 253950.0 ; + RECT 102450.0 247200.0 103650.0 248400.0 ; + RECT 104400.0 249600.0 105600.0 250800.0 ; + RECT 121200.0 248700.0 120000.0 249900.0 ; + RECT 112800.0 266250.0 114000.0 268200.0 ; + RECT 112800.0 254400.0 114000.0 256350.0 ; + RECT 108000.0 255750.0 109200.0 253950.0 ; + RECT 108000.0 265050.0 109200.0 268650.0 ; + RECT 110700.0 255750.0 111600.0 265050.0 ; + RECT 108000.0 265050.0 109200.0 266250.0 ; + RECT 110400.0 265050.0 111600.0 266250.0 ; + RECT 110400.0 265050.0 111600.0 266250.0 ; + RECT 108000.0 265050.0 109200.0 266250.0 ; + RECT 108000.0 255750.0 109200.0 256950.0 ; + RECT 110400.0 255750.0 111600.0 256950.0 ; + RECT 110400.0 255750.0 111600.0 256950.0 ; + RECT 108000.0 255750.0 109200.0 256950.0 ; + RECT 112800.0 265650.0 114000.0 266850.0 ; + RECT 112800.0 255750.0 114000.0 256950.0 ; + RECT 108600.0 260400.0 109800.0 261600.0 ; + RECT 108600.0 260400.0 109800.0 261600.0 ; + RECT 111150.0 260550.0 112050.0 261450.0 ; + RECT 106200.0 267750.0 115800.0 268650.0 ; + RECT 106200.0 253950.0 115800.0 254850.0 ; + RECT 117600.0 256350.0 118800.0 253950.0 ; + RECT 117600.0 265050.0 118800.0 268650.0 ; + RECT 122400.0 265050.0 123600.0 268650.0 ; + RECT 124800.0 266250.0 126000.0 268200.0 ; + RECT 124800.0 254400.0 126000.0 256350.0 ; + RECT 117600.0 265050.0 118800.0 266250.0 ; + RECT 120000.0 265050.0 121200.0 266250.0 ; + RECT 120000.0 265050.0 121200.0 266250.0 ; + RECT 117600.0 265050.0 118800.0 266250.0 ; + RECT 120000.0 265050.0 121200.0 266250.0 ; + RECT 122400.0 265050.0 123600.0 266250.0 ; + RECT 122400.0 265050.0 123600.0 266250.0 ; + RECT 120000.0 265050.0 121200.0 266250.0 ; + RECT 117600.0 256350.0 118800.0 257550.0 ; + RECT 120000.0 256350.0 121200.0 257550.0 ; + RECT 120000.0 256350.0 121200.0 257550.0 ; + RECT 117600.0 256350.0 118800.0 257550.0 ; + RECT 120000.0 256350.0 121200.0 257550.0 ; + RECT 122400.0 256350.0 123600.0 257550.0 ; + RECT 122400.0 256350.0 123600.0 257550.0 ; + RECT 120000.0 256350.0 121200.0 257550.0 ; + RECT 124800.0 265650.0 126000.0 266850.0 ; + RECT 124800.0 255750.0 126000.0 256950.0 ; + RECT 122400.0 258900.0 121200.0 260100.0 ; + RECT 119400.0 261600.0 118200.0 262800.0 ; + RECT 120000.0 265050.0 121200.0 266250.0 ; + RECT 122400.0 256350.0 123600.0 257550.0 ; + RECT 123600.0 261600.0 122400.0 262800.0 ; + RECT 118200.0 261600.0 119400.0 262800.0 ; + RECT 121200.0 258900.0 122400.0 260100.0 ; + RECT 122400.0 261600.0 123600.0 262800.0 ; + RECT 115800.0 267750.0 130200.0 268650.0 ; + RECT 115800.0 253950.0 130200.0 254850.0 ; + RECT 136800.0 266250.0 138000.0 268200.0 ; + RECT 136800.0 254400.0 138000.0 256350.0 ; + RECT 132000.0 255750.0 133200.0 253950.0 ; + RECT 132000.0 265050.0 133200.0 268650.0 ; + RECT 134700.0 255750.0 135600.0 265050.0 ; + RECT 132000.0 265050.0 133200.0 266250.0 ; + RECT 134400.0 265050.0 135600.0 266250.0 ; + RECT 134400.0 265050.0 135600.0 266250.0 ; + RECT 132000.0 265050.0 133200.0 266250.0 ; + RECT 132000.0 255750.0 133200.0 256950.0 ; + RECT 134400.0 255750.0 135600.0 256950.0 ; + RECT 134400.0 255750.0 135600.0 256950.0 ; + RECT 132000.0 255750.0 133200.0 256950.0 ; + RECT 136800.0 265650.0 138000.0 266850.0 ; + RECT 136800.0 255750.0 138000.0 256950.0 ; + RECT 132600.0 260400.0 133800.0 261600.0 ; + RECT 132600.0 260400.0 133800.0 261600.0 ; + RECT 135150.0 260550.0 136050.0 261450.0 ; + RECT 130200.0 267750.0 139800.0 268650.0 ; + RECT 130200.0 253950.0 139800.0 254850.0 ; + RECT 102450.0 260400.0 103650.0 261600.0 ; + RECT 104400.0 258000.0 105600.0 259200.0 ; + RECT 121200.0 258900.0 120000.0 260100.0 ; + RECT 112800.0 270150.0 114000.0 268200.0 ; + RECT 112800.0 282000.0 114000.0 280050.0 ; + RECT 108000.0 280650.0 109200.0 282450.0 ; + RECT 108000.0 271350.0 109200.0 267750.0 ; + RECT 110700.0 280650.0 111600.0 271350.0 ; + RECT 108000.0 271350.0 109200.0 270150.0 ; + RECT 110400.0 271350.0 111600.0 270150.0 ; + RECT 110400.0 271350.0 111600.0 270150.0 ; + RECT 108000.0 271350.0 109200.0 270150.0 ; + RECT 108000.0 280650.0 109200.0 279450.0 ; + RECT 110400.0 280650.0 111600.0 279450.0 ; + RECT 110400.0 280650.0 111600.0 279450.0 ; + RECT 108000.0 280650.0 109200.0 279450.0 ; + RECT 112800.0 270750.0 114000.0 269550.0 ; + RECT 112800.0 280650.0 114000.0 279450.0 ; + RECT 108600.0 276000.0 109800.0 274800.0 ; + RECT 108600.0 276000.0 109800.0 274800.0 ; + RECT 111150.0 275850.0 112050.0 274950.0 ; + RECT 106200.0 268650.0 115800.0 267750.0 ; + RECT 106200.0 282450.0 115800.0 281550.0 ; + RECT 117600.0 280050.0 118800.0 282450.0 ; + RECT 117600.0 271350.0 118800.0 267750.0 ; + RECT 122400.0 271350.0 123600.0 267750.0 ; + RECT 124800.0 270150.0 126000.0 268200.0 ; + RECT 124800.0 282000.0 126000.0 280050.0 ; + RECT 117600.0 271350.0 118800.0 270150.0 ; + RECT 120000.0 271350.0 121200.0 270150.0 ; + RECT 120000.0 271350.0 121200.0 270150.0 ; + RECT 117600.0 271350.0 118800.0 270150.0 ; + RECT 120000.0 271350.0 121200.0 270150.0 ; + RECT 122400.0 271350.0 123600.0 270150.0 ; + RECT 122400.0 271350.0 123600.0 270150.0 ; + RECT 120000.0 271350.0 121200.0 270150.0 ; + RECT 117600.0 280050.0 118800.0 278850.0 ; + RECT 120000.0 280050.0 121200.0 278850.0 ; + RECT 120000.0 280050.0 121200.0 278850.0 ; + RECT 117600.0 280050.0 118800.0 278850.0 ; + RECT 120000.0 280050.0 121200.0 278850.0 ; + RECT 122400.0 280050.0 123600.0 278850.0 ; + RECT 122400.0 280050.0 123600.0 278850.0 ; + RECT 120000.0 280050.0 121200.0 278850.0 ; + RECT 124800.0 270750.0 126000.0 269550.0 ; + RECT 124800.0 280650.0 126000.0 279450.0 ; + RECT 122400.0 277500.0 121200.0 276300.0 ; + RECT 119400.0 274800.0 118200.0 273600.0 ; + RECT 120000.0 271350.0 121200.0 270150.0 ; + RECT 122400.0 280050.0 123600.0 278850.0 ; + RECT 123600.0 274800.0 122400.0 273600.0 ; + RECT 118200.0 274800.0 119400.0 273600.0 ; + RECT 121200.0 277500.0 122400.0 276300.0 ; + RECT 122400.0 274800.0 123600.0 273600.0 ; + RECT 115800.0 268650.0 130200.0 267750.0 ; + RECT 115800.0 282450.0 130200.0 281550.0 ; + RECT 136800.0 270150.0 138000.0 268200.0 ; + RECT 136800.0 282000.0 138000.0 280050.0 ; + RECT 132000.0 280650.0 133200.0 282450.0 ; + RECT 132000.0 271350.0 133200.0 267750.0 ; + RECT 134700.0 280650.0 135600.0 271350.0 ; + RECT 132000.0 271350.0 133200.0 270150.0 ; + RECT 134400.0 271350.0 135600.0 270150.0 ; + RECT 134400.0 271350.0 135600.0 270150.0 ; + RECT 132000.0 271350.0 133200.0 270150.0 ; + RECT 132000.0 280650.0 133200.0 279450.0 ; + RECT 134400.0 280650.0 135600.0 279450.0 ; + RECT 134400.0 280650.0 135600.0 279450.0 ; + RECT 132000.0 280650.0 133200.0 279450.0 ; + RECT 136800.0 270750.0 138000.0 269550.0 ; + RECT 136800.0 280650.0 138000.0 279450.0 ; + RECT 132600.0 276000.0 133800.0 274800.0 ; + RECT 132600.0 276000.0 133800.0 274800.0 ; + RECT 135150.0 275850.0 136050.0 274950.0 ; + RECT 130200.0 268650.0 139800.0 267750.0 ; + RECT 130200.0 282450.0 139800.0 281550.0 ; + RECT 102450.0 274800.0 103650.0 276000.0 ; + RECT 104400.0 277200.0 105600.0 278400.0 ; + RECT 121200.0 276300.0 120000.0 277500.0 ; + RECT 112800.0 293850.0 114000.0 295800.0 ; + RECT 112800.0 282000.0 114000.0 283950.0 ; + RECT 108000.0 283350.0 109200.0 281550.0 ; + RECT 108000.0 292650.0 109200.0 296250.0 ; + RECT 110700.0 283350.0 111600.0 292650.0 ; + RECT 108000.0 292650.0 109200.0 293850.0 ; + RECT 110400.0 292650.0 111600.0 293850.0 ; + RECT 110400.0 292650.0 111600.0 293850.0 ; + RECT 108000.0 292650.0 109200.0 293850.0 ; + RECT 108000.0 283350.0 109200.0 284550.0 ; + RECT 110400.0 283350.0 111600.0 284550.0 ; + RECT 110400.0 283350.0 111600.0 284550.0 ; + RECT 108000.0 283350.0 109200.0 284550.0 ; + RECT 112800.0 293250.0 114000.0 294450.0 ; + RECT 112800.0 283350.0 114000.0 284550.0 ; + RECT 108600.0 288000.0 109800.0 289200.0 ; + RECT 108600.0 288000.0 109800.0 289200.0 ; + RECT 111150.0 288150.0 112050.0 289050.0 ; + RECT 106200.0 295350.0 115800.0 296250.0 ; + RECT 106200.0 281550.0 115800.0 282450.0 ; + RECT 117600.0 283950.0 118800.0 281550.0 ; + RECT 117600.0 292650.0 118800.0 296250.0 ; + RECT 122400.0 292650.0 123600.0 296250.0 ; + RECT 124800.0 293850.0 126000.0 295800.0 ; + RECT 124800.0 282000.0 126000.0 283950.0 ; + RECT 117600.0 292650.0 118800.0 293850.0 ; + RECT 120000.0 292650.0 121200.0 293850.0 ; + RECT 120000.0 292650.0 121200.0 293850.0 ; + RECT 117600.0 292650.0 118800.0 293850.0 ; + RECT 120000.0 292650.0 121200.0 293850.0 ; + RECT 122400.0 292650.0 123600.0 293850.0 ; + RECT 122400.0 292650.0 123600.0 293850.0 ; + RECT 120000.0 292650.0 121200.0 293850.0 ; + RECT 117600.0 283950.0 118800.0 285150.0 ; + RECT 120000.0 283950.0 121200.0 285150.0 ; + RECT 120000.0 283950.0 121200.0 285150.0 ; + RECT 117600.0 283950.0 118800.0 285150.0 ; + RECT 120000.0 283950.0 121200.0 285150.0 ; + RECT 122400.0 283950.0 123600.0 285150.0 ; + RECT 122400.0 283950.0 123600.0 285150.0 ; + RECT 120000.0 283950.0 121200.0 285150.0 ; + RECT 124800.0 293250.0 126000.0 294450.0 ; + RECT 124800.0 283350.0 126000.0 284550.0 ; + RECT 122400.0 286500.0 121200.0 287700.0 ; + RECT 119400.0 289200.0 118200.0 290400.0 ; + RECT 120000.0 292650.0 121200.0 293850.0 ; + RECT 122400.0 283950.0 123600.0 285150.0 ; + RECT 123600.0 289200.0 122400.0 290400.0 ; + RECT 118200.0 289200.0 119400.0 290400.0 ; + RECT 121200.0 286500.0 122400.0 287700.0 ; + RECT 122400.0 289200.0 123600.0 290400.0 ; + RECT 115800.0 295350.0 130200.0 296250.0 ; + RECT 115800.0 281550.0 130200.0 282450.0 ; + RECT 136800.0 293850.0 138000.0 295800.0 ; + RECT 136800.0 282000.0 138000.0 283950.0 ; + RECT 132000.0 283350.0 133200.0 281550.0 ; + RECT 132000.0 292650.0 133200.0 296250.0 ; + RECT 134700.0 283350.0 135600.0 292650.0 ; + RECT 132000.0 292650.0 133200.0 293850.0 ; + RECT 134400.0 292650.0 135600.0 293850.0 ; + RECT 134400.0 292650.0 135600.0 293850.0 ; + RECT 132000.0 292650.0 133200.0 293850.0 ; + RECT 132000.0 283350.0 133200.0 284550.0 ; + RECT 134400.0 283350.0 135600.0 284550.0 ; + RECT 134400.0 283350.0 135600.0 284550.0 ; + RECT 132000.0 283350.0 133200.0 284550.0 ; + RECT 136800.0 293250.0 138000.0 294450.0 ; + RECT 136800.0 283350.0 138000.0 284550.0 ; + RECT 132600.0 288000.0 133800.0 289200.0 ; + RECT 132600.0 288000.0 133800.0 289200.0 ; + RECT 135150.0 288150.0 136050.0 289050.0 ; + RECT 130200.0 295350.0 139800.0 296250.0 ; + RECT 130200.0 281550.0 139800.0 282450.0 ; + RECT 102450.0 288000.0 103650.0 289200.0 ; + RECT 104400.0 285600.0 105600.0 286800.0 ; + RECT 121200.0 286500.0 120000.0 287700.0 ; + RECT 112800.0 297750.0 114000.0 295800.0 ; + RECT 112800.0 309600.0 114000.0 307650.0 ; + RECT 108000.0 308250.0 109200.0 310050.0 ; + RECT 108000.0 298950.0 109200.0 295350.0 ; + RECT 110700.0 308250.0 111600.0 298950.0 ; + RECT 108000.0 298950.0 109200.0 297750.0 ; + RECT 110400.0 298950.0 111600.0 297750.0 ; + RECT 110400.0 298950.0 111600.0 297750.0 ; + RECT 108000.0 298950.0 109200.0 297750.0 ; + RECT 108000.0 308250.0 109200.0 307050.0 ; + RECT 110400.0 308250.0 111600.0 307050.0 ; + RECT 110400.0 308250.0 111600.0 307050.0 ; + RECT 108000.0 308250.0 109200.0 307050.0 ; + RECT 112800.0 298350.0 114000.0 297150.0 ; + RECT 112800.0 308250.0 114000.0 307050.0 ; + RECT 108600.0 303600.0 109800.0 302400.0 ; + RECT 108600.0 303600.0 109800.0 302400.0 ; + RECT 111150.0 303450.0 112050.0 302550.0 ; + RECT 106200.0 296250.0 115800.0 295350.0 ; + RECT 106200.0 310050.0 115800.0 309150.0 ; + RECT 117600.0 307650.0 118800.0 310050.0 ; + RECT 117600.0 298950.0 118800.0 295350.0 ; + RECT 122400.0 298950.0 123600.0 295350.0 ; + RECT 124800.0 297750.0 126000.0 295800.0 ; + RECT 124800.0 309600.0 126000.0 307650.0 ; + RECT 117600.0 298950.0 118800.0 297750.0 ; + RECT 120000.0 298950.0 121200.0 297750.0 ; + RECT 120000.0 298950.0 121200.0 297750.0 ; + RECT 117600.0 298950.0 118800.0 297750.0 ; + RECT 120000.0 298950.0 121200.0 297750.0 ; + RECT 122400.0 298950.0 123600.0 297750.0 ; + RECT 122400.0 298950.0 123600.0 297750.0 ; + RECT 120000.0 298950.0 121200.0 297750.0 ; + RECT 117600.0 307650.0 118800.0 306450.0 ; + RECT 120000.0 307650.0 121200.0 306450.0 ; + RECT 120000.0 307650.0 121200.0 306450.0 ; + RECT 117600.0 307650.0 118800.0 306450.0 ; + RECT 120000.0 307650.0 121200.0 306450.0 ; + RECT 122400.0 307650.0 123600.0 306450.0 ; + RECT 122400.0 307650.0 123600.0 306450.0 ; + RECT 120000.0 307650.0 121200.0 306450.0 ; + RECT 124800.0 298350.0 126000.0 297150.0 ; + RECT 124800.0 308250.0 126000.0 307050.0 ; + RECT 122400.0 305100.0 121200.0 303900.0 ; + RECT 119400.0 302400.0 118200.0 301200.0 ; + RECT 120000.0 298950.0 121200.0 297750.0 ; + RECT 122400.0 307650.0 123600.0 306450.0 ; + RECT 123600.0 302400.0 122400.0 301200.0 ; + RECT 118200.0 302400.0 119400.0 301200.0 ; + RECT 121200.0 305100.0 122400.0 303900.0 ; + RECT 122400.0 302400.0 123600.0 301200.0 ; + RECT 115800.0 296250.0 130200.0 295350.0 ; + RECT 115800.0 310050.0 130200.0 309150.0 ; + RECT 136800.0 297750.0 138000.0 295800.0 ; + RECT 136800.0 309600.0 138000.0 307650.0 ; + RECT 132000.0 308250.0 133200.0 310050.0 ; + RECT 132000.0 298950.0 133200.0 295350.0 ; + RECT 134700.0 308250.0 135600.0 298950.0 ; + RECT 132000.0 298950.0 133200.0 297750.0 ; + RECT 134400.0 298950.0 135600.0 297750.0 ; + RECT 134400.0 298950.0 135600.0 297750.0 ; + RECT 132000.0 298950.0 133200.0 297750.0 ; + RECT 132000.0 308250.0 133200.0 307050.0 ; + RECT 134400.0 308250.0 135600.0 307050.0 ; + RECT 134400.0 308250.0 135600.0 307050.0 ; + RECT 132000.0 308250.0 133200.0 307050.0 ; + RECT 136800.0 298350.0 138000.0 297150.0 ; + RECT 136800.0 308250.0 138000.0 307050.0 ; + RECT 132600.0 303600.0 133800.0 302400.0 ; + RECT 132600.0 303600.0 133800.0 302400.0 ; + RECT 135150.0 303450.0 136050.0 302550.0 ; + RECT 130200.0 296250.0 139800.0 295350.0 ; + RECT 130200.0 310050.0 139800.0 309150.0 ; + RECT 102450.0 302400.0 103650.0 303600.0 ; + RECT 104400.0 304800.0 105600.0 306000.0 ; + RECT 121200.0 303900.0 120000.0 305100.0 ; + RECT 112800.0 321450.0 114000.0 323400.0 ; + RECT 112800.0 309600.0 114000.0 311550.0 ; + RECT 108000.0 310950.0 109200.0 309150.0 ; + RECT 108000.0 320250.0 109200.0 323850.0 ; + RECT 110700.0 310950.0 111600.0 320250.0 ; + RECT 108000.0 320250.0 109200.0 321450.0 ; + RECT 110400.0 320250.0 111600.0 321450.0 ; + RECT 110400.0 320250.0 111600.0 321450.0 ; + RECT 108000.0 320250.0 109200.0 321450.0 ; + RECT 108000.0 310950.0 109200.0 312150.0 ; + RECT 110400.0 310950.0 111600.0 312150.0 ; + RECT 110400.0 310950.0 111600.0 312150.0 ; + RECT 108000.0 310950.0 109200.0 312150.0 ; + RECT 112800.0 320850.0 114000.0 322050.0 ; + RECT 112800.0 310950.0 114000.0 312150.0 ; + RECT 108600.0 315600.0 109800.0 316800.0 ; + RECT 108600.0 315600.0 109800.0 316800.0 ; + RECT 111150.0 315750.0 112050.0 316650.0 ; + RECT 106200.0 322950.0 115800.0 323850.0 ; + RECT 106200.0 309150.0 115800.0 310050.0 ; + RECT 117600.0 311550.0 118800.0 309150.0 ; + RECT 117600.0 320250.0 118800.0 323850.0 ; + RECT 122400.0 320250.0 123600.0 323850.0 ; + RECT 124800.0 321450.0 126000.0 323400.0 ; + RECT 124800.0 309600.0 126000.0 311550.0 ; + RECT 117600.0 320250.0 118800.0 321450.0 ; + RECT 120000.0 320250.0 121200.0 321450.0 ; + RECT 120000.0 320250.0 121200.0 321450.0 ; + RECT 117600.0 320250.0 118800.0 321450.0 ; + RECT 120000.0 320250.0 121200.0 321450.0 ; + RECT 122400.0 320250.0 123600.0 321450.0 ; + RECT 122400.0 320250.0 123600.0 321450.0 ; + RECT 120000.0 320250.0 121200.0 321450.0 ; + RECT 117600.0 311550.0 118800.0 312750.0 ; + RECT 120000.0 311550.0 121200.0 312750.0 ; + RECT 120000.0 311550.0 121200.0 312750.0 ; + RECT 117600.0 311550.0 118800.0 312750.0 ; + RECT 120000.0 311550.0 121200.0 312750.0 ; + RECT 122400.0 311550.0 123600.0 312750.0 ; + RECT 122400.0 311550.0 123600.0 312750.0 ; + RECT 120000.0 311550.0 121200.0 312750.0 ; + RECT 124800.0 320850.0 126000.0 322050.0 ; + RECT 124800.0 310950.0 126000.0 312150.0 ; + RECT 122400.0 314100.0 121200.0 315300.0 ; + RECT 119400.0 316800.0 118200.0 318000.0 ; + RECT 120000.0 320250.0 121200.0 321450.0 ; + RECT 122400.0 311550.0 123600.0 312750.0 ; + RECT 123600.0 316800.0 122400.0 318000.0 ; + RECT 118200.0 316800.0 119400.0 318000.0 ; + RECT 121200.0 314100.0 122400.0 315300.0 ; + RECT 122400.0 316800.0 123600.0 318000.0 ; + RECT 115800.0 322950.0 130200.0 323850.0 ; + RECT 115800.0 309150.0 130200.0 310050.0 ; + RECT 136800.0 321450.0 138000.0 323400.0 ; + RECT 136800.0 309600.0 138000.0 311550.0 ; + RECT 132000.0 310950.0 133200.0 309150.0 ; + RECT 132000.0 320250.0 133200.0 323850.0 ; + RECT 134700.0 310950.0 135600.0 320250.0 ; + RECT 132000.0 320250.0 133200.0 321450.0 ; + RECT 134400.0 320250.0 135600.0 321450.0 ; + RECT 134400.0 320250.0 135600.0 321450.0 ; + RECT 132000.0 320250.0 133200.0 321450.0 ; + RECT 132000.0 310950.0 133200.0 312150.0 ; + RECT 134400.0 310950.0 135600.0 312150.0 ; + RECT 134400.0 310950.0 135600.0 312150.0 ; + RECT 132000.0 310950.0 133200.0 312150.0 ; + RECT 136800.0 320850.0 138000.0 322050.0 ; + RECT 136800.0 310950.0 138000.0 312150.0 ; + RECT 132600.0 315600.0 133800.0 316800.0 ; + RECT 132600.0 315600.0 133800.0 316800.0 ; + RECT 135150.0 315750.0 136050.0 316650.0 ; + RECT 130200.0 322950.0 139800.0 323850.0 ; + RECT 130200.0 309150.0 139800.0 310050.0 ; + RECT 102450.0 315600.0 103650.0 316800.0 ; + RECT 104400.0 313200.0 105600.0 314400.0 ; + RECT 121200.0 314100.0 120000.0 315300.0 ; + RECT 112800.0 325350.0 114000.0 323400.0 ; + RECT 112800.0 337200.0 114000.0 335250.0 ; + RECT 108000.0 335850.0 109200.0 337650.0 ; + RECT 108000.0 326550.0 109200.0 322950.0 ; + RECT 110700.0 335850.0 111600.0 326550.0 ; + RECT 108000.0 326550.0 109200.0 325350.0 ; + RECT 110400.0 326550.0 111600.0 325350.0 ; + RECT 110400.0 326550.0 111600.0 325350.0 ; + RECT 108000.0 326550.0 109200.0 325350.0 ; + RECT 108000.0 335850.0 109200.0 334650.0 ; + RECT 110400.0 335850.0 111600.0 334650.0 ; + RECT 110400.0 335850.0 111600.0 334650.0 ; + RECT 108000.0 335850.0 109200.0 334650.0 ; + RECT 112800.0 325950.0 114000.0 324750.0 ; + RECT 112800.0 335850.0 114000.0 334650.0 ; + RECT 108600.0 331200.0 109800.0 330000.0 ; + RECT 108600.0 331200.0 109800.0 330000.0 ; + RECT 111150.0 331050.0 112050.0 330150.0 ; + RECT 106200.0 323850.0 115800.0 322950.0 ; + RECT 106200.0 337650.0 115800.0 336750.0 ; + RECT 117600.0 335250.0 118800.0 337650.0 ; + RECT 117600.0 326550.0 118800.0 322950.0 ; + RECT 122400.0 326550.0 123600.0 322950.0 ; + RECT 124800.0 325350.0 126000.0 323400.0 ; + RECT 124800.0 337200.0 126000.0 335250.0 ; + RECT 117600.0 326550.0 118800.0 325350.0 ; + RECT 120000.0 326550.0 121200.0 325350.0 ; + RECT 120000.0 326550.0 121200.0 325350.0 ; + RECT 117600.0 326550.0 118800.0 325350.0 ; + RECT 120000.0 326550.0 121200.0 325350.0 ; + RECT 122400.0 326550.0 123600.0 325350.0 ; + RECT 122400.0 326550.0 123600.0 325350.0 ; + RECT 120000.0 326550.0 121200.0 325350.0 ; + RECT 117600.0 335250.0 118800.0 334050.0 ; + RECT 120000.0 335250.0 121200.0 334050.0 ; + RECT 120000.0 335250.0 121200.0 334050.0 ; + RECT 117600.0 335250.0 118800.0 334050.0 ; + RECT 120000.0 335250.0 121200.0 334050.0 ; + RECT 122400.0 335250.0 123600.0 334050.0 ; + RECT 122400.0 335250.0 123600.0 334050.0 ; + RECT 120000.0 335250.0 121200.0 334050.0 ; + RECT 124800.0 325950.0 126000.0 324750.0 ; + RECT 124800.0 335850.0 126000.0 334650.0 ; + RECT 122400.0 332700.0 121200.0 331500.0 ; + RECT 119400.0 330000.0 118200.0 328800.0 ; + RECT 120000.0 326550.0 121200.0 325350.0 ; + RECT 122400.0 335250.0 123600.0 334050.0 ; + RECT 123600.0 330000.0 122400.0 328800.0 ; + RECT 118200.0 330000.0 119400.0 328800.0 ; + RECT 121200.0 332700.0 122400.0 331500.0 ; + RECT 122400.0 330000.0 123600.0 328800.0 ; + RECT 115800.0 323850.0 130200.0 322950.0 ; + RECT 115800.0 337650.0 130200.0 336750.0 ; + RECT 136800.0 325350.0 138000.0 323400.0 ; + RECT 136800.0 337200.0 138000.0 335250.0 ; + RECT 132000.0 335850.0 133200.0 337650.0 ; + RECT 132000.0 326550.0 133200.0 322950.0 ; + RECT 134700.0 335850.0 135600.0 326550.0 ; + RECT 132000.0 326550.0 133200.0 325350.0 ; + RECT 134400.0 326550.0 135600.0 325350.0 ; + RECT 134400.0 326550.0 135600.0 325350.0 ; + RECT 132000.0 326550.0 133200.0 325350.0 ; + RECT 132000.0 335850.0 133200.0 334650.0 ; + RECT 134400.0 335850.0 135600.0 334650.0 ; + RECT 134400.0 335850.0 135600.0 334650.0 ; + RECT 132000.0 335850.0 133200.0 334650.0 ; + RECT 136800.0 325950.0 138000.0 324750.0 ; + RECT 136800.0 335850.0 138000.0 334650.0 ; + RECT 132600.0 331200.0 133800.0 330000.0 ; + RECT 132600.0 331200.0 133800.0 330000.0 ; + RECT 135150.0 331050.0 136050.0 330150.0 ; + RECT 130200.0 323850.0 139800.0 322950.0 ; + RECT 130200.0 337650.0 139800.0 336750.0 ; + RECT 102450.0 330000.0 103650.0 331200.0 ; + RECT 104400.0 332400.0 105600.0 333600.0 ; + RECT 121200.0 331500.0 120000.0 332700.0 ; + RECT 112800.0 349050.0 114000.0 351000.0 ; + RECT 112800.0 337200.0 114000.0 339150.0 ; + RECT 108000.0 338550.0 109200.0 336750.0 ; + RECT 108000.0 347850.0 109200.0 351450.0 ; + RECT 110700.0 338550.0 111600.0 347850.0 ; + RECT 108000.0 347850.0 109200.0 349050.0 ; + RECT 110400.0 347850.0 111600.0 349050.0 ; + RECT 110400.0 347850.0 111600.0 349050.0 ; + RECT 108000.0 347850.0 109200.0 349050.0 ; + RECT 108000.0 338550.0 109200.0 339750.0 ; + RECT 110400.0 338550.0 111600.0 339750.0 ; + RECT 110400.0 338550.0 111600.0 339750.0 ; + RECT 108000.0 338550.0 109200.0 339750.0 ; + RECT 112800.0 348450.0 114000.0 349650.0 ; + RECT 112800.0 338550.0 114000.0 339750.0 ; + RECT 108600.0 343200.0 109800.0 344400.0 ; + RECT 108600.0 343200.0 109800.0 344400.0 ; + RECT 111150.0 343350.0 112050.0 344250.0 ; + RECT 106200.0 350550.0 115800.0 351450.0 ; + RECT 106200.0 336750.0 115800.0 337650.0 ; + RECT 117600.0 339150.0 118800.0 336750.0 ; + RECT 117600.0 347850.0 118800.0 351450.0 ; + RECT 122400.0 347850.0 123600.0 351450.0 ; + RECT 124800.0 349050.0 126000.0 351000.0 ; + RECT 124800.0 337200.0 126000.0 339150.0 ; + RECT 117600.0 347850.0 118800.0 349050.0 ; + RECT 120000.0 347850.0 121200.0 349050.0 ; + RECT 120000.0 347850.0 121200.0 349050.0 ; + RECT 117600.0 347850.0 118800.0 349050.0 ; + RECT 120000.0 347850.0 121200.0 349050.0 ; + RECT 122400.0 347850.0 123600.0 349050.0 ; + RECT 122400.0 347850.0 123600.0 349050.0 ; + RECT 120000.0 347850.0 121200.0 349050.0 ; + RECT 117600.0 339150.0 118800.0 340350.0 ; + RECT 120000.0 339150.0 121200.0 340350.0 ; + RECT 120000.0 339150.0 121200.0 340350.0 ; + RECT 117600.0 339150.0 118800.0 340350.0 ; + RECT 120000.0 339150.0 121200.0 340350.0 ; + RECT 122400.0 339150.0 123600.0 340350.0 ; + RECT 122400.0 339150.0 123600.0 340350.0 ; + RECT 120000.0 339150.0 121200.0 340350.0 ; + RECT 124800.0 348450.0 126000.0 349650.0 ; + RECT 124800.0 338550.0 126000.0 339750.0 ; + RECT 122400.0 341700.0 121200.0 342900.0 ; + RECT 119400.0 344400.0 118200.0 345600.0 ; + RECT 120000.0 347850.0 121200.0 349050.0 ; + RECT 122400.0 339150.0 123600.0 340350.0 ; + RECT 123600.0 344400.0 122400.0 345600.0 ; + RECT 118200.0 344400.0 119400.0 345600.0 ; + RECT 121200.0 341700.0 122400.0 342900.0 ; + RECT 122400.0 344400.0 123600.0 345600.0 ; + RECT 115800.0 350550.0 130200.0 351450.0 ; + RECT 115800.0 336750.0 130200.0 337650.0 ; + RECT 136800.0 349050.0 138000.0 351000.0 ; + RECT 136800.0 337200.0 138000.0 339150.0 ; + RECT 132000.0 338550.0 133200.0 336750.0 ; + RECT 132000.0 347850.0 133200.0 351450.0 ; + RECT 134700.0 338550.0 135600.0 347850.0 ; + RECT 132000.0 347850.0 133200.0 349050.0 ; + RECT 134400.0 347850.0 135600.0 349050.0 ; + RECT 134400.0 347850.0 135600.0 349050.0 ; + RECT 132000.0 347850.0 133200.0 349050.0 ; + RECT 132000.0 338550.0 133200.0 339750.0 ; + RECT 134400.0 338550.0 135600.0 339750.0 ; + RECT 134400.0 338550.0 135600.0 339750.0 ; + RECT 132000.0 338550.0 133200.0 339750.0 ; + RECT 136800.0 348450.0 138000.0 349650.0 ; + RECT 136800.0 338550.0 138000.0 339750.0 ; + RECT 132600.0 343200.0 133800.0 344400.0 ; + RECT 132600.0 343200.0 133800.0 344400.0 ; + RECT 135150.0 343350.0 136050.0 344250.0 ; + RECT 130200.0 350550.0 139800.0 351450.0 ; + RECT 130200.0 336750.0 139800.0 337650.0 ; + RECT 102450.0 343200.0 103650.0 344400.0 ; + RECT 104400.0 340800.0 105600.0 342000.0 ; + RECT 121200.0 341700.0 120000.0 342900.0 ; + RECT 112800.0 352950.0 114000.0 351000.0 ; + RECT 112800.0 364800.0 114000.0 362850.0 ; + RECT 108000.0 363450.0 109200.0 365250.0 ; + RECT 108000.0 354150.0 109200.0 350550.0 ; + RECT 110700.0 363450.0 111600.0 354150.0 ; + RECT 108000.0 354150.0 109200.0 352950.0 ; + RECT 110400.0 354150.0 111600.0 352950.0 ; + RECT 110400.0 354150.0 111600.0 352950.0 ; + RECT 108000.0 354150.0 109200.0 352950.0 ; + RECT 108000.0 363450.0 109200.0 362250.0 ; + RECT 110400.0 363450.0 111600.0 362250.0 ; + RECT 110400.0 363450.0 111600.0 362250.0 ; + RECT 108000.0 363450.0 109200.0 362250.0 ; + RECT 112800.0 353550.0 114000.0 352350.0 ; + RECT 112800.0 363450.0 114000.0 362250.0 ; + RECT 108600.0 358800.0 109800.0 357600.0 ; + RECT 108600.0 358800.0 109800.0 357600.0 ; + RECT 111150.0 358650.0 112050.0 357750.0 ; + RECT 106200.0 351450.0 115800.0 350550.0 ; + RECT 106200.0 365250.0 115800.0 364350.0 ; + RECT 117600.0 362850.0 118800.0 365250.0 ; + RECT 117600.0 354150.0 118800.0 350550.0 ; + RECT 122400.0 354150.0 123600.0 350550.0 ; + RECT 124800.0 352950.0 126000.0 351000.0 ; + RECT 124800.0 364800.0 126000.0 362850.0 ; + RECT 117600.0 354150.0 118800.0 352950.0 ; + RECT 120000.0 354150.0 121200.0 352950.0 ; + RECT 120000.0 354150.0 121200.0 352950.0 ; + RECT 117600.0 354150.0 118800.0 352950.0 ; + RECT 120000.0 354150.0 121200.0 352950.0 ; + RECT 122400.0 354150.0 123600.0 352950.0 ; + RECT 122400.0 354150.0 123600.0 352950.0 ; + RECT 120000.0 354150.0 121200.0 352950.0 ; + RECT 117600.0 362850.0 118800.0 361650.0 ; + RECT 120000.0 362850.0 121200.0 361650.0 ; + RECT 120000.0 362850.0 121200.0 361650.0 ; + RECT 117600.0 362850.0 118800.0 361650.0 ; + RECT 120000.0 362850.0 121200.0 361650.0 ; + RECT 122400.0 362850.0 123600.0 361650.0 ; + RECT 122400.0 362850.0 123600.0 361650.0 ; + RECT 120000.0 362850.0 121200.0 361650.0 ; + RECT 124800.0 353550.0 126000.0 352350.0 ; + RECT 124800.0 363450.0 126000.0 362250.0 ; + RECT 122400.0 360300.0 121200.0 359100.0 ; + RECT 119400.0 357600.0 118200.0 356400.0 ; + RECT 120000.0 354150.0 121200.0 352950.0 ; + RECT 122400.0 362850.0 123600.0 361650.0 ; + RECT 123600.0 357600.0 122400.0 356400.0 ; + RECT 118200.0 357600.0 119400.0 356400.0 ; + RECT 121200.0 360300.0 122400.0 359100.0 ; + RECT 122400.0 357600.0 123600.0 356400.0 ; + RECT 115800.0 351450.0 130200.0 350550.0 ; + RECT 115800.0 365250.0 130200.0 364350.0 ; + RECT 136800.0 352950.0 138000.0 351000.0 ; + RECT 136800.0 364800.0 138000.0 362850.0 ; + RECT 132000.0 363450.0 133200.0 365250.0 ; + RECT 132000.0 354150.0 133200.0 350550.0 ; + RECT 134700.0 363450.0 135600.0 354150.0 ; + RECT 132000.0 354150.0 133200.0 352950.0 ; + RECT 134400.0 354150.0 135600.0 352950.0 ; + RECT 134400.0 354150.0 135600.0 352950.0 ; + RECT 132000.0 354150.0 133200.0 352950.0 ; + RECT 132000.0 363450.0 133200.0 362250.0 ; + RECT 134400.0 363450.0 135600.0 362250.0 ; + RECT 134400.0 363450.0 135600.0 362250.0 ; + RECT 132000.0 363450.0 133200.0 362250.0 ; + RECT 136800.0 353550.0 138000.0 352350.0 ; + RECT 136800.0 363450.0 138000.0 362250.0 ; + RECT 132600.0 358800.0 133800.0 357600.0 ; + RECT 132600.0 358800.0 133800.0 357600.0 ; + RECT 135150.0 358650.0 136050.0 357750.0 ; + RECT 130200.0 351450.0 139800.0 350550.0 ; + RECT 130200.0 365250.0 139800.0 364350.0 ; + RECT 102450.0 357600.0 103650.0 358800.0 ; + RECT 104400.0 360000.0 105600.0 361200.0 ; + RECT 121200.0 359100.0 120000.0 360300.0 ; + RECT 112800.0 376650.0 114000.0 378600.0 ; + RECT 112800.0 364800.0 114000.0 366750.0 ; + RECT 108000.0 366150.0 109200.0 364350.0 ; + RECT 108000.0 375450.0 109200.0 379050.0 ; + RECT 110700.0 366150.0 111600.0 375450.0 ; + RECT 108000.0 375450.0 109200.0 376650.0 ; + RECT 110400.0 375450.0 111600.0 376650.0 ; + RECT 110400.0 375450.0 111600.0 376650.0 ; + RECT 108000.0 375450.0 109200.0 376650.0 ; + RECT 108000.0 366150.0 109200.0 367350.0 ; + RECT 110400.0 366150.0 111600.0 367350.0 ; + RECT 110400.0 366150.0 111600.0 367350.0 ; + RECT 108000.0 366150.0 109200.0 367350.0 ; + RECT 112800.0 376050.0 114000.0 377250.0 ; + RECT 112800.0 366150.0 114000.0 367350.0 ; + RECT 108600.0 370800.0 109800.0 372000.0 ; + RECT 108600.0 370800.0 109800.0 372000.0 ; + RECT 111150.0 370950.0 112050.0 371850.0 ; + RECT 106200.0 378150.0 115800.0 379050.0 ; + RECT 106200.0 364350.0 115800.0 365250.0 ; + RECT 117600.0 366750.0 118800.0 364350.0 ; + RECT 117600.0 375450.0 118800.0 379050.0 ; + RECT 122400.0 375450.0 123600.0 379050.0 ; + RECT 124800.0 376650.0 126000.0 378600.0 ; + RECT 124800.0 364800.0 126000.0 366750.0 ; + RECT 117600.0 375450.0 118800.0 376650.0 ; + RECT 120000.0 375450.0 121200.0 376650.0 ; + RECT 120000.0 375450.0 121200.0 376650.0 ; + RECT 117600.0 375450.0 118800.0 376650.0 ; + RECT 120000.0 375450.0 121200.0 376650.0 ; + RECT 122400.0 375450.0 123600.0 376650.0 ; + RECT 122400.0 375450.0 123600.0 376650.0 ; + RECT 120000.0 375450.0 121200.0 376650.0 ; + RECT 117600.0 366750.0 118800.0 367950.0 ; + RECT 120000.0 366750.0 121200.0 367950.0 ; + RECT 120000.0 366750.0 121200.0 367950.0 ; + RECT 117600.0 366750.0 118800.0 367950.0 ; + RECT 120000.0 366750.0 121200.0 367950.0 ; + RECT 122400.0 366750.0 123600.0 367950.0 ; + RECT 122400.0 366750.0 123600.0 367950.0 ; + RECT 120000.0 366750.0 121200.0 367950.0 ; + RECT 124800.0 376050.0 126000.0 377250.0 ; + RECT 124800.0 366150.0 126000.0 367350.0 ; + RECT 122400.0 369300.0 121200.0 370500.0 ; + RECT 119400.0 372000.0 118200.0 373200.0 ; + RECT 120000.0 375450.0 121200.0 376650.0 ; + RECT 122400.0 366750.0 123600.0 367950.0 ; + RECT 123600.0 372000.0 122400.0 373200.0 ; + RECT 118200.0 372000.0 119400.0 373200.0 ; + RECT 121200.0 369300.0 122400.0 370500.0 ; + RECT 122400.0 372000.0 123600.0 373200.0 ; + RECT 115800.0 378150.0 130200.0 379050.0 ; + RECT 115800.0 364350.0 130200.0 365250.0 ; + RECT 136800.0 376650.0 138000.0 378600.0 ; + RECT 136800.0 364800.0 138000.0 366750.0 ; + RECT 132000.0 366150.0 133200.0 364350.0 ; + RECT 132000.0 375450.0 133200.0 379050.0 ; + RECT 134700.0 366150.0 135600.0 375450.0 ; + RECT 132000.0 375450.0 133200.0 376650.0 ; + RECT 134400.0 375450.0 135600.0 376650.0 ; + RECT 134400.0 375450.0 135600.0 376650.0 ; + RECT 132000.0 375450.0 133200.0 376650.0 ; + RECT 132000.0 366150.0 133200.0 367350.0 ; + RECT 134400.0 366150.0 135600.0 367350.0 ; + RECT 134400.0 366150.0 135600.0 367350.0 ; + RECT 132000.0 366150.0 133200.0 367350.0 ; + RECT 136800.0 376050.0 138000.0 377250.0 ; + RECT 136800.0 366150.0 138000.0 367350.0 ; + RECT 132600.0 370800.0 133800.0 372000.0 ; + RECT 132600.0 370800.0 133800.0 372000.0 ; + RECT 135150.0 370950.0 136050.0 371850.0 ; + RECT 130200.0 378150.0 139800.0 379050.0 ; + RECT 130200.0 364350.0 139800.0 365250.0 ; + RECT 102450.0 370800.0 103650.0 372000.0 ; + RECT 104400.0 368400.0 105600.0 369600.0 ; + RECT 121200.0 369300.0 120000.0 370500.0 ; + RECT 112800.0 380550.0 114000.0 378600.0 ; + RECT 112800.0 392400.0 114000.0 390450.0 ; + RECT 108000.0 391050.0 109200.0 392850.0 ; + RECT 108000.0 381750.0 109200.0 378150.0 ; + RECT 110700.0 391050.0 111600.0 381750.0 ; + RECT 108000.0 381750.0 109200.0 380550.0 ; + RECT 110400.0 381750.0 111600.0 380550.0 ; + RECT 110400.0 381750.0 111600.0 380550.0 ; + RECT 108000.0 381750.0 109200.0 380550.0 ; + RECT 108000.0 391050.0 109200.0 389850.0 ; + RECT 110400.0 391050.0 111600.0 389850.0 ; + RECT 110400.0 391050.0 111600.0 389850.0 ; + RECT 108000.0 391050.0 109200.0 389850.0 ; + RECT 112800.0 381150.0 114000.0 379950.0 ; + RECT 112800.0 391050.0 114000.0 389850.0 ; + RECT 108600.0 386400.0 109800.0 385200.0 ; + RECT 108600.0 386400.0 109800.0 385200.0 ; + RECT 111150.0 386250.0 112050.0 385350.0 ; + RECT 106200.0 379050.0 115800.0 378150.0 ; + RECT 106200.0 392850.0 115800.0 391950.0 ; + RECT 117600.0 390450.0 118800.0 392850.0 ; + RECT 117600.0 381750.0 118800.0 378150.0 ; + RECT 122400.0 381750.0 123600.0 378150.0 ; + RECT 124800.0 380550.0 126000.0 378600.0 ; + RECT 124800.0 392400.0 126000.0 390450.0 ; + RECT 117600.0 381750.0 118800.0 380550.0 ; + RECT 120000.0 381750.0 121200.0 380550.0 ; + RECT 120000.0 381750.0 121200.0 380550.0 ; + RECT 117600.0 381750.0 118800.0 380550.0 ; + RECT 120000.0 381750.0 121200.0 380550.0 ; + RECT 122400.0 381750.0 123600.0 380550.0 ; + RECT 122400.0 381750.0 123600.0 380550.0 ; + RECT 120000.0 381750.0 121200.0 380550.0 ; + RECT 117600.0 390450.0 118800.0 389250.0 ; + RECT 120000.0 390450.0 121200.0 389250.0 ; + RECT 120000.0 390450.0 121200.0 389250.0 ; + RECT 117600.0 390450.0 118800.0 389250.0 ; + RECT 120000.0 390450.0 121200.0 389250.0 ; + RECT 122400.0 390450.0 123600.0 389250.0 ; + RECT 122400.0 390450.0 123600.0 389250.0 ; + RECT 120000.0 390450.0 121200.0 389250.0 ; + RECT 124800.0 381150.0 126000.0 379950.0 ; + RECT 124800.0 391050.0 126000.0 389850.0 ; + RECT 122400.0 387900.0 121200.0 386700.0 ; + RECT 119400.0 385200.0 118200.0 384000.0 ; + RECT 120000.0 381750.0 121200.0 380550.0 ; + RECT 122400.0 390450.0 123600.0 389250.0 ; + RECT 123600.0 385200.0 122400.0 384000.0 ; + RECT 118200.0 385200.0 119400.0 384000.0 ; + RECT 121200.0 387900.0 122400.0 386700.0 ; + RECT 122400.0 385200.0 123600.0 384000.0 ; + RECT 115800.0 379050.0 130200.0 378150.0 ; + RECT 115800.0 392850.0 130200.0 391950.0 ; + RECT 136800.0 380550.0 138000.0 378600.0 ; + RECT 136800.0 392400.0 138000.0 390450.0 ; + RECT 132000.0 391050.0 133200.0 392850.0 ; + RECT 132000.0 381750.0 133200.0 378150.0 ; + RECT 134700.0 391050.0 135600.0 381750.0 ; + RECT 132000.0 381750.0 133200.0 380550.0 ; + RECT 134400.0 381750.0 135600.0 380550.0 ; + RECT 134400.0 381750.0 135600.0 380550.0 ; + RECT 132000.0 381750.0 133200.0 380550.0 ; + RECT 132000.0 391050.0 133200.0 389850.0 ; + RECT 134400.0 391050.0 135600.0 389850.0 ; + RECT 134400.0 391050.0 135600.0 389850.0 ; + RECT 132000.0 391050.0 133200.0 389850.0 ; + RECT 136800.0 381150.0 138000.0 379950.0 ; + RECT 136800.0 391050.0 138000.0 389850.0 ; + RECT 132600.0 386400.0 133800.0 385200.0 ; + RECT 132600.0 386400.0 133800.0 385200.0 ; + RECT 135150.0 386250.0 136050.0 385350.0 ; + RECT 130200.0 379050.0 139800.0 378150.0 ; + RECT 130200.0 392850.0 139800.0 391950.0 ; + RECT 102450.0 385200.0 103650.0 386400.0 ; + RECT 104400.0 387600.0 105600.0 388800.0 ; + RECT 121200.0 386700.0 120000.0 387900.0 ; + RECT 112800.0 404250.0 114000.0 406200.0 ; + RECT 112800.0 392400.0 114000.0 394350.0 ; + RECT 108000.0 393750.0 109200.0 391950.0 ; + RECT 108000.0 403050.0 109200.0 406650.0 ; + RECT 110700.0 393750.0 111600.0 403050.0 ; + RECT 108000.0 403050.0 109200.0 404250.0 ; + RECT 110400.0 403050.0 111600.0 404250.0 ; + RECT 110400.0 403050.0 111600.0 404250.0 ; + RECT 108000.0 403050.0 109200.0 404250.0 ; + RECT 108000.0 393750.0 109200.0 394950.0 ; + RECT 110400.0 393750.0 111600.0 394950.0 ; + RECT 110400.0 393750.0 111600.0 394950.0 ; + RECT 108000.0 393750.0 109200.0 394950.0 ; + RECT 112800.0 403650.0 114000.0 404850.0 ; + RECT 112800.0 393750.0 114000.0 394950.0 ; + RECT 108600.0 398400.0 109800.0 399600.0 ; + RECT 108600.0 398400.0 109800.0 399600.0 ; + RECT 111150.0 398550.0 112050.0 399450.0 ; + RECT 106200.0 405750.0 115800.0 406650.0 ; + RECT 106200.0 391950.0 115800.0 392850.0 ; + RECT 117600.0 394350.0 118800.0 391950.0 ; + RECT 117600.0 403050.0 118800.0 406650.0 ; + RECT 122400.0 403050.0 123600.0 406650.0 ; + RECT 124800.0 404250.0 126000.0 406200.0 ; + RECT 124800.0 392400.0 126000.0 394350.0 ; + RECT 117600.0 403050.0 118800.0 404250.0 ; + RECT 120000.0 403050.0 121200.0 404250.0 ; + RECT 120000.0 403050.0 121200.0 404250.0 ; + RECT 117600.0 403050.0 118800.0 404250.0 ; + RECT 120000.0 403050.0 121200.0 404250.0 ; + RECT 122400.0 403050.0 123600.0 404250.0 ; + RECT 122400.0 403050.0 123600.0 404250.0 ; + RECT 120000.0 403050.0 121200.0 404250.0 ; + RECT 117600.0 394350.0 118800.0 395550.0 ; + RECT 120000.0 394350.0 121200.0 395550.0 ; + RECT 120000.0 394350.0 121200.0 395550.0 ; + RECT 117600.0 394350.0 118800.0 395550.0 ; + RECT 120000.0 394350.0 121200.0 395550.0 ; + RECT 122400.0 394350.0 123600.0 395550.0 ; + RECT 122400.0 394350.0 123600.0 395550.0 ; + RECT 120000.0 394350.0 121200.0 395550.0 ; + RECT 124800.0 403650.0 126000.0 404850.0 ; + RECT 124800.0 393750.0 126000.0 394950.0 ; + RECT 122400.0 396900.0 121200.0 398100.0 ; + RECT 119400.0 399600.0 118200.0 400800.0 ; + RECT 120000.0 403050.0 121200.0 404250.0 ; + RECT 122400.0 394350.0 123600.0 395550.0 ; + RECT 123600.0 399600.0 122400.0 400800.0 ; + RECT 118200.0 399600.0 119400.0 400800.0 ; + RECT 121200.0 396900.0 122400.0 398100.0 ; + RECT 122400.0 399600.0 123600.0 400800.0 ; + RECT 115800.0 405750.0 130200.0 406650.0 ; + RECT 115800.0 391950.0 130200.0 392850.0 ; + RECT 136800.0 404250.0 138000.0 406200.0 ; + RECT 136800.0 392400.0 138000.0 394350.0 ; + RECT 132000.0 393750.0 133200.0 391950.0 ; + RECT 132000.0 403050.0 133200.0 406650.0 ; + RECT 134700.0 393750.0 135600.0 403050.0 ; + RECT 132000.0 403050.0 133200.0 404250.0 ; + RECT 134400.0 403050.0 135600.0 404250.0 ; + RECT 134400.0 403050.0 135600.0 404250.0 ; + RECT 132000.0 403050.0 133200.0 404250.0 ; + RECT 132000.0 393750.0 133200.0 394950.0 ; + RECT 134400.0 393750.0 135600.0 394950.0 ; + RECT 134400.0 393750.0 135600.0 394950.0 ; + RECT 132000.0 393750.0 133200.0 394950.0 ; + RECT 136800.0 403650.0 138000.0 404850.0 ; + RECT 136800.0 393750.0 138000.0 394950.0 ; + RECT 132600.0 398400.0 133800.0 399600.0 ; + RECT 132600.0 398400.0 133800.0 399600.0 ; + RECT 135150.0 398550.0 136050.0 399450.0 ; + RECT 130200.0 405750.0 139800.0 406650.0 ; + RECT 130200.0 391950.0 139800.0 392850.0 ; + RECT 102450.0 398400.0 103650.0 399600.0 ; + RECT 104400.0 396000.0 105600.0 397200.0 ; + RECT 121200.0 396900.0 120000.0 398100.0 ; + RECT 112800.0 408150.0 114000.0 406200.0 ; + RECT 112800.0 420000.0 114000.0 418050.0 ; + RECT 108000.0 418650.0 109200.0 420450.0 ; + RECT 108000.0 409350.0 109200.0 405750.0 ; + RECT 110700.0 418650.0 111600.0 409350.0 ; + RECT 108000.0 409350.0 109200.0 408150.0 ; + RECT 110400.0 409350.0 111600.0 408150.0 ; + RECT 110400.0 409350.0 111600.0 408150.0 ; + RECT 108000.0 409350.0 109200.0 408150.0 ; + RECT 108000.0 418650.0 109200.0 417450.0 ; + RECT 110400.0 418650.0 111600.0 417450.0 ; + RECT 110400.0 418650.0 111600.0 417450.0 ; + RECT 108000.0 418650.0 109200.0 417450.0 ; + RECT 112800.0 408750.0 114000.0 407550.0 ; + RECT 112800.0 418650.0 114000.0 417450.0 ; + RECT 108600.0 414000.0 109800.0 412800.0 ; + RECT 108600.0 414000.0 109800.0 412800.0 ; + RECT 111150.0 413850.0 112050.0 412950.0 ; + RECT 106200.0 406650.0 115800.0 405750.0 ; + RECT 106200.0 420450.0 115800.0 419550.0 ; + RECT 117600.0 418050.0 118800.0 420450.0 ; + RECT 117600.0 409350.0 118800.0 405750.0 ; + RECT 122400.0 409350.0 123600.0 405750.0 ; + RECT 124800.0 408150.0 126000.0 406200.0 ; + RECT 124800.0 420000.0 126000.0 418050.0 ; + RECT 117600.0 409350.0 118800.0 408150.0 ; + RECT 120000.0 409350.0 121200.0 408150.0 ; + RECT 120000.0 409350.0 121200.0 408150.0 ; + RECT 117600.0 409350.0 118800.0 408150.0 ; + RECT 120000.0 409350.0 121200.0 408150.0 ; + RECT 122400.0 409350.0 123600.0 408150.0 ; + RECT 122400.0 409350.0 123600.0 408150.0 ; + RECT 120000.0 409350.0 121200.0 408150.0 ; + RECT 117600.0 418050.0 118800.0 416850.0 ; + RECT 120000.0 418050.0 121200.0 416850.0 ; + RECT 120000.0 418050.0 121200.0 416850.0 ; + RECT 117600.0 418050.0 118800.0 416850.0 ; + RECT 120000.0 418050.0 121200.0 416850.0 ; + RECT 122400.0 418050.0 123600.0 416850.0 ; + RECT 122400.0 418050.0 123600.0 416850.0 ; + RECT 120000.0 418050.0 121200.0 416850.0 ; + RECT 124800.0 408750.0 126000.0 407550.0 ; + RECT 124800.0 418650.0 126000.0 417450.0 ; + RECT 122400.0 415500.0 121200.0 414300.0 ; + RECT 119400.0 412800.0 118200.0 411600.0 ; + RECT 120000.0 409350.0 121200.0 408150.0 ; + RECT 122400.0 418050.0 123600.0 416850.0 ; + RECT 123600.0 412800.0 122400.0 411600.0 ; + RECT 118200.0 412800.0 119400.0 411600.0 ; + RECT 121200.0 415500.0 122400.0 414300.0 ; + RECT 122400.0 412800.0 123600.0 411600.0 ; + RECT 115800.0 406650.0 130200.0 405750.0 ; + RECT 115800.0 420450.0 130200.0 419550.0 ; + RECT 136800.0 408150.0 138000.0 406200.0 ; + RECT 136800.0 420000.0 138000.0 418050.0 ; + RECT 132000.0 418650.0 133200.0 420450.0 ; + RECT 132000.0 409350.0 133200.0 405750.0 ; + RECT 134700.0 418650.0 135600.0 409350.0 ; + RECT 132000.0 409350.0 133200.0 408150.0 ; + RECT 134400.0 409350.0 135600.0 408150.0 ; + RECT 134400.0 409350.0 135600.0 408150.0 ; + RECT 132000.0 409350.0 133200.0 408150.0 ; + RECT 132000.0 418650.0 133200.0 417450.0 ; + RECT 134400.0 418650.0 135600.0 417450.0 ; + RECT 134400.0 418650.0 135600.0 417450.0 ; + RECT 132000.0 418650.0 133200.0 417450.0 ; + RECT 136800.0 408750.0 138000.0 407550.0 ; + RECT 136800.0 418650.0 138000.0 417450.0 ; + RECT 132600.0 414000.0 133800.0 412800.0 ; + RECT 132600.0 414000.0 133800.0 412800.0 ; + RECT 135150.0 413850.0 136050.0 412950.0 ; + RECT 130200.0 406650.0 139800.0 405750.0 ; + RECT 130200.0 420450.0 139800.0 419550.0 ; + RECT 102450.0 412800.0 103650.0 414000.0 ; + RECT 104400.0 415200.0 105600.0 416400.0 ; + RECT 121200.0 414300.0 120000.0 415500.0 ; + RECT 99900.0 202950.0 105000.0 203850.0 ; + RECT 99900.0 222150.0 105000.0 223050.0 ; + RECT 99900.0 230550.0 105000.0 231450.0 ; + RECT 99900.0 249750.0 105000.0 250650.0 ; + RECT 99900.0 258150.0 105000.0 259050.0 ; + RECT 99900.0 277350.0 105000.0 278250.0 ; + RECT 99900.0 285750.0 105000.0 286650.0 ; + RECT 99900.0 304950.0 105000.0 305850.0 ; + RECT 99900.0 313350.0 105000.0 314250.0 ; + RECT 99900.0 332550.0 105000.0 333450.0 ; + RECT 99900.0 340950.0 105000.0 341850.0 ; + RECT 99900.0 360150.0 105000.0 361050.0 ; + RECT 99900.0 368550.0 105000.0 369450.0 ; + RECT 99900.0 387750.0 105000.0 388650.0 ; + RECT 99900.0 396150.0 105000.0 397050.0 ; + RECT 99900.0 415350.0 105000.0 416250.0 ; + RECT 135150.0 205350.0 136050.0 206250.0 ; + RECT 135150.0 219750.0 136050.0 220650.0 ; + RECT 135150.0 232950.0 136050.0 233850.0 ; + RECT 135150.0 247350.0 136050.0 248250.0 ; + RECT 135150.0 260550.0 136050.0 261450.0 ; + RECT 135150.0 274950.0 136050.0 275850.0 ; + RECT 135150.0 288150.0 136050.0 289050.0 ; + RECT 135150.0 302550.0 136050.0 303450.0 ; + RECT 135150.0 315750.0 136050.0 316650.0 ; + RECT 135150.0 330150.0 136050.0 331050.0 ; + RECT 135150.0 343350.0 136050.0 344250.0 ; + RECT 135150.0 357750.0 136050.0 358650.0 ; + RECT 135150.0 370950.0 136050.0 371850.0 ; + RECT 135150.0 385350.0 136050.0 386250.0 ; + RECT 135150.0 398550.0 136050.0 399450.0 ; + RECT 135150.0 412950.0 136050.0 413850.0 ; + RECT 99900.0 212550.0 106200.0 213450.0 ; + RECT 99900.0 240150.0 106200.0 241050.0 ; + RECT 99900.0 267750.0 106200.0 268650.0 ; + RECT 99900.0 295350.0 106200.0 296250.0 ; + RECT 99900.0 322950.0 106200.0 323850.0 ; + RECT 99900.0 350550.0 106200.0 351450.0 ; + RECT 99900.0 378150.0 106200.0 379050.0 ; + RECT 99900.0 405750.0 106200.0 406650.0 ; + RECT 99900.0 198750.0 106200.0 199650.0 ; + RECT 99900.0 226350.0 106200.0 227250.0 ; + RECT 99900.0 253950.0 106200.0 254850.0 ; + RECT 99900.0 281550.0 106200.0 282450.0 ; + RECT 99900.0 309150.0 106200.0 310050.0 ; + RECT 99900.0 336750.0 106200.0 337650.0 ; + RECT 99900.0 364350.0 106200.0 365250.0 ; + RECT 99900.0 391950.0 106200.0 392850.0 ; + RECT 99900.0 419550.0 106200.0 420450.0 ; + RECT 59100.0 83400.0 119100.0 73200.0 ; + RECT 59100.0 63000.0 119100.0 73200.0 ; + RECT 59100.0 63000.0 119100.0 52800.0 ; + RECT 59100.0 42600.0 119100.0 52800.0 ; + RECT 61500.0 83400.0 62400.0 42600.0 ; + RECT 115500.0 83400.0 116400.0 42600.0 ; + RECT 148050.0 199800.0 149250.0 198600.0 ; + RECT 148050.0 227400.0 149250.0 226200.0 ; + RECT 148050.0 255000.0 149250.0 253800.0 ; + RECT 148050.0 282600.0 149250.0 281400.0 ; + RECT 148050.0 310200.0 149250.0 309000.0 ; + RECT 148050.0 337800.0 149250.0 336600.0 ; + RECT 148050.0 365400.0 149250.0 364200.0 ; + RECT 148050.0 393000.0 149250.0 391800.0 ; + RECT 148050.0 420600.0 149250.0 419400.0 ; + RECT 130500.0 91050.0 129300.0 92250.0 ; + RECT 135600.0 90900.0 134400.0 92100.0 ; + RECT 127500.0 104850.0 126300.0 106050.0 ; + RECT 138300.0 104700.0 137100.0 105900.0 ; + RECT 130500.0 146250.0 129300.0 147450.0 ; + RECT 141000.0 146100.0 139800.0 147300.0 ; + RECT 127500.0 160050.0 126300.0 161250.0 ; + RECT 143700.0 159900.0 142500.0 161100.0 ; + RECT 132600.0 88200.0 131400.0 89400.0 ; + RECT 132600.0 115800.0 131400.0 117000.0 ; + RECT 132600.0 143400.0 131400.0 144600.0 ; + RECT 132600.0 171000.0 131400.0 172200.0 ; + RECT 118500.0 76950.0 117300.0 78150.0 ; + RECT 135600.0 76950.0 134400.0 78150.0 ; + RECT 118500.0 68250.0 117300.0 69450.0 ; + RECT 138300.0 68250.0 137100.0 69450.0 ; + RECT 118500.0 56550.0 117300.0 57750.0 ; + RECT 141000.0 56550.0 139800.0 57750.0 ; + RECT 118500.0 47850.0 117300.0 49050.0 ; + RECT 143700.0 47850.0 142500.0 49050.0 ; + RECT 120300.0 72600.0 119100.0 73800.0 ; + RECT 149250.0 72750.0 148050.0 73950.0 ; + RECT 120300.0 52200.0 119100.0 53400.0 ; + RECT 149250.0 52350.0 148050.0 53550.0 ; + RECT 164400.0 32250.0 163200.0 33450.0 ; + RECT 159000.0 27750.0 157800.0 28950.0 ; + RECT 161700.0 25350.0 160500.0 26550.0 ; + RECT 164400.0 424650.0 163200.0 425850.0 ; + RECT 167100.0 96750.0 165900.0 97950.0 ; + RECT 169800.0 194850.0 168600.0 196050.0 ; + RECT 156300.0 84900.0 155100.0 86100.0 ; + RECT 103650.0 421500.0 102450.0 422700.0 ; + RECT 156300.0 421500.0 155100.0 422700.0 ; + RECT 152550.0 23400.0 151350.0 24600.0 ; + RECT 152550.0 192900.0 151350.0 194100.0 ; + RECT 152550.0 94800.0 151350.0 96000.0 ; + RECT 198600.0 0.0 203100.0 436800.0 ; + RECT 52800.0 0.0 57300.0 436800.0 ; + RECT 43650.0 207600.0 42750.0 217200.0 ; + RECT 43800.0 223800.0 42900.0 224700.0 ; + RECT 43350.0 223800.0 43200.0 224700.0 ; + RECT 43800.0 224250.0 42900.0 231600.0 ; + RECT 43800.0 243450.0 42900.0 250800.0 ; RECT 35550.0 258600.0 30600.0 259500.0 ; - RECT 44100.0 207150.0 43200.0 208050.0 ; - RECT 44250.0 223950.0 43350.0 224850.0 ; - RECT 28800.0 362100.0 27900.0 375450.0 ; - RECT 44400.0 272850.0 43500.0 284850.0 ; + RECT 43650.0 207150.0 42750.0 208050.0 ; + RECT 43650.0 223800.0 42750.0 224700.0 ; + RECT 29250.0 362100.0 28350.0 375450.0 ; + RECT 43800.0 272700.0 42900.0 284850.0 ; RECT 33300.0 204600.0 30600.0 205500.0 ; - RECT 29400.0 284850.0 28500.0 311700.0 ; - RECT 26700.0 290250.0 25800.0 314700.0 ; - RECT 41250.0 303750.0 40350.0 312300.0 ; - RECT 43200.0 301050.0 42300.0 314700.0 ; - RECT 45150.0 292950.0 44250.0 317100.0 ; - RECT 41250.0 326850.0 40350.0 327750.0 ; - RECT 41250.0 318300.0 40350.0 327300.0 ; - RECT 43650.0 326850.0 40800.0 327750.0 ; - RECT 44250.0 329250.0 43350.0 330150.0 ; - RECT 43800.0 329250.0 43650.0 330150.0 ; - RECT 44250.0 329700.0 43350.0 387300.0 ; - RECT 13050.0 303750.0 12150.0 321900.0 ; - RECT 15000.0 292950.0 14100.0 324300.0 ; - RECT 16950.0 295650.0 16050.0 326700.0 ; - RECT 13050.0 336450.0 12150.0 337350.0 ; - RECT 13050.0 327900.0 12150.0 336900.0 ; - RECT 15450.0 336450.0 12600.0 337350.0 ; - RECT 15900.0 339300.0 15000.0 346500.0 ; - RECT 15900.0 348900.0 15000.0 356100.0 ; - RECT 28800.0 361650.0 27900.0 362550.0 ; - RECT 28350.0 361650.0 27900.0 362550.0 ; - RECT 28800.0 359700.0 27900.0 362100.0 ; - RECT 28800.0 349500.0 27900.0 356700.0 ; - RECT 29400.0 316800.0 28500.0 323100.0 ; - RECT 30150.0 333000.0 29250.0 340200.0 ; - RECT 15900.0 358500.0 15000.0 362700.0 ; - RECT 28800.0 342900.0 27900.0 347100.0 ; - RECT 50550.0 202200.0 49650.0 362100.0 ; - RECT 50550.0 287550.0 49650.0 308700.0 ; + RECT 29700.0 284850.0 28800.0 311700.0 ; + RECT 27000.0 290250.0 26100.0 314700.0 ; + RECT 41700.0 303750.0 40800.0 312300.0 ; + RECT 43650.0 301050.0 42750.0 314700.0 ; + RECT 45600.0 292950.0 44700.0 317100.0 ; + RECT 41700.0 326850.0 40800.0 327750.0 ; + RECT 41700.0 318300.0 40800.0 327300.0 ; + RECT 43200.0 326850.0 41250.0 327750.0 ; + RECT 43800.0 329250.0 42900.0 330150.0 ; + RECT 43350.0 329250.0 43200.0 330150.0 ; + RECT 43800.0 329700.0 42900.0 387300.0 ; + RECT 14100.0 303750.0 13200.0 321900.0 ; + RECT 16050.0 292950.0 15150.0 324300.0 ; + RECT 18000.0 295650.0 17100.0 326700.0 ; + RECT 14100.0 336450.0 13200.0 337350.0 ; + RECT 14100.0 327900.0 13200.0 336900.0 ; + RECT 15600.0 336450.0 13650.0 337350.0 ; + RECT 16050.0 339300.0 15150.0 346500.0 ; + RECT 16050.0 348900.0 15150.0 356100.0 ; + RECT 29250.0 361650.0 28350.0 362550.0 ; + RECT 28800.0 361650.0 28350.0 362550.0 ; + RECT 29250.0 359700.0 28350.0 362100.0 ; + RECT 29250.0 349500.0 28350.0 356700.0 ; + RECT 29700.0 316800.0 28800.0 323100.0 ; + RECT 30450.0 333000.0 29550.0 340200.0 ; + RECT 16050.0 358500.0 15150.0 362700.0 ; + RECT 29250.0 342900.0 28350.0 347100.0 ; + RECT 50250.0 202200.0 49350.0 362100.0 ; + RECT 50250.0 287550.0 49350.0 308700.0 ; RECT 36450.0 202200.0 35550.0 362100.0 ; RECT 36450.0 298350.0 35550.0 308700.0 ; - RECT 22350.0 308700.0 21450.0 362100.0 ; - RECT 22350.0 287550.0 21450.0 308700.0 ; - RECT 8250.0 308700.0 7350.0 362100.0 ; - RECT 8250.0 298350.0 7350.0 308700.0 ; - RECT 8250.0 361650.0 7350.0 362550.0 ; - RECT 8250.0 360000.0 7350.0 362100.0 ; - RECT 7800.0 361650.0 3300.0 362550.0 ; - RECT 0.0 202200.0 10200.0 262200.0 ; + RECT 22650.0 308700.0 21750.0 362100.0 ; + RECT 22650.0 287550.0 21750.0 308700.0 ; + RECT 8850.0 308700.0 7950.0 362100.0 ; + RECT 8850.0 298350.0 7950.0 308700.0 ; + RECT 8850.0 361650.0 7950.0 362550.0 ; + RECT 8850.0 360000.0 7950.0 362100.0 ; + RECT 8400.0 361650.0 3600.0 362550.0 ; + RECT 7.1054273576e-12 202200.0 10200.0 262200.0 ; RECT 20400.0 202200.0 10200.0 262200.0 ; RECT 20400.0 202200.0 30600.0 262200.0 ; - RECT 0.0 204600.0 30600.0 205500.0 ; - RECT 7.1054273576e-12 258600.0 30600.0 259500.0 ; + RECT 7.1054273576e-12 204600.0 30600.0 205500.0 ; + RECT 1.42108547152e-11 258600.0 30600.0 259500.0 ; RECT 37950.0 211200.0 36000.0 212400.0 ; - RECT 50100.0 211200.0 47850.0 212400.0 ; - RECT 47550.0 206700.0 39150.0 207600.0 ; + RECT 49800.0 211200.0 47850.0 212400.0 ; + RECT 48450.0 206700.0 39150.0 207600.0 ; RECT 38550.0 204150.0 36600.0 205050.0 ; RECT 38550.0 208950.0 36600.0 209850.0 ; RECT 39150.0 204000.0 37950.0 205200.0 ; RECT 39150.0 208800.0 37950.0 210000.0 ; RECT 39150.0 206400.0 37950.0 207600.0 ; RECT 39150.0 206400.0 37950.0 207600.0 ; - RECT 37050.0 204000.0 36150.0 210000.0 ; - RECT 50100.0 204150.0 48150.0 205050.0 ; - RECT 50100.0 208950.0 48150.0 209850.0 ; - RECT 48750.0 204000.0 47550.0 205200.0 ; - RECT 48750.0 208800.0 47550.0 210000.0 ; - RECT 48750.0 206400.0 47550.0 207600.0 ; - RECT 48750.0 206400.0 47550.0 207600.0 ; - RECT 50550.0 204000.0 49650.0 210000.0 ; + RECT 37050.0 204150.0 36150.0 209850.0 ; + RECT 49800.0 204150.0 47850.0 205050.0 ; + RECT 49800.0 208950.0 47850.0 209850.0 ; + RECT 48450.0 204000.0 47250.0 205200.0 ; + RECT 48450.0 208800.0 47250.0 210000.0 ; + RECT 48450.0 206400.0 47250.0 207600.0 ; + RECT 48450.0 206400.0 47250.0 207600.0 ; + RECT 50250.0 204150.0 49350.0 209850.0 ; RECT 38550.0 211200.0 37350.0 212400.0 ; RECT 48450.0 211200.0 47250.0 212400.0 ; - RECT 44250.0 204600.0 43050.0 205800.0 ; - RECT 44250.0 204600.0 43050.0 205800.0 ; - RECT 44100.0 207150.0 43200.0 208050.0 ; + RECT 43800.0 204600.0 42600.0 205800.0 ; + RECT 43800.0 204600.0 42600.0 205800.0 ; + RECT 43650.0 207150.0 42750.0 208050.0 ; RECT 36450.0 202200.0 35550.0 214200.0 ; - RECT 50550.0 202200.0 49650.0 214200.0 ; + RECT 50250.0 202200.0 49350.0 214200.0 ; RECT 37950.0 225600.0 36000.0 226800.0 ; - RECT 50100.0 225600.0 47850.0 226800.0 ; - RECT 37350.0 216000.0 35550.0 222000.0 ; - RECT 45450.0 223500.0 41250.0 224400.0 ; + RECT 49800.0 225600.0 47850.0 226800.0 ; + RECT 37350.0 216150.0 35550.0 221850.0 ; + RECT 46050.0 223350.0 41250.0 224250.0 ; RECT 38850.0 216150.0 36900.0 217050.0 ; RECT 38850.0 220950.0 36900.0 221850.0 ; RECT 40800.0 218550.0 38850.0 219450.0 ; @@ -3716,29 +3714,29 @@ MACRO sram_2_16_1_scn3me_subm RECT 39450.0 220800.0 38250.0 222000.0 ; RECT 39450.0 218400.0 38250.0 219600.0 ; RECT 39450.0 223200.0 38250.0 224400.0 ; - RECT 41250.0 218400.0 40350.0 224400.0 ; - RECT 37350.0 216000.0 36450.0 222000.0 ; - RECT 49800.0 216150.0 47850.0 217050.0 ; - RECT 49800.0 220950.0 47850.0 221850.0 ; - RECT 47850.0 218550.0 45900.0 219450.0 ; - RECT 47850.0 223350.0 45900.0 224250.0 ; - RECT 48450.0 216000.0 47250.0 217200.0 ; - RECT 48450.0 220800.0 47250.0 222000.0 ; - RECT 48450.0 218400.0 47250.0 219600.0 ; - RECT 48450.0 223200.0 47250.0 224400.0 ; - RECT 46350.0 218400.0 45450.0 224400.0 ; - RECT 50250.0 216000.0 49350.0 222000.0 ; + RECT 41250.0 218550.0 40350.0 224250.0 ; + RECT 37350.0 216150.0 36450.0 221850.0 ; + RECT 49500.0 216150.0 47550.0 217050.0 ; + RECT 49500.0 220950.0 47550.0 221850.0 ; + RECT 47550.0 218550.0 45600.0 219450.0 ; + RECT 47550.0 223350.0 45600.0 224250.0 ; + RECT 48150.0 216000.0 46950.0 217200.0 ; + RECT 48150.0 220800.0 46950.0 222000.0 ; + RECT 48150.0 218400.0 46950.0 219600.0 ; + RECT 48150.0 223200.0 46950.0 224400.0 ; + RECT 46050.0 218550.0 45150.0 224250.0 ; + RECT 49950.0 216150.0 49050.0 221850.0 ; RECT 38550.0 225600.0 37350.0 226800.0 ; RECT 48450.0 225600.0 47250.0 226800.0 ; - RECT 44400.0 216600.0 43200.0 217800.0 ; - RECT 44400.0 216600.0 43200.0 217800.0 ; - RECT 44250.0 223950.0 43350.0 224850.0 ; + RECT 43800.0 216600.0 42600.0 217800.0 ; + RECT 43800.0 216600.0 42600.0 217800.0 ; + RECT 43650.0 223800.0 42750.0 224700.0 ; RECT 36450.0 214200.0 35550.0 228600.0 ; - RECT 50550.0 214200.0 49650.0 228600.0 ; + RECT 50250.0 214200.0 49350.0 228600.0 ; RECT 37950.0 244800.0 36000.0 246000.0 ; - RECT 50100.0 244800.0 47850.0 246000.0 ; - RECT 37800.0 230400.0 35550.0 241200.0 ; - RECT 45300.0 242700.0 41700.0 243600.0 ; + RECT 49800.0 244800.0 47850.0 246000.0 ; + RECT 37800.0 230550.0 35550.0 241050.0 ; + RECT 45900.0 242550.0 41700.0 243450.0 ; RECT 39300.0 230550.0 37350.0 231450.0 ; RECT 39300.0 235350.0 37350.0 236250.0 ; RECT 39300.0 240150.0 37350.0 241050.0 ; @@ -3751,33 +3749,33 @@ MACRO sram_2_16_1_scn3me_subm RECT 39900.0 232800.0 38700.0 234000.0 ; RECT 39900.0 237600.0 38700.0 238800.0 ; RECT 39900.0 242400.0 38700.0 243600.0 ; - RECT 41700.0 232800.0 40800.0 243600.0 ; - RECT 37800.0 230400.0 36900.0 241200.0 ; - RECT 49650.0 230550.0 47700.0 231450.0 ; - RECT 49650.0 235350.0 47700.0 236250.0 ; - RECT 49650.0 240150.0 47700.0 241050.0 ; - RECT 47700.0 232950.0 45750.0 233850.0 ; - RECT 47700.0 237750.0 45750.0 238650.0 ; - RECT 47700.0 242550.0 45750.0 243450.0 ; - RECT 48300.0 230400.0 47100.0 231600.0 ; - RECT 48300.0 235200.0 47100.0 236400.0 ; - RECT 48300.0 240000.0 47100.0 241200.0 ; - RECT 48300.0 232800.0 47100.0 234000.0 ; - RECT 48300.0 237600.0 47100.0 238800.0 ; - RECT 48300.0 242400.0 47100.0 243600.0 ; - RECT 46200.0 232800.0 45300.0 243600.0 ; - RECT 50100.0 230400.0 49200.0 241200.0 ; + RECT 41700.0 232950.0 40800.0 243450.0 ; + RECT 37800.0 230550.0 36900.0 241050.0 ; + RECT 49350.0 230550.0 47400.0 231450.0 ; + RECT 49350.0 235350.0 47400.0 236250.0 ; + RECT 49350.0 240150.0 47400.0 241050.0 ; + RECT 47400.0 232950.0 45450.0 233850.0 ; + RECT 47400.0 237750.0 45450.0 238650.0 ; + RECT 47400.0 242550.0 45450.0 243450.0 ; + RECT 48000.0 230400.0 46800.0 231600.0 ; + RECT 48000.0 235200.0 46800.0 236400.0 ; + RECT 48000.0 240000.0 46800.0 241200.0 ; + RECT 48000.0 232800.0 46800.0 234000.0 ; + RECT 48000.0 237600.0 46800.0 238800.0 ; + RECT 48000.0 242400.0 46800.0 243600.0 ; + RECT 45900.0 232950.0 45000.0 243450.0 ; + RECT 49800.0 230550.0 48900.0 241050.0 ; RECT 38550.0 244800.0 37350.0 246000.0 ; RECT 48450.0 244800.0 47250.0 246000.0 ; - RECT 44550.0 231000.0 43350.0 232200.0 ; - RECT 44550.0 231000.0 43350.0 232200.0 ; - RECT 44400.0 243150.0 43500.0 244050.0 ; + RECT 43950.0 231000.0 42750.0 232200.0 ; + RECT 43950.0 231000.0 42750.0 232200.0 ; + RECT 43800.0 243000.0 42900.0 243900.0 ; RECT 36450.0 228600.0 35550.0 247800.0 ; - RECT 50550.0 228600.0 49650.0 247800.0 ; + RECT 50250.0 228600.0 49350.0 247800.0 ; RECT 37950.0 276000.0 36000.0 277200.0 ; - RECT 50100.0 276000.0 47850.0 277200.0 ; - RECT 37800.0 249600.0 35550.0 274800.0 ; - RECT 45300.0 271500.0 41700.0 272400.0 ; + RECT 49800.0 276000.0 47850.0 277200.0 ; + RECT 37800.0 249750.0 35550.0 274650.0 ; + RECT 45900.0 271350.0 41700.0 272250.0 ; RECT 39300.0 249750.0 37350.0 250650.0 ; RECT 39300.0 254550.0 37350.0 255450.0 ; RECT 39300.0 259350.0 37350.0 260250.0 ; @@ -3800,108 +3798,108 @@ MACRO sram_2_16_1_scn3me_subm RECT 39900.0 261600.0 38700.0 262800.0 ; RECT 39900.0 266400.0 38700.0 267600.0 ; RECT 39900.0 271200.0 38700.0 272400.0 ; - RECT 41700.0 252000.0 40800.0 272400.0 ; - RECT 37800.0 249600.0 36900.0 274800.0 ; - RECT 49650.0 249750.0 47700.0 250650.0 ; - RECT 49650.0 254550.0 47700.0 255450.0 ; - RECT 49650.0 259350.0 47700.0 260250.0 ; - RECT 49650.0 264150.0 47700.0 265050.0 ; - RECT 49650.0 268950.0 47700.0 269850.0 ; - RECT 49650.0 273750.0 47700.0 274650.0 ; - RECT 47700.0 252150.0 45750.0 253050.0 ; - RECT 47700.0 256950.0 45750.0 257850.0 ; - RECT 47700.0 261750.0 45750.0 262650.0 ; - RECT 47700.0 266550.0 45750.0 267450.0 ; - RECT 47700.0 271350.0 45750.0 272250.0 ; - RECT 48300.0 249600.0 47100.0 250800.0 ; - RECT 48300.0 254400.0 47100.0 255600.0 ; - RECT 48300.0 259200.0 47100.0 260400.0 ; - RECT 48300.0 264000.0 47100.0 265200.0 ; - RECT 48300.0 268800.0 47100.0 270000.0 ; - RECT 48300.0 273600.0 47100.0 274800.0 ; - RECT 48300.0 252000.0 47100.0 253200.0 ; - RECT 48300.0 256800.0 47100.0 258000.0 ; - RECT 48300.0 261600.0 47100.0 262800.0 ; - RECT 48300.0 266400.0 47100.0 267600.0 ; - RECT 48300.0 271200.0 47100.0 272400.0 ; - RECT 46200.0 252000.0 45300.0 272400.0 ; - RECT 50100.0 249600.0 49200.0 274800.0 ; + RECT 41700.0 252150.0 40800.0 272250.0 ; + RECT 37800.0 249750.0 36900.0 274650.0 ; + RECT 49350.0 249750.0 47400.0 250650.0 ; + RECT 49350.0 254550.0 47400.0 255450.0 ; + RECT 49350.0 259350.0 47400.0 260250.0 ; + RECT 49350.0 264150.0 47400.0 265050.0 ; + RECT 49350.0 268950.0 47400.0 269850.0 ; + RECT 49350.0 273750.0 47400.0 274650.0 ; + RECT 47400.0 252150.0 45450.0 253050.0 ; + RECT 47400.0 256950.0 45450.0 257850.0 ; + RECT 47400.0 261750.0 45450.0 262650.0 ; + RECT 47400.0 266550.0 45450.0 267450.0 ; + RECT 47400.0 271350.0 45450.0 272250.0 ; + RECT 48000.0 249600.0 46800.0 250800.0 ; + RECT 48000.0 254400.0 46800.0 255600.0 ; + RECT 48000.0 259200.0 46800.0 260400.0 ; + RECT 48000.0 264000.0 46800.0 265200.0 ; + RECT 48000.0 268800.0 46800.0 270000.0 ; + RECT 48000.0 273600.0 46800.0 274800.0 ; + RECT 48000.0 252000.0 46800.0 253200.0 ; + RECT 48000.0 256800.0 46800.0 258000.0 ; + RECT 48000.0 261600.0 46800.0 262800.0 ; + RECT 48000.0 266400.0 46800.0 267600.0 ; + RECT 48000.0 271200.0 46800.0 272400.0 ; + RECT 45900.0 252150.0 45000.0 272250.0 ; + RECT 49800.0 249750.0 48900.0 274650.0 ; RECT 38550.0 276000.0 37350.0 277200.0 ; RECT 48450.0 276000.0 47250.0 277200.0 ; - RECT 44550.0 250200.0 43350.0 251400.0 ; - RECT 44550.0 250200.0 43350.0 251400.0 ; - RECT 44400.0 271950.0 43500.0 272850.0 ; + RECT 43950.0 250200.0 42750.0 251400.0 ; + RECT 43950.0 250200.0 42750.0 251400.0 ; + RECT 43800.0 271800.0 42900.0 272700.0 ; RECT 36450.0 247800.0 35550.0 279000.0 ; - RECT 50550.0 247800.0 49650.0 279000.0 ; - RECT 48000.0 310500.0 50550.0 311700.0 ; - RECT 38700.0 310500.0 35550.0 311700.0 ; - RECT 38700.0 315300.0 35550.0 316500.0 ; - RECT 37500.0 320100.0 36000.0 321300.0 ; - RECT 50100.0 320100.0 47850.0 321300.0 ; - RECT 38700.0 310500.0 37500.0 311700.0 ; - RECT 38700.0 312900.0 37500.0 314100.0 ; - RECT 38700.0 312900.0 37500.0 314100.0 ; - RECT 38700.0 310500.0 37500.0 311700.0 ; - RECT 38700.0 312900.0 37500.0 314100.0 ; - RECT 38700.0 315300.0 37500.0 316500.0 ; - RECT 38700.0 315300.0 37500.0 316500.0 ; - RECT 38700.0 312900.0 37500.0 314100.0 ; - RECT 38700.0 315300.0 37500.0 316500.0 ; - RECT 38700.0 317700.0 37500.0 318900.0 ; - RECT 38700.0 317700.0 37500.0 318900.0 ; - RECT 38700.0 315300.0 37500.0 316500.0 ; - RECT 48000.0 310500.0 46800.0 311700.0 ; - RECT 48000.0 312900.0 46800.0 314100.0 ; - RECT 48000.0 312900.0 46800.0 314100.0 ; - RECT 48000.0 310500.0 46800.0 311700.0 ; - RECT 48000.0 312900.0 46800.0 314100.0 ; - RECT 48000.0 315300.0 46800.0 316500.0 ; - RECT 48000.0 315300.0 46800.0 316500.0 ; - RECT 48000.0 312900.0 46800.0 314100.0 ; - RECT 48000.0 315300.0 46800.0 316500.0 ; - RECT 48000.0 317700.0 46800.0 318900.0 ; - RECT 48000.0 317700.0 46800.0 318900.0 ; - RECT 48000.0 315300.0 46800.0 316500.0 ; - RECT 38100.0 320100.0 36900.0 321300.0 ; + RECT 50250.0 247800.0 49350.0 279000.0 ; + RECT 47850.0 310500.0 50250.0 311700.0 ; + RECT 39150.0 310500.0 35550.0 311700.0 ; + RECT 39150.0 315300.0 35550.0 316500.0 ; + RECT 37950.0 320100.0 36000.0 321300.0 ; + RECT 49800.0 320100.0 47850.0 321300.0 ; + RECT 39150.0 310500.0 37950.0 311700.0 ; + RECT 39150.0 312900.0 37950.0 314100.0 ; + RECT 39150.0 312900.0 37950.0 314100.0 ; + RECT 39150.0 310500.0 37950.0 311700.0 ; + RECT 39150.0 312900.0 37950.0 314100.0 ; + RECT 39150.0 315300.0 37950.0 316500.0 ; + RECT 39150.0 315300.0 37950.0 316500.0 ; + RECT 39150.0 312900.0 37950.0 314100.0 ; + RECT 39150.0 315300.0 37950.0 316500.0 ; + RECT 39150.0 317700.0 37950.0 318900.0 ; + RECT 39150.0 317700.0 37950.0 318900.0 ; + RECT 39150.0 315300.0 37950.0 316500.0 ; + RECT 47850.0 310500.0 46650.0 311700.0 ; + RECT 47850.0 312900.0 46650.0 314100.0 ; + RECT 47850.0 312900.0 46650.0 314100.0 ; + RECT 47850.0 310500.0 46650.0 311700.0 ; + RECT 47850.0 312900.0 46650.0 314100.0 ; + RECT 47850.0 315300.0 46650.0 316500.0 ; + RECT 47850.0 315300.0 46650.0 316500.0 ; + RECT 47850.0 312900.0 46650.0 314100.0 ; + RECT 47850.0 315300.0 46650.0 316500.0 ; + RECT 47850.0 317700.0 46650.0 318900.0 ; + RECT 47850.0 317700.0 46650.0 318900.0 ; + RECT 47850.0 315300.0 46650.0 316500.0 ; + RECT 38550.0 320100.0 37350.0 321300.0 ; RECT 48450.0 320100.0 47250.0 321300.0 ; - RECT 45300.0 317700.0 44100.0 316500.0 ; - RECT 43350.0 315300.0 42150.0 314100.0 ; - RECT 41400.0 312900.0 40200.0 311700.0 ; - RECT 38700.0 312900.0 37500.0 314100.0 ; - RECT 38700.0 317700.0 37500.0 318900.0 ; - RECT 48000.0 317700.0 46800.0 318900.0 ; - RECT 41400.0 317700.0 40200.0 318900.0 ; - RECT 41400.0 311700.0 40200.0 312900.0 ; - RECT 43350.0 314100.0 42150.0 315300.0 ; - RECT 45300.0 316500.0 44100.0 317700.0 ; - RECT 41400.0 317700.0 40200.0 318900.0 ; + RECT 45750.0 317700.0 44550.0 316500.0 ; + RECT 43800.0 315300.0 42600.0 314100.0 ; + RECT 41850.0 312900.0 40650.0 311700.0 ; + RECT 39150.0 312900.0 37950.0 314100.0 ; + RECT 39150.0 317700.0 37950.0 318900.0 ; + RECT 47850.0 317700.0 46650.0 318900.0 ; + RECT 41850.0 317700.0 40650.0 318900.0 ; + RECT 41850.0 311700.0 40650.0 312900.0 ; + RECT 43800.0 314100.0 42600.0 315300.0 ; + RECT 45750.0 316500.0 44550.0 317700.0 ; + RECT 41850.0 317700.0 40650.0 318900.0 ; RECT 36450.0 308700.0 35550.0 324300.0 ; - RECT 50550.0 308700.0 49650.0 324300.0 ; + RECT 50250.0 308700.0 49350.0 324300.0 ; RECT 37950.0 330900.0 36000.0 332100.0 ; - RECT 50100.0 330900.0 47850.0 332100.0 ; - RECT 48750.0 326100.0 50550.0 327300.0 ; + RECT 49800.0 330900.0 47850.0 332100.0 ; + RECT 48450.0 326100.0 50250.0 327300.0 ; RECT 39150.0 326100.0 35550.0 327300.0 ; - RECT 47550.0 328800.0 39150.0 329700.0 ; + RECT 48450.0 328800.0 39150.0 329700.0 ; RECT 39150.0 326100.0 37950.0 327300.0 ; RECT 39150.0 328500.0 37950.0 329700.0 ; RECT 39150.0 328500.0 37950.0 329700.0 ; RECT 39150.0 326100.0 37950.0 327300.0 ; - RECT 48750.0 326100.0 47550.0 327300.0 ; - RECT 48750.0 328500.0 47550.0 329700.0 ; - RECT 48750.0 328500.0 47550.0 329700.0 ; - RECT 48750.0 326100.0 47550.0 327300.0 ; + RECT 48450.0 326100.0 47250.0 327300.0 ; + RECT 48450.0 328500.0 47250.0 329700.0 ; + RECT 48450.0 328500.0 47250.0 329700.0 ; + RECT 48450.0 326100.0 47250.0 327300.0 ; RECT 38550.0 330900.0 37350.0 332100.0 ; RECT 48450.0 330900.0 47250.0 332100.0 ; - RECT 44250.0 326700.0 43050.0 327900.0 ; - RECT 44250.0 326700.0 43050.0 327900.0 ; - RECT 44100.0 329250.0 43200.0 330150.0 ; + RECT 43800.0 326700.0 42600.0 327900.0 ; + RECT 43800.0 326700.0 42600.0 327900.0 ; + RECT 43650.0 329250.0 42750.0 330150.0 ; RECT 36450.0 324300.0 35550.0 333900.0 ; - RECT 50550.0 324300.0 49650.0 333900.0 ; - RECT 23250.0 310500.0 21450.0 311700.0 ; - RECT 23250.0 315300.0 21450.0 316500.0 ; + RECT 50250.0 324300.0 49350.0 333900.0 ; + RECT 23550.0 310500.0 21750.0 311700.0 ; + RECT 23550.0 315300.0 21750.0 316500.0 ; RECT 32250.0 310500.0 36450.0 311700.0 ; RECT 34050.0 317700.0 36000.0 318900.0 ; - RECT 21900.0 317700.0 24150.0 318900.0 ; + RECT 22200.0 317700.0 24150.0 318900.0 ; RECT 32250.0 310500.0 33450.0 311700.0 ; RECT 32250.0 312900.0 33450.0 314100.0 ; RECT 32250.0 312900.0 33450.0 314100.0 ; @@ -3910,31 +3908,31 @@ MACRO sram_2_16_1_scn3me_subm RECT 32250.0 315300.0 33450.0 316500.0 ; RECT 32250.0 315300.0 33450.0 316500.0 ; RECT 32250.0 312900.0 33450.0 314100.0 ; - RECT 23250.0 310500.0 24450.0 311700.0 ; - RECT 23250.0 312900.0 24450.0 314100.0 ; - RECT 23250.0 312900.0 24450.0 314100.0 ; - RECT 23250.0 310500.0 24450.0 311700.0 ; - RECT 23250.0 312900.0 24450.0 314100.0 ; - RECT 23250.0 315300.0 24450.0 316500.0 ; - RECT 23250.0 315300.0 24450.0 316500.0 ; - RECT 23250.0 312900.0 24450.0 314100.0 ; + RECT 23550.0 310500.0 24750.0 311700.0 ; + RECT 23550.0 312900.0 24750.0 314100.0 ; + RECT 23550.0 312900.0 24750.0 314100.0 ; + RECT 23550.0 310500.0 24750.0 311700.0 ; + RECT 23550.0 312900.0 24750.0 314100.0 ; + RECT 23550.0 315300.0 24750.0 316500.0 ; + RECT 23550.0 315300.0 24750.0 316500.0 ; + RECT 23550.0 312900.0 24750.0 314100.0 ; RECT 33450.0 317700.0 34650.0 318900.0 ; RECT 23550.0 317700.0 24750.0 318900.0 ; - RECT 25650.0 315300.0 26850.0 314100.0 ; - RECT 28350.0 312300.0 29550.0 311100.0 ; + RECT 25950.0 315300.0 27150.0 314100.0 ; + RECT 28650.0 312300.0 29850.0 311100.0 ; RECT 32250.0 315300.0 33450.0 316500.0 ; - RECT 23250.0 314100.0 24450.0 312900.0 ; - RECT 28350.0 317400.0 29550.0 316200.0 ; - RECT 28350.0 311100.0 29550.0 312300.0 ; - RECT 25650.0 314100.0 26850.0 315300.0 ; - RECT 28350.0 316200.0 29550.0 317400.0 ; + RECT 23550.0 314100.0 24750.0 312900.0 ; + RECT 28650.0 317400.0 29850.0 316200.0 ; + RECT 28650.0 311100.0 29850.0 312300.0 ; + RECT 25950.0 314100.0 27150.0 315300.0 ; + RECT 28650.0 316200.0 29850.0 317400.0 ; RECT 35550.0 308700.0 36450.0 323100.0 ; - RECT 21450.0 308700.0 22350.0 323100.0 ; - RECT 23850.0 327600.0 21450.0 328800.0 ; + RECT 21750.0 308700.0 22650.0 323100.0 ; + RECT 24150.0 327600.0 21750.0 328800.0 ; RECT 32850.0 327600.0 36450.0 328800.0 ; RECT 32850.0 332400.0 36450.0 333600.0 ; RECT 34050.0 334800.0 36000.0 336000.0 ; - RECT 21900.0 334800.0 24150.0 336000.0 ; + RECT 22200.0 334800.0 24150.0 336000.0 ; RECT 32850.0 327600.0 34050.0 328800.0 ; RECT 32850.0 330000.0 34050.0 331200.0 ; RECT 32850.0 330000.0 34050.0 331200.0 ; @@ -3943,1133 +3941,1130 @@ MACRO sram_2_16_1_scn3me_subm RECT 32850.0 332400.0 34050.0 333600.0 ; RECT 32850.0 332400.0 34050.0 333600.0 ; RECT 32850.0 330000.0 34050.0 331200.0 ; - RECT 23850.0 327600.0 25050.0 328800.0 ; - RECT 23850.0 330000.0 25050.0 331200.0 ; - RECT 23850.0 330000.0 25050.0 331200.0 ; - RECT 23850.0 327600.0 25050.0 328800.0 ; - RECT 23850.0 330000.0 25050.0 331200.0 ; - RECT 23850.0 332400.0 25050.0 333600.0 ; - RECT 23850.0 332400.0 25050.0 333600.0 ; - RECT 23850.0 330000.0 25050.0 331200.0 ; + RECT 24150.0 327600.0 25350.0 328800.0 ; + RECT 24150.0 330000.0 25350.0 331200.0 ; + RECT 24150.0 330000.0 25350.0 331200.0 ; + RECT 24150.0 327600.0 25350.0 328800.0 ; + RECT 24150.0 330000.0 25350.0 331200.0 ; + RECT 24150.0 332400.0 25350.0 333600.0 ; + RECT 24150.0 332400.0 25350.0 333600.0 ; + RECT 24150.0 330000.0 25350.0 331200.0 ; RECT 33450.0 334800.0 34650.0 336000.0 ; RECT 23550.0 334800.0 24750.0 336000.0 ; - RECT 26400.0 332400.0 27600.0 331200.0 ; - RECT 29100.0 329400.0 30300.0 328200.0 ; + RECT 26700.0 332400.0 27900.0 331200.0 ; + RECT 29400.0 329400.0 30600.0 328200.0 ; RECT 32850.0 330000.0 34050.0 331200.0 ; - RECT 23850.0 332400.0 25050.0 333600.0 ; - RECT 29100.0 333600.0 30300.0 332400.0 ; - RECT 29100.0 328200.0 30300.0 329400.0 ; - RECT 26400.0 331200.0 27600.0 332400.0 ; - RECT 29100.0 332400.0 30300.0 333600.0 ; + RECT 24150.0 332400.0 25350.0 333600.0 ; + RECT 29400.0 333600.0 30600.0 332400.0 ; + RECT 29400.0 328200.0 30600.0 329400.0 ; + RECT 26700.0 331200.0 27900.0 332400.0 ; + RECT 29400.0 332400.0 30600.0 333600.0 ; RECT 35550.0 325800.0 36450.0 340200.0 ; - RECT 21450.0 325800.0 22350.0 340200.0 ; + RECT 21750.0 325800.0 22650.0 340200.0 ; RECT 34050.0 345900.0 36000.0 344700.0 ; - RECT 21900.0 345900.0 24150.0 344700.0 ; - RECT 23250.0 350700.0 21450.0 349500.0 ; + RECT 22200.0 345900.0 24150.0 344700.0 ; + RECT 23550.0 350700.0 21750.0 349500.0 ; RECT 32850.0 350700.0 36450.0 349500.0 ; - RECT 24450.0 348000.0 32850.0 347100.0 ; + RECT 23550.0 348000.0 32850.0 347100.0 ; RECT 32850.0 350700.0 34050.0 349500.0 ; RECT 32850.0 348300.0 34050.0 347100.0 ; RECT 32850.0 348300.0 34050.0 347100.0 ; RECT 32850.0 350700.0 34050.0 349500.0 ; - RECT 23250.0 350700.0 24450.0 349500.0 ; - RECT 23250.0 348300.0 24450.0 347100.0 ; - RECT 23250.0 348300.0 24450.0 347100.0 ; - RECT 23250.0 350700.0 24450.0 349500.0 ; + RECT 23550.0 350700.0 24750.0 349500.0 ; + RECT 23550.0 348300.0 24750.0 347100.0 ; + RECT 23550.0 348300.0 24750.0 347100.0 ; + RECT 23550.0 350700.0 24750.0 349500.0 ; RECT 33450.0 345900.0 34650.0 344700.0 ; RECT 23550.0 345900.0 24750.0 344700.0 ; - RECT 27750.0 350100.0 28950.0 348900.0 ; - RECT 27750.0 350100.0 28950.0 348900.0 ; - RECT 27900.0 347550.0 28800.0 346650.0 ; + RECT 28200.0 350100.0 29400.0 348900.0 ; + RECT 28200.0 350100.0 29400.0 348900.0 ; + RECT 28350.0 347550.0 29250.0 346650.0 ; RECT 35550.0 352500.0 36450.0 342900.0 ; - RECT 21450.0 352500.0 22350.0 342900.0 ; + RECT 21750.0 352500.0 22650.0 342900.0 ; RECT 34050.0 355500.0 36000.0 354300.0 ; - RECT 21900.0 355500.0 24150.0 354300.0 ; - RECT 23250.0 360300.0 21450.0 359100.0 ; + RECT 22200.0 355500.0 24150.0 354300.0 ; + RECT 23550.0 360300.0 21750.0 359100.0 ; RECT 32850.0 360300.0 36450.0 359100.0 ; - RECT 24450.0 357600.0 32850.0 356700.0 ; + RECT 23550.0 357600.0 32850.0 356700.0 ; RECT 32850.0 360300.0 34050.0 359100.0 ; RECT 32850.0 357900.0 34050.0 356700.0 ; RECT 32850.0 357900.0 34050.0 356700.0 ; RECT 32850.0 360300.0 34050.0 359100.0 ; - RECT 23250.0 360300.0 24450.0 359100.0 ; - RECT 23250.0 357900.0 24450.0 356700.0 ; - RECT 23250.0 357900.0 24450.0 356700.0 ; - RECT 23250.0 360300.0 24450.0 359100.0 ; + RECT 23550.0 360300.0 24750.0 359100.0 ; + RECT 23550.0 357900.0 24750.0 356700.0 ; + RECT 23550.0 357900.0 24750.0 356700.0 ; + RECT 23550.0 360300.0 24750.0 359100.0 ; RECT 33450.0 355500.0 34650.0 354300.0 ; RECT 23550.0 355500.0 24750.0 354300.0 ; - RECT 27750.0 359700.0 28950.0 358500.0 ; - RECT 27750.0 359700.0 28950.0 358500.0 ; - RECT 27900.0 357150.0 28800.0 356250.0 ; + RECT 28200.0 359700.0 29400.0 358500.0 ; + RECT 28200.0 359700.0 29400.0 358500.0 ; + RECT 28350.0 357150.0 29250.0 356250.0 ; RECT 35550.0 362100.0 36450.0 352500.0 ; - RECT 21450.0 362100.0 22350.0 352500.0 ; - RECT 19800.0 320100.0 22350.0 321300.0 ; - RECT 10500.0 320100.0 7350.0 321300.0 ; - RECT 10500.0 324900.0 7350.0 326100.0 ; - RECT 9300.0 329700.0 7800.0 330900.0 ; - RECT 21900.0 329700.0 19650.0 330900.0 ; - RECT 10500.0 320100.0 9300.0 321300.0 ; - RECT 10500.0 322500.0 9300.0 323700.0 ; - RECT 10500.0 322500.0 9300.0 323700.0 ; - RECT 10500.0 320100.0 9300.0 321300.0 ; - RECT 10500.0 322500.0 9300.0 323700.0 ; - RECT 10500.0 324900.0 9300.0 326100.0 ; - RECT 10500.0 324900.0 9300.0 326100.0 ; - RECT 10500.0 322500.0 9300.0 323700.0 ; - RECT 10500.0 324900.0 9300.0 326100.0 ; - RECT 10500.0 327300.0 9300.0 328500.0 ; - RECT 10500.0 327300.0 9300.0 328500.0 ; - RECT 10500.0 324900.0 9300.0 326100.0 ; - RECT 19800.0 320100.0 18600.0 321300.0 ; - RECT 19800.0 322500.0 18600.0 323700.0 ; - RECT 19800.0 322500.0 18600.0 323700.0 ; - RECT 19800.0 320100.0 18600.0 321300.0 ; - RECT 19800.0 322500.0 18600.0 323700.0 ; - RECT 19800.0 324900.0 18600.0 326100.0 ; - RECT 19800.0 324900.0 18600.0 326100.0 ; - RECT 19800.0 322500.0 18600.0 323700.0 ; - RECT 19800.0 324900.0 18600.0 326100.0 ; - RECT 19800.0 327300.0 18600.0 328500.0 ; - RECT 19800.0 327300.0 18600.0 328500.0 ; - RECT 19800.0 324900.0 18600.0 326100.0 ; - RECT 9900.0 329700.0 8700.0 330900.0 ; - RECT 20250.0 329700.0 19050.0 330900.0 ; - RECT 17100.0 327300.0 15900.0 326100.0 ; - RECT 15150.0 324900.0 13950.0 323700.0 ; - RECT 13200.0 322500.0 12000.0 321300.0 ; - RECT 10500.0 322500.0 9300.0 323700.0 ; - RECT 10500.0 327300.0 9300.0 328500.0 ; - RECT 19800.0 327300.0 18600.0 328500.0 ; - RECT 13200.0 327300.0 12000.0 328500.0 ; - RECT 13200.0 321300.0 12000.0 322500.0 ; - RECT 15150.0 323700.0 13950.0 324900.0 ; - RECT 17100.0 326100.0 15900.0 327300.0 ; - RECT 13200.0 327300.0 12000.0 328500.0 ; - RECT 8250.0 318300.0 7350.0 333900.0 ; - RECT 22350.0 318300.0 21450.0 333900.0 ; - RECT 9750.0 340500.0 7800.0 341700.0 ; - RECT 21900.0 340500.0 19650.0 341700.0 ; - RECT 20550.0 335700.0 22350.0 336900.0 ; - RECT 10950.0 335700.0 7350.0 336900.0 ; - RECT 19350.0 338400.0 10950.0 339300.0 ; - RECT 10950.0 335700.0 9750.0 336900.0 ; - RECT 10950.0 338100.0 9750.0 339300.0 ; - RECT 10950.0 338100.0 9750.0 339300.0 ; - RECT 10950.0 335700.0 9750.0 336900.0 ; - RECT 20550.0 335700.0 19350.0 336900.0 ; - RECT 20550.0 338100.0 19350.0 339300.0 ; - RECT 20550.0 338100.0 19350.0 339300.0 ; - RECT 20550.0 335700.0 19350.0 336900.0 ; - RECT 10350.0 340500.0 9150.0 341700.0 ; - RECT 20250.0 340500.0 19050.0 341700.0 ; - RECT 16050.0 336300.0 14850.0 337500.0 ; - RECT 16050.0 336300.0 14850.0 337500.0 ; - RECT 15900.0 338850.0 15000.0 339750.0 ; - RECT 8250.0 333900.0 7350.0 343500.0 ; - RECT 22350.0 333900.0 21450.0 343500.0 ; - RECT 9750.0 350100.0 7800.0 351300.0 ; - RECT 21900.0 350100.0 19650.0 351300.0 ; - RECT 20550.0 345300.0 22350.0 346500.0 ; - RECT 10950.0 345300.0 7350.0 346500.0 ; - RECT 19350.0 348000.0 10950.0 348900.0 ; - RECT 10950.0 345300.0 9750.0 346500.0 ; - RECT 10950.0 347700.0 9750.0 348900.0 ; - RECT 10950.0 347700.0 9750.0 348900.0 ; - RECT 10950.0 345300.0 9750.0 346500.0 ; - RECT 20550.0 345300.0 19350.0 346500.0 ; - RECT 20550.0 347700.0 19350.0 348900.0 ; - RECT 20550.0 347700.0 19350.0 348900.0 ; - RECT 20550.0 345300.0 19350.0 346500.0 ; - RECT 10350.0 350100.0 9150.0 351300.0 ; - RECT 20250.0 350100.0 19050.0 351300.0 ; - RECT 16050.0 345900.0 14850.0 347100.0 ; - RECT 16050.0 345900.0 14850.0 347100.0 ; - RECT 15900.0 348450.0 15000.0 349350.0 ; - RECT 8250.0 343500.0 7350.0 353100.0 ; - RECT 22350.0 343500.0 21450.0 353100.0 ; - RECT 9750.0 359700.0 7800.0 360900.0 ; - RECT 21900.0 359700.0 19650.0 360900.0 ; - RECT 20550.0 354900.0 22350.0 356100.0 ; - RECT 10950.0 354900.0 7350.0 356100.0 ; - RECT 19350.0 357600.0 10950.0 358500.0 ; - RECT 10950.0 354900.0 9750.0 356100.0 ; - RECT 10950.0 357300.0 9750.0 358500.0 ; - RECT 10950.0 357300.0 9750.0 358500.0 ; - RECT 10950.0 354900.0 9750.0 356100.0 ; - RECT 20550.0 354900.0 19350.0 356100.0 ; - RECT 20550.0 357300.0 19350.0 358500.0 ; - RECT 20550.0 357300.0 19350.0 358500.0 ; - RECT 20550.0 354900.0 19350.0 356100.0 ; - RECT 10350.0 359700.0 9150.0 360900.0 ; - RECT 20250.0 359700.0 19050.0 360900.0 ; - RECT 16050.0 355500.0 14850.0 356700.0 ; - RECT 16050.0 355500.0 14850.0 356700.0 ; - RECT 15900.0 358050.0 15000.0 358950.0 ; - RECT 8250.0 353100.0 7350.0 362700.0 ; - RECT 22350.0 353100.0 21450.0 362700.0 ; - RECT 22350.0 398100.0 16500.0 399000.0 ; - RECT 22350.0 420900.0 16500.0 421800.0 ; - RECT 21900.0 426450.0 6300.0 427350.0 ; - RECT 4200.0 409500.0 16500.0 410400.0 ; - RECT 4200.0 381300.0 16500.0 382200.0 ; - RECT 28800.0 397500.0 27900.0 410100.0 ; - RECT 28800.0 392850.0 27900.0 393750.0 ; - RECT 28800.0 393300.0 27900.0 397500.0 ; - RECT 28350.0 392850.0 16500.0 393750.0 ; - RECT 36000.0 398250.0 33600.0 399150.0 ; - RECT 33450.0 383550.0 32550.0 384450.0 ; - RECT 28800.0 383550.0 27900.0 384450.0 ; - RECT 33450.0 384000.0 32550.0 395700.0 ; - RECT 33000.0 383550.0 28350.0 384450.0 ; - RECT 28800.0 378900.0 27900.0 384000.0 ; - RECT 28350.0 383550.0 19650.0 384450.0 ; - RECT 19650.0 376050.0 12900.0 376950.0 ; - RECT 28950.0 377700.0 27750.0 378900.0 ; - RECT 28800.0 410100.0 27900.0 413850.0 ; + RECT 21750.0 362100.0 22650.0 352500.0 ; + RECT 20250.0 320100.0 22650.0 321300.0 ; + RECT 11550.0 320100.0 7950.0 321300.0 ; + RECT 11550.0 324900.0 7950.0 326100.0 ; + RECT 10350.0 329700.0 8400.0 330900.0 ; + RECT 22200.0 329700.0 20250.0 330900.0 ; + RECT 11550.0 320100.0 10350.0 321300.0 ; + RECT 11550.0 322500.0 10350.0 323700.0 ; + RECT 11550.0 322500.0 10350.0 323700.0 ; + RECT 11550.0 320100.0 10350.0 321300.0 ; + RECT 11550.0 322500.0 10350.0 323700.0 ; + RECT 11550.0 324900.0 10350.0 326100.0 ; + RECT 11550.0 324900.0 10350.0 326100.0 ; + RECT 11550.0 322500.0 10350.0 323700.0 ; + RECT 11550.0 324900.0 10350.0 326100.0 ; + RECT 11550.0 327300.0 10350.0 328500.0 ; + RECT 11550.0 327300.0 10350.0 328500.0 ; + RECT 11550.0 324900.0 10350.0 326100.0 ; + RECT 20250.0 320100.0 19050.0 321300.0 ; + RECT 20250.0 322500.0 19050.0 323700.0 ; + RECT 20250.0 322500.0 19050.0 323700.0 ; + RECT 20250.0 320100.0 19050.0 321300.0 ; + RECT 20250.0 322500.0 19050.0 323700.0 ; + RECT 20250.0 324900.0 19050.0 326100.0 ; + RECT 20250.0 324900.0 19050.0 326100.0 ; + RECT 20250.0 322500.0 19050.0 323700.0 ; + RECT 20250.0 324900.0 19050.0 326100.0 ; + RECT 20250.0 327300.0 19050.0 328500.0 ; + RECT 20250.0 327300.0 19050.0 328500.0 ; + RECT 20250.0 324900.0 19050.0 326100.0 ; + RECT 10950.0 329700.0 9750.0 330900.0 ; + RECT 20850.0 329700.0 19650.0 330900.0 ; + RECT 18150.0 327300.0 16950.0 326100.0 ; + RECT 16200.0 324900.0 15000.0 323700.0 ; + RECT 14250.0 322500.0 13050.0 321300.0 ; + RECT 11550.0 322500.0 10350.0 323700.0 ; + RECT 11550.0 327300.0 10350.0 328500.0 ; + RECT 20250.0 327300.0 19050.0 328500.0 ; + RECT 14250.0 327300.0 13050.0 328500.0 ; + RECT 14250.0 321300.0 13050.0 322500.0 ; + RECT 16200.0 323700.0 15000.0 324900.0 ; + RECT 18150.0 326100.0 16950.0 327300.0 ; + RECT 14250.0 327300.0 13050.0 328500.0 ; + RECT 8850.0 318300.0 7950.0 333900.0 ; + RECT 22650.0 318300.0 21750.0 333900.0 ; + RECT 10350.0 340500.0 8400.0 341700.0 ; + RECT 22200.0 340500.0 20250.0 341700.0 ; + RECT 20850.0 335700.0 22650.0 336900.0 ; + RECT 11550.0 335700.0 7950.0 336900.0 ; + RECT 20850.0 338400.0 11550.0 339300.0 ; + RECT 11550.0 335700.0 10350.0 336900.0 ; + RECT 11550.0 338100.0 10350.0 339300.0 ; + RECT 11550.0 338100.0 10350.0 339300.0 ; + RECT 11550.0 335700.0 10350.0 336900.0 ; + RECT 20850.0 335700.0 19650.0 336900.0 ; + RECT 20850.0 338100.0 19650.0 339300.0 ; + RECT 20850.0 338100.0 19650.0 339300.0 ; + RECT 20850.0 335700.0 19650.0 336900.0 ; + RECT 10950.0 340500.0 9750.0 341700.0 ; + RECT 20850.0 340500.0 19650.0 341700.0 ; + RECT 16200.0 336300.0 15000.0 337500.0 ; + RECT 16200.0 336300.0 15000.0 337500.0 ; + RECT 16050.0 338850.0 15150.0 339750.0 ; + RECT 8850.0 333900.0 7950.0 343500.0 ; + RECT 22650.0 333900.0 21750.0 343500.0 ; + RECT 10350.0 350100.0 8400.0 351300.0 ; + RECT 22200.0 350100.0 20250.0 351300.0 ; + RECT 20850.0 345300.0 22650.0 346500.0 ; + RECT 11550.0 345300.0 7950.0 346500.0 ; + RECT 20850.0 348000.0 11550.0 348900.0 ; + RECT 11550.0 345300.0 10350.0 346500.0 ; + RECT 11550.0 347700.0 10350.0 348900.0 ; + RECT 11550.0 347700.0 10350.0 348900.0 ; + RECT 11550.0 345300.0 10350.0 346500.0 ; + RECT 20850.0 345300.0 19650.0 346500.0 ; + RECT 20850.0 347700.0 19650.0 348900.0 ; + RECT 20850.0 347700.0 19650.0 348900.0 ; + RECT 20850.0 345300.0 19650.0 346500.0 ; + RECT 10950.0 350100.0 9750.0 351300.0 ; + RECT 20850.0 350100.0 19650.0 351300.0 ; + RECT 16200.0 345900.0 15000.0 347100.0 ; + RECT 16200.0 345900.0 15000.0 347100.0 ; + RECT 16050.0 348450.0 15150.0 349350.0 ; + RECT 8850.0 343500.0 7950.0 353100.0 ; + RECT 22650.0 343500.0 21750.0 353100.0 ; + RECT 10350.0 359700.0 8400.0 360900.0 ; + RECT 22200.0 359700.0 20250.0 360900.0 ; + RECT 20850.0 354900.0 22650.0 356100.0 ; + RECT 11550.0 354900.0 7950.0 356100.0 ; + RECT 20850.0 357600.0 11550.0 358500.0 ; + RECT 11550.0 354900.0 10350.0 356100.0 ; + RECT 11550.0 357300.0 10350.0 358500.0 ; + RECT 11550.0 357300.0 10350.0 358500.0 ; + RECT 11550.0 354900.0 10350.0 356100.0 ; + RECT 20850.0 354900.0 19650.0 356100.0 ; + RECT 20850.0 357300.0 19650.0 358500.0 ; + RECT 20850.0 357300.0 19650.0 358500.0 ; + RECT 20850.0 354900.0 19650.0 356100.0 ; + RECT 10950.0 359700.0 9750.0 360900.0 ; + RECT 20850.0 359700.0 19650.0 360900.0 ; + RECT 16200.0 355500.0 15000.0 356700.0 ; + RECT 16200.0 355500.0 15000.0 356700.0 ; + RECT 16050.0 358050.0 15150.0 358950.0 ; + RECT 8850.0 353100.0 7950.0 362700.0 ; + RECT 22650.0 353100.0 21750.0 362700.0 ; + RECT 22650.0 396600.0 16800.0 397500.0 ; + RECT 22650.0 420000.0 16800.0 420900.0 ; + RECT 22200.0 425550.0 16800.0 426450.0 ; + RECT 4500.0 408300.0 16800.0 409200.0 ; + RECT 4500.0 380700.0 16800.0 381600.0 ; + RECT 29250.0 397500.0 28350.0 410100.0 ; + RECT 29250.0 392550.0 28350.0 393450.0 ; + RECT 29250.0 393000.0 28350.0 397500.0 ; + RECT 28800.0 392550.0 17400.0 393450.0 ; + RECT 36000.0 398250.0 33750.0 399150.0 ; + RECT 33600.0 383550.0 32700.0 384450.0 ; + RECT 29250.0 383550.0 28350.0 384450.0 ; + RECT 33600.0 384000.0 32700.0 395700.0 ; + RECT 33150.0 383550.0 28800.0 384450.0 ; + RECT 29250.0 378900.0 28350.0 384000.0 ; + RECT 28800.0 383550.0 19950.0 384450.0 ; + RECT 19950.0 375450.0 13200.0 376350.0 ; + RECT 29400.0 377700.0 28200.0 378900.0 ; + RECT 29250.0 410100.0 28350.0 413850.0 ; RECT 34050.0 374700.0 36000.0 373500.0 ; - RECT 21900.0 374700.0 24150.0 373500.0 ; - RECT 23250.0 379500.0 21450.0 378300.0 ; + RECT 22200.0 374700.0 24150.0 373500.0 ; + RECT 23550.0 379500.0 21750.0 378300.0 ; RECT 32850.0 379500.0 36450.0 378300.0 ; - RECT 24450.0 376800.0 32850.0 375900.0 ; + RECT 23550.0 376800.0 32850.0 375900.0 ; RECT 32850.0 379500.0 34050.0 378300.0 ; RECT 32850.0 377100.0 34050.0 375900.0 ; RECT 32850.0 377100.0 34050.0 375900.0 ; RECT 32850.0 379500.0 34050.0 378300.0 ; - RECT 23250.0 379500.0 24450.0 378300.0 ; - RECT 23250.0 377100.0 24450.0 375900.0 ; - RECT 23250.0 377100.0 24450.0 375900.0 ; - RECT 23250.0 379500.0 24450.0 378300.0 ; + RECT 23550.0 379500.0 24750.0 378300.0 ; + RECT 23550.0 377100.0 24750.0 375900.0 ; + RECT 23550.0 377100.0 24750.0 375900.0 ; + RECT 23550.0 379500.0 24750.0 378300.0 ; RECT 33450.0 374700.0 34650.0 373500.0 ; RECT 23550.0 374700.0 24750.0 373500.0 ; - RECT 27750.0 378900.0 28950.0 377700.0 ; - RECT 27750.0 378900.0 28950.0 377700.0 ; - RECT 27900.0 376350.0 28800.0 375450.0 ; + RECT 28200.0 378900.0 29400.0 377700.0 ; + RECT 28200.0 378900.0 29400.0 377700.0 ; + RECT 28350.0 376350.0 29250.0 375450.0 ; RECT 35550.0 381300.0 36450.0 371700.0 ; - RECT 21450.0 381300.0 22350.0 371700.0 ; - RECT 32400.0 395700.0 33600.0 396900.0 ; - RECT 32400.0 398100.0 33600.0 399300.0 ; - RECT 32400.0 398100.0 33600.0 399300.0 ; - RECT 32400.0 395700.0 33600.0 396900.0 ; - RECT 21450.0 430650.0 22350.0 431550.0 ; - RECT 49650.0 430650.0 50550.0 431550.0 ; - RECT 21450.0 429300.0 22350.0 431100.0 ; - RECT 21900.0 430650.0 50100.0 431550.0 ; - RECT 49650.0 429300.0 50550.0 431100.0 ; + RECT 21750.0 381300.0 22650.0 371700.0 ; + RECT 32550.0 395700.0 33750.0 396900.0 ; + RECT 32550.0 398100.0 33750.0 399300.0 ; + RECT 32550.0 398100.0 33750.0 399300.0 ; + RECT 32550.0 395700.0 33750.0 396900.0 ; + RECT 21750.0 430650.0 22650.0 431550.0 ; + RECT 49350.0 430650.0 50250.0 431550.0 ; + RECT 21750.0 429300.0 22650.0 431100.0 ; + RECT 22200.0 430650.0 49800.0 431550.0 ; + RECT 49350.0 429300.0 50250.0 431100.0 ; RECT 37950.0 416700.0 36000.0 417900.0 ; - RECT 50100.0 416700.0 47850.0 417900.0 ; - RECT 48750.0 411900.0 50550.0 413100.0 ; + RECT 49800.0 416700.0 47850.0 417900.0 ; + RECT 48450.0 411900.0 50250.0 413100.0 ; RECT 39150.0 411900.0 35550.0 413100.0 ; - RECT 47550.0 414600.0 39150.0 415500.0 ; + RECT 48450.0 414600.0 39150.0 415500.0 ; RECT 39150.0 411900.0 37950.0 413100.0 ; RECT 39150.0 414300.0 37950.0 415500.0 ; RECT 39150.0 414300.0 37950.0 415500.0 ; RECT 39150.0 411900.0 37950.0 413100.0 ; - RECT 48750.0 411900.0 47550.0 413100.0 ; - RECT 48750.0 414300.0 47550.0 415500.0 ; - RECT 48750.0 414300.0 47550.0 415500.0 ; - RECT 48750.0 411900.0 47550.0 413100.0 ; + RECT 48450.0 411900.0 47250.0 413100.0 ; + RECT 48450.0 414300.0 47250.0 415500.0 ; + RECT 48450.0 414300.0 47250.0 415500.0 ; + RECT 48450.0 411900.0 47250.0 413100.0 ; RECT 38550.0 416700.0 37350.0 417900.0 ; RECT 48450.0 416700.0 47250.0 417900.0 ; - RECT 44250.0 412500.0 43050.0 413700.0 ; - RECT 44250.0 412500.0 43050.0 413700.0 ; - RECT 44100.0 415050.0 43200.0 415950.0 ; + RECT 43800.0 412500.0 42600.0 413700.0 ; + RECT 43800.0 412500.0 42600.0 413700.0 ; + RECT 43650.0 415050.0 42750.0 415950.0 ; RECT 36450.0 410100.0 35550.0 419700.0 ; - RECT 50550.0 410100.0 49650.0 419700.0 ; + RECT 50250.0 410100.0 49350.0 419700.0 ; RECT 37950.0 426300.0 36000.0 427500.0 ; - RECT 50100.0 426300.0 47850.0 427500.0 ; - RECT 48750.0 421500.0 50550.0 422700.0 ; + RECT 49800.0 426300.0 47850.0 427500.0 ; + RECT 48450.0 421500.0 50250.0 422700.0 ; RECT 39150.0 421500.0 35550.0 422700.0 ; - RECT 47550.0 424200.0 39150.0 425100.0 ; + RECT 48450.0 424200.0 39150.0 425100.0 ; RECT 39150.0 421500.0 37950.0 422700.0 ; RECT 39150.0 423900.0 37950.0 425100.0 ; RECT 39150.0 423900.0 37950.0 425100.0 ; RECT 39150.0 421500.0 37950.0 422700.0 ; - RECT 48750.0 421500.0 47550.0 422700.0 ; - RECT 48750.0 423900.0 47550.0 425100.0 ; - RECT 48750.0 423900.0 47550.0 425100.0 ; - RECT 48750.0 421500.0 47550.0 422700.0 ; + RECT 48450.0 421500.0 47250.0 422700.0 ; + RECT 48450.0 423900.0 47250.0 425100.0 ; + RECT 48450.0 423900.0 47250.0 425100.0 ; + RECT 48450.0 421500.0 47250.0 422700.0 ; RECT 38550.0 426300.0 37350.0 427500.0 ; RECT 48450.0 426300.0 47250.0 427500.0 ; - RECT 44250.0 422100.0 43050.0 423300.0 ; - RECT 44250.0 422100.0 43050.0 423300.0 ; - RECT 44100.0 424650.0 43200.0 425550.0 ; + RECT 43800.0 422100.0 42600.0 423300.0 ; + RECT 43800.0 422100.0 42600.0 423300.0 ; + RECT 43650.0 424650.0 42750.0 425550.0 ; RECT 36450.0 419700.0 35550.0 429300.0 ; - RECT 50550.0 419700.0 49650.0 429300.0 ; - RECT 43050.0 422100.0 44250.0 423300.0 ; + RECT 50250.0 419700.0 49350.0 429300.0 ; + RECT 42600.0 422100.0 43800.0 423300.0 ; RECT 34050.0 422700.0 36000.0 421500.0 ; - RECT 21900.0 422700.0 24150.0 421500.0 ; - RECT 23250.0 427500.0 21450.0 426300.0 ; + RECT 22200.0 422700.0 24150.0 421500.0 ; + RECT 23550.0 427500.0 21750.0 426300.0 ; RECT 32850.0 427500.0 36450.0 426300.0 ; - RECT 24450.0 424800.0 32850.0 423900.0 ; + RECT 23550.0 424800.0 32850.0 423900.0 ; RECT 32850.0 427500.0 34050.0 426300.0 ; RECT 32850.0 425100.0 34050.0 423900.0 ; RECT 32850.0 425100.0 34050.0 423900.0 ; RECT 32850.0 427500.0 34050.0 426300.0 ; - RECT 23250.0 427500.0 24450.0 426300.0 ; - RECT 23250.0 425100.0 24450.0 423900.0 ; - RECT 23250.0 425100.0 24450.0 423900.0 ; - RECT 23250.0 427500.0 24450.0 426300.0 ; + RECT 23550.0 427500.0 24750.0 426300.0 ; + RECT 23550.0 425100.0 24750.0 423900.0 ; + RECT 23550.0 425100.0 24750.0 423900.0 ; + RECT 23550.0 427500.0 24750.0 426300.0 ; RECT 33450.0 422700.0 34650.0 421500.0 ; RECT 23550.0 422700.0 24750.0 421500.0 ; - RECT 27750.0 426900.0 28950.0 425700.0 ; - RECT 27750.0 426900.0 28950.0 425700.0 ; - RECT 27900.0 424350.0 28800.0 423450.0 ; + RECT 28200.0 426900.0 29400.0 425700.0 ; + RECT 28200.0 426900.0 29400.0 425700.0 ; + RECT 28350.0 424350.0 29250.0 423450.0 ; RECT 35550.0 429300.0 36450.0 419700.0 ; - RECT 21450.0 429300.0 22350.0 419700.0 ; - RECT 27750.0 425700.0 28950.0 426900.0 ; + RECT 21750.0 429300.0 22650.0 419700.0 ; + RECT 28200.0 425700.0 29400.0 426900.0 ; RECT 34050.0 413100.0 36000.0 411900.0 ; - RECT 21900.0 413100.0 24150.0 411900.0 ; - RECT 23250.0 417900.0 21450.0 416700.0 ; + RECT 22200.0 413100.0 24150.0 411900.0 ; + RECT 23550.0 417900.0 21750.0 416700.0 ; RECT 32850.0 417900.0 36450.0 416700.0 ; - RECT 24450.0 415200.0 32850.0 414300.0 ; + RECT 23550.0 415200.0 32850.0 414300.0 ; RECT 32850.0 417900.0 34050.0 416700.0 ; RECT 32850.0 415500.0 34050.0 414300.0 ; RECT 32850.0 415500.0 34050.0 414300.0 ; RECT 32850.0 417900.0 34050.0 416700.0 ; - RECT 23250.0 417900.0 24450.0 416700.0 ; - RECT 23250.0 415500.0 24450.0 414300.0 ; - RECT 23250.0 415500.0 24450.0 414300.0 ; - RECT 23250.0 417900.0 24450.0 416700.0 ; + RECT 23550.0 417900.0 24750.0 416700.0 ; + RECT 23550.0 415500.0 24750.0 414300.0 ; + RECT 23550.0 415500.0 24750.0 414300.0 ; + RECT 23550.0 417900.0 24750.0 416700.0 ; RECT 33450.0 413100.0 34650.0 411900.0 ; RECT 23550.0 413100.0 24750.0 411900.0 ; - RECT 27750.0 417300.0 28950.0 416100.0 ; - RECT 27750.0 417300.0 28950.0 416100.0 ; - RECT 27900.0 414750.0 28800.0 413850.0 ; + RECT 28200.0 417300.0 29400.0 416100.0 ; + RECT 28200.0 417300.0 29400.0 416100.0 ; + RECT 28350.0 414750.0 29250.0 413850.0 ; RECT 35550.0 419700.0 36450.0 410100.0 ; - RECT 21450.0 419700.0 22350.0 410100.0 ; - RECT 27750.0 416100.0 28950.0 417300.0 ; - RECT 43050.0 414900.0 44250.0 416100.0 ; - RECT 43050.0 424500.0 44250.0 425700.0 ; - RECT 27750.0 423300.0 28950.0 424500.0 ; - RECT 43050.0 412500.0 44250.0 413700.0 ; - RECT 27900.0 410100.0 28800.0 413850.0 ; + RECT 21750.0 419700.0 22650.0 410100.0 ; + RECT 28200.0 416100.0 29400.0 417300.0 ; + RECT 42600.0 414900.0 43800.0 416100.0 ; + RECT 42600.0 424500.0 43800.0 425700.0 ; + RECT 28200.0 423300.0 29400.0 424500.0 ; + RECT 42600.0 412500.0 43800.0 413700.0 ; + RECT 28350.0 410100.0 29250.0 413850.0 ; RECT 35550.0 410100.0 36450.0 429300.0 ; - RECT 21450.0 410100.0 22350.0 429300.0 ; - RECT 49650.0 410100.0 50550.0 429300.0 ; - RECT 16500.0 396000.0 6300.0 381300.0 ; - RECT 16500.0 396000.0 6300.0 410100.0 ; - RECT 16500.0 424200.0 6300.0 410100.0 ; - RECT 17100.0 398100.0 5700.0 399300.0 ; - RECT 17100.0 420900.0 5700.0 422100.0 ; - RECT 17100.0 409500.0 5700.0 410400.0 ; - RECT 22350.0 398100.0 21150.0 399300.0 ; - RECT 22350.0 420900.0 21150.0 422100.0 ; - RECT 22350.0 410100.0 21150.0 411300.0 ; - RECT 22350.0 370500.0 21150.0 371700.0 ; - RECT 21300.0 426300.0 22500.0 427500.0 ; - RECT 5700.0 426300.0 6900.0 427500.0 ; - RECT 28950.0 396900.0 27750.0 398100.0 ; - RECT 19050.0 383400.0 20250.0 384600.0 ; - RECT 19050.0 375900.0 20250.0 377100.0 ; - RECT 12300.0 375900.0 13500.0 377100.0 ; - RECT 44250.0 362100.0 43350.0 412500.0 ; - RECT 28800.0 362100.0 27900.0 375450.0 ; - RECT 4200.0 362100.0 3300.0 424650.0 ; + RECT 21750.0 410100.0 22650.0 429300.0 ; + RECT 49350.0 410100.0 50250.0 429300.0 ; + RECT 16800.0 395100.0 6600.0 381300.0 ; + RECT 16800.0 395100.0 6600.0 408900.0 ; + RECT 16800.0 422700.0 6600.0 408900.0 ; + RECT 17400.0 396600.0 6000.0 397800.0 ; + RECT 17400.0 420000.0 6000.0 421200.0 ; + RECT 17400.0 408300.0 6000.0 409200.0 ; + RECT 22650.0 396600.0 21450.0 397800.0 ; + RECT 22650.0 420000.0 21450.0 421200.0 ; + RECT 22650.0 410100.0 21450.0 411300.0 ; + RECT 22650.0 370500.0 21450.0 371700.0 ; + RECT 21600.0 425400.0 22800.0 426600.0 ; + RECT 16200.0 425400.0 17400.0 426600.0 ; + RECT 29400.0 396900.0 28200.0 398100.0 ; + RECT 19350.0 383400.0 20550.0 384600.0 ; + RECT 19350.0 375300.0 20550.0 376500.0 ; + RECT 12600.0 375300.0 13800.0 376500.0 ; + RECT 43800.0 362100.0 42900.0 412500.0 ; + RECT 29250.0 362100.0 28350.0 375450.0 ; + RECT 4500.0 362100.0 3600.0 423150.0 ; RECT 36450.0 362100.0 35550.0 410100.0 ; - RECT 22350.0 362100.0 21450.0 381300.0 ; - RECT 50550.0 362100.0 49650.0 410100.0 ; - RECT 44550.0 285450.0 43350.0 284250.0 ; - RECT 44550.0 244650.0 43350.0 243450.0 ; + RECT 22650.0 362100.0 21750.0 381300.0 ; + RECT 50250.0 362100.0 49350.0 410100.0 ; + RECT 43950.0 285450.0 42750.0 284250.0 ; + RECT 43950.0 244500.0 42750.0 243300.0 ; RECT 33900.0 205650.0 32700.0 204450.0 ; - RECT 29550.0 285450.0 28350.0 284250.0 ; - RECT 26850.0 290850.0 25650.0 289650.0 ; - RECT 30300.0 328200.0 29100.0 327000.0 ; - RECT 27600.0 331200.0 26400.0 330000.0 ; - RECT 41400.0 304350.0 40200.0 303150.0 ; - RECT 43350.0 301650.0 42150.0 300450.0 ; - RECT 45300.0 293550.0 44100.0 292350.0 ; - RECT 13200.0 304350.0 12000.0 303150.0 ; - RECT 15150.0 293550.0 13950.0 292350.0 ; - RECT 17100.0 296250.0 15900.0 295050.0 ; - RECT 29550.0 322500.0 28350.0 323700.0 ; - RECT 30300.0 339600.0 29100.0 340800.0 ; - RECT 16050.0 362100.0 14850.0 363300.0 ; - RECT 28950.0 342300.0 27750.0 343500.0 ; - RECT 50700.0 288150.0 49500.0 286950.0 ; + RECT 29850.0 285450.0 28650.0 284250.0 ; + RECT 27150.0 290850.0 25950.0 289650.0 ; + RECT 30600.0 328200.0 29400.0 327000.0 ; + RECT 27900.0 331200.0 26700.0 330000.0 ; + RECT 41850.0 304350.0 40650.0 303150.0 ; + RECT 43800.0 301650.0 42600.0 300450.0 ; + RECT 45750.0 293550.0 44550.0 292350.0 ; + RECT 14250.0 304350.0 13050.0 303150.0 ; + RECT 16200.0 293550.0 15000.0 292350.0 ; + RECT 18150.0 296250.0 16950.0 295050.0 ; + RECT 29850.0 322500.0 28650.0 323700.0 ; + RECT 30600.0 339600.0 29400.0 340800.0 ; + RECT 16200.0 362100.0 15000.0 363300.0 ; + RECT 29400.0 342300.0 28200.0 343500.0 ; + RECT 50400.0 288150.0 49200.0 286950.0 ; RECT 36600.0 298950.0 35400.0 297750.0 ; - RECT 22500.0 288150.0 21300.0 286950.0 ; - RECT 8400.0 298950.0 7200.0 297750.0 ; - RECT 44250.0 202200.0 43050.0 205800.0 ; + RECT 22800.0 288150.0 21600.0 286950.0 ; + RECT 9000.0 298950.0 7800.0 297750.0 ; + RECT 43800.0 202200.0 42600.0 205800.0 ; RECT 36450.0 202200.0 35550.0 203100.0 ; - RECT 50550.0 202200.0 49650.0 203100.0 ; - RECT 55950.0 297750.0 54750.0 298950.0 ; + RECT 50250.0 202200.0 49350.0 203100.0 ; + RECT 55650.0 297750.0 54450.0 298950.0 ; LAYER metal2 ; - RECT 169050.0 340200.0 169950.0 342900.0 ; - RECT 166350.0 360000.0 167250.0 362700.0 ; - RECT 160950.0 320400.0 161850.0 323100.0 ; - RECT 158250.0 337500.0 159150.0 340200.0 ; - RECT 163650.0 301050.0 164550.0 303750.0 ; - RECT 155550.0 282150.0 156450.0 284850.0 ; - RECT 50100.0 297900.0 55350.0 298800.0 ; - RECT 150150.0 284850.0 151050.0 287550.0 ; - RECT 155550.0 0.0 156450.0 444600.0 ; - RECT 158250.0 0.0 159150.0 444600.0 ; - RECT 160950.0 0.0 161850.0 444600.0 ; - RECT 163650.0 0.0 164550.0 444600.0 ; - RECT 166350.0 0.0 167250.0 444600.0 ; - RECT 169050.0 0.0 169950.0 444600.0 ; - RECT 134850.0 34800.0 135750.0 199200.0 ; - RECT 137550.0 34800.0 138450.0 199200.0 ; - RECT 140250.0 34800.0 141150.0 199200.0 ; - RECT 142950.0 34800.0 143850.0 199200.0 ; - RECT 180450.0 5850.0 181350.0 6750.0 ; - RECT 177300.0 5850.0 180900.0 6750.0 ; - RECT 180450.0 6300.0 181350.0 8100.0 ; - RECT 190650.0 5850.0 191550.0 6750.0 ; - RECT 187500.0 5850.0 191100.0 6750.0 ; - RECT 190650.0 6300.0 191550.0 8100.0 ; - RECT 102900.0 424800.0 103800.0 426900.0 ; - RECT 175800.0 199200.0 186000.0 213300.0 ; - RECT 175800.0 227400.0 186000.0 213300.0 ; - RECT 175800.0 227400.0 186000.0 241500.0 ; - RECT 175800.0 255600.0 186000.0 241500.0 ; - RECT 175800.0 255600.0 186000.0 269700.0 ; - RECT 175800.0 283800.0 186000.0 269700.0 ; - RECT 175800.0 283800.0 186000.0 297900.0 ; - RECT 175800.0 312000.0 186000.0 297900.0 ; - RECT 175800.0 312000.0 186000.0 326100.0 ; - RECT 175800.0 340200.0 186000.0 326100.0 ; - RECT 175800.0 340200.0 186000.0 354300.0 ; - RECT 175800.0 368400.0 186000.0 354300.0 ; - RECT 175800.0 368400.0 186000.0 382500.0 ; - RECT 175800.0 396600.0 186000.0 382500.0 ; - RECT 175800.0 396600.0 186000.0 410700.0 ; - RECT 175800.0 424800.0 186000.0 410700.0 ; - RECT 186000.0 199200.0 196200.0 213300.0 ; - RECT 186000.0 227400.0 196200.0 213300.0 ; - RECT 186000.0 227400.0 196200.0 241500.0 ; - RECT 186000.0 255600.0 196200.0 241500.0 ; - RECT 186000.0 255600.0 196200.0 269700.0 ; - RECT 186000.0 283800.0 196200.0 269700.0 ; - RECT 186000.0 283800.0 196200.0 297900.0 ; - RECT 186000.0 312000.0 196200.0 297900.0 ; - RECT 186000.0 312000.0 196200.0 326100.0 ; - RECT 186000.0 340200.0 196200.0 326100.0 ; - RECT 186000.0 340200.0 196200.0 354300.0 ; - RECT 186000.0 368400.0 196200.0 354300.0 ; - RECT 186000.0 368400.0 196200.0 382500.0 ; - RECT 186000.0 396600.0 196200.0 382500.0 ; - RECT 186000.0 396600.0 196200.0 410700.0 ; - RECT 186000.0 424800.0 196200.0 410700.0 ; - RECT 178800.0 199200.0 180000.0 424800.0 ; - RECT 181800.0 199200.0 183000.0 424800.0 ; - RECT 189000.0 199200.0 190200.0 424800.0 ; - RECT 192000.0 199200.0 193200.0 424800.0 ; - RECT 185400.0 199200.0 186600.0 424800.0 ; - RECT 178800.0 427200.0 180000.0 428400.0 ; - RECT 181200.0 427200.0 182850.0 428400.0 ; - RECT 178800.0 436200.0 180000.0 437400.0 ; - RECT 181950.0 436200.0 184800.0 437400.0 ; - RECT 178800.0 427200.0 180000.0 428400.0 ; - RECT 181200.0 427200.0 182400.0 428400.0 ; - RECT 178800.0 436200.0 180000.0 437400.0 ; - RECT 183600.0 436200.0 184800.0 437400.0 ; - RECT 178950.0 424800.0 179850.0 444600.0 ; - RECT 181950.0 424800.0 182850.0 444600.0 ; - RECT 189000.0 427200.0 190200.0 428400.0 ; - RECT 191400.0 427200.0 193050.0 428400.0 ; - RECT 189000.0 436200.0 190200.0 437400.0 ; - RECT 192150.0 436200.0 195000.0 437400.0 ; - RECT 189000.0 427200.0 190200.0 428400.0 ; - RECT 191400.0 427200.0 192600.0 428400.0 ; - RECT 189000.0 436200.0 190200.0 437400.0 ; - RECT 193800.0 436200.0 195000.0 437400.0 ; - RECT 189150.0 424800.0 190050.0 444600.0 ; - RECT 192150.0 424800.0 193050.0 444600.0 ; - RECT 178950.0 424800.0 179850.0 444600.0 ; - RECT 181950.0 424800.0 182850.0 444600.0 ; - RECT 189150.0 424800.0 190050.0 444600.0 ; - RECT 192150.0 424800.0 193050.0 444600.0 ; - RECT 175800.0 150300.0 186000.0 199200.0 ; - RECT 186000.0 150300.0 196200.0 199200.0 ; - RECT 178800.0 150300.0 180000.0 163500.0 ; - RECT 181800.0 150300.0 183000.0 163500.0 ; - RECT 189000.0 150300.0 190200.0 163500.0 ; - RECT 192000.0 150300.0 193200.0 163500.0 ; - RECT 175800.0 90000.0 186000.0 150300.0 ; - RECT 186000.0 90000.0 196200.0 150300.0 ; - RECT 180300.0 90000.0 181500.0 92700.0 ; - RECT 190500.0 90000.0 191700.0 92700.0 ; - RECT 178800.0 148200.0 180000.0 150300.0 ; - RECT 181800.0 142800.0 183000.0 150300.0 ; - RECT 189000.0 148200.0 190200.0 150300.0 ; - RECT 192000.0 142800.0 193200.0 150300.0 ; - RECT 175800.0 30000.0 186000.0 90000.0 ; - RECT 196200.0 30000.0 186000.0 90000.0 ; - RECT 180300.0 30000.0 181500.0 31200.0 ; - RECT 190500.0 30000.0 191700.0 31200.0 ; - RECT 180300.0 88800.0 181500.0 90000.0 ; - RECT 177600.0 85500.0 178800.0 90000.0 ; - RECT 190500.0 88800.0 191700.0 90000.0 ; - RECT 193200.0 85500.0 194400.0 90000.0 ; - RECT 185400.0 30000.0 186600.0 90000.0 ; - RECT 175800.0 30000.0 186000.0 8100.0 ; - RECT 186000.0 30000.0 196200.0 8100.0 ; - RECT 180300.0 15000.0 181500.0 8100.0 ; - RECT 190500.0 15000.0 191700.0 8100.0 ; - RECT 180300.0 30000.0 181500.0 28500.0 ; - RECT 190500.0 30000.0 191700.0 28500.0 ; - RECT 59400.0 86400.0 60300.0 424800.0 ; - RECT 61500.0 86400.0 62400.0 424800.0 ; - RECT 63600.0 86400.0 64500.0 424800.0 ; - RECT 65700.0 86400.0 66600.0 424800.0 ; - RECT 67800.0 86400.0 68700.0 424800.0 ; - RECT 69900.0 86400.0 70800.0 424800.0 ; - RECT 72000.0 86400.0 72900.0 424800.0 ; - RECT 74100.0 86400.0 75000.0 424800.0 ; - RECT 106200.0 86400.0 105300.0 141000.0 ; - RECT 103200.0 86400.0 102300.0 141000.0 ; - RECT 112200.0 86400.0 111300.0 141000.0 ; - RECT 109200.0 86400.0 108300.0 141000.0 ; - RECT 95850.0 93750.0 94950.0 94650.0 ; - RECT 93450.0 93750.0 92550.0 94650.0 ; - RECT 95850.0 94200.0 94950.0 97350.0 ; - RECT 95400.0 93750.0 93000.0 94650.0 ; - RECT 93450.0 89550.0 92550.0 94200.0 ; - RECT 96000.0 97350.0 94800.0 98550.0 ; - RECT 93600.0 88350.0 92400.0 89550.0 ; - RECT 92400.0 93600.0 93600.0 94800.0 ; - RECT 95850.0 107250.0 94950.0 106350.0 ; - RECT 93450.0 107250.0 92550.0 106350.0 ; - RECT 95850.0 106800.0 94950.0 103650.0 ; - RECT 95400.0 107250.0 93000.0 106350.0 ; - RECT 93450.0 111450.0 92550.0 106800.0 ; - RECT 96000.0 103650.0 94800.0 102450.0 ; - RECT 93600.0 112650.0 92400.0 111450.0 ; - RECT 92400.0 107400.0 93600.0 106200.0 ; - RECT 95850.0 121950.0 94950.0 122850.0 ; - RECT 93450.0 121950.0 92550.0 122850.0 ; - RECT 95850.0 122400.0 94950.0 125550.0 ; - RECT 95400.0 121950.0 93000.0 122850.0 ; - RECT 93450.0 117750.0 92550.0 122400.0 ; - RECT 96000.0 125550.0 94800.0 126750.0 ; - RECT 93600.0 116550.0 92400.0 117750.0 ; - RECT 92400.0 121800.0 93600.0 123000.0 ; - RECT 95850.0 135450.0 94950.0 134550.0 ; - RECT 93450.0 135450.0 92550.0 134550.0 ; - RECT 95850.0 135000.0 94950.0 131850.0 ; - RECT 95400.0 135450.0 93000.0 134550.0 ; - RECT 93450.0 139650.0 92550.0 135000.0 ; - RECT 96000.0 131850.0 94800.0 130650.0 ; - RECT 93600.0 140850.0 92400.0 139650.0 ; - RECT 92400.0 135600.0 93600.0 134400.0 ; - RECT 111150.0 97200.0 112350.0 98400.0 ; - RECT 129750.0 92250.0 130950.0 93450.0 ; - RECT 108150.0 111300.0 109350.0 112500.0 ; - RECT 126750.0 107550.0 127950.0 108750.0 ; - RECT 129750.0 116100.0 130950.0 117300.0 ; - RECT 105150.0 116100.0 106350.0 117300.0 ; - RECT 126750.0 130200.0 127950.0 131400.0 ; - RECT 102150.0 130200.0 103350.0 131400.0 ; - RECT 111150.0 93600.0 112350.0 94800.0 ; - RECT 108150.0 90900.0 109350.0 92100.0 ; - RECT 105150.0 106200.0 106350.0 107400.0 ; - RECT 108150.0 108900.0 109350.0 110100.0 ; - RECT 111150.0 121800.0 112350.0 123000.0 ; - RECT 102150.0 119100.0 103350.0 120300.0 ; - RECT 105150.0 134400.0 106350.0 135600.0 ; - RECT 102150.0 137100.0 103350.0 138300.0 ; - RECT 130800.0 86400.0 129900.0 141000.0 ; - RECT 127800.0 86400.0 126900.0 141000.0 ; - RECT 106200.0 142800.0 105300.0 197400.0 ; - RECT 103200.0 142800.0 102300.0 197400.0 ; - RECT 112200.0 142800.0 111300.0 197400.0 ; - RECT 109200.0 142800.0 108300.0 197400.0 ; - RECT 95850.0 150150.0 94950.0 151050.0 ; - RECT 93450.0 150150.0 92550.0 151050.0 ; - RECT 95850.0 150600.0 94950.0 153750.0 ; - RECT 95400.0 150150.0 93000.0 151050.0 ; - RECT 93450.0 145950.0 92550.0 150600.0 ; - RECT 96000.0 153750.0 94800.0 154950.0 ; - RECT 93600.0 144750.0 92400.0 145950.0 ; - RECT 92400.0 150000.0 93600.0 151200.0 ; - RECT 95850.0 163650.0 94950.0 162750.0 ; - RECT 93450.0 163650.0 92550.0 162750.0 ; - RECT 95850.0 163200.0 94950.0 160050.0 ; - RECT 95400.0 163650.0 93000.0 162750.0 ; - RECT 93450.0 167850.0 92550.0 163200.0 ; - RECT 96000.0 160050.0 94800.0 158850.0 ; - RECT 93600.0 169050.0 92400.0 167850.0 ; - RECT 92400.0 163800.0 93600.0 162600.0 ; - RECT 95850.0 178350.0 94950.0 179250.0 ; - RECT 93450.0 178350.0 92550.0 179250.0 ; - RECT 95850.0 178800.0 94950.0 181950.0 ; - RECT 95400.0 178350.0 93000.0 179250.0 ; - RECT 93450.0 174150.0 92550.0 178800.0 ; - RECT 96000.0 181950.0 94800.0 183150.0 ; - RECT 93600.0 172950.0 92400.0 174150.0 ; - RECT 92400.0 178200.0 93600.0 179400.0 ; - RECT 95850.0 191850.0 94950.0 190950.0 ; - RECT 93450.0 191850.0 92550.0 190950.0 ; - RECT 95850.0 191400.0 94950.0 188250.0 ; - RECT 95400.0 191850.0 93000.0 190950.0 ; - RECT 93450.0 196050.0 92550.0 191400.0 ; - RECT 96000.0 188250.0 94800.0 187050.0 ; - RECT 93600.0 197250.0 92400.0 196050.0 ; - RECT 92400.0 192000.0 93600.0 190800.0 ; - RECT 111150.0 153600.0 112350.0 154800.0 ; - RECT 129750.0 148650.0 130950.0 149850.0 ; - RECT 108150.0 167700.0 109350.0 168900.0 ; - RECT 126750.0 163950.0 127950.0 165150.0 ; - RECT 129750.0 172500.0 130950.0 173700.0 ; - RECT 105150.0 172500.0 106350.0 173700.0 ; - RECT 126750.0 186600.0 127950.0 187800.0 ; - RECT 102150.0 186600.0 103350.0 187800.0 ; - RECT 111150.0 150000.0 112350.0 151200.0 ; - RECT 108150.0 147300.0 109350.0 148500.0 ; - RECT 105150.0 162600.0 106350.0 163800.0 ; - RECT 108150.0 165300.0 109350.0 166500.0 ; - RECT 111150.0 178200.0 112350.0 179400.0 ; - RECT 102150.0 175500.0 103350.0 176700.0 ; - RECT 105150.0 190800.0 106350.0 192000.0 ; - RECT 102150.0 193500.0 103350.0 194700.0 ; - RECT 130800.0 142800.0 129900.0 197400.0 ; - RECT 127800.0 142800.0 126900.0 197400.0 ; - RECT 80550.0 206550.0 81450.0 207450.0 ; - RECT 82950.0 206550.0 83850.0 207450.0 ; - RECT 80550.0 207000.0 81450.0 210150.0 ; - RECT 81000.0 206550.0 83400.0 207450.0 ; - RECT 82950.0 202350.0 83850.0 207000.0 ; - RECT 80400.0 210150.0 81600.0 211350.0 ; - RECT 82800.0 201150.0 84000.0 202350.0 ; - RECT 84000.0 206400.0 82800.0 207600.0 ; - RECT 80550.0 220050.0 81450.0 219150.0 ; - RECT 82950.0 220050.0 83850.0 219150.0 ; - RECT 80550.0 219600.0 81450.0 216450.0 ; - RECT 81000.0 220050.0 83400.0 219150.0 ; - RECT 82950.0 224250.0 83850.0 219600.0 ; - RECT 80400.0 216450.0 81600.0 215250.0 ; - RECT 82800.0 225450.0 84000.0 224250.0 ; - RECT 84000.0 220200.0 82800.0 219000.0 ; - RECT 80550.0 234750.0 81450.0 235650.0 ; - RECT 82950.0 234750.0 83850.0 235650.0 ; - RECT 80550.0 235200.0 81450.0 238350.0 ; - RECT 81000.0 234750.0 83400.0 235650.0 ; - RECT 82950.0 230550.0 83850.0 235200.0 ; - RECT 80400.0 238350.0 81600.0 239550.0 ; - RECT 82800.0 229350.0 84000.0 230550.0 ; - RECT 84000.0 234600.0 82800.0 235800.0 ; - RECT 80550.0 248250.0 81450.0 247350.0 ; - RECT 82950.0 248250.0 83850.0 247350.0 ; - RECT 80550.0 247800.0 81450.0 244650.0 ; - RECT 81000.0 248250.0 83400.0 247350.0 ; - RECT 82950.0 252450.0 83850.0 247800.0 ; - RECT 80400.0 244650.0 81600.0 243450.0 ; - RECT 82800.0 253650.0 84000.0 252450.0 ; - RECT 84000.0 248400.0 82800.0 247200.0 ; - RECT 80550.0 262950.0 81450.0 263850.0 ; - RECT 82950.0 262950.0 83850.0 263850.0 ; - RECT 80550.0 263400.0 81450.0 266550.0 ; - RECT 81000.0 262950.0 83400.0 263850.0 ; - RECT 82950.0 258750.0 83850.0 263400.0 ; - RECT 80400.0 266550.0 81600.0 267750.0 ; - RECT 82800.0 257550.0 84000.0 258750.0 ; - RECT 84000.0 262800.0 82800.0 264000.0 ; - RECT 80550.0 276450.0 81450.0 275550.0 ; - RECT 82950.0 276450.0 83850.0 275550.0 ; - RECT 80550.0 276000.0 81450.0 272850.0 ; - RECT 81000.0 276450.0 83400.0 275550.0 ; - RECT 82950.0 280650.0 83850.0 276000.0 ; - RECT 80400.0 272850.0 81600.0 271650.0 ; - RECT 82800.0 281850.0 84000.0 280650.0 ; - RECT 84000.0 276600.0 82800.0 275400.0 ; - RECT 80550.0 291150.0 81450.0 292050.0 ; - RECT 82950.0 291150.0 83850.0 292050.0 ; - RECT 80550.0 291600.0 81450.0 294750.0 ; - RECT 81000.0 291150.0 83400.0 292050.0 ; - RECT 82950.0 286950.0 83850.0 291600.0 ; - RECT 80400.0 294750.0 81600.0 295950.0 ; - RECT 82800.0 285750.0 84000.0 286950.0 ; - RECT 84000.0 291000.0 82800.0 292200.0 ; - RECT 80550.0 304650.0 81450.0 303750.0 ; - RECT 82950.0 304650.0 83850.0 303750.0 ; - RECT 80550.0 304200.0 81450.0 301050.0 ; - RECT 81000.0 304650.0 83400.0 303750.0 ; - RECT 82950.0 308850.0 83850.0 304200.0 ; - RECT 80400.0 301050.0 81600.0 299850.0 ; - RECT 82800.0 310050.0 84000.0 308850.0 ; - RECT 84000.0 304800.0 82800.0 303600.0 ; - RECT 80550.0 319350.0 81450.0 320250.0 ; - RECT 82950.0 319350.0 83850.0 320250.0 ; - RECT 80550.0 319800.0 81450.0 322950.0 ; - RECT 81000.0 319350.0 83400.0 320250.0 ; - RECT 82950.0 315150.0 83850.0 319800.0 ; - RECT 80400.0 322950.0 81600.0 324150.0 ; - RECT 82800.0 313950.0 84000.0 315150.0 ; - RECT 84000.0 319200.0 82800.0 320400.0 ; - RECT 80550.0 332850.0 81450.0 331950.0 ; - RECT 82950.0 332850.0 83850.0 331950.0 ; - RECT 80550.0 332400.0 81450.0 329250.0 ; - RECT 81000.0 332850.0 83400.0 331950.0 ; - RECT 82950.0 337050.0 83850.0 332400.0 ; - RECT 80400.0 329250.0 81600.0 328050.0 ; - RECT 82800.0 338250.0 84000.0 337050.0 ; - RECT 84000.0 333000.0 82800.0 331800.0 ; - RECT 80550.0 347550.0 81450.0 348450.0 ; - RECT 82950.0 347550.0 83850.0 348450.0 ; - RECT 80550.0 348000.0 81450.0 351150.0 ; - RECT 81000.0 347550.0 83400.0 348450.0 ; - RECT 82950.0 343350.0 83850.0 348000.0 ; - RECT 80400.0 351150.0 81600.0 352350.0 ; - RECT 82800.0 342150.0 84000.0 343350.0 ; - RECT 84000.0 347400.0 82800.0 348600.0 ; - RECT 80550.0 361050.0 81450.0 360150.0 ; - RECT 82950.0 361050.0 83850.0 360150.0 ; - RECT 80550.0 360600.0 81450.0 357450.0 ; - RECT 81000.0 361050.0 83400.0 360150.0 ; - RECT 82950.0 365250.0 83850.0 360600.0 ; - RECT 80400.0 357450.0 81600.0 356250.0 ; - RECT 82800.0 366450.0 84000.0 365250.0 ; - RECT 84000.0 361200.0 82800.0 360000.0 ; - RECT 80550.0 375750.0 81450.0 376650.0 ; - RECT 82950.0 375750.0 83850.0 376650.0 ; - RECT 80550.0 376200.0 81450.0 379350.0 ; - RECT 81000.0 375750.0 83400.0 376650.0 ; - RECT 82950.0 371550.0 83850.0 376200.0 ; - RECT 80400.0 379350.0 81600.0 380550.0 ; - RECT 82800.0 370350.0 84000.0 371550.0 ; - RECT 84000.0 375600.0 82800.0 376800.0 ; - RECT 80550.0 389250.0 81450.0 388350.0 ; - RECT 82950.0 389250.0 83850.0 388350.0 ; - RECT 80550.0 388800.0 81450.0 385650.0 ; - RECT 81000.0 389250.0 83400.0 388350.0 ; - RECT 82950.0 393450.0 83850.0 388800.0 ; - RECT 80400.0 385650.0 81600.0 384450.0 ; - RECT 82800.0 394650.0 84000.0 393450.0 ; - RECT 84000.0 389400.0 82800.0 388200.0 ; - RECT 80550.0 403950.0 81450.0 404850.0 ; - RECT 82950.0 403950.0 83850.0 404850.0 ; - RECT 80550.0 404400.0 81450.0 407550.0 ; - RECT 81000.0 403950.0 83400.0 404850.0 ; - RECT 82950.0 399750.0 83850.0 404400.0 ; - RECT 80400.0 407550.0 81600.0 408750.0 ; - RECT 82800.0 398550.0 84000.0 399750.0 ; - RECT 84000.0 403800.0 82800.0 405000.0 ; - RECT 80550.0 417450.0 81450.0 416550.0 ; - RECT 82950.0 417450.0 83850.0 416550.0 ; - RECT 80550.0 417000.0 81450.0 413850.0 ; - RECT 81000.0 417450.0 83400.0 416550.0 ; - RECT 82950.0 421650.0 83850.0 417000.0 ; - RECT 80400.0 413850.0 81600.0 412650.0 ; - RECT 82800.0 422850.0 84000.0 421650.0 ; - RECT 84000.0 417600.0 82800.0 416400.0 ; - RECT 60450.0 92250.0 59250.0 93450.0 ; - RECT 62550.0 107550.0 61350.0 108750.0 ; - RECT 64650.0 120450.0 63450.0 121650.0 ; - RECT 66750.0 135750.0 65550.0 136950.0 ; - RECT 68850.0 148650.0 67650.0 149850.0 ; - RECT 70950.0 163950.0 69750.0 165150.0 ; - RECT 73050.0 176850.0 71850.0 178050.0 ; - RECT 75150.0 192150.0 73950.0 193350.0 ; - RECT 60450.0 206400.0 59250.0 207600.0 ; - RECT 68850.0 203700.0 67650.0 204900.0 ; - RECT 60450.0 219000.0 59250.0 220200.0 ; - RECT 70950.0 221700.0 69750.0 222900.0 ; - RECT 60450.0 234600.0 59250.0 235800.0 ; - RECT 73050.0 231900.0 71850.0 233100.0 ; - RECT 60450.0 247200.0 59250.0 248400.0 ; - RECT 75150.0 249900.0 73950.0 251100.0 ; - RECT 62550.0 262800.0 61350.0 264000.0 ; - RECT 68850.0 260100.0 67650.0 261300.0 ; - RECT 62550.0 275400.0 61350.0 276600.0 ; - RECT 70950.0 278100.0 69750.0 279300.0 ; - RECT 62550.0 291000.0 61350.0 292200.0 ; - RECT 73050.0 288300.0 71850.0 289500.0 ; - RECT 62550.0 303600.0 61350.0 304800.0 ; - RECT 75150.0 306300.0 73950.0 307500.0 ; - RECT 64650.0 319200.0 63450.0 320400.0 ; - RECT 68850.0 316500.0 67650.0 317700.0 ; - RECT 64650.0 331800.0 63450.0 333000.0 ; - RECT 70950.0 334500.0 69750.0 335700.0 ; - RECT 64650.0 347400.0 63450.0 348600.0 ; - RECT 73050.0 344700.0 71850.0 345900.0 ; - RECT 64650.0 360000.0 63450.0 361200.0 ; - RECT 75150.0 362700.0 73950.0 363900.0 ; - RECT 66750.0 375600.0 65550.0 376800.0 ; - RECT 68850.0 372900.0 67650.0 374100.0 ; - RECT 66750.0 388200.0 65550.0 389400.0 ; - RECT 70950.0 390900.0 69750.0 392100.0 ; - RECT 66750.0 403800.0 65550.0 405000.0 ; - RECT 73050.0 401100.0 71850.0 402300.0 ; - RECT 66750.0 416400.0 65550.0 417600.0 ; - RECT 75150.0 419100.0 73950.0 420300.0 ; - RECT 129900.0 86400.0 130800.0 141000.0 ; - RECT 126900.0 86400.0 127800.0 141000.0 ; - RECT 129900.0 142800.0 130800.0 197400.0 ; - RECT 126900.0 142800.0 127800.0 197400.0 ; - RECT 104850.0 203850.0 105750.0 204750.0 ; - RECT 104850.0 203400.0 105750.0 204300.0 ; - RECT 105300.0 203850.0 121500.0 204750.0 ; - RECT 104850.0 221850.0 105750.0 222750.0 ; - RECT 104850.0 222300.0 105750.0 223200.0 ; - RECT 105300.0 221850.0 121500.0 222750.0 ; - RECT 104850.0 232050.0 105750.0 232950.0 ; - RECT 104850.0 231600.0 105750.0 232500.0 ; - RECT 105300.0 232050.0 121500.0 232950.0 ; - RECT 104850.0 250050.0 105750.0 250950.0 ; - RECT 104850.0 250500.0 105750.0 251400.0 ; - RECT 105300.0 250050.0 121500.0 250950.0 ; - RECT 104850.0 260250.0 105750.0 261150.0 ; - RECT 104850.0 259800.0 105750.0 260700.0 ; - RECT 105300.0 260250.0 121500.0 261150.0 ; - RECT 104850.0 278250.0 105750.0 279150.0 ; - RECT 104850.0 278700.0 105750.0 279600.0 ; - RECT 105300.0 278250.0 121500.0 279150.0 ; - RECT 104850.0 288450.0 105750.0 289350.0 ; - RECT 104850.0 288000.0 105750.0 288900.0 ; - RECT 105300.0 288450.0 121500.0 289350.0 ; - RECT 104850.0 306450.0 105750.0 307350.0 ; - RECT 104850.0 306900.0 105750.0 307800.0 ; - RECT 105300.0 306450.0 121500.0 307350.0 ; - RECT 104850.0 316650.0 105750.0 317550.0 ; - RECT 104850.0 316200.0 105750.0 317100.0 ; - RECT 105300.0 316650.0 121500.0 317550.0 ; - RECT 104850.0 334650.0 105750.0 335550.0 ; - RECT 104850.0 335100.0 105750.0 336000.0 ; - RECT 105300.0 334650.0 121500.0 335550.0 ; - RECT 104850.0 344850.0 105750.0 345750.0 ; - RECT 104850.0 344400.0 105750.0 345300.0 ; - RECT 105300.0 344850.0 121500.0 345750.0 ; - RECT 104850.0 362850.0 105750.0 363750.0 ; - RECT 104850.0 363300.0 105750.0 364200.0 ; - RECT 105300.0 362850.0 121500.0 363750.0 ; - RECT 104850.0 373050.0 105750.0 373950.0 ; - RECT 104850.0 372600.0 105750.0 373500.0 ; - RECT 105300.0 373050.0 121500.0 373950.0 ; - RECT 104850.0 391050.0 105750.0 391950.0 ; - RECT 104850.0 391500.0 105750.0 392400.0 ; - RECT 105300.0 391050.0 121500.0 391950.0 ; - RECT 104850.0 401250.0 105750.0 402150.0 ; - RECT 104850.0 400800.0 105750.0 401700.0 ; - RECT 105300.0 401250.0 121500.0 402150.0 ; - RECT 104850.0 419250.0 105750.0 420150.0 ; - RECT 104850.0 419700.0 105750.0 420600.0 ; - RECT 105300.0 419250.0 121500.0 420150.0 ; - RECT 120450.0 206550.0 121350.0 207450.0 ; - RECT 122850.0 206550.0 123750.0 207450.0 ; - RECT 120450.0 207000.0 121350.0 210150.0 ; - RECT 120900.0 206550.0 123300.0 207450.0 ; - RECT 122850.0 202350.0 123750.0 207000.0 ; - RECT 120300.0 210150.0 121500.0 211350.0 ; - RECT 122700.0 201150.0 123900.0 202350.0 ; - RECT 123900.0 206400.0 122700.0 207600.0 ; - RECT 102750.0 205050.0 103950.0 206250.0 ; - RECT 104700.0 202800.0 105900.0 204000.0 ; - RECT 121500.0 203700.0 120300.0 204900.0 ; - RECT 120450.0 220050.0 121350.0 219150.0 ; - RECT 122850.0 220050.0 123750.0 219150.0 ; - RECT 120450.0 219600.0 121350.0 216450.0 ; - RECT 120900.0 220050.0 123300.0 219150.0 ; - RECT 122850.0 224250.0 123750.0 219600.0 ; - RECT 120300.0 216450.0 121500.0 215250.0 ; - RECT 122700.0 225450.0 123900.0 224250.0 ; - RECT 123900.0 220200.0 122700.0 219000.0 ; - RECT 102750.0 220350.0 103950.0 221550.0 ; - RECT 104700.0 222600.0 105900.0 223800.0 ; - RECT 121500.0 221700.0 120300.0 222900.0 ; - RECT 120450.0 234750.0 121350.0 235650.0 ; - RECT 122850.0 234750.0 123750.0 235650.0 ; - RECT 120450.0 235200.0 121350.0 238350.0 ; - RECT 120900.0 234750.0 123300.0 235650.0 ; - RECT 122850.0 230550.0 123750.0 235200.0 ; - RECT 120300.0 238350.0 121500.0 239550.0 ; - RECT 122700.0 229350.0 123900.0 230550.0 ; - RECT 123900.0 234600.0 122700.0 235800.0 ; - RECT 102750.0 233250.0 103950.0 234450.0 ; - RECT 104700.0 231000.0 105900.0 232200.0 ; - RECT 121500.0 231900.0 120300.0 233100.0 ; - RECT 120450.0 248250.0 121350.0 247350.0 ; - RECT 122850.0 248250.0 123750.0 247350.0 ; - RECT 120450.0 247800.0 121350.0 244650.0 ; - RECT 120900.0 248250.0 123300.0 247350.0 ; - RECT 122850.0 252450.0 123750.0 247800.0 ; - RECT 120300.0 244650.0 121500.0 243450.0 ; - RECT 122700.0 253650.0 123900.0 252450.0 ; - RECT 123900.0 248400.0 122700.0 247200.0 ; - RECT 102750.0 248550.0 103950.0 249750.0 ; - RECT 104700.0 250800.0 105900.0 252000.0 ; - RECT 121500.0 249900.0 120300.0 251100.0 ; - RECT 120450.0 262950.0 121350.0 263850.0 ; - RECT 122850.0 262950.0 123750.0 263850.0 ; - RECT 120450.0 263400.0 121350.0 266550.0 ; - RECT 120900.0 262950.0 123300.0 263850.0 ; - RECT 122850.0 258750.0 123750.0 263400.0 ; - RECT 120300.0 266550.0 121500.0 267750.0 ; - RECT 122700.0 257550.0 123900.0 258750.0 ; - RECT 123900.0 262800.0 122700.0 264000.0 ; - RECT 102750.0 261450.0 103950.0 262650.0 ; - RECT 104700.0 259200.0 105900.0 260400.0 ; - RECT 121500.0 260100.0 120300.0 261300.0 ; - RECT 120450.0 276450.0 121350.0 275550.0 ; - RECT 122850.0 276450.0 123750.0 275550.0 ; - RECT 120450.0 276000.0 121350.0 272850.0 ; - RECT 120900.0 276450.0 123300.0 275550.0 ; - RECT 122850.0 280650.0 123750.0 276000.0 ; - RECT 120300.0 272850.0 121500.0 271650.0 ; - RECT 122700.0 281850.0 123900.0 280650.0 ; - RECT 123900.0 276600.0 122700.0 275400.0 ; - RECT 102750.0 276750.0 103950.0 277950.0 ; - RECT 104700.0 279000.0 105900.0 280200.0 ; - RECT 121500.0 278100.0 120300.0 279300.0 ; - RECT 120450.0 291150.0 121350.0 292050.0 ; - RECT 122850.0 291150.0 123750.0 292050.0 ; - RECT 120450.0 291600.0 121350.0 294750.0 ; - RECT 120900.0 291150.0 123300.0 292050.0 ; - RECT 122850.0 286950.0 123750.0 291600.0 ; - RECT 120300.0 294750.0 121500.0 295950.0 ; - RECT 122700.0 285750.0 123900.0 286950.0 ; - RECT 123900.0 291000.0 122700.0 292200.0 ; - RECT 102750.0 289650.0 103950.0 290850.0 ; - RECT 104700.0 287400.0 105900.0 288600.0 ; - RECT 121500.0 288300.0 120300.0 289500.0 ; - RECT 120450.0 304650.0 121350.0 303750.0 ; - RECT 122850.0 304650.0 123750.0 303750.0 ; - RECT 120450.0 304200.0 121350.0 301050.0 ; - RECT 120900.0 304650.0 123300.0 303750.0 ; - RECT 122850.0 308850.0 123750.0 304200.0 ; - RECT 120300.0 301050.0 121500.0 299850.0 ; - RECT 122700.0 310050.0 123900.0 308850.0 ; - RECT 123900.0 304800.0 122700.0 303600.0 ; - RECT 102750.0 304950.0 103950.0 306150.0 ; - RECT 104700.0 307200.0 105900.0 308400.0 ; - RECT 121500.0 306300.0 120300.0 307500.0 ; - RECT 120450.0 319350.0 121350.0 320250.0 ; - RECT 122850.0 319350.0 123750.0 320250.0 ; - RECT 120450.0 319800.0 121350.0 322950.0 ; - RECT 120900.0 319350.0 123300.0 320250.0 ; - RECT 122850.0 315150.0 123750.0 319800.0 ; - RECT 120300.0 322950.0 121500.0 324150.0 ; - RECT 122700.0 313950.0 123900.0 315150.0 ; - RECT 123900.0 319200.0 122700.0 320400.0 ; - RECT 102750.0 317850.0 103950.0 319050.0 ; - RECT 104700.0 315600.0 105900.0 316800.0 ; - RECT 121500.0 316500.0 120300.0 317700.0 ; - RECT 120450.0 332850.0 121350.0 331950.0 ; - RECT 122850.0 332850.0 123750.0 331950.0 ; - RECT 120450.0 332400.0 121350.0 329250.0 ; - RECT 120900.0 332850.0 123300.0 331950.0 ; - RECT 122850.0 337050.0 123750.0 332400.0 ; - RECT 120300.0 329250.0 121500.0 328050.0 ; - RECT 122700.0 338250.0 123900.0 337050.0 ; - RECT 123900.0 333000.0 122700.0 331800.0 ; - RECT 102750.0 333150.0 103950.0 334350.0 ; - RECT 104700.0 335400.0 105900.0 336600.0 ; - RECT 121500.0 334500.0 120300.0 335700.0 ; - RECT 120450.0 347550.0 121350.0 348450.0 ; - RECT 122850.0 347550.0 123750.0 348450.0 ; - RECT 120450.0 348000.0 121350.0 351150.0 ; - RECT 120900.0 347550.0 123300.0 348450.0 ; - RECT 122850.0 343350.0 123750.0 348000.0 ; - RECT 120300.0 351150.0 121500.0 352350.0 ; - RECT 122700.0 342150.0 123900.0 343350.0 ; - RECT 123900.0 347400.0 122700.0 348600.0 ; - RECT 102750.0 346050.0 103950.0 347250.0 ; - RECT 104700.0 343800.0 105900.0 345000.0 ; - RECT 121500.0 344700.0 120300.0 345900.0 ; - RECT 120450.0 361050.0 121350.0 360150.0 ; - RECT 122850.0 361050.0 123750.0 360150.0 ; - RECT 120450.0 360600.0 121350.0 357450.0 ; - RECT 120900.0 361050.0 123300.0 360150.0 ; - RECT 122850.0 365250.0 123750.0 360600.0 ; - RECT 120300.0 357450.0 121500.0 356250.0 ; - RECT 122700.0 366450.0 123900.0 365250.0 ; - RECT 123900.0 361200.0 122700.0 360000.0 ; - RECT 102750.0 361350.0 103950.0 362550.0 ; - RECT 104700.0 363600.0 105900.0 364800.0 ; - RECT 121500.0 362700.0 120300.0 363900.0 ; - RECT 120450.0 375750.0 121350.0 376650.0 ; - RECT 122850.0 375750.0 123750.0 376650.0 ; - RECT 120450.0 376200.0 121350.0 379350.0 ; - RECT 120900.0 375750.0 123300.0 376650.0 ; - RECT 122850.0 371550.0 123750.0 376200.0 ; - RECT 120300.0 379350.0 121500.0 380550.0 ; - RECT 122700.0 370350.0 123900.0 371550.0 ; - RECT 123900.0 375600.0 122700.0 376800.0 ; - RECT 102750.0 374250.0 103950.0 375450.0 ; - RECT 104700.0 372000.0 105900.0 373200.0 ; - RECT 121500.0 372900.0 120300.0 374100.0 ; - RECT 120450.0 389250.0 121350.0 388350.0 ; - RECT 122850.0 389250.0 123750.0 388350.0 ; - RECT 120450.0 388800.0 121350.0 385650.0 ; - RECT 120900.0 389250.0 123300.0 388350.0 ; - RECT 122850.0 393450.0 123750.0 388800.0 ; - RECT 120300.0 385650.0 121500.0 384450.0 ; - RECT 122700.0 394650.0 123900.0 393450.0 ; - RECT 123900.0 389400.0 122700.0 388200.0 ; - RECT 102750.0 389550.0 103950.0 390750.0 ; - RECT 104700.0 391800.0 105900.0 393000.0 ; - RECT 121500.0 390900.0 120300.0 392100.0 ; - RECT 120450.0 403950.0 121350.0 404850.0 ; - RECT 122850.0 403950.0 123750.0 404850.0 ; - RECT 120450.0 404400.0 121350.0 407550.0 ; - RECT 120900.0 403950.0 123300.0 404850.0 ; - RECT 122850.0 399750.0 123750.0 404400.0 ; - RECT 120300.0 407550.0 121500.0 408750.0 ; - RECT 122700.0 398550.0 123900.0 399750.0 ; - RECT 123900.0 403800.0 122700.0 405000.0 ; - RECT 102750.0 402450.0 103950.0 403650.0 ; - RECT 104700.0 400200.0 105900.0 401400.0 ; - RECT 121500.0 401100.0 120300.0 402300.0 ; - RECT 120450.0 417450.0 121350.0 416550.0 ; - RECT 122850.0 417450.0 123750.0 416550.0 ; - RECT 120450.0 417000.0 121350.0 413850.0 ; - RECT 120900.0 417450.0 123300.0 416550.0 ; - RECT 122850.0 421650.0 123750.0 417000.0 ; - RECT 120300.0 413850.0 121500.0 412650.0 ; - RECT 122700.0 422850.0 123900.0 421650.0 ; - RECT 123900.0 417600.0 122700.0 416400.0 ; - RECT 102750.0 417750.0 103950.0 418950.0 ; - RECT 104700.0 420000.0 105900.0 421200.0 ; - RECT 121500.0 419100.0 120300.0 420300.0 ; - RECT 102900.0 199200.0 103800.0 424800.0 ; - RECT 59400.0 81000.0 119400.0 70800.0 ; - RECT 59400.0 60600.0 119400.0 70800.0 ; - RECT 59400.0 60600.0 119400.0 50400.0 ; - RECT 59400.0 40200.0 119400.0 50400.0 ; - RECT 59400.0 76500.0 60600.0 75300.0 ; - RECT 59400.0 66300.0 60600.0 65100.0 ; - RECT 59400.0 56100.0 60600.0 54900.0 ; - RECT 59400.0 45900.0 60600.0 44700.0 ; - RECT 118200.0 76500.0 119400.0 75300.0 ; - RECT 114900.0 79200.0 119400.0 78000.0 ; - RECT 118200.0 66300.0 119400.0 65100.0 ; - RECT 114900.0 63600.0 119400.0 62400.0 ; - RECT 118200.0 56100.0 119400.0 54900.0 ; - RECT 114900.0 58800.0 119400.0 57600.0 ; - RECT 118200.0 45900.0 119400.0 44700.0 ; - RECT 114900.0 43200.0 119400.0 42000.0 ; - RECT 59400.0 71400.0 119400.0 70200.0 ; - RECT 59400.0 51000.0 119400.0 49800.0 ; - RECT 176850.0 5850.0 178050.0 7050.0 ; - RECT 187050.0 5850.0 188250.0 7050.0 ; - RECT 180600.0 300.0 181800.0 1500.0 ; - RECT 190800.0 300.0 192000.0 1500.0 ; - RECT 148350.0 199800.0 149550.0 198600.0 ; - RECT 148350.0 228000.0 149550.0 226800.0 ; - RECT 148350.0 256200.0 149550.0 255000.0 ; - RECT 148350.0 284400.0 149550.0 283200.0 ; - RECT 148350.0 312600.0 149550.0 311400.0 ; - RECT 148350.0 340800.0 149550.0 339600.0 ; - RECT 148350.0 369000.0 149550.0 367800.0 ; - RECT 148350.0 397200.0 149550.0 396000.0 ; - RECT 148350.0 425400.0 149550.0 424200.0 ; - RECT 130800.0 88650.0 129600.0 89850.0 ; - RECT 135900.0 88500.0 134700.0 89700.0 ; - RECT 127800.0 102750.0 126600.0 103950.0 ; - RECT 138600.0 102600.0 137400.0 103800.0 ; - RECT 130800.0 145050.0 129600.0 146250.0 ; - RECT 141300.0 144900.0 140100.0 146100.0 ; - RECT 127800.0 159150.0 126600.0 160350.0 ; - RECT 144000.0 159000.0 142800.0 160200.0 ; - RECT 132900.0 85800.0 131700.0 87000.0 ; - RECT 132900.0 85800.0 131700.0 87000.0 ; - RECT 147750.0 87000.0 148950.0 85800.0 ; - RECT 132900.0 114000.0 131700.0 115200.0 ; - RECT 132900.0 114000.0 131700.0 115200.0 ; - RECT 147750.0 115200.0 148950.0 114000.0 ; - RECT 132900.0 142200.0 131700.0 143400.0 ; - RECT 132900.0 142200.0 131700.0 143400.0 ; - RECT 147750.0 143400.0 148950.0 142200.0 ; - RECT 132900.0 170400.0 131700.0 171600.0 ; - RECT 132900.0 170400.0 131700.0 171600.0 ; - RECT 147750.0 171600.0 148950.0 170400.0 ; - RECT 120000.0 75300.0 118800.0 76500.0 ; - RECT 135900.0 75300.0 134700.0 76500.0 ; - RECT 120000.0 65100.0 118800.0 66300.0 ; - RECT 138600.0 65100.0 137400.0 66300.0 ; - RECT 120000.0 54900.0 118800.0 56100.0 ; - RECT 141300.0 54900.0 140100.0 56100.0 ; - RECT 120000.0 44700.0 118800.0 45900.0 ; - RECT 144000.0 44700.0 142800.0 45900.0 ; - RECT 120600.0 70200.0 119400.0 71400.0 ; - RECT 149550.0 70350.0 148350.0 71550.0 ; - RECT 120600.0 49800.0 119400.0 51000.0 ; - RECT 149550.0 49950.0 148350.0 51150.0 ; - RECT 164700.0 32250.0 163500.0 33450.0 ; - RECT 159300.0 27750.0 158100.0 28950.0 ; - RECT 162000.0 25350.0 160800.0 26550.0 ; - RECT 164700.0 429450.0 163500.0 430650.0 ; - RECT 167400.0 96750.0 166200.0 97950.0 ; - RECT 170100.0 194850.0 168900.0 196050.0 ; - RECT 156600.0 82500.0 155400.0 83700.0 ; - RECT 103950.0 426300.0 102750.0 427500.0 ; - RECT 156600.0 426300.0 155400.0 427500.0 ; - RECT 152850.0 23400.0 151650.0 24600.0 ; - RECT 152850.0 192900.0 151650.0 194100.0 ; - RECT 152850.0 94800.0 151650.0 96000.0 ; - RECT 180300.0 0.0 181200.0 1800.0 ; - RECT 190500.0 0.0 191400.0 1800.0 ; - RECT 169050.0 0.0 169950.0 444600.0 ; - RECT 166350.0 0.0 167250.0 444600.0 ; - RECT 158250.0 0.0 159150.0 444600.0 ; - RECT 160950.0 0.0 161850.0 444600.0 ; - RECT 163650.0 0.0 164550.0 444600.0 ; - RECT 155550.0 0.0 156450.0 444600.0 ; - RECT 148350.0 0.0 152850.0 444600.0 ; - RECT 50100.0 289800.0 7.1054273576e-12 290700.0 ; - RECT 50100.0 292500.0 7.1054273576e-12 293400.0 ; - RECT 50100.0 295200.0 7.1054273576e-12 296100.0 ; - RECT 50100.0 300600.0 7.1054273576e-12 301500.0 ; + RECT 168750.0 340200.0 169650.0 342900.0 ; + RECT 166050.0 360000.0 166950.0 362700.0 ; + RECT 160650.0 320400.0 161550.0 323100.0 ; + RECT 157950.0 337500.0 158850.0 340200.0 ; + RECT 163350.0 301050.0 164250.0 303750.0 ; + RECT 155250.0 282150.0 156150.0 284850.0 ; + RECT 49800.0 297900.0 55050.0 298800.0 ; + RECT 149850.0 284850.0 150750.0 287550.0 ; + RECT 155250.0 0.0 156150.0 436800.0 ; + RECT 157950.0 0.0 158850.0 436800.0 ; + RECT 160650.0 0.0 161550.0 436800.0 ; + RECT 163350.0 0.0 164250.0 436800.0 ; + RECT 166050.0 0.0 166950.0 436800.0 ; + RECT 168750.0 0.0 169650.0 436800.0 ; + RECT 134550.0 37200.0 135450.0 199200.0 ; + RECT 137250.0 37200.0 138150.0 199200.0 ; + RECT 139950.0 37200.0 140850.0 199200.0 ; + RECT 142650.0 37200.0 143550.0 199200.0 ; + RECT 178650.0 420000.0 179550.0 421800.0 ; + RECT 181650.0 420000.0 182550.0 420600.0 ; + RECT 188850.0 420000.0 189750.0 421800.0 ; + RECT 191850.0 420000.0 192750.0 420600.0 ; + RECT 180150.0 5850.0 181050.0 6750.0 ; + RECT 177000.0 5850.0 180600.0 6750.0 ; + RECT 180150.0 6300.0 181050.0 8100.0 ; + RECT 190350.0 5850.0 191250.0 6750.0 ; + RECT 187200.0 5850.0 190800.0 6750.0 ; + RECT 190350.0 6300.0 191250.0 8100.0 ; + RECT 102600.0 420000.0 103500.0 422100.0 ; + RECT 175500.0 199200.0 185700.0 213000.0 ; + RECT 175500.0 226800.0 185700.0 213000.0 ; + RECT 175500.0 226800.0 185700.0 240600.0 ; + RECT 175500.0 254400.0 185700.0 240600.0 ; + RECT 175500.0 254400.0 185700.0 268200.0 ; + RECT 175500.0 282000.0 185700.0 268200.0 ; + RECT 175500.0 282000.0 185700.0 295800.0 ; + RECT 175500.0 309600.0 185700.0 295800.0 ; + RECT 175500.0 309600.0 185700.0 323400.0 ; + RECT 175500.0 337200.0 185700.0 323400.0 ; + RECT 175500.0 337200.0 185700.0 351000.0 ; + RECT 175500.0 364800.0 185700.0 351000.0 ; + RECT 175500.0 364800.0 185700.0 378600.0 ; + RECT 175500.0 392400.0 185700.0 378600.0 ; + RECT 175500.0 392400.0 185700.0 406200.0 ; + RECT 175500.0 420000.0 185700.0 406200.0 ; + RECT 185700.0 199200.0 195900.0 213000.0 ; + RECT 185700.0 226800.0 195900.0 213000.0 ; + RECT 185700.0 226800.0 195900.0 240600.0 ; + RECT 185700.0 254400.0 195900.0 240600.0 ; + RECT 185700.0 254400.0 195900.0 268200.0 ; + RECT 185700.0 282000.0 195900.0 268200.0 ; + RECT 185700.0 282000.0 195900.0 295800.0 ; + RECT 185700.0 309600.0 195900.0 295800.0 ; + RECT 185700.0 309600.0 195900.0 323400.0 ; + RECT 185700.0 337200.0 195900.0 323400.0 ; + RECT 185700.0 337200.0 195900.0 351000.0 ; + RECT 185700.0 364800.0 195900.0 351000.0 ; + RECT 185700.0 364800.0 195900.0 378600.0 ; + RECT 185700.0 392400.0 195900.0 378600.0 ; + RECT 185700.0 392400.0 195900.0 406200.0 ; + RECT 185700.0 420000.0 195900.0 406200.0 ; + RECT 178500.0 199800.0 179700.0 421800.0 ; + RECT 181500.0 198600.0 182700.0 420600.0 ; + RECT 188700.0 199800.0 189900.0 421800.0 ; + RECT 191700.0 198600.0 192900.0 420600.0 ; + RECT 174900.0 198600.0 176100.0 420600.0 ; + RECT 185100.0 198600.0 186300.0 420600.0 ; + RECT 195300.0 198600.0 196500.0 420600.0 ; + RECT 178500.0 422400.0 179700.0 423600.0 ; + RECT 180900.0 422400.0 182550.0 423600.0 ; + RECT 178500.0 429600.0 179700.0 430800.0 ; + RECT 181650.0 429600.0 184500.0 430800.0 ; + RECT 178500.0 422400.0 179700.0 423600.0 ; + RECT 180900.0 422400.0 182100.0 423600.0 ; + RECT 178500.0 429600.0 179700.0 430800.0 ; + RECT 183300.0 429600.0 184500.0 430800.0 ; + RECT 178650.0 420000.0 179550.0 436800.0 ; + RECT 181650.0 420000.0 182550.0 436800.0 ; + RECT 188700.0 422400.0 189900.0 423600.0 ; + RECT 191100.0 422400.0 192750.0 423600.0 ; + RECT 188700.0 429600.0 189900.0 430800.0 ; + RECT 191850.0 429600.0 194700.0 430800.0 ; + RECT 188700.0 422400.0 189900.0 423600.0 ; + RECT 191100.0 422400.0 192300.0 423600.0 ; + RECT 188700.0 429600.0 189900.0 430800.0 ; + RECT 193500.0 429600.0 194700.0 430800.0 ; + RECT 188850.0 420000.0 189750.0 436800.0 ; + RECT 191850.0 420000.0 192750.0 436800.0 ; + RECT 178650.0 420000.0 179550.0 436800.0 ; + RECT 181650.0 420000.0 182550.0 436800.0 ; + RECT 188850.0 420000.0 189750.0 436800.0 ; + RECT 191850.0 420000.0 192750.0 436800.0 ; + RECT 175500.0 150300.0 185700.0 199200.0 ; + RECT 185700.0 150300.0 195900.0 199200.0 ; + RECT 178500.0 150300.0 179700.0 163500.0 ; + RECT 181500.0 150300.0 182700.0 163500.0 ; + RECT 188700.0 150300.0 189900.0 163500.0 ; + RECT 191700.0 150300.0 192900.0 163500.0 ; + RECT 175500.0 90000.0 185700.0 150300.0 ; + RECT 185700.0 90000.0 195900.0 150300.0 ; + RECT 180000.0 90000.0 181200.0 92700.0 ; + RECT 190200.0 90000.0 191400.0 92700.0 ; + RECT 178500.0 148200.0 179700.0 150300.0 ; + RECT 181500.0 142800.0 182700.0 150300.0 ; + RECT 188700.0 148200.0 189900.0 150300.0 ; + RECT 191700.0 142800.0 192900.0 150300.0 ; + RECT 175500.0 30000.0 185700.0 90000.0 ; + RECT 195900.0 30000.0 185700.0 90000.0 ; + RECT 180000.0 87600.0 182700.0 88800.0 ; + RECT 177300.0 85500.0 178500.0 90000.0 ; + RECT 188700.0 87600.0 191400.0 88800.0 ; + RECT 192900.0 85500.0 194100.0 90000.0 ; + RECT 185100.0 30000.0 186300.0 90000.0 ; + RECT 175500.0 30000.0 185700.0 8100.0 ; + RECT 185700.0 30000.0 195900.0 8100.0 ; + RECT 180000.0 15000.0 181200.0 8100.0 ; + RECT 190200.0 15000.0 191400.0 8100.0 ; + RECT 180000.0 30000.0 181200.0 28500.0 ; + RECT 190200.0 30000.0 191400.0 28500.0 ; + RECT 59100.0 88800.0 60000.0 420000.0 ; + RECT 61200.0 88800.0 62100.0 420000.0 ; + RECT 63300.0 88800.0 64200.0 420000.0 ; + RECT 65400.0 88800.0 66300.0 420000.0 ; + RECT 67500.0 88800.0 68400.0 420000.0 ; + RECT 69600.0 88800.0 70500.0 420000.0 ; + RECT 71700.0 88800.0 72600.0 420000.0 ; + RECT 73800.0 88800.0 74700.0 420000.0 ; + RECT 105900.0 88800.0 105000.0 142200.0 ; + RECT 102900.0 88800.0 102000.0 142200.0 ; + RECT 111900.0 88800.0 111000.0 142200.0 ; + RECT 108900.0 88800.0 108000.0 142200.0 ; + RECT 95550.0 96150.0 94650.0 97050.0 ; + RECT 93150.0 96150.0 92250.0 97050.0 ; + RECT 95550.0 96600.0 94650.0 99450.0 ; + RECT 95100.0 96150.0 92700.0 97050.0 ; + RECT 93150.0 91950.0 92250.0 96600.0 ; + RECT 95700.0 99450.0 94500.0 100650.0 ; + RECT 93300.0 90750.0 92100.0 91950.0 ; + RECT 92100.0 96000.0 93300.0 97200.0 ; + RECT 95550.0 109050.0 94650.0 108150.0 ; + RECT 93150.0 109050.0 92250.0 108150.0 ; + RECT 95550.0 108600.0 94650.0 105750.0 ; + RECT 95100.0 109050.0 92700.0 108150.0 ; + RECT 93150.0 113250.0 92250.0 108600.0 ; + RECT 95700.0 105750.0 94500.0 104550.0 ; + RECT 93300.0 114450.0 92100.0 113250.0 ; + RECT 92100.0 109200.0 93300.0 108000.0 ; + RECT 95550.0 123750.0 94650.0 124650.0 ; + RECT 93150.0 123750.0 92250.0 124650.0 ; + RECT 95550.0 124200.0 94650.0 127050.0 ; + RECT 95100.0 123750.0 92700.0 124650.0 ; + RECT 93150.0 119550.0 92250.0 124200.0 ; + RECT 95700.0 127050.0 94500.0 128250.0 ; + RECT 93300.0 118350.0 92100.0 119550.0 ; + RECT 92100.0 123600.0 93300.0 124800.0 ; + RECT 95550.0 136650.0 94650.0 135750.0 ; + RECT 93150.0 136650.0 92250.0 135750.0 ; + RECT 95550.0 136200.0 94650.0 133350.0 ; + RECT 95100.0 136650.0 92700.0 135750.0 ; + RECT 93150.0 140850.0 92250.0 136200.0 ; + RECT 95700.0 133350.0 94500.0 132150.0 ; + RECT 93300.0 142050.0 92100.0 140850.0 ; + RECT 92100.0 136800.0 93300.0 135600.0 ; + RECT 110850.0 99300.0 112050.0 100500.0 ; + RECT 129450.0 94800.0 130650.0 96000.0 ; + RECT 107850.0 113100.0 109050.0 114300.0 ; + RECT 126450.0 109200.0 127650.0 110400.0 ; + RECT 129450.0 117900.0 130650.0 119100.0 ; + RECT 104850.0 117900.0 106050.0 119100.0 ; + RECT 126450.0 131700.0 127650.0 132900.0 ; + RECT 101850.0 131700.0 103050.0 132900.0 ; + RECT 110850.0 93300.0 112050.0 94500.0 ; + RECT 107850.0 96000.0 109050.0 97200.0 ; + RECT 104850.0 110700.0 106050.0 111900.0 ; + RECT 107850.0 108000.0 109050.0 109200.0 ; + RECT 110850.0 120900.0 112050.0 122100.0 ; + RECT 101850.0 123600.0 103050.0 124800.0 ; + RECT 104850.0 138300.0 106050.0 139500.0 ; + RECT 101850.0 135600.0 103050.0 136800.0 ; + RECT 130500.0 88800.0 129600.0 142200.0 ; + RECT 127500.0 88800.0 126600.0 142200.0 ; + RECT 105900.0 144000.0 105000.0 197400.0 ; + RECT 102900.0 144000.0 102000.0 197400.0 ; + RECT 111900.0 144000.0 111000.0 197400.0 ; + RECT 108900.0 144000.0 108000.0 197400.0 ; + RECT 95550.0 151350.0 94650.0 152250.0 ; + RECT 93150.0 151350.0 92250.0 152250.0 ; + RECT 95550.0 151800.0 94650.0 154650.0 ; + RECT 95100.0 151350.0 92700.0 152250.0 ; + RECT 93150.0 147150.0 92250.0 151800.0 ; + RECT 95700.0 154650.0 94500.0 155850.0 ; + RECT 93300.0 145950.0 92100.0 147150.0 ; + RECT 92100.0 151200.0 93300.0 152400.0 ; + RECT 95550.0 164250.0 94650.0 163350.0 ; + RECT 93150.0 164250.0 92250.0 163350.0 ; + RECT 95550.0 163800.0 94650.0 160950.0 ; + RECT 95100.0 164250.0 92700.0 163350.0 ; + RECT 93150.0 168450.0 92250.0 163800.0 ; + RECT 95700.0 160950.0 94500.0 159750.0 ; + RECT 93300.0 169650.0 92100.0 168450.0 ; + RECT 92100.0 164400.0 93300.0 163200.0 ; + RECT 95550.0 178950.0 94650.0 179850.0 ; + RECT 93150.0 178950.0 92250.0 179850.0 ; + RECT 95550.0 179400.0 94650.0 182250.0 ; + RECT 95100.0 178950.0 92700.0 179850.0 ; + RECT 93150.0 174750.0 92250.0 179400.0 ; + RECT 95700.0 182250.0 94500.0 183450.0 ; + RECT 93300.0 173550.0 92100.0 174750.0 ; + RECT 92100.0 178800.0 93300.0 180000.0 ; + RECT 95550.0 191850.0 94650.0 190950.0 ; + RECT 93150.0 191850.0 92250.0 190950.0 ; + RECT 95550.0 191400.0 94650.0 188550.0 ; + RECT 95100.0 191850.0 92700.0 190950.0 ; + RECT 93150.0 196050.0 92250.0 191400.0 ; + RECT 95700.0 188550.0 94500.0 187350.0 ; + RECT 93300.0 197250.0 92100.0 196050.0 ; + RECT 92100.0 192000.0 93300.0 190800.0 ; + RECT 110850.0 154500.0 112050.0 155700.0 ; + RECT 129450.0 150000.0 130650.0 151200.0 ; + RECT 107850.0 168300.0 109050.0 169500.0 ; + RECT 126450.0 164400.0 127650.0 165600.0 ; + RECT 129450.0 173100.0 130650.0 174300.0 ; + RECT 104850.0 173100.0 106050.0 174300.0 ; + RECT 126450.0 186900.0 127650.0 188100.0 ; + RECT 101850.0 186900.0 103050.0 188100.0 ; + RECT 110850.0 148500.0 112050.0 149700.0 ; + RECT 107850.0 151200.0 109050.0 152400.0 ; + RECT 104850.0 165900.0 106050.0 167100.0 ; + RECT 107850.0 163200.0 109050.0 164400.0 ; + RECT 110850.0 176100.0 112050.0 177300.0 ; + RECT 101850.0 178800.0 103050.0 180000.0 ; + RECT 104850.0 193500.0 106050.0 194700.0 ; + RECT 101850.0 190800.0 103050.0 192000.0 ; + RECT 130500.0 144000.0 129600.0 197400.0 ; + RECT 127500.0 144000.0 126600.0 197400.0 ; + RECT 80250.0 206550.0 81150.0 207450.0 ; + RECT 82650.0 206550.0 83550.0 207450.0 ; + RECT 80250.0 207000.0 81150.0 209850.0 ; + RECT 80700.0 206550.0 83100.0 207450.0 ; + RECT 82650.0 202350.0 83550.0 207000.0 ; + RECT 80100.0 209850.0 81300.0 211050.0 ; + RECT 82500.0 201150.0 83700.0 202350.0 ; + RECT 83700.0 206400.0 82500.0 207600.0 ; + RECT 80250.0 219450.0 81150.0 218550.0 ; + RECT 82650.0 219450.0 83550.0 218550.0 ; + RECT 80250.0 219000.0 81150.0 216150.0 ; + RECT 80700.0 219450.0 83100.0 218550.0 ; + RECT 82650.0 223650.0 83550.0 219000.0 ; + RECT 80100.0 216150.0 81300.0 214950.0 ; + RECT 82500.0 224850.0 83700.0 223650.0 ; + RECT 83700.0 219600.0 82500.0 218400.0 ; + RECT 80250.0 234150.0 81150.0 235050.0 ; + RECT 82650.0 234150.0 83550.0 235050.0 ; + RECT 80250.0 234600.0 81150.0 237450.0 ; + RECT 80700.0 234150.0 83100.0 235050.0 ; + RECT 82650.0 229950.0 83550.0 234600.0 ; + RECT 80100.0 237450.0 81300.0 238650.0 ; + RECT 82500.0 228750.0 83700.0 229950.0 ; + RECT 83700.0 234000.0 82500.0 235200.0 ; + RECT 80250.0 247050.0 81150.0 246150.0 ; + RECT 82650.0 247050.0 83550.0 246150.0 ; + RECT 80250.0 246600.0 81150.0 243750.0 ; + RECT 80700.0 247050.0 83100.0 246150.0 ; + RECT 82650.0 251250.0 83550.0 246600.0 ; + RECT 80100.0 243750.0 81300.0 242550.0 ; + RECT 82500.0 252450.0 83700.0 251250.0 ; + RECT 83700.0 247200.0 82500.0 246000.0 ; + RECT 80250.0 261750.0 81150.0 262650.0 ; + RECT 82650.0 261750.0 83550.0 262650.0 ; + RECT 80250.0 262200.0 81150.0 265050.0 ; + RECT 80700.0 261750.0 83100.0 262650.0 ; + RECT 82650.0 257550.0 83550.0 262200.0 ; + RECT 80100.0 265050.0 81300.0 266250.0 ; + RECT 82500.0 256350.0 83700.0 257550.0 ; + RECT 83700.0 261600.0 82500.0 262800.0 ; + RECT 80250.0 274650.0 81150.0 273750.0 ; + RECT 82650.0 274650.0 83550.0 273750.0 ; + RECT 80250.0 274200.0 81150.0 271350.0 ; + RECT 80700.0 274650.0 83100.0 273750.0 ; + RECT 82650.0 278850.0 83550.0 274200.0 ; + RECT 80100.0 271350.0 81300.0 270150.0 ; + RECT 82500.0 280050.0 83700.0 278850.0 ; + RECT 83700.0 274800.0 82500.0 273600.0 ; + RECT 80250.0 289350.0 81150.0 290250.0 ; + RECT 82650.0 289350.0 83550.0 290250.0 ; + RECT 80250.0 289800.0 81150.0 292650.0 ; + RECT 80700.0 289350.0 83100.0 290250.0 ; + RECT 82650.0 285150.0 83550.0 289800.0 ; + RECT 80100.0 292650.0 81300.0 293850.0 ; + RECT 82500.0 283950.0 83700.0 285150.0 ; + RECT 83700.0 289200.0 82500.0 290400.0 ; + RECT 80250.0 302250.0 81150.0 301350.0 ; + RECT 82650.0 302250.0 83550.0 301350.0 ; + RECT 80250.0 301800.0 81150.0 298950.0 ; + RECT 80700.0 302250.0 83100.0 301350.0 ; + RECT 82650.0 306450.0 83550.0 301800.0 ; + RECT 80100.0 298950.0 81300.0 297750.0 ; + RECT 82500.0 307650.0 83700.0 306450.0 ; + RECT 83700.0 302400.0 82500.0 301200.0 ; + RECT 80250.0 316950.0 81150.0 317850.0 ; + RECT 82650.0 316950.0 83550.0 317850.0 ; + RECT 80250.0 317400.0 81150.0 320250.0 ; + RECT 80700.0 316950.0 83100.0 317850.0 ; + RECT 82650.0 312750.0 83550.0 317400.0 ; + RECT 80100.0 320250.0 81300.0 321450.0 ; + RECT 82500.0 311550.0 83700.0 312750.0 ; + RECT 83700.0 316800.0 82500.0 318000.0 ; + RECT 80250.0 329850.0 81150.0 328950.0 ; + RECT 82650.0 329850.0 83550.0 328950.0 ; + RECT 80250.0 329400.0 81150.0 326550.0 ; + RECT 80700.0 329850.0 83100.0 328950.0 ; + RECT 82650.0 334050.0 83550.0 329400.0 ; + RECT 80100.0 326550.0 81300.0 325350.0 ; + RECT 82500.0 335250.0 83700.0 334050.0 ; + RECT 83700.0 330000.0 82500.0 328800.0 ; + RECT 80250.0 344550.0 81150.0 345450.0 ; + RECT 82650.0 344550.0 83550.0 345450.0 ; + RECT 80250.0 345000.0 81150.0 347850.0 ; + RECT 80700.0 344550.0 83100.0 345450.0 ; + RECT 82650.0 340350.0 83550.0 345000.0 ; + RECT 80100.0 347850.0 81300.0 349050.0 ; + RECT 82500.0 339150.0 83700.0 340350.0 ; + RECT 83700.0 344400.0 82500.0 345600.0 ; + RECT 80250.0 357450.0 81150.0 356550.0 ; + RECT 82650.0 357450.0 83550.0 356550.0 ; + RECT 80250.0 357000.0 81150.0 354150.0 ; + RECT 80700.0 357450.0 83100.0 356550.0 ; + RECT 82650.0 361650.0 83550.0 357000.0 ; + RECT 80100.0 354150.0 81300.0 352950.0 ; + RECT 82500.0 362850.0 83700.0 361650.0 ; + RECT 83700.0 357600.0 82500.0 356400.0 ; + RECT 80250.0 372150.0 81150.0 373050.0 ; + RECT 82650.0 372150.0 83550.0 373050.0 ; + RECT 80250.0 372600.0 81150.0 375450.0 ; + RECT 80700.0 372150.0 83100.0 373050.0 ; + RECT 82650.0 367950.0 83550.0 372600.0 ; + RECT 80100.0 375450.0 81300.0 376650.0 ; + RECT 82500.0 366750.0 83700.0 367950.0 ; + RECT 83700.0 372000.0 82500.0 373200.0 ; + RECT 80250.0 385050.0 81150.0 384150.0 ; + RECT 82650.0 385050.0 83550.0 384150.0 ; + RECT 80250.0 384600.0 81150.0 381750.0 ; + RECT 80700.0 385050.0 83100.0 384150.0 ; + RECT 82650.0 389250.0 83550.0 384600.0 ; + RECT 80100.0 381750.0 81300.0 380550.0 ; + RECT 82500.0 390450.0 83700.0 389250.0 ; + RECT 83700.0 385200.0 82500.0 384000.0 ; + RECT 80250.0 399750.0 81150.0 400650.0 ; + RECT 82650.0 399750.0 83550.0 400650.0 ; + RECT 80250.0 400200.0 81150.0 403050.0 ; + RECT 80700.0 399750.0 83100.0 400650.0 ; + RECT 82650.0 395550.0 83550.0 400200.0 ; + RECT 80100.0 403050.0 81300.0 404250.0 ; + RECT 82500.0 394350.0 83700.0 395550.0 ; + RECT 83700.0 399600.0 82500.0 400800.0 ; + RECT 80250.0 412650.0 81150.0 411750.0 ; + RECT 82650.0 412650.0 83550.0 411750.0 ; + RECT 80250.0 412200.0 81150.0 409350.0 ; + RECT 80700.0 412650.0 83100.0 411750.0 ; + RECT 82650.0 416850.0 83550.0 412200.0 ; + RECT 80100.0 409350.0 81300.0 408150.0 ; + RECT 82500.0 418050.0 83700.0 416850.0 ; + RECT 83700.0 412800.0 82500.0 411600.0 ; + RECT 60150.0 94800.0 58950.0 96000.0 ; + RECT 62250.0 109200.0 61050.0 110400.0 ; + RECT 64350.0 122400.0 63150.0 123600.0 ; + RECT 66450.0 136800.0 65250.0 138000.0 ; + RECT 68550.0 150000.0 67350.0 151200.0 ; + RECT 70650.0 164400.0 69450.0 165600.0 ; + RECT 72750.0 177600.0 71550.0 178800.0 ; + RECT 74850.0 192000.0 73650.0 193200.0 ; + RECT 60150.0 206400.0 58950.0 207600.0 ; + RECT 68550.0 203700.0 67350.0 204900.0 ; + RECT 60150.0 218400.0 58950.0 219600.0 ; + RECT 70650.0 221100.0 69450.0 222300.0 ; + RECT 60150.0 234000.0 58950.0 235200.0 ; + RECT 72750.0 231300.0 71550.0 232500.0 ; + RECT 60150.0 246000.0 58950.0 247200.0 ; + RECT 74850.0 248700.0 73650.0 249900.0 ; + RECT 62250.0 261600.0 61050.0 262800.0 ; + RECT 68550.0 258900.0 67350.0 260100.0 ; + RECT 62250.0 273600.0 61050.0 274800.0 ; + RECT 70650.0 276300.0 69450.0 277500.0 ; + RECT 62250.0 289200.0 61050.0 290400.0 ; + RECT 72750.0 286500.0 71550.0 287700.0 ; + RECT 62250.0 301200.0 61050.0 302400.0 ; + RECT 74850.0 303900.0 73650.0 305100.0 ; + RECT 64350.0 316800.0 63150.0 318000.0 ; + RECT 68550.0 314100.0 67350.0 315300.0 ; + RECT 64350.0 328800.0 63150.0 330000.0 ; + RECT 70650.0 331500.0 69450.0 332700.0 ; + RECT 64350.0 344400.0 63150.0 345600.0 ; + RECT 72750.0 341700.0 71550.0 342900.0 ; + RECT 64350.0 356400.0 63150.0 357600.0 ; + RECT 74850.0 359100.0 73650.0 360300.0 ; + RECT 66450.0 372000.0 65250.0 373200.0 ; + RECT 68550.0 369300.0 67350.0 370500.0 ; + RECT 66450.0 384000.0 65250.0 385200.0 ; + RECT 70650.0 386700.0 69450.0 387900.0 ; + RECT 66450.0 399600.0 65250.0 400800.0 ; + RECT 72750.0 396900.0 71550.0 398100.0 ; + RECT 66450.0 411600.0 65250.0 412800.0 ; + RECT 74850.0 414300.0 73650.0 415500.0 ; + RECT 129600.0 88800.0 130500.0 142200.0 ; + RECT 126600.0 88800.0 127500.0 142200.0 ; + RECT 129600.0 144000.0 130500.0 197400.0 ; + RECT 126600.0 144000.0 127500.0 197400.0 ; + RECT 104550.0 203850.0 105450.0 204750.0 ; + RECT 104550.0 203400.0 105450.0 204300.0 ; + RECT 105000.0 203850.0 121200.0 204750.0 ; + RECT 104550.0 221250.0 105450.0 222150.0 ; + RECT 104550.0 221700.0 105450.0 222600.0 ; + RECT 105000.0 221250.0 121200.0 222150.0 ; + RECT 104550.0 231450.0 105450.0 232350.0 ; + RECT 104550.0 231000.0 105450.0 231900.0 ; + RECT 105000.0 231450.0 121200.0 232350.0 ; + RECT 104550.0 248850.0 105450.0 249750.0 ; + RECT 104550.0 249300.0 105450.0 250200.0 ; + RECT 105000.0 248850.0 121200.0 249750.0 ; + RECT 104550.0 259050.0 105450.0 259950.0 ; + RECT 104550.0 258600.0 105450.0 259500.0 ; + RECT 105000.0 259050.0 121200.0 259950.0 ; + RECT 104550.0 276450.0 105450.0 277350.0 ; + RECT 104550.0 276900.0 105450.0 277800.0 ; + RECT 105000.0 276450.0 121200.0 277350.0 ; + RECT 104550.0 286650.0 105450.0 287550.0 ; + RECT 104550.0 286200.0 105450.0 287100.0 ; + RECT 105000.0 286650.0 121200.0 287550.0 ; + RECT 104550.0 304050.0 105450.0 304950.0 ; + RECT 104550.0 304500.0 105450.0 305400.0 ; + RECT 105000.0 304050.0 121200.0 304950.0 ; + RECT 104550.0 314250.0 105450.0 315150.0 ; + RECT 104550.0 313800.0 105450.0 314700.0 ; + RECT 105000.0 314250.0 121200.0 315150.0 ; + RECT 104550.0 331650.0 105450.0 332550.0 ; + RECT 104550.0 332100.0 105450.0 333000.0 ; + RECT 105000.0 331650.0 121200.0 332550.0 ; + RECT 104550.0 341850.0 105450.0 342750.0 ; + RECT 104550.0 341400.0 105450.0 342300.0 ; + RECT 105000.0 341850.0 121200.0 342750.0 ; + RECT 104550.0 359250.0 105450.0 360150.0 ; + RECT 104550.0 359700.0 105450.0 360600.0 ; + RECT 105000.0 359250.0 121200.0 360150.0 ; + RECT 104550.0 369450.0 105450.0 370350.0 ; + RECT 104550.0 369000.0 105450.0 369900.0 ; + RECT 105000.0 369450.0 121200.0 370350.0 ; + RECT 104550.0 386850.0 105450.0 387750.0 ; + RECT 104550.0 387300.0 105450.0 388200.0 ; + RECT 105000.0 386850.0 121200.0 387750.0 ; + RECT 104550.0 397050.0 105450.0 397950.0 ; + RECT 104550.0 396600.0 105450.0 397500.0 ; + RECT 105000.0 397050.0 121200.0 397950.0 ; + RECT 104550.0 414450.0 105450.0 415350.0 ; + RECT 104550.0 414900.0 105450.0 415800.0 ; + RECT 105000.0 414450.0 121200.0 415350.0 ; + RECT 120150.0 206550.0 121050.0 207450.0 ; + RECT 122550.0 206550.0 123450.0 207450.0 ; + RECT 120150.0 207000.0 121050.0 209850.0 ; + RECT 120600.0 206550.0 123000.0 207450.0 ; + RECT 122550.0 202350.0 123450.0 207000.0 ; + RECT 120000.0 209850.0 121200.0 211050.0 ; + RECT 122400.0 201150.0 123600.0 202350.0 ; + RECT 123600.0 206400.0 122400.0 207600.0 ; + RECT 102450.0 205200.0 103650.0 206400.0 ; + RECT 104400.0 202800.0 105600.0 204000.0 ; + RECT 121200.0 203700.0 120000.0 204900.0 ; + RECT 120150.0 219450.0 121050.0 218550.0 ; + RECT 122550.0 219450.0 123450.0 218550.0 ; + RECT 120150.0 219000.0 121050.0 216150.0 ; + RECT 120600.0 219450.0 123000.0 218550.0 ; + RECT 122550.0 223650.0 123450.0 219000.0 ; + RECT 120000.0 216150.0 121200.0 214950.0 ; + RECT 122400.0 224850.0 123600.0 223650.0 ; + RECT 123600.0 219600.0 122400.0 218400.0 ; + RECT 102450.0 219600.0 103650.0 220800.0 ; + RECT 104400.0 222000.0 105600.0 223200.0 ; + RECT 121200.0 221100.0 120000.0 222300.0 ; + RECT 120150.0 234150.0 121050.0 235050.0 ; + RECT 122550.0 234150.0 123450.0 235050.0 ; + RECT 120150.0 234600.0 121050.0 237450.0 ; + RECT 120600.0 234150.0 123000.0 235050.0 ; + RECT 122550.0 229950.0 123450.0 234600.0 ; + RECT 120000.0 237450.0 121200.0 238650.0 ; + RECT 122400.0 228750.0 123600.0 229950.0 ; + RECT 123600.0 234000.0 122400.0 235200.0 ; + RECT 102450.0 232800.0 103650.0 234000.0 ; + RECT 104400.0 230400.0 105600.0 231600.0 ; + RECT 121200.0 231300.0 120000.0 232500.0 ; + RECT 120150.0 247050.0 121050.0 246150.0 ; + RECT 122550.0 247050.0 123450.0 246150.0 ; + RECT 120150.0 246600.0 121050.0 243750.0 ; + RECT 120600.0 247050.0 123000.0 246150.0 ; + RECT 122550.0 251250.0 123450.0 246600.0 ; + RECT 120000.0 243750.0 121200.0 242550.0 ; + RECT 122400.0 252450.0 123600.0 251250.0 ; + RECT 123600.0 247200.0 122400.0 246000.0 ; + RECT 102450.0 247200.0 103650.0 248400.0 ; + RECT 104400.0 249600.0 105600.0 250800.0 ; + RECT 121200.0 248700.0 120000.0 249900.0 ; + RECT 120150.0 261750.0 121050.0 262650.0 ; + RECT 122550.0 261750.0 123450.0 262650.0 ; + RECT 120150.0 262200.0 121050.0 265050.0 ; + RECT 120600.0 261750.0 123000.0 262650.0 ; + RECT 122550.0 257550.0 123450.0 262200.0 ; + RECT 120000.0 265050.0 121200.0 266250.0 ; + RECT 122400.0 256350.0 123600.0 257550.0 ; + RECT 123600.0 261600.0 122400.0 262800.0 ; + RECT 102450.0 260400.0 103650.0 261600.0 ; + RECT 104400.0 258000.0 105600.0 259200.0 ; + RECT 121200.0 258900.0 120000.0 260100.0 ; + RECT 120150.0 274650.0 121050.0 273750.0 ; + RECT 122550.0 274650.0 123450.0 273750.0 ; + RECT 120150.0 274200.0 121050.0 271350.0 ; + RECT 120600.0 274650.0 123000.0 273750.0 ; + RECT 122550.0 278850.0 123450.0 274200.0 ; + RECT 120000.0 271350.0 121200.0 270150.0 ; + RECT 122400.0 280050.0 123600.0 278850.0 ; + RECT 123600.0 274800.0 122400.0 273600.0 ; + RECT 102450.0 274800.0 103650.0 276000.0 ; + RECT 104400.0 277200.0 105600.0 278400.0 ; + RECT 121200.0 276300.0 120000.0 277500.0 ; + RECT 120150.0 289350.0 121050.0 290250.0 ; + RECT 122550.0 289350.0 123450.0 290250.0 ; + RECT 120150.0 289800.0 121050.0 292650.0 ; + RECT 120600.0 289350.0 123000.0 290250.0 ; + RECT 122550.0 285150.0 123450.0 289800.0 ; + RECT 120000.0 292650.0 121200.0 293850.0 ; + RECT 122400.0 283950.0 123600.0 285150.0 ; + RECT 123600.0 289200.0 122400.0 290400.0 ; + RECT 102450.0 288000.0 103650.0 289200.0 ; + RECT 104400.0 285600.0 105600.0 286800.0 ; + RECT 121200.0 286500.0 120000.0 287700.0 ; + RECT 120150.0 302250.0 121050.0 301350.0 ; + RECT 122550.0 302250.0 123450.0 301350.0 ; + RECT 120150.0 301800.0 121050.0 298950.0 ; + RECT 120600.0 302250.0 123000.0 301350.0 ; + RECT 122550.0 306450.0 123450.0 301800.0 ; + RECT 120000.0 298950.0 121200.0 297750.0 ; + RECT 122400.0 307650.0 123600.0 306450.0 ; + RECT 123600.0 302400.0 122400.0 301200.0 ; + RECT 102450.0 302400.0 103650.0 303600.0 ; + RECT 104400.0 304800.0 105600.0 306000.0 ; + RECT 121200.0 303900.0 120000.0 305100.0 ; + RECT 120150.0 316950.0 121050.0 317850.0 ; + RECT 122550.0 316950.0 123450.0 317850.0 ; + RECT 120150.0 317400.0 121050.0 320250.0 ; + RECT 120600.0 316950.0 123000.0 317850.0 ; + RECT 122550.0 312750.0 123450.0 317400.0 ; + RECT 120000.0 320250.0 121200.0 321450.0 ; + RECT 122400.0 311550.0 123600.0 312750.0 ; + RECT 123600.0 316800.0 122400.0 318000.0 ; + RECT 102450.0 315600.0 103650.0 316800.0 ; + RECT 104400.0 313200.0 105600.0 314400.0 ; + RECT 121200.0 314100.0 120000.0 315300.0 ; + RECT 120150.0 329850.0 121050.0 328950.0 ; + RECT 122550.0 329850.0 123450.0 328950.0 ; + RECT 120150.0 329400.0 121050.0 326550.0 ; + RECT 120600.0 329850.0 123000.0 328950.0 ; + RECT 122550.0 334050.0 123450.0 329400.0 ; + RECT 120000.0 326550.0 121200.0 325350.0 ; + RECT 122400.0 335250.0 123600.0 334050.0 ; + RECT 123600.0 330000.0 122400.0 328800.0 ; + RECT 102450.0 330000.0 103650.0 331200.0 ; + RECT 104400.0 332400.0 105600.0 333600.0 ; + RECT 121200.0 331500.0 120000.0 332700.0 ; + RECT 120150.0 344550.0 121050.0 345450.0 ; + RECT 122550.0 344550.0 123450.0 345450.0 ; + RECT 120150.0 345000.0 121050.0 347850.0 ; + RECT 120600.0 344550.0 123000.0 345450.0 ; + RECT 122550.0 340350.0 123450.0 345000.0 ; + RECT 120000.0 347850.0 121200.0 349050.0 ; + RECT 122400.0 339150.0 123600.0 340350.0 ; + RECT 123600.0 344400.0 122400.0 345600.0 ; + RECT 102450.0 343200.0 103650.0 344400.0 ; + RECT 104400.0 340800.0 105600.0 342000.0 ; + RECT 121200.0 341700.0 120000.0 342900.0 ; + RECT 120150.0 357450.0 121050.0 356550.0 ; + RECT 122550.0 357450.0 123450.0 356550.0 ; + RECT 120150.0 357000.0 121050.0 354150.0 ; + RECT 120600.0 357450.0 123000.0 356550.0 ; + RECT 122550.0 361650.0 123450.0 357000.0 ; + RECT 120000.0 354150.0 121200.0 352950.0 ; + RECT 122400.0 362850.0 123600.0 361650.0 ; + RECT 123600.0 357600.0 122400.0 356400.0 ; + RECT 102450.0 357600.0 103650.0 358800.0 ; + RECT 104400.0 360000.0 105600.0 361200.0 ; + RECT 121200.0 359100.0 120000.0 360300.0 ; + RECT 120150.0 372150.0 121050.0 373050.0 ; + RECT 122550.0 372150.0 123450.0 373050.0 ; + RECT 120150.0 372600.0 121050.0 375450.0 ; + RECT 120600.0 372150.0 123000.0 373050.0 ; + RECT 122550.0 367950.0 123450.0 372600.0 ; + RECT 120000.0 375450.0 121200.0 376650.0 ; + RECT 122400.0 366750.0 123600.0 367950.0 ; + RECT 123600.0 372000.0 122400.0 373200.0 ; + RECT 102450.0 370800.0 103650.0 372000.0 ; + RECT 104400.0 368400.0 105600.0 369600.0 ; + RECT 121200.0 369300.0 120000.0 370500.0 ; + RECT 120150.0 385050.0 121050.0 384150.0 ; + RECT 122550.0 385050.0 123450.0 384150.0 ; + RECT 120150.0 384600.0 121050.0 381750.0 ; + RECT 120600.0 385050.0 123000.0 384150.0 ; + RECT 122550.0 389250.0 123450.0 384600.0 ; + RECT 120000.0 381750.0 121200.0 380550.0 ; + RECT 122400.0 390450.0 123600.0 389250.0 ; + RECT 123600.0 385200.0 122400.0 384000.0 ; + RECT 102450.0 385200.0 103650.0 386400.0 ; + RECT 104400.0 387600.0 105600.0 388800.0 ; + RECT 121200.0 386700.0 120000.0 387900.0 ; + RECT 120150.0 399750.0 121050.0 400650.0 ; + RECT 122550.0 399750.0 123450.0 400650.0 ; + RECT 120150.0 400200.0 121050.0 403050.0 ; + RECT 120600.0 399750.0 123000.0 400650.0 ; + RECT 122550.0 395550.0 123450.0 400200.0 ; + RECT 120000.0 403050.0 121200.0 404250.0 ; + RECT 122400.0 394350.0 123600.0 395550.0 ; + RECT 123600.0 399600.0 122400.0 400800.0 ; + RECT 102450.0 398400.0 103650.0 399600.0 ; + RECT 104400.0 396000.0 105600.0 397200.0 ; + RECT 121200.0 396900.0 120000.0 398100.0 ; + RECT 120150.0 412650.0 121050.0 411750.0 ; + RECT 122550.0 412650.0 123450.0 411750.0 ; + RECT 120150.0 412200.0 121050.0 409350.0 ; + RECT 120600.0 412650.0 123000.0 411750.0 ; + RECT 122550.0 416850.0 123450.0 412200.0 ; + RECT 120000.0 409350.0 121200.0 408150.0 ; + RECT 122400.0 418050.0 123600.0 416850.0 ; + RECT 123600.0 412800.0 122400.0 411600.0 ; + RECT 102450.0 412800.0 103650.0 414000.0 ; + RECT 104400.0 415200.0 105600.0 416400.0 ; + RECT 121200.0 414300.0 120000.0 415500.0 ; + RECT 102600.0 199200.0 103500.0 420000.0 ; + RECT 59100.0 83400.0 119100.0 73200.0 ; + RECT 59100.0 63000.0 119100.0 73200.0 ; + RECT 59100.0 63000.0 119100.0 52800.0 ; + RECT 59100.0 42600.0 119100.0 52800.0 ; + RECT 116700.0 78900.0 117900.0 76200.0 ; + RECT 114600.0 81600.0 119100.0 80400.0 ; + RECT 116700.0 70200.0 117900.0 67500.0 ; + RECT 114600.0 66000.0 119100.0 64800.0 ; + RECT 116700.0 58500.0 117900.0 55800.0 ; + RECT 114600.0 61200.0 119100.0 60000.0 ; + RECT 116700.0 49800.0 117900.0 47100.0 ; + RECT 114600.0 45600.0 119100.0 44400.0 ; + RECT 59100.0 73800.0 119100.0 72600.0 ; + RECT 59100.0 53400.0 119100.0 52200.0 ; + RECT 176550.0 5850.0 177750.0 7050.0 ; + RECT 186750.0 5850.0 187950.0 7050.0 ; + RECT 180300.0 300.0 181500.0 1500.0 ; + RECT 190500.0 300.0 191700.0 1500.0 ; + RECT 148050.0 199800.0 149250.0 198600.0 ; + RECT 148050.0 227400.0 149250.0 226200.0 ; + RECT 148050.0 255000.0 149250.0 253800.0 ; + RECT 148050.0 282600.0 149250.0 281400.0 ; + RECT 148050.0 310200.0 149250.0 309000.0 ; + RECT 148050.0 337800.0 149250.0 336600.0 ; + RECT 148050.0 365400.0 149250.0 364200.0 ; + RECT 148050.0 393000.0 149250.0 391800.0 ; + RECT 148050.0 420600.0 149250.0 419400.0 ; + RECT 130500.0 91050.0 129300.0 92250.0 ; + RECT 135600.0 90900.0 134400.0 92100.0 ; + RECT 127500.0 104850.0 126300.0 106050.0 ; + RECT 138300.0 104700.0 137100.0 105900.0 ; + RECT 130500.0 146250.0 129300.0 147450.0 ; + RECT 141000.0 146100.0 139800.0 147300.0 ; + RECT 127500.0 160050.0 126300.0 161250.0 ; + RECT 143700.0 159900.0 142500.0 161100.0 ; + RECT 132600.0 88200.0 131400.0 89400.0 ; + RECT 132600.0 88200.0 131400.0 89400.0 ; + RECT 147450.0 89400.0 148650.0 88200.0 ; + RECT 132600.0 115800.0 131400.0 117000.0 ; + RECT 132600.0 115800.0 131400.0 117000.0 ; + RECT 147450.0 117000.0 148650.0 115800.0 ; + RECT 132600.0 143400.0 131400.0 144600.0 ; + RECT 132600.0 143400.0 131400.0 144600.0 ; + RECT 147450.0 144600.0 148650.0 143400.0 ; + RECT 132600.0 171000.0 131400.0 172200.0 ; + RECT 132600.0 171000.0 131400.0 172200.0 ; + RECT 147450.0 172200.0 148650.0 171000.0 ; + RECT 118500.0 76950.0 117300.0 78150.0 ; + RECT 135600.0 76950.0 134400.0 78150.0 ; + RECT 118500.0 68250.0 117300.0 69450.0 ; + RECT 138300.0 68250.0 137100.0 69450.0 ; + RECT 118500.0 56550.0 117300.0 57750.0 ; + RECT 141000.0 56550.0 139800.0 57750.0 ; + RECT 118500.0 47850.0 117300.0 49050.0 ; + RECT 143700.0 47850.0 142500.0 49050.0 ; + RECT 120300.0 72600.0 119100.0 73800.0 ; + RECT 149250.0 72750.0 148050.0 73950.0 ; + RECT 120300.0 52200.0 119100.0 53400.0 ; + RECT 149250.0 52350.0 148050.0 53550.0 ; + RECT 164400.0 32250.0 163200.0 33450.0 ; + RECT 159000.0 27750.0 157800.0 28950.0 ; + RECT 161700.0 25350.0 160500.0 26550.0 ; + RECT 164400.0 424650.0 163200.0 425850.0 ; + RECT 167100.0 96750.0 165900.0 97950.0 ; + RECT 169800.0 194850.0 168600.0 196050.0 ; + RECT 156300.0 84900.0 155100.0 86100.0 ; + RECT 103650.0 421500.0 102450.0 422700.0 ; + RECT 156300.0 421500.0 155100.0 422700.0 ; + RECT 152550.0 23400.0 151350.0 24600.0 ; + RECT 152550.0 192900.0 151350.0 194100.0 ; + RECT 152550.0 94800.0 151350.0 96000.0 ; + RECT 180000.0 0.0 180900.0 1800.0 ; + RECT 190200.0 0.0 191100.0 1800.0 ; + RECT 168750.0 0.0 169650.0 436800.0 ; + RECT 166050.0 0.0 166950.0 436800.0 ; + RECT 157950.0 0.0 158850.0 436800.0 ; + RECT 160650.0 0.0 161550.0 436800.0 ; + RECT 163350.0 0.0 164250.0 436800.0 ; + RECT 155250.0 0.0 156150.0 436800.0 ; + RECT 148050.0 0.0 152550.0 436800.0 ; + RECT 49800.0 289800.0 1.42108547152e-11 290700.0 ; + RECT 49800.0 292500.0 1.42108547152e-11 293400.0 ; + RECT 49800.0 295200.0 1.42108547152e-11 296100.0 ; + RECT 49800.0 300600.0 1.42108547152e-11 301500.0 ; RECT 33750.0 205050.0 32850.0 284850.0 ; - RECT 50100.0 287100.0 47400.0 288000.0 ; + RECT 49800.0 287100.0 47100.0 288000.0 ; RECT 38700.0 297900.0 36000.0 298800.0 ; - RECT 24600.0 287100.0 21900.0 288000.0 ; - RECT 10500.0 297900.0 7800.0 298800.0 ; - RECT 0.0 202200.0 10200.0 262200.0 ; + RECT 24900.0 287100.0 22200.0 288000.0 ; + RECT 11100.0 297900.0 8400.0 298800.0 ; + RECT 7.1054273576e-12 202200.0 10200.0 262200.0 ; RECT 20400.0 202200.0 10200.0 262200.0 ; RECT 20400.0 202200.0 30600.0 262200.0 ; - RECT 4500.0 202200.0 5700.0 203400.0 ; - RECT 14700.0 202200.0 15900.0 203400.0 ; - RECT 24900.0 202200.0 26100.0 203400.0 ; - RECT 4500.0 261000.0 5700.0 262200.0 ; + RECT 4500.0 259800.0 7200.0 261000.0 ; RECT 1800.0 257700.0 3000.0 262200.0 ; - RECT 14700.0 261000.0 15900.0 262200.0 ; + RECT 13200.0 259800.0 15900.0 261000.0 ; RECT 17400.0 257700.0 18600.0 262200.0 ; - RECT 24900.0 261000.0 26100.0 262200.0 ; + RECT 24900.0 259800.0 27600.0 261000.0 ; RECT 22200.0 257700.0 23400.0 262200.0 ; RECT 9600.0 202200.0 10800.0 262200.0 ; RECT 30000.0 202200.0 31200.0 262200.0 ; - RECT 46800.0 317850.0 38700.0 318750.0 ; - RECT 41250.0 313050.0 40350.0 313950.0 ; - RECT 41250.0 317850.0 40350.0 318750.0 ; - RECT 40800.0 313050.0 38700.0 313950.0 ; - RECT 41250.0 313500.0 40350.0 318300.0 ; - RECT 46800.0 317850.0 40800.0 318750.0 ; - RECT 38700.0 312900.0 37500.0 314100.0 ; - RECT 38700.0 317700.0 37500.0 318900.0 ; - RECT 48000.0 317700.0 46800.0 318900.0 ; - RECT 41400.0 317700.0 40200.0 318900.0 ; - RECT 28500.0 315450.0 29400.0 316350.0 ; - RECT 28950.0 315450.0 32250.0 316350.0 ; - RECT 28500.0 315900.0 29400.0 316800.0 ; - RECT 23400.0 315450.0 24300.0 316350.0 ; - RECT 23400.0 314100.0 24300.0 315900.0 ; - RECT 23850.0 315450.0 28950.0 316350.0 ; + RECT 46650.0 317850.0 39150.0 318750.0 ; + RECT 41700.0 313050.0 40800.0 313950.0 ; + RECT 41700.0 317850.0 40800.0 318750.0 ; + RECT 41250.0 313050.0 39150.0 313950.0 ; + RECT 41700.0 313500.0 40800.0 318300.0 ; + RECT 46650.0 317850.0 41250.0 318750.0 ; + RECT 39150.0 312900.0 37950.0 314100.0 ; + RECT 39150.0 317700.0 37950.0 318900.0 ; + RECT 47850.0 317700.0 46650.0 318900.0 ; + RECT 41850.0 317700.0 40650.0 318900.0 ; + RECT 28800.0 315450.0 29700.0 316350.0 ; + RECT 29250.0 315450.0 32250.0 316350.0 ; + RECT 28800.0 315900.0 29700.0 316800.0 ; + RECT 23700.0 315450.0 24600.0 316350.0 ; + RECT 23700.0 314100.0 24600.0 315900.0 ; + RECT 24150.0 315450.0 29250.0 316350.0 ; RECT 32250.0 315300.0 33450.0 316500.0 ; - RECT 23250.0 314100.0 24450.0 312900.0 ; - RECT 28350.0 317400.0 29550.0 316200.0 ; - RECT 29250.0 330150.0 30150.0 331050.0 ; - RECT 29250.0 332550.0 30150.0 333450.0 ; - RECT 29700.0 330150.0 32850.0 331050.0 ; - RECT 29250.0 330600.0 30150.0 333000.0 ; - RECT 25050.0 332550.0 29700.0 333450.0 ; + RECT 23550.0 314100.0 24750.0 312900.0 ; + RECT 28650.0 317400.0 29850.0 316200.0 ; + RECT 29550.0 330150.0 30450.0 331050.0 ; + RECT 29550.0 332550.0 30450.0 333450.0 ; + RECT 30000.0 330150.0 32850.0 331050.0 ; + RECT 29550.0 330600.0 30450.0 333000.0 ; + RECT 25350.0 332550.0 30000.0 333450.0 ; RECT 32850.0 330000.0 34050.0 331200.0 ; - RECT 23850.0 332400.0 25050.0 333600.0 ; - RECT 29100.0 333600.0 30300.0 332400.0 ; - RECT 18600.0 327450.0 10500.0 328350.0 ; - RECT 13050.0 322650.0 12150.0 323550.0 ; - RECT 13050.0 327450.0 12150.0 328350.0 ; - RECT 12600.0 322650.0 10500.0 323550.0 ; - RECT 13050.0 323100.0 12150.0 327900.0 ; - RECT 18600.0 327450.0 12600.0 328350.0 ; - RECT 10500.0 322500.0 9300.0 323700.0 ; - RECT 10500.0 327300.0 9300.0 328500.0 ; - RECT 19800.0 327300.0 18600.0 328500.0 ; - RECT 13200.0 327300.0 12000.0 328500.0 ; + RECT 24150.0 332400.0 25350.0 333600.0 ; + RECT 29400.0 333600.0 30600.0 332400.0 ; + RECT 19050.0 327450.0 11550.0 328350.0 ; + RECT 14100.0 322650.0 13200.0 323550.0 ; + RECT 14100.0 327450.0 13200.0 328350.0 ; + RECT 13650.0 322650.0 11550.0 323550.0 ; + RECT 14100.0 323100.0 13200.0 327900.0 ; + RECT 19050.0 327450.0 13650.0 328350.0 ; + RECT 11550.0 322500.0 10350.0 323700.0 ; + RECT 11550.0 327300.0 10350.0 328500.0 ; + RECT 20250.0 327300.0 19050.0 328500.0 ; + RECT 14250.0 327300.0 13050.0 328500.0 ; RECT 3000.0 262800.0 1800.0 261600.0 ; RECT 3000.0 301650.0 1800.0 300450.0 ; - RECT 5700.0 262800.0 4500.0 261600.0 ; - RECT 5700.0 290850.0 4500.0 289650.0 ; + RECT 6450.0 261600.0 5250.0 260400.0 ; + RECT 6450.0 290850.0 5250.0 289650.0 ; RECT 18600.0 262800.0 17400.0 261600.0 ; RECT 18600.0 293550.0 17400.0 292350.0 ; RECT 23400.0 262800.0 22200.0 261600.0 ; @@ -5078,146 +5073,147 @@ MACRO sram_2_16_1_scn3me_subm RECT 10800.0 288150.0 9600.0 286950.0 ; RECT 31200.0 262800.0 30000.0 261600.0 ; RECT 31200.0 288150.0 30000.0 286950.0 ; - RECT 22350.0 371700.0 21450.0 426900.0 ; - RECT 22350.0 381300.0 21450.0 384000.0 ; - RECT 22350.0 384000.0 21450.0 426900.0 ; - RECT 6750.0 424200.0 5850.0 426900.0 ; - RECT 20100.0 376500.0 19200.0 384000.0 ; - RECT 13350.0 376500.0 12450.0 381300.0 ; - RECT 43200.0 415500.0 44100.0 422700.0 ; + RECT 22650.0 371700.0 21750.0 425400.0 ; + RECT 22650.0 381300.0 21750.0 384000.0 ; + RECT 22650.0 384000.0 21750.0 426000.0 ; + RECT 17250.0 423300.0 16350.0 426000.0 ; + RECT 20400.0 375900.0 19500.0 384000.0 ; + RECT 13650.0 375900.0 12750.0 380700.0 ; + RECT 42750.0 415500.0 43650.0 422700.0 ; RECT 35550.0 424650.0 36450.0 425550.0 ; RECT 35550.0 425850.0 36450.0 426750.0 ; - RECT 36000.0 424650.0 43650.0 425550.0 ; + RECT 36000.0 424650.0 43200.0 425550.0 ; RECT 35550.0 425100.0 36450.0 426300.0 ; - RECT 28350.0 425850.0 36000.0 426750.0 ; - RECT 27900.0 416700.0 28800.0 423900.0 ; - RECT 43050.0 422100.0 44250.0 423300.0 ; - RECT 27750.0 425700.0 28950.0 426900.0 ; - RECT 27750.0 416100.0 28950.0 417300.0 ; - RECT 43050.0 414900.0 44250.0 416100.0 ; - RECT 43050.0 424500.0 44250.0 425700.0 ; - RECT 27750.0 423300.0 28950.0 424500.0 ; - RECT 16500.0 396000.0 6300.0 381300.0 ; - RECT 16500.0 396000.0 6300.0 410100.0 ; - RECT 16500.0 424200.0 6300.0 410100.0 ; - RECT 13500.0 396000.0 12300.0 424200.0 ; - RECT 10500.0 396000.0 9300.0 424200.0 ; - RECT 6900.0 396000.0 5700.0 424200.0 ; - RECT 22350.0 398100.0 21150.0 399300.0 ; - RECT 22350.0 420900.0 21150.0 422100.0 ; - RECT 22350.0 410100.0 21150.0 411300.0 ; - RECT 22350.0 370500.0 21150.0 371700.0 ; - RECT 21300.0 426300.0 22500.0 427500.0 ; - RECT 5700.0 426300.0 6900.0 427500.0 ; - RECT 19050.0 383400.0 20250.0 384600.0 ; - RECT 19050.0 375900.0 20250.0 377100.0 ; - RECT 12300.0 375900.0 13500.0 377100.0 ; - RECT 44550.0 285450.0 43350.0 284250.0 ; - RECT 44550.0 244650.0 43350.0 243450.0 ; - RECT 44550.0 304350.0 43350.0 303150.0 ; - RECT 44550.0 244650.0 43350.0 243450.0 ; + RECT 28800.0 425850.0 36000.0 426750.0 ; + RECT 28350.0 416700.0 29250.0 423900.0 ; + RECT 42600.0 422100.0 43800.0 423300.0 ; + RECT 28200.0 425700.0 29400.0 426900.0 ; + RECT 28200.0 416100.0 29400.0 417300.0 ; + RECT 42600.0 414900.0 43800.0 416100.0 ; + RECT 42600.0 424500.0 43800.0 425700.0 ; + RECT 28200.0 423300.0 29400.0 424500.0 ; + RECT 16800.0 395100.0 6600.0 381300.0 ; + RECT 16800.0 395100.0 6600.0 408900.0 ; + RECT 16800.0 422700.0 6600.0 408900.0 ; + RECT 13800.0 395700.0 12600.0 424500.0 ; + RECT 10800.0 394500.0 9600.0 423300.0 ; + RECT 17400.0 394500.0 16200.0 423300.0 ; + RECT 7200.0 394500.0 6000.0 423300.0 ; + RECT 22650.0 396600.0 21450.0 397800.0 ; + RECT 22650.0 420000.0 21450.0 421200.0 ; + RECT 22650.0 410100.0 21450.0 411300.0 ; + RECT 22650.0 370500.0 21450.0 371700.0 ; + RECT 21600.0 425400.0 22800.0 426600.0 ; + RECT 16200.0 425400.0 17400.0 426600.0 ; + RECT 19350.0 383400.0 20550.0 384600.0 ; + RECT 19350.0 375300.0 20550.0 376500.0 ; + RECT 12600.0 375300.0 13800.0 376500.0 ; + RECT 43950.0 285450.0 42750.0 284250.0 ; + RECT 43950.0 244500.0 42750.0 243300.0 ; + RECT 43950.0 304350.0 42750.0 303150.0 ; + RECT 43950.0 244500.0 42750.0 243300.0 ; RECT 33900.0 205650.0 32700.0 204450.0 ; - RECT 29550.0 285450.0 28350.0 284250.0 ; - RECT 26850.0 290850.0 25650.0 289650.0 ; - RECT 30300.0 328200.0 29100.0 327000.0 ; - RECT 30300.0 328200.0 29100.0 327000.0 ; - RECT 30300.0 304350.0 29100.0 303150.0 ; - RECT 27600.0 331200.0 26400.0 330000.0 ; - RECT 27600.0 331200.0 26400.0 330000.0 ; - RECT 27600.0 301650.0 26400.0 300450.0 ; - RECT 41400.0 304350.0 40200.0 303150.0 ; - RECT 43350.0 301650.0 42150.0 300450.0 ; - RECT 45300.0 293550.0 44100.0 292350.0 ; - RECT 13200.0 304350.0 12000.0 303150.0 ; - RECT 15150.0 293550.0 13950.0 292350.0 ; - RECT 17100.0 296250.0 15900.0 295050.0 ; - RECT 29550.0 322500.0 28350.0 323700.0 ; - RECT 30300.0 339600.0 29100.0 340800.0 ; - RECT 16050.0 362100.0 14850.0 363300.0 ; - RECT 28950.0 342300.0 27750.0 343500.0 ; - RECT 50700.0 288150.0 49500.0 286950.0 ; + RECT 29850.0 285450.0 28650.0 284250.0 ; + RECT 27150.0 290850.0 25950.0 289650.0 ; + RECT 30600.0 328200.0 29400.0 327000.0 ; + RECT 30600.0 328200.0 29400.0 327000.0 ; + RECT 30600.0 304350.0 29400.0 303150.0 ; + RECT 27900.0 331200.0 26700.0 330000.0 ; + RECT 27900.0 331200.0 26700.0 330000.0 ; + RECT 27900.0 301650.0 26700.0 300450.0 ; + RECT 41850.0 304350.0 40650.0 303150.0 ; + RECT 43800.0 301650.0 42600.0 300450.0 ; + RECT 45750.0 293550.0 44550.0 292350.0 ; + RECT 14250.0 304350.0 13050.0 303150.0 ; + RECT 16200.0 293550.0 15000.0 292350.0 ; + RECT 18150.0 296250.0 16950.0 295050.0 ; + RECT 29850.0 322500.0 28650.0 323700.0 ; + RECT 30600.0 339600.0 29400.0 340800.0 ; + RECT 16200.0 362100.0 15000.0 363300.0 ; + RECT 29400.0 342300.0 28200.0 343500.0 ; + RECT 50400.0 288150.0 49200.0 286950.0 ; RECT 36600.0 298950.0 35400.0 297750.0 ; - RECT 22500.0 288150.0 21300.0 286950.0 ; - RECT 8400.0 298950.0 7200.0 297750.0 ; - RECT 50100.0 342450.0 28350.0 343350.0 ; - RECT 50100.0 362250.0 15450.0 363150.0 ; - RECT 50100.0 322650.0 28950.0 323550.0 ; - RECT 50100.0 339750.0 29700.0 340650.0 ; - RECT 50100.0 303300.0 7.1054273576e-12 304200.0 ; - RECT 50100.0 284400.0 7.1054273576e-12 285300.0 ; - RECT 50100.0 297900.0 7.1054273576e-12 298800.0 ; - RECT 50100.0 287100.0 7.1054273576e-12 288000.0 ; - RECT 170100.0 342300.0 168900.0 343500.0 ; - RECT 49800.0 342450.0 48600.0 343650.0 ; - RECT 167400.0 362100.0 166200.0 363300.0 ; - RECT 49800.0 362250.0 48600.0 363450.0 ; - RECT 162000.0 322500.0 160800.0 323700.0 ; - RECT 49800.0 322650.0 48600.0 323850.0 ; - RECT 159300.0 339600.0 158100.0 340800.0 ; - RECT 49800.0 339750.0 48600.0 340950.0 ; - RECT 164700.0 303150.0 163500.0 304350.0 ; - RECT 49800.0 303300.0 48600.0 304500.0 ; - RECT 156600.0 284250.0 155400.0 285450.0 ; - RECT 49800.0 284400.0 48600.0 285600.0 ; - RECT 55950.0 297750.0 54750.0 298950.0 ; - RECT 151200.0 286950.0 150000.0 288150.0 ; - RECT 49800.0 287100.0 48600.0 288300.0 ; + RECT 22800.0 288150.0 21600.0 286950.0 ; + RECT 9000.0 298950.0 7800.0 297750.0 ; + RECT 49800.0 342450.0 28800.0 343350.0 ; + RECT 49800.0 362250.0 15600.0 363150.0 ; + RECT 49800.0 322650.0 29250.0 323550.0 ; + RECT 49800.0 339750.0 30000.0 340650.0 ; + RECT 49800.0 303300.0 1.42108547152e-11 304200.0 ; + RECT 49800.0 284400.0 1.42108547152e-11 285300.0 ; + RECT 49800.0 297900.0 1.42108547152e-11 298800.0 ; + RECT 49800.0 287100.0 1.42108547152e-11 288000.0 ; + RECT 169800.0 342300.0 168600.0 343500.0 ; + RECT 49500.0 342450.0 48300.0 343650.0 ; + RECT 167100.0 362100.0 165900.0 363300.0 ; + RECT 49500.0 362250.0 48300.0 363450.0 ; + RECT 161700.0 322500.0 160500.0 323700.0 ; + RECT 49500.0 322650.0 48300.0 323850.0 ; + RECT 159000.0 339600.0 157800.0 340800.0 ; + RECT 49500.0 339750.0 48300.0 340950.0 ; + RECT 164400.0 303150.0 163200.0 304350.0 ; + RECT 49500.0 303300.0 48300.0 304500.0 ; + RECT 156300.0 284250.0 155100.0 285450.0 ; + RECT 49500.0 284400.0 48300.0 285600.0 ; + RECT 55650.0 297750.0 54450.0 298950.0 ; + RECT 150900.0 286950.0 149700.0 288150.0 ; + RECT 49500.0 287100.0 48300.0 288300.0 ; LAYER metal3 ; - RECT 50100.0 342150.0 169500.0 343650.0 ; - RECT 50100.0 361950.0 166800.0 363450.0 ; - RECT 50100.0 322350.0 161400.0 323850.0 ; - RECT 50100.0 339450.0 158700.0 340950.0 ; - RECT 50100.0 303000.0 164100.0 304500.0 ; - RECT 50100.0 284100.0 156000.0 285600.0 ; - RECT 50100.0 286800.0 150600.0 288300.0 ; - RECT 176550.0 6300.0 178050.0 151200.0 ; - RECT 186750.0 6300.0 188250.0 151200.0 ; - RECT 180300.0 0.0 181800.0 30000.0 ; - RECT 190500.0 0.0 192000.0 30000.0 ; - RECT 132300.0 85650.0 148350.0 87150.0 ; - RECT 132300.0 113850.0 148350.0 115350.0 ; - RECT 132300.0 142050.0 148350.0 143550.0 ; - RECT 132300.0 170250.0 148350.0 171750.0 ; - RECT 176400.0 151200.0 178200.0 153000.0 ; - RECT 186600.0 151200.0 188400.0 153000.0 ; - RECT 180000.0 30900.0 181800.0 32700.0 ; - RECT 190200.0 30900.0 192000.0 32700.0 ; - RECT 60300.0 76800.0 62100.0 75000.0 ; - RECT 60300.0 66600.0 62100.0 64800.0 ; - RECT 60300.0 56400.0 62100.0 54600.0 ; - RECT 60300.0 46200.0 62100.0 44400.0 ; - RECT 176550.0 5550.0 178350.0 7350.0 ; - RECT 186750.0 5550.0 188550.0 7350.0 ; - RECT 180300.0 0.0 182100.0 1800.0 ; - RECT 190500.0 0.0 192300.0 1800.0 ; - RECT 133200.0 85500.0 131400.0 87300.0 ; - RECT 147450.0 87300.0 149250.0 85500.0 ; - RECT 133200.0 113700.0 131400.0 115500.0 ; - RECT 147450.0 115500.0 149250.0 113700.0 ; - RECT 133200.0 141900.0 131400.0 143700.0 ; - RECT 147450.0 143700.0 149250.0 141900.0 ; - RECT 133200.0 170100.0 131400.0 171900.0 ; - RECT 147450.0 171900.0 149250.0 170100.0 ; - RECT 53100.0 75000.0 60300.0 76500.0 ; - RECT 53100.0 64800.0 60300.0 66300.0 ; - RECT 53100.0 54600.0 60300.0 56100.0 ; - RECT 53100.0 44400.0 60300.0 45900.0 ; + RECT 49800.0 342150.0 169200.0 343650.0 ; + RECT 49800.0 361950.0 166500.0 363450.0 ; + RECT 49800.0 322350.0 161100.0 323850.0 ; + RECT 49800.0 339450.0 158400.0 340950.0 ; + RECT 49800.0 303000.0 163800.0 304500.0 ; + RECT 49800.0 284100.0 155700.0 285600.0 ; + RECT 49800.0 286800.0 150300.0 288300.0 ; + RECT 176250.0 6300.0 177750.0 151200.0 ; + RECT 186450.0 6300.0 187950.0 151200.0 ; + RECT 180000.0 0.0 181500.0 30000.0 ; + RECT 190200.0 0.0 191700.0 30000.0 ; + RECT 132000.0 88050.0 148050.0 89550.0 ; + RECT 132000.0 115650.0 148050.0 117150.0 ; + RECT 132000.0 143250.0 148050.0 144750.0 ; + RECT 132000.0 170850.0 148050.0 172350.0 ; + RECT 176100.0 151200.0 177900.0 153000.0 ; + RECT 186300.0 151200.0 188100.0 153000.0 ; + RECT 179700.0 30900.0 181500.0 32700.0 ; + RECT 189900.0 30900.0 191700.0 32700.0 ; + RECT 60000.0 79200.0 61800.0 77400.0 ; + RECT 60000.0 69000.0 61800.0 67200.0 ; + RECT 60000.0 58800.0 61800.0 57000.0 ; + RECT 60000.0 48600.0 61800.0 46800.0 ; + RECT 176250.0 5550.0 178050.0 7350.0 ; + RECT 186450.0 5550.0 188250.0 7350.0 ; + RECT 180000.0 0.0 181800.0 1800.0 ; + RECT 190200.0 0.0 192000.0 1800.0 ; + RECT 132900.0 87900.0 131100.0 89700.0 ; + RECT 147150.0 89700.0 148950.0 87900.0 ; + RECT 132900.0 115500.0 131100.0 117300.0 ; + RECT 147150.0 117300.0 148950.0 115500.0 ; + RECT 132900.0 143100.0 131100.0 144900.0 ; + RECT 147150.0 144900.0 148950.0 143100.0 ; + RECT 132900.0 170700.0 131100.0 172500.0 ; + RECT 147150.0 172500.0 148950.0 170700.0 ; + RECT 52800.0 77400.0 60000.0 78900.0 ; + RECT 52800.0 67200.0 60000.0 68700.0 ; + RECT 52800.0 57000.0 60000.0 58500.0 ; + RECT 52800.0 46800.0 60000.0 48300.0 ; RECT 3150.0 262200.0 1650.0 301050.0 ; - RECT 5850.0 262200.0 4350.0 290250.0 ; + RECT 6600.0 261000.0 5100.0 290250.0 ; RECT 18750.0 262200.0 17250.0 292950.0 ; RECT 23550.0 262200.0 22050.0 295650.0 ; RECT 10950.0 262200.0 9450.0 287550.0 ; RECT 31350.0 262200.0 29850.0 287550.0 ; - RECT 44700.0 244050.0 43200.0 303750.0 ; - RECT 30450.0 303750.0 28950.0 327600.0 ; - RECT 27750.0 301050.0 26250.0 330600.0 ; + RECT 44100.0 243900.0 42600.0 303750.0 ; + RECT 30750.0 303750.0 29250.0 327600.0 ; + RECT 28050.0 301050.0 26550.0 330600.0 ; RECT 4200.0 203100.0 6000.0 204900.0 ; RECT 14400.0 203100.0 16200.0 204900.0 ; RECT 24600.0 203100.0 26400.0 204900.0 ; RECT 3300.0 263100.0 1500.0 261300.0 ; RECT 3300.0 301950.0 1500.0 300150.0 ; - RECT 6000.0 263100.0 4200.0 261300.0 ; - RECT 6000.0 291150.0 4200.0 289350.0 ; + RECT 6750.0 261900.0 4950.0 260100.0 ; + RECT 6750.0 291150.0 4950.0 289350.0 ; RECT 18900.0 263100.0 17100.0 261300.0 ; RECT 18900.0 293850.0 17100.0 292050.0 ; RECT 23700.0 263100.0 21900.0 261300.0 ; @@ -5226,29 +5222,29 @@ MACRO sram_2_16_1_scn3me_subm RECT 11100.0 288450.0 9300.0 286650.0 ; RECT 31500.0 263100.0 29700.0 261300.0 ; RECT 31500.0 288450.0 29700.0 286650.0 ; - RECT 44850.0 244950.0 43050.0 243150.0 ; - RECT 44850.0 304650.0 43050.0 302850.0 ; - RECT 30600.0 328500.0 28800.0 326700.0 ; - RECT 30600.0 304650.0 28800.0 302850.0 ; - RECT 27900.0 331500.0 26100.0 329700.0 ; - RECT 27900.0 301950.0 26100.0 300150.0 ; + RECT 44250.0 244800.0 42450.0 243000.0 ; + RECT 44250.0 304650.0 42450.0 302850.0 ; + RECT 30900.0 328500.0 29100.0 326700.0 ; + RECT 30900.0 304650.0 29100.0 302850.0 ; + RECT 28200.0 331500.0 26400.0 329700.0 ; + RECT 28200.0 301950.0 26400.0 300150.0 ; RECT 16200.0 203100.0 14400.0 204900.0 ; RECT 26400.0 203100.0 24600.0 204900.0 ; RECT 6000.0 203100.0 4200.0 204900.0 ; - RECT 170400.0 342000.0 168600.0 343800.0 ; - RECT 50100.0 342150.0 48300.0 343950.0 ; - RECT 167700.0 361800.0 165900.0 363600.0 ; - RECT 50100.0 361950.0 48300.0 363750.0 ; - RECT 162300.0 322200.0 160500.0 324000.0 ; - RECT 50100.0 322350.0 48300.0 324150.0 ; - RECT 159600.0 339300.0 157800.0 341100.0 ; - RECT 50100.0 339450.0 48300.0 341250.0 ; - RECT 165000.0 302850.0 163200.0 304650.0 ; - RECT 50100.0 303000.0 48300.0 304800.0 ; - RECT 156900.0 283950.0 155100.0 285750.0 ; - RECT 50100.0 284100.0 48300.0 285900.0 ; - RECT 151500.0 286650.0 149700.0 288450.0 ; - RECT 50100.0 286800.0 48300.0 288600.0 ; + RECT 170100.0 342000.0 168300.0 343800.0 ; + RECT 49800.0 342150.0 48000.0 343950.0 ; + RECT 167400.0 361800.0 165600.0 363600.0 ; + RECT 49800.0 361950.0 48000.0 363750.0 ; + RECT 162000.0 322200.0 160200.0 324000.0 ; + RECT 49800.0 322350.0 48000.0 324150.0 ; + RECT 159300.0 339300.0 157500.0 341100.0 ; + RECT 49800.0 339450.0 48000.0 341250.0 ; + RECT 164700.0 302850.0 162900.0 304650.0 ; + RECT 49800.0 303000.0 48000.0 304800.0 ; + RECT 156600.0 283950.0 154800.0 285750.0 ; + RECT 49800.0 284100.0 48000.0 285900.0 ; + RECT 151200.0 286650.0 149400.0 288450.0 ; + RECT 49800.0 286800.0 48000.0 288600.0 ; END END sram_2_16_1_scn3me_subm END LIBRARY diff --git a/compiler/tests/testutils.py b/compiler/tests/testutils.py index 4907574b..c7d62071 100644 --- a/compiler/tests/testutils.py +++ b/compiler/tests/testutils.py @@ -129,7 +129,7 @@ class openram_test(unittest.TestCase): if len(b1_floats)!=len(b2_floats): self.fail("MISMATCH Length {0} != {1}".format(len(b1_floats),len(b2_floats))) for (f1,f2) in zip(b1_floats,b2_floats): - if not relative_compare(float(f1),float(f2),error_tolerance): + if not self.relative_compare(float(f1),float(f2),error_tolerance): self.fail("MISMATCH Float {0} != {1}".format(f1,f2)) if not b1 and not b2: From 3e2d4d631ddd55b8551abba4f169d29c421477d3 Mon Sep 17 00:00:00 2001 From: Matt Guthaus Date: Wed, 31 Jan 2018 16:21:43 -0800 Subject: [PATCH 10/29] Do not require hspice during tests. Check if a valid simulator is found, however. --- compiler/characterizer/stimuli.py | 1 + compiler/tests/22_sram_func_test.py | 5 +++++ compiler/tests/23_lib_sram_prune_test.py | 5 +++++ compiler/tests/23_lib_sram_test.py | 5 +++++ 4 files changed, 16 insertions(+) diff --git a/compiler/characterizer/stimuli.py b/compiler/characterizer/stimuli.py index 69a0bb32..6cba2015 100644 --- a/compiler/characterizer/stimuli.py +++ b/compiler/characterizer/stimuli.py @@ -282,6 +282,7 @@ def run_sim(): temp_stim = "{0}stim.sp".format(OPTS.openram_temp) import datetime start_time = datetime.datetime.now() + debug.check(OPTS.spice_exe!="","No spice simulator has been found.") if OPTS.spice_name == "xa": # Output the xa configurations here. FIXME: Move this to write it once. diff --git a/compiler/tests/22_sram_func_test.py b/compiler/tests/22_sram_func_test.py index 4e42a775..ec6eabf2 100644 --- a/compiler/tests/22_sram_func_test.py +++ b/compiler/tests/22_sram_func_test.py @@ -16,10 +16,15 @@ class sram_func_test(openram_test): def runTest(self): globals.init_openram("config_20_{0}".format(OPTS.tech_name)) OPTS.check_lvsdrc = False + OPTS.spice_name="" # Unset to use any simulator OPTS.analytical_delay = False + + # This is a hack to reload the characterizer __init__ with the spice version import characterizer reload(characterizer) from characterizer import delay + if not OPTS.spice_exe: + debug.error("Could not find {} simulator.".format(OPTS.spice_name),-1) import sram diff --git a/compiler/tests/23_lib_sram_prune_test.py b/compiler/tests/23_lib_sram_prune_test.py index 71b6bd38..9c9f6667 100644 --- a/compiler/tests/23_lib_sram_prune_test.py +++ b/compiler/tests/23_lib_sram_prune_test.py @@ -16,11 +16,16 @@ class lib_test(openram_test): def runTest(self): globals.init_openram("config_20_{0}".format(OPTS.tech_name)) OPTS.check_lvsdrc = False + OPTS.spice_name="" # Unset to use any simulator OPTS.analytical_delay = False OPTS.trim_netlist = True + + # This is a hack to reload the characterizer __init__ with the spice version import characterizer reload(characterizer) from characterizer import lib + if not OPTS.spice_exe: + debug.error("Could not find {} simulator.".format(OPTS.spice_name),-1) import sram diff --git a/compiler/tests/23_lib_sram_test.py b/compiler/tests/23_lib_sram_test.py index 2c24bbc7..c1d2eb6a 100644 --- a/compiler/tests/23_lib_sram_test.py +++ b/compiler/tests/23_lib_sram_test.py @@ -16,11 +16,16 @@ class lib_test(openram_test): def runTest(self): globals.init_openram("config_20_{0}".format(OPTS.tech_name)) OPTS.check_lvsdrc = False + OPTS.spice_name="" # Unset to use any simulator OPTS.analytical_delay = False OPTS.trim_netlist = False + + # This is a hack to reload the characterizer __init__ with the spice version import characterizer reload(characterizer) from characterizer import lib + if not OPTS.spice_exe: + debug.error("Could not find {} simulator.".format(OPTS.spice_name),-1) import sram From e4295ea61b05f52041a1a48042c6d1c310f5301e Mon Sep 17 00:00:00 2001 From: Matt Guthaus Date: Wed, 31 Jan 2018 17:37:16 -0800 Subject: [PATCH 11/29] Fix pin names to lower case. Fix write driver DRC errors and LVS error. --- compiler/bank.py | 4 +- compiler/bitcell_array.py | 3 +- compiler/write_driver.py | 2 +- compiler/write_driver_array.py | 4 +- technology/freepdk45/gds_lib/write_driver.gds | Bin 24576 -> 24576 bytes technology/freepdk45/sp_lib/write_driver.sp | 2 +- .../scn3me_subm/gds_lib/write_driver.gds | Bin 12132 -> 12132 bytes .../scn3me_subm/mag_lib/write_driver.mag | 432 +++++++++--------- technology/scn3me_subm/sp_lib/write_driver.sp | 2 +- 9 files changed, 225 insertions(+), 224 deletions(-) diff --git a/compiler/bank.py b/compiler/bank.py index ce686c84..c792ee23 100644 --- a/compiler/bank.py +++ b/compiler/bank.py @@ -234,8 +234,8 @@ class bank(design.design): """ Adding Precharge """ # The wells must be far enough apart - # We use two well spacings because the bitcells tend to have a shared rail in the height - y_offset = self.bitcell_array.height + 2*drc["pwell_to_nwell"] + # The enclosure is for the well and the spacig is to the bitcell wells + y_offset = self.bitcell_array.height + 2*drc["pwell_to_nwell"] + drc["well_enclosure_active"] self.precharge_array_inst=self.add_inst(name="precharge_array", mod=self.precharge_array, offset=vector(0,y_offset)) diff --git a/compiler/bitcell_array.py b/compiler/bitcell_array.py index 30696b7c..44318206 100644 --- a/compiler/bitcell_array.py +++ b/compiler/bitcell_array.py @@ -26,7 +26,8 @@ class bitcell_array(design.design): self.cell = self.mod_bitcell() self.add_mod(self.cell) - self.height = self.row_size*self.cell.height + # We increase it by a well enclosure so the precharges don't overlap our wells + self.height = self.row_size*self.cell.height + drc["well_enclosure_active"] self.width = self.column_size*self.cell.width self.add_pins() diff --git a/compiler/write_driver.py b/compiler/write_driver.py index 57ba39c3..67477a8d 100644 --- a/compiler/write_driver.py +++ b/compiler/write_driver.py @@ -11,7 +11,7 @@ class write_driver(design.design): the technology library. """ - pin_names = ["din", "BL", "BR", "en", "gnd", "vdd"] + pin_names = ["din", "bl", "br", "en", "gnd", "vdd"] (width,height) = utils.get_libcell_size("write_driver", GDS["unit"], layer["boundary"]) pin_map = utils.get_libcell_pins(pin_names, "write_driver", GDS["unit"], layer["boundary"]) diff --git a/compiler/write_driver_array.py b/compiler/write_driver_array.py index 0bf5d32d..a220e473 100644 --- a/compiler/write_driver_array.py +++ b/compiler/write_driver_array.py @@ -69,14 +69,14 @@ class write_driver_array(design.design): offset=din_pin.ll(), width=din_pin.width(), height=din_pin.height()) - bl_pin = self.driver_insts[i].get_pin("BL") + bl_pin = self.driver_insts[i].get_pin("bl") self.add_layout_pin(text="bl[{0}]".format(i), layer="metal2", offset=bl_pin.ll(), width=bl_pin.width(), height=bl_pin.height()) - br_pin = self.driver_insts[i].get_pin("BR") + br_pin = self.driver_insts[i].get_pin("br") self.add_layout_pin(text="br[{0}]".format(i), layer="metal2", offset=br_pin.ll(), diff --git a/technology/freepdk45/gds_lib/write_driver.gds b/technology/freepdk45/gds_lib/write_driver.gds index c1b0d0b9e692c609168ea4258af5d12b13488e10..4954fc800572cf2e762aeff8e3af7144a709ca3a 100644 GIT binary patch delta 201 zcmZoTz}Rqrae{cb5CbEFJcA&E9D^nU4-;ETYFc7xP6-2p2s5jl!jaia9|wQ4REiP5 z;Ja3gL57uyfscWkL6U)!L4(1B0a>pA8(Vo%W=U#%N>OH6YSH98R(tjk1~y5yq@2kO zY*LfYu{un4Vbz)3#wN0{Wev;ZHg=26MQkFBAc4(K*mD>syRnN-=4VTuypNrWDXD03 tAE(jg8qU)ki`#{mCc6tcY;G56(3yNURB-bRS399ijt5vai$%O*2LN1#H}(Jk delta 195 zcmZoTz}Rqrae{cbAOi=31Opd?C4(>n4-;ETYFc7xP6-2p2s5jl!jaia9|wQ4REiP5 z;Ja3VL57uyfscWkL6U)!L4(1B0a>pA8(Vo%W=U#%N>OH6YSCs3#zQQVcQJ`f=3~^E z%*JWK!&t+>pb*T!CduaHGueS%dg6Ke$+tLCHXE^tFai}gF*yZoc3^K~oIHh7XLAkb nX^zS6A`Xk)g_t(Ci!|tLjt$<)GFdE8VzY#!ozP~nh*#_YVr4Pc diff --git a/technology/freepdk45/sp_lib/write_driver.sp b/technology/freepdk45/sp_lib/write_driver.sp index 8f1a551f..1267eb91 100644 --- a/technology/freepdk45/sp_lib/write_driver.sp +++ b/technology/freepdk45/sp_lib/write_driver.sp @@ -1,5 +1,5 @@ -.SUBCKT write_driver din bl br wen vdd gnd +.SUBCKT write_driver din bl br en vdd gnd *inverters for enable and data input minP bl_bar din vdd vdd pmos_vtg w=360.000000n l=50.000000n minN bl_bar din gnd gnd nmos_vtg w=180.000000n l=50.000000n diff --git a/technology/scn3me_subm/gds_lib/write_driver.gds b/technology/scn3me_subm/gds_lib/write_driver.gds index af90e33d8d7583b684d586f58b27d236a70a215b..a8a9c176943ac71d6f817b59c2025814ec4005bd 100644 GIT binary patch literal 12132 zcmbuFU5s8;6^2)*)1hF+cC56;3N;k@8*S0XRv`uzwT7xh8m+bBDAUj)X~w3E7;DlH zh=@qQU~K$JATe4EM1qK@HC7;46I5bqYzQhU-k4r+;RP2Y_&&3~*Y7)rtlbO01fJ$S z=Ur>I6yXZG%%+qpNE zFI@DFcYb-}6;FKs`L|vE$hv#JwS8->UexeUbp7LtBPL!s78l0E>0=QwwRGW2FTJ=w zVs1yoMf%UY_mR|1>Ep{HO21;w`^`%pProUB)PF%g|Gkf--#jtMZ%S|aFL^xu=G+dq z%XM`8Zye^27~2-{yX6sQ-8kgmj-_tu_-Ou)|5cYp{PK9j>t7u5=u8B9s# zPo>`^9=a*L^iLj%_{n$EZxRRHlwSI2|Mzxf`^_I6&GwtpOFwmf>G_D)tQ_)=7qWg+ z$4mdhnEfIpHN#FKa)6)3#FI-1yd34R=sbyZ^#d9i$FJZyy?Ge zU&QOSM3}^LTqwQiXYQPKdyXNzU_AY%j*t4MM&~d6&$xRYc-%d&2FIIz#+mUmiRZXb zdg*8W+_^UU-~7(voIj@Y(ocNm3HeRppqtW5KjZs_B{_dg<|Dc(z4UwDoqHhXAG~%= z#xr~KuhajYclelpj)TrT92_t6Ge0g|pYzY$xID+-l-~3+PdslRaU2)w_^AJ)(fKp} zK*S%ek65iXn}6A_1zWl)z4R};JK`5=JN;-ru_OZBlwSHzUmEdK&42tRanMcaP5*3gq?Si5z| zDG)gIZ$5oT_Ma)e^s~>v$Mt67LpNLgmETSu{f8goLpNJ~>i^^RtlvELVAgL+Z`O~G z`b~V)Z?^o@iI2KXeCTG&zx<|%M>Sq&JU!&&&qkn|I$qX)=GEz2{qT_Z(9M>gJU=@> z^P8-D=%(~$ethIN@sZzb`5C_-oXGJr@4Gz5&y-%~XPodcekMM2v*l-;@G*WSK6JC? zC(l>*Wq$LkS`W}o>1F+=ERMKlBI4BPA$O|p&`ljL{Y!VHZ}P~H_|VOkf7Q|SasQwW zh!5Rt`MJ-$=jU0kxp^}0Kc@7ue(p2)sMo}YZnpd@X46N#_#r-Yv*lm&RK)xC=l#Qc z|FH;kQ+ioH?Pt%$c$w!N&-R*wp&<@IBJjlqH<~3?Nx+%S^pZT+HF57Ef{QI0gru5R!b%Kxfn)uMomY?ecAMG{q zp_?r~*9kuMUnV|uv*&-)=>Frh+avzDE&b-d9?0hjQ~GH8U*_li$&%;t{Rt$FAzWRXhD{ z636Wt953_pp5toeV!beVZ-H(~Fa5kX*}o~*3lrby`#9;Pe^TrA=buf#NgQ-jdei@z zr!t>O9LI&yOaECnM%=JI``@Jh&`s&3pZnhIf%KciK{utB{z=uhTe()u4oN%EO&u@& zjMI+0^LjBEM~~~^c^&ala0Z zH~k;HIs4xvj^jeM(fu3y-al>5d2assp4<ixsUNOPxAcNsh@G;I~Vnv)PZhFZ}uPGdFVg$v4?W~ zGNqS(^89*7u2m*=xURwR($AdpcQ^B}`U2hTeShn;pK&^*w$pyI|NTvRnV<3F?+zG0 z^L}aQX7BG0I{A6;fsgSs8Ao)pfmQeFa2EKJg>2Sn716v>)-4>|8@GG`Nelf?sq12pqst# zj~zevDe`jfHOY%^w(?W|+>Z2{#BsX@$D8e+UYYGTiQ~9Xdg-Um8`fuj6F<5sz3HDl zkk_wC9LI&yOF#Wg-Sof7cUyGxWcBl%mi{;Kk=K-7=I1=}o_Bmm;-H(Y{C@u9e$VqC z^=W=<`3Uld0u1xY7z(ClwQ`){fqC+_)X%Vo6<`^dHB0C{HDKKo6<`^>nz`` zx&NE^(9PcW>rVUGcjBYJ%nzw{bhG8B{!N!OeQ8m-%TYd1=2%esr^ypFKW#nRh1hX7qhTE5C+n-pjKeF(7sDGo_dH za~^9yK9fBOKT~?s&$W-=nrBvm z`=?HR_I=D7`rEwYh1?ICz4_DeQzz>z`AzCTH>H>LpL2e$+xS_>9S5CwgX5*2JUn;f zGl_$4_MX2x^?RMd&wAxJ=)@ZwFY|Mpb&~7f^txwCFa7`T{Ab2{;Ni>zj>4X$NiTdWZv^L_0M_#lKlH`t-oiCNn5gXA!p6cyTz*}=4NJ2 za-P-^oppc|86L(d=KJ literal 12132 zcmbuFZ>*PP8OJZqIULcBbT|?o=u|WbQV35{6U-U7lQX4aN`;1mco@(eoiQLb#7G0lnJ>P!M^RVmoMZZly z?E5_5>%Q*4&wW47v0m%4ZX24`WxH*f4cg^4)0Wl#y~6stdcU`0+s@739^J8R_vRfo zyLw<=$Bz39K;@$ieg zhE*@+(eQt!Q@&Z(IF|rhLl<37{R5L`hdp=77m0%|x}N%}|1UgO>MuU`RMcN|J@u341INrhGT7nI z{u=pD>8%lm}qEN=5$&_&ma{`HSW{vvS{7rLJMr!6tN+4EiKZ7!~M4PA7- z=>OuaW*=K^CK5++q3cEe{Flux8#fadoeaO|dfmUIK7MI``d#Bd{jPCUT`&6SXZlYh zp5j8+Q$OS9q4%Tx#V1e2_z_)C{lsUSP`*eUbkX(HPyc@Ztr$Nd;}KnSJ@sqceQIBf zKe+i^#1mWNuhIU@8^#?zk@1Hvw#Hw>&-l2yC&r)nd0&svMc32(xsP$e_z{VtxYhN# ze{p^M^c*rfzS3-Heut;l_+sz6=+{&KEU)`-)<%1Y$KEnS7hO;NGyBb6cscwcanMEA zi~d9BV*HE5QC#SH>ZhL1c&^l6e0C)2FS=gzKe8tL;uAjhh%36D`pNIWP~zBC2+XBDndeOh(tl3qcHWP`1Uvxe7Gf%&?z-)o{ zx%lN1F@HtZi~dy;X7hXuh{RD`=z7u5-iPwVWezwAwG1mKYY#;JqU*){ z@sYoXkNm}wpFHuAw}=m2Ecs{u#O#mj&E~w>;hzqhp^JV!&41p8@D07tAwG1mC||@!`C`dW{~er+{u6&W82u-@o|aEP;iLaVeCT4yPe0+K|3rM~ zV#!ZA5BmO#^2Kpq570%|)BG=)VRo)kF=e=c3 ztexVm2V*ZRx}N4w{THl_`it!4(M8u&KjY+iZ*#_v$o>~ybUpPme;&Ol=8yQ;V=;e3 z*Hb^`;G=vIAG%obGf(g_e?)xfV#&`q|Ixx2|Kg8-80{&#p61WE!8hRJUc`qkmi&wt ze2jY$AG%obvwz>{>jmduano4rCq>uO{23=pZ;Seh!#|JlBf6gYnJ4(DuZRy_Ecuxy z_^7Xl4_z$znLqg0gNXRh#g>0*egDC^;onQbFP`ze3%clfz5W;Zd4JM(Cf=Vw;wUa` zy+3LAuQ+S=(x^ZGMmprZ3%cmn)AFh38xxVgNcrfZ>qY;o@5T8|B#z=j*Hb_9=f%Fr zU!*?hqU%Nf(Vxcr6N#g^(Dl^M`2GFK@QcJj7hO;NtTVi4TIm0Yf9Q$zM|3^))4vZd zi2fIOkAN<^p89!zz`4KgOozll7hC85i^dQ4F@8kKW&DV)r{!}W<aa6DBdRjj3Iqvbbll4O6y#>1Hdg|xB$q)Ksy%6!$-^aP0`dPR491g!o9CXq3 zqW?QjM|mP~6c@Ul`gu>XvnSeLr2WuE*Hizzb7tRN8-9^E=%VYXpZvD2j{1w#16_1I z_0vz^+8Xmkq#xC<)%DcR__)L8IOj%@ainooT~GbY8+^=Tkvz~v*Hb^^g!_2jh_nN` z=z7tAmycbZ!y<7M7rLJM=_l%oUnCB?=z8jB&quvkzeLtCbg@)_+UaYD!Y>j>?OI(= z%jf!6)Y_qUDb7v=D*Wd4cdp}eZ=_42Q+@88(>o*0VpF224q_QRs?>_-}Jtt{lvR(48Q1l>Sv#Gr{~T-N&LFEC%Wi* z>SumX2j-7RF6g4`sh@oU-Z>*3@+?Oe{d(PhUA_Ov`=2MGJdu4Xy6Af9=bZQVy)pJh z;-HJJr+#wey%FaZk@rUEV(a};BY(y$?_HRGBJW+$#n$_mhM#qM<6x}6;-;|}&!X#T z{>&TJJI+rc>n6I`T0a}*lP5WlzsR!$U35JypL^(Q=Dx^SKo?z4{mga759fc8wnrCR zSvxEUm1Q;-;G4qQ$KaYH!#^D zK6J6)GjXn#@X zHqrIePdSsr@vIZcLwQx#Q$OQg-`&LD?u+>#w!XhL>Q6uM-HiH+?eA}`?`I7^{m0)O z(0?M|-O$C>-ybymy!XIIzlroCx>)j4&ct~5MdB#0>U!FK)Sq(-^%tMmALCbaJ@pfx zey9E-c_^>ydg?d-9T+mZX1GK0;3xX^)X)6hb2!!yQQr+k*Hb^^Ywz+{`$Y0V7hO;N zJf|p^?~fwoqKl>S$$yvE9luB%)vLOmme2JKXQTciaTK?@p8Cm?b0+1B_|Zkzi+;|n z>{UhLC@yTBUmN3}b|!D0J>r)6(f*?A#q#ytSHwrTqU%LJbte*dg^EW zT{RKUe-R(L=z8j(Gt&9)jh}Y=>i*b!h$TPyUq3s_7paHpRb5ZZ=Q@2){Y8B@7hO;N z)N}FrC|@KGbkX(HPyH#EdW)2cE|$t?-cc^&PGsDmi>30-e>`hge?{`(C%T^I&wb>M zU!-h)qU%Nfz-0KvE61knQ_m3o<$CJp`NiH5kI0&WF1lX#FSGys{b=l2Pp^yfgZQq; zV=pVZp88o|*>7_G5Q&2>w)U@$^2z)7%I5x$a?wS>j~@RKL&Eai*jfiAk9=1-Zd+xSJ|po^{b`=atW zcjFg{L-}Is{N3;~Pg$q%i^M?}T~G7pKGsR*j;M7{bUpR|zvG{C8$a?8nMdfN>uLG4 z6F=%Nk~g~Odfk6#ef~|E{C|sTYYyX{pXmRb^7qBxfBW-uPM2$a{oUL()z>rT_U;}X z{eb%hCe82Lvb}Ym_h%Jc+-xWee^-=o!h&4dn3C>G-lV^r?~6p)%jiQ PHLAPrh`TQFl6&mGf%r+f diff --git a/technology/scn3me_subm/mag_lib/write_driver.mag b/technology/scn3me_subm/mag_lib/write_driver.mag index 53a509e4..7398bb84 100644 --- a/technology/scn3me_subm/mag_lib/write_driver.mag +++ b/technology/scn3me_subm/mag_lib/write_driver.mag @@ -1,236 +1,236 @@ magic tech scmos -timestamp 1516828491 +timestamp 1517448475 << nwell >> -rect -3 100 37 137 -rect -3 -1 37 50 +rect -3 101 37 138 +rect -3 0 37 51 << pwell >> -rect -3 137 37 201 -rect -3 50 37 100 +rect -3 138 37 202 +rect -3 51 37 101 << ntransistor >> -rect 9 176 11 188 -rect 17 176 19 188 -rect 15 161 27 163 -rect 9 143 11 147 -rect 17 143 19 147 -rect 10 81 12 88 -rect 18 81 20 88 -rect 8 56 10 63 -rect 16 56 18 63 -rect 24 59 26 63 +rect 9 177 11 189 +rect 17 177 19 189 +rect 15 162 27 164 +rect 9 144 11 148 +rect 17 144 19 148 +rect 10 82 12 89 +rect 18 82 20 89 +rect 8 57 10 64 +rect 16 57 18 64 +rect 24 60 26 64 << ptransistor >> -rect 9 124 11 131 -rect 17 124 19 131 -rect 10 106 12 113 -rect 18 106 20 113 -rect 8 37 10 44 -rect 16 37 18 44 -rect 24 37 26 44 +rect 9 125 11 132 +rect 17 125 19 132 +rect 10 107 12 114 +rect 18 107 20 114 +rect 8 38 10 45 +rect 16 38 18 45 +rect 24 38 26 45 << ndiffusion >> -rect 8 176 9 188 -rect 11 176 12 188 -rect 16 176 17 188 -rect 19 176 20 188 -rect 15 163 27 164 -rect 15 160 27 161 -rect 12 156 15 159 -rect 12 155 16 156 -rect 8 143 9 147 -rect 11 143 12 147 -rect 16 143 17 147 -rect 19 143 20 147 -rect 9 81 10 88 -rect 12 81 13 88 -rect 17 81 18 88 -rect 20 81 21 88 -rect 25 81 26 85 -rect 7 56 8 63 -rect 10 56 11 63 -rect 15 56 16 63 -rect 18 56 19 63 -rect 23 59 24 63 -rect 26 59 27 63 +rect 8 177 9 189 +rect 11 177 12 189 +rect 16 177 17 189 +rect 19 177 20 189 +rect 15 164 27 165 +rect 15 161 27 162 +rect 12 157 15 160 +rect 12 156 16 157 +rect 8 144 9 148 +rect 11 144 12 148 +rect 16 144 17 148 +rect 19 144 20 148 +rect 9 82 10 89 +rect 12 82 13 89 +rect 17 82 18 89 +rect 20 82 21 89 +rect 25 82 26 86 +rect 7 57 8 64 +rect 10 57 11 64 +rect 15 57 16 64 +rect 18 57 19 64 +rect 23 60 24 64 +rect 26 60 27 64 << pdiffusion >> -rect 8 124 9 131 -rect 11 124 12 131 -rect 16 124 17 131 -rect 19 124 20 131 -rect 12 121 16 124 -rect 9 106 10 113 -rect 12 106 13 113 -rect 17 106 18 113 -rect 20 106 21 113 -rect 7 37 8 44 -rect 10 37 11 44 -rect 15 37 16 44 -rect 18 37 19 44 -rect 23 37 24 44 -rect 26 37 27 44 -rect 3 34 7 37 +rect 8 125 9 132 +rect 11 125 12 132 +rect 16 125 17 132 +rect 19 125 20 132 +rect 12 122 16 125 +rect 9 107 10 114 +rect 12 107 13 114 +rect 17 107 18 114 +rect 20 107 21 114 +rect 7 38 8 45 +rect 10 38 11 45 +rect 15 38 16 45 +rect 18 38 19 45 +rect 23 38 24 45 +rect 26 38 27 45 +rect 3 35 7 38 << ndcontact >> -rect 4 176 8 188 -rect 12 176 16 188 -rect 20 176 24 188 -rect 15 164 27 168 -rect 15 156 27 160 -rect 4 143 8 147 -rect 12 143 16 147 -rect 20 143 24 147 -rect 5 81 9 88 -rect 13 81 17 88 -rect 21 81 25 88 -rect 3 56 7 63 -rect 11 56 15 63 -rect 19 56 23 63 -rect 27 59 31 63 +rect 4 177 8 189 +rect 12 177 16 189 +rect 20 177 24 189 +rect 15 165 27 169 +rect 15 157 27 161 +rect 4 144 8 148 +rect 12 144 16 148 +rect 20 144 24 148 +rect 5 82 9 89 +rect 13 82 17 89 +rect 21 82 25 89 +rect 3 57 7 64 +rect 11 57 15 64 +rect 19 57 23 64 +rect 27 60 31 64 << pdcontact >> -rect 4 124 8 131 -rect 12 124 16 131 -rect 20 124 24 131 -rect 5 106 9 113 -rect 13 106 17 113 -rect 21 106 25 113 -rect 3 37 7 44 -rect 11 37 15 44 -rect 19 37 23 44 -rect 27 37 31 44 +rect 4 125 8 132 +rect 12 125 16 132 +rect 20 125 24 132 +rect 5 107 9 114 +rect 13 107 17 114 +rect 21 107 25 114 +rect 3 38 7 45 +rect 11 38 15 45 +rect 19 38 23 45 +rect 27 38 31 45 << psubstratepcontact >> -rect 12 151 16 155 -rect 26 81 30 85 +rect 12 152 16 156 +rect 26 82 30 86 << nsubstratencontact >> -rect 12 117 16 121 -rect 3 30 7 34 +rect 12 118 16 122 +rect 3 31 7 35 << polysilicon >> -rect 9 193 30 195 -rect 9 188 11 193 -rect 17 188 19 190 -rect 28 184 30 193 -rect 9 174 11 176 -rect 17 171 19 176 -rect 6 169 19 171 -rect 6 166 8 169 -rect 13 161 15 163 -rect 27 161 33 163 -rect 9 147 11 149 -rect 17 147 19 149 -rect 9 131 11 143 -rect 17 131 19 143 -rect 9 123 11 124 -rect 2 121 11 123 -rect 17 123 19 124 -rect 17 121 28 123 -rect 2 74 4 121 -rect 10 113 12 115 -rect 18 113 20 115 -rect 10 88 12 106 -rect 18 105 20 106 -rect 16 103 20 105 -rect 16 91 18 103 -rect 26 99 28 121 -rect 27 95 28 99 -rect 16 89 20 91 -rect 18 88 20 89 -rect 10 80 12 81 -rect 10 78 13 80 -rect 2 70 3 74 -rect 11 70 13 78 -rect 18 78 20 81 -rect 18 76 23 78 -rect 31 70 33 161 -rect 11 68 33 70 -rect 11 66 13 68 -rect 8 64 13 66 -rect 8 63 10 64 -rect 16 63 18 65 -rect 24 63 26 65 -rect 8 44 10 56 -rect 16 51 18 56 -rect 24 51 26 59 -rect 16 49 26 51 -rect 16 44 18 49 -rect 24 44 26 49 -rect 8 27 10 37 -rect 16 13 18 37 -rect 24 35 26 37 +rect 9 194 30 196 +rect 9 189 11 194 +rect 17 189 19 191 +rect 28 185 30 194 +rect 9 175 11 177 +rect 17 172 19 177 +rect 6 170 19 172 +rect 6 167 8 170 +rect 13 162 15 164 +rect 27 162 33 164 +rect 9 148 11 150 +rect 17 148 19 150 +rect 9 132 11 144 +rect 17 132 19 144 +rect 9 124 11 125 +rect 2 122 11 124 +rect 17 124 19 125 +rect 17 122 28 124 +rect 2 75 4 122 +rect 10 114 12 116 +rect 18 114 20 116 +rect 10 89 12 107 +rect 18 106 20 107 +rect 16 104 20 106 +rect 16 92 18 104 +rect 26 100 28 122 +rect 27 96 28 100 +rect 16 90 20 92 +rect 18 89 20 90 +rect 10 81 12 82 +rect 10 79 13 81 +rect 2 71 3 75 +rect 11 71 13 79 +rect 18 79 20 82 +rect 18 77 23 79 +rect 31 71 33 162 +rect 11 69 33 71 +rect 11 67 13 69 +rect 8 65 13 67 +rect 8 64 10 65 +rect 16 64 18 66 +rect 24 64 26 66 +rect 8 45 10 57 +rect 16 52 18 57 +rect 24 52 26 60 +rect 16 50 26 52 +rect 16 45 18 50 +rect 24 45 26 50 +rect 8 28 10 38 +rect 16 14 18 38 +rect 24 36 26 38 << polycontact >> -rect 28 180 32 184 -rect 4 162 8 166 -rect 23 95 27 99 -rect 3 70 7 74 -rect 23 74 27 78 -rect 7 23 11 27 -rect 16 9 20 13 +rect 28 181 32 185 +rect 4 163 8 167 +rect 23 96 27 100 +rect 3 71 7 75 +rect 23 75 27 79 +rect 7 24 11 28 +rect 16 10 20 14 << metal1 >> -rect 5 188 8 190 -rect 32 180 33 184 -rect 13 168 16 176 -rect 13 164 15 168 -rect 4 147 8 162 -rect 12 155 16 156 -rect 12 147 16 151 -rect 4 131 8 143 -rect 20 141 24 143 -rect 30 141 33 180 -rect 20 137 33 141 -rect 20 131 24 137 -rect 12 121 16 124 -rect 0 117 8 121 -rect 16 117 36 121 -rect 13 113 17 117 -rect 5 103 9 106 -rect 21 103 25 106 -rect 5 100 25 103 -rect 5 88 9 100 -rect 21 99 25 100 -rect 21 95 23 99 -rect 25 81 26 85 -rect 4 63 7 70 -rect 27 63 31 78 -rect 3 50 7 56 -rect 3 47 15 50 -rect 11 44 15 47 -rect 27 44 31 59 -rect 3 34 7 37 -rect 19 34 23 37 -rect 0 30 3 34 -rect 7 30 8 34 -rect 12 30 36 34 -rect 0 23 7 27 -rect 11 23 36 27 -rect 0 16 32 20 +rect 5 189 8 191 +rect 32 181 33 185 +rect 13 169 16 177 +rect 13 165 15 169 +rect 4 148 8 163 +rect 12 156 16 157 +rect 12 148 16 152 +rect 4 132 8 144 +rect 20 142 24 144 +rect 30 142 33 181 +rect 20 138 33 142 +rect 20 132 24 138 +rect 12 122 16 125 +rect 0 118 8 122 +rect 16 118 36 122 +rect 13 114 17 118 +rect 5 104 9 107 +rect 21 104 25 107 +rect 5 101 25 104 +rect 5 89 9 101 +rect 21 100 25 101 +rect 21 96 23 100 +rect 25 82 26 86 +rect 4 64 7 71 +rect 27 64 31 79 +rect 3 51 7 57 +rect 3 48 15 51 +rect 11 45 15 48 +rect 27 45 31 60 +rect 3 35 7 38 +rect 19 35 23 38 +rect 0 31 3 35 +rect 7 31 8 35 +rect 12 31 36 35 +rect 0 24 7 28 +rect 11 24 36 28 +rect 0 17 32 21 << m2contact >> -rect 5 190 9 194 -rect 20 188 24 192 -rect 11 156 15 160 -rect 8 117 12 121 -rect 30 81 34 85 -rect 19 63 23 67 -rect 8 30 12 34 -rect 32 16 36 20 -rect 12 9 16 13 +rect 5 191 9 195 +rect 20 189 24 193 +rect 11 157 15 161 +rect 8 118 12 122 +rect 30 82 34 86 +rect 19 64 23 68 +rect 8 31 12 35 +rect 32 17 36 21 +rect 12 10 16 14 << metal2 >> -rect 10 194 14 201 -rect 9 190 14 194 -rect 20 192 24 201 -rect 20 176 24 188 -rect 32 160 36 195 -rect 15 156 36 160 -rect 8 34 12 117 -rect 32 85 36 156 -rect 34 81 36 85 -rect 32 71 36 81 -rect 19 67 36 71 -rect 32 20 36 67 -rect 16 9 20 13 -rect 15 0 19 9 -rect 32 0 36 16 +rect 10 195 14 202 +rect 9 191 14 195 +rect 20 193 24 202 +rect 20 177 24 189 +rect 32 161 36 196 +rect 15 157 36 161 +rect 8 35 12 118 +rect 32 86 36 157 +rect 34 82 36 86 +rect 32 72 36 82 +rect 19 68 36 72 +rect 32 21 36 68 +rect 16 10 20 14 +rect 15 0 19 10 +rect 32 0 36 17 << m3p >> -rect 0 0 34 201 +rect 0 0 34 202 << labels >> -rlabel metal1 0 30 0 30 1 vdd -rlabel metal1 0 16 0 16 7 gnd -rlabel metal2 15 0 15 0 1 din -rlabel metal1 0 23 3 24 3 en -rlabel metal2 11 197 11 197 5 BL -rlabel metal2 21 197 21 197 5 BR +rlabel metal1 0 31 0 31 1 vdd +rlabel metal1 0 17 0 17 7 gnd +rlabel metal2 15 1 15 1 1 din +rlabel metal1 2 25 2 25 3 en +rlabel metal2 12 200 12 200 5 bl +rlabel metal2 22 200 22 200 5 br << end >> diff --git a/technology/scn3me_subm/sp_lib/write_driver.sp b/technology/scn3me_subm/sp_lib/write_driver.sp index 45fa5097..0d244673 100644 --- a/technology/scn3me_subm/sp_lib/write_driver.sp +++ b/technology/scn3me_subm/sp_lib/write_driver.sp @@ -1,5 +1,5 @@ *********************** Write_Driver ****************************** -.SUBCKT write_driver din bl br wen vdd gnd +.SUBCKT write_driver din bl br en vdd gnd **** Inverter to conver Data_in to data_in_bar ****** M_1 net_3 din gnd gnd n W='1.2*1u' L=0.6u From e8d001a3f9bda3da133213b548e234bf0e3138d0 Mon Sep 17 00:00:00 2001 From: Matt Guthaus Date: Thu, 1 Feb 2018 05:38:48 -0800 Subject: [PATCH 12/29] Change wen to en in spice lib files. Check lvs report insted of stdout with netgen. --- compiler/verify/magic.py | 16 +++++++--------- technology/freepdk45/sp_lib/write_driver.sp | 12 ++++++------ technology/scn3me_subm/sp_lib/write_driver.sp | 10 +++++----- 3 files changed, 18 insertions(+), 20 deletions(-) diff --git a/compiler/verify/magic.py b/compiler/verify/magic.py index 623c1c52..f521fac9 100644 --- a/compiler/verify/magic.py +++ b/compiler/verify/magic.py @@ -193,6 +193,7 @@ def run_lvs(cell_name, gds_name, sp_name): os.chdir(OPTS.openram_temp) errfile = "{0}{1}.lvs.err".format(OPTS.openram_temp, cell_name) outfile = "{0}{1}.lvs.out".format(OPTS.openram_temp, cell_name) + resultsfile = "{0}lvs.results".format(OPTS.openram_temp, cell_name) cmd = "{0}run_lvs.sh lvs 2> {1} 1> {2}".format(OPTS.openram_temp, errfile, @@ -202,7 +203,7 @@ def run_lvs(cell_name, gds_name, sp_name): os.chdir(cwd) # check the result for these lines in the summary: - f = open(outfile, "r") + f = open(resultsfile, "r") results = f.readlines() f.close() @@ -213,8 +214,12 @@ def run_lvs(cell_name, gds_name, sp_name): # There were property errors. test = re.compile("Property errors were found.") propertyerrors = filter(test.search, results) + # Require pins to match? + # Cell pin lists for pnand2_1.spice and pnand2_1 altered to match. + test = re.compile(".*altered to match.") + pinerrors = filter(test.search, results) - total_errors = len(propertyerrors) + len(incorrect) + total_errors = len(propertyerrors) + len(incorrect) + len(pinerrors) # If we want to ignore property errors #total_errors = len(incorrect) #if len(propertyerrors)>0: @@ -227,14 +232,7 @@ def run_lvs(cell_name, gds_name, sp_name): if correct == 0: total_errors += 1 - # Require pins to match? - # Cell pin lists for pnand2_1.spice and pnand2_1 altered to match. - if total_errors>0: - # check the result for these lines in the summary: - f = open("{}lvs.results".format(OPTS.openram_temp), "r") - results = f.readlines() - f.close() # Just print out the whole file, it is short. for e in results: debug.info(1,e.strip("\n")) diff --git a/technology/freepdk45/sp_lib/write_driver.sp b/technology/freepdk45/sp_lib/write_driver.sp index 1267eb91..6f2451aa 100644 --- a/technology/freepdk45/sp_lib/write_driver.sp +++ b/technology/freepdk45/sp_lib/write_driver.sp @@ -3,19 +3,19 @@ *inverters for enable and data input minP bl_bar din vdd vdd pmos_vtg w=360.000000n l=50.000000n minN bl_bar din gnd gnd nmos_vtg w=180.000000n l=50.000000n -moutP wen_bar wen vdd vdd pmos_vtg w=360.000000n l=50.000000n -moutN wen_bar wen gnd gnd nmos_vtg w=180.000000n l=50.000000n +moutP en_bar en vdd vdd pmos_vtg w=360.000000n l=50.000000n +moutN en_bar en gnd gnd nmos_vtg w=180.000000n l=50.000000n *tristate for BL mout0P int1 bl_bar vdd vdd pmos_vtg w=360.000000n l=50.000000n -mout0P2 bl wen_bar int1 vdd pmos_vtg w=360.000000n l=50.000000n -mout0N bl wen int2 gnd nmos_vtg w=180.000000n l=50.000000n +mout0P2 bl en_bar int1 vdd pmos_vtg w=360.000000n l=50.000000n +mout0N bl en int2 gnd nmos_vtg w=180.000000n l=50.000000n mout0N2 int2 bl_bar gnd gnd nmos_vtg w=180.000000n l=50.000000n *tristate for BR mout1P int3 din vdd vdd pmos_vtg w=360.000000n l=50.000000n -mout1P2 br wen_bar int3 vdd pmos_vtg w=360.000000n l=50.000000n -mout1N br wen int4 gnd nmos_vtg w=180.000000n l=50.000000n +mout1P2 br en_bar int3 vdd pmos_vtg w=360.000000n l=50.000000n +mout1N br en int4 gnd nmos_vtg w=180.000000n l=50.000000n mout1N2 int4 din gnd gnd nmos_vtg w=180.000000n l=50.000000n .ENDS write_driver diff --git a/technology/scn3me_subm/sp_lib/write_driver.sp b/technology/scn3me_subm/sp_lib/write_driver.sp index 0d244673..a203d1ba 100644 --- a/technology/scn3me_subm/sp_lib/write_driver.sp +++ b/technology/scn3me_subm/sp_lib/write_driver.sp @@ -6,9 +6,9 @@ M_1 net_3 din gnd gnd n W='1.2*1u' L=0.6u M_2 net_3 din vdd vdd p W='2.1*1u' L=0.6u **** 2input nand gate follwed by inverter to drive BL ****** -M_3 net_2 wen net_7 gnd n W='2.1*1u' L=0.6u +M_3 net_2 en net_7 gnd n W='2.1*1u' L=0.6u M_4 net_7 din gnd gnd n W='2.1*1u' L=0.6u -M_5 net_2 wen vdd vdd p W='2.1*1u' L=0.6u +M_5 net_2 en vdd vdd p W='2.1*1u' L=0.6u M_6 net_2 din vdd vdd p W='2.1*1u' L=0.6u @@ -17,8 +17,8 @@ M_8 net_1 net_2 gnd gnd n W='1.2*1u' L=0.6u **** 2input nand gate follwed by inverter to drive BR****** -M_9 net_4 wen vdd vdd p W='2.1*1u' L=0.6u -M_10 net_4 wen net_8 gnd n W='2.1*1u' L=0.6u +M_9 net_4 en vdd vdd p W='2.1*1u' L=0.6u +M_10 net_4 en net_8 gnd n W='2.1*1u' L=0.6u M_11 net_8 net_3 gnd gnd n W='2.1*1u' L=0.6u M_12 net_4 net_3 vdd vdd p W='2.1*1u' L=0.6u @@ -29,7 +29,7 @@ M_14 net_6 net_4 gnd gnd n W='1.2*1u' L=0.6u M_15 bl net_6 net_5 gnd n W='3.6*1u' L=0.6u M_16 br net_1 net_5 gnd n W='3.6*1u' L=0.6u -M_17 net_5 wen gnd gnd n W='3.6*1u' L=0.6u +M_17 net_5 en gnd gnd n W='3.6*1u' L=0.6u From 74064fc854a217821447227d2878d2b0272469b6 Mon Sep 17 00:00:00 2001 From: Matt Guthaus Date: Thu, 1 Feb 2018 05:43:37 -0800 Subject: [PATCH 13/29] Replace LEF files with new changes. --- .../tests/golden/sram_2_16_1_freepdk45.lef | 1995 +-- .../tests/golden/sram_2_16_1_scn3me_subm.lef | 10180 ++++++++-------- 2 files changed, 6087 insertions(+), 6088 deletions(-) diff --git a/compiler/tests/golden/sram_2_16_1_freepdk45.lef b/compiler/tests/golden/sram_2_16_1_freepdk45.lef index 8d3aa365..88cd5035 100644 --- a/compiler/tests/golden/sram_2_16_1_freepdk45.lef +++ b/compiler/tests/golden/sram_2_16_1_freepdk45.lef @@ -81,7 +81,7 @@ MACRO sram_2_16_1_freepdk45 DIRECTION INPUT ; PORT LAYER metal1 ; - RECT 3385.0 19230.0 3520.0 19420.0 ; + RECT 3340.0 19230.0 3475.0 19420.0 ; END END clk PIN vdd @@ -90,9 +90,9 @@ MACRO sram_2_16_1_freepdk45 SHAPE ABUTMENT ; PORT LAYER metal1 ; - RECT 16125.0 0.0 16475.0 42270.0 ; + RECT 16125.0 0.0 16475.0 42337.5 ; LAYER metal1 ; - RECT 4175.0 0.0 4525.0 42270.0 ; + RECT 4175.0 0.0 4525.0 42337.5 ; END END vdd PIN gnd @@ -101,171 +101,171 @@ MACRO sram_2_16_1_freepdk45 SHAPE ABUTMENT ; PORT LAYER metal2 ; - RECT 12427.5 0.0 12777.5 42270.0 ; + RECT 12427.5 0.0 12777.5 42337.5 ; END END gnd OBS LAYER metal1 ; RECT 4317.5 26935.0 4382.5 27140.0 ; - RECT 8475.0 19640.0 8540.0 19705.0 ; + RECT 8475.0 19685.0 8540.0 19750.0 ; RECT 8475.0 19412.5 8540.0 19477.5 ; - RECT 8405.0 19640.0 8507.5 19705.0 ; - RECT 8475.0 19445.0 8540.0 19672.5 ; + RECT 8405.0 19685.0 8507.5 19750.0 ; + RECT 8475.0 19445.0 8540.0 19717.5 ; RECT 8507.5 19412.5 8610.0 19477.5 ; - RECT 12632.5 19640.0 12697.5 19705.0 ; + RECT 12632.5 19685.0 12697.5 19750.0 ; RECT 12632.5 19197.5 12697.5 19262.5 ; - RECT 10900.0 19640.0 12665.0 19705.0 ; - RECT 12632.5 19230.0 12697.5 19672.5 ; + RECT 10900.0 19685.0 12665.0 19750.0 ; + RECT 12632.5 19230.0 12697.5 19717.5 ; RECT 12665.0 19197.5 14430.0 19262.5 ; - RECT 8475.0 21165.0 8540.0 21230.0 ; + RECT 8475.0 21120.0 8540.0 21185.0 ; RECT 8475.0 21392.5 8540.0 21457.5 ; - RECT 8405.0 21165.0 8507.5 21230.0 ; - RECT 8475.0 21197.5 8540.0 21425.0 ; + RECT 8405.0 21120.0 8507.5 21185.0 ; + RECT 8475.0 21152.5 8540.0 21425.0 ; RECT 8507.5 21392.5 8610.0 21457.5 ; - RECT 12632.5 21165.0 12697.5 21230.0 ; + RECT 12632.5 21120.0 12697.5 21185.0 ; RECT 12632.5 21607.5 12697.5 21672.5 ; - RECT 10900.0 21165.0 12665.0 21230.0 ; - RECT 12632.5 21197.5 12697.5 21640.0 ; + RECT 10900.0 21120.0 12665.0 21185.0 ; + RECT 12632.5 21152.5 12697.5 21640.0 ; RECT 12665.0 21607.5 14430.0 21672.5 ; - RECT 8475.0 22330.0 8540.0 22395.0 ; + RECT 8475.0 22375.0 8540.0 22440.0 ; RECT 8475.0 22102.5 8540.0 22167.5 ; - RECT 8405.0 22330.0 8507.5 22395.0 ; - RECT 8475.0 22135.0 8540.0 22362.5 ; + RECT 8405.0 22375.0 8507.5 22440.0 ; + RECT 8475.0 22135.0 8540.0 22407.5 ; RECT 8507.5 22102.5 8610.0 22167.5 ; - RECT 12632.5 22330.0 12697.5 22395.0 ; + RECT 12632.5 22375.0 12697.5 22440.0 ; RECT 12632.5 21887.5 12697.5 21952.5 ; - RECT 10900.0 22330.0 12665.0 22395.0 ; - RECT 12632.5 21920.0 12697.5 22362.5 ; + RECT 10900.0 22375.0 12665.0 22440.0 ; + RECT 12632.5 21920.0 12697.5 22407.5 ; RECT 12665.0 21887.5 14430.0 21952.5 ; - RECT 8475.0 23855.0 8540.0 23920.0 ; + RECT 8475.0 23810.0 8540.0 23875.0 ; RECT 8475.0 24082.5 8540.0 24147.5 ; - RECT 8405.0 23855.0 8507.5 23920.0 ; - RECT 8475.0 23887.5 8540.0 24115.0 ; + RECT 8405.0 23810.0 8507.5 23875.0 ; + RECT 8475.0 23842.5 8540.0 24115.0 ; RECT 8507.5 24082.5 8610.0 24147.5 ; - RECT 12632.5 23855.0 12697.5 23920.0 ; + RECT 12632.5 23810.0 12697.5 23875.0 ; RECT 12632.5 24297.5 12697.5 24362.5 ; - RECT 10900.0 23855.0 12665.0 23920.0 ; - RECT 12632.5 23887.5 12697.5 24330.0 ; + RECT 10900.0 23810.0 12665.0 23875.0 ; + RECT 12632.5 23842.5 12697.5 24330.0 ; RECT 12665.0 24297.5 14430.0 24362.5 ; - RECT 8475.0 25020.0 8540.0 25085.0 ; + RECT 8475.0 25065.0 8540.0 25130.0 ; RECT 8475.0 24792.5 8540.0 24857.5 ; - RECT 8405.0 25020.0 8507.5 25085.0 ; - RECT 8475.0 24825.0 8540.0 25052.5 ; + RECT 8405.0 25065.0 8507.5 25130.0 ; + RECT 8475.0 24825.0 8540.0 25097.5 ; RECT 8507.5 24792.5 8610.0 24857.5 ; - RECT 12632.5 25020.0 12697.5 25085.0 ; + RECT 12632.5 25065.0 12697.5 25130.0 ; RECT 12632.5 24577.5 12697.5 24642.5 ; - RECT 10900.0 25020.0 12665.0 25085.0 ; - RECT 12632.5 24610.0 12697.5 25052.5 ; + RECT 10900.0 25065.0 12665.0 25130.0 ; + RECT 12632.5 24610.0 12697.5 25097.5 ; RECT 12665.0 24577.5 14430.0 24642.5 ; - RECT 8475.0 26545.0 8540.0 26610.0 ; + RECT 8475.0 26500.0 8540.0 26565.0 ; RECT 8475.0 26772.5 8540.0 26837.5 ; - RECT 8405.0 26545.0 8507.5 26610.0 ; - RECT 8475.0 26577.5 8540.0 26805.0 ; + RECT 8405.0 26500.0 8507.5 26565.0 ; + RECT 8475.0 26532.5 8540.0 26805.0 ; RECT 8507.5 26772.5 8610.0 26837.5 ; - RECT 12632.5 26545.0 12697.5 26610.0 ; + RECT 12632.5 26500.0 12697.5 26565.0 ; RECT 12632.5 26987.5 12697.5 27052.5 ; - RECT 10900.0 26545.0 12665.0 26610.0 ; - RECT 12632.5 26577.5 12697.5 27020.0 ; + RECT 10900.0 26500.0 12665.0 26565.0 ; + RECT 12632.5 26532.5 12697.5 27020.0 ; RECT 12665.0 26987.5 14430.0 27052.5 ; - RECT 8475.0 27710.0 8540.0 27775.0 ; + RECT 8475.0 27755.0 8540.0 27820.0 ; RECT 8475.0 27482.5 8540.0 27547.5 ; - RECT 8405.0 27710.0 8507.5 27775.0 ; - RECT 8475.0 27515.0 8540.0 27742.5 ; + RECT 8405.0 27755.0 8507.5 27820.0 ; + RECT 8475.0 27515.0 8540.0 27787.5 ; RECT 8507.5 27482.5 8610.0 27547.5 ; - RECT 12632.5 27710.0 12697.5 27775.0 ; + RECT 12632.5 27755.0 12697.5 27820.0 ; RECT 12632.5 27267.5 12697.5 27332.5 ; - RECT 10900.0 27710.0 12665.0 27775.0 ; - RECT 12632.5 27300.0 12697.5 27742.5 ; + RECT 10900.0 27755.0 12665.0 27820.0 ; + RECT 12632.5 27300.0 12697.5 27787.5 ; RECT 12665.0 27267.5 14430.0 27332.5 ; - RECT 8475.0 29235.0 8540.0 29300.0 ; + RECT 8475.0 29190.0 8540.0 29255.0 ; RECT 8475.0 29462.5 8540.0 29527.5 ; - RECT 8405.0 29235.0 8507.5 29300.0 ; - RECT 8475.0 29267.5 8540.0 29495.0 ; + RECT 8405.0 29190.0 8507.5 29255.0 ; + RECT 8475.0 29222.5 8540.0 29495.0 ; RECT 8507.5 29462.5 8610.0 29527.5 ; - RECT 12632.5 29235.0 12697.5 29300.0 ; + RECT 12632.5 29190.0 12697.5 29255.0 ; RECT 12632.5 29677.5 12697.5 29742.5 ; - RECT 10900.0 29235.0 12665.0 29300.0 ; - RECT 12632.5 29267.5 12697.5 29710.0 ; + RECT 10900.0 29190.0 12665.0 29255.0 ; + RECT 12632.5 29222.5 12697.5 29710.0 ; RECT 12665.0 29677.5 14430.0 29742.5 ; - RECT 8475.0 30400.0 8540.0 30465.0 ; + RECT 8475.0 30445.0 8540.0 30510.0 ; RECT 8475.0 30172.5 8540.0 30237.5 ; - RECT 8405.0 30400.0 8507.5 30465.0 ; - RECT 8475.0 30205.0 8540.0 30432.5 ; + RECT 8405.0 30445.0 8507.5 30510.0 ; + RECT 8475.0 30205.0 8540.0 30477.5 ; RECT 8507.5 30172.5 8610.0 30237.5 ; - RECT 12632.5 30400.0 12697.5 30465.0 ; + RECT 12632.5 30445.0 12697.5 30510.0 ; RECT 12632.5 29957.5 12697.5 30022.5 ; - RECT 10900.0 30400.0 12665.0 30465.0 ; - RECT 12632.5 29990.0 12697.5 30432.5 ; + RECT 10900.0 30445.0 12665.0 30510.0 ; + RECT 12632.5 29990.0 12697.5 30477.5 ; RECT 12665.0 29957.5 14430.0 30022.5 ; - RECT 8475.0 31925.0 8540.0 31990.0 ; + RECT 8475.0 31880.0 8540.0 31945.0 ; RECT 8475.0 32152.5 8540.0 32217.5 ; - RECT 8405.0 31925.0 8507.5 31990.0 ; - RECT 8475.0 31957.5 8540.0 32185.0 ; + RECT 8405.0 31880.0 8507.5 31945.0 ; + RECT 8475.0 31912.5 8540.0 32185.0 ; RECT 8507.5 32152.5 8610.0 32217.5 ; - RECT 12632.5 31925.0 12697.5 31990.0 ; + RECT 12632.5 31880.0 12697.5 31945.0 ; RECT 12632.5 32367.5 12697.5 32432.5 ; - RECT 10900.0 31925.0 12665.0 31990.0 ; - RECT 12632.5 31957.5 12697.5 32400.0 ; + RECT 10900.0 31880.0 12665.0 31945.0 ; + RECT 12632.5 31912.5 12697.5 32400.0 ; RECT 12665.0 32367.5 14430.0 32432.5 ; - RECT 8475.0 33090.0 8540.0 33155.0 ; + RECT 8475.0 33135.0 8540.0 33200.0 ; RECT 8475.0 32862.5 8540.0 32927.5 ; - RECT 8405.0 33090.0 8507.5 33155.0 ; - RECT 8475.0 32895.0 8540.0 33122.5 ; + RECT 8405.0 33135.0 8507.5 33200.0 ; + RECT 8475.0 32895.0 8540.0 33167.5 ; RECT 8507.5 32862.5 8610.0 32927.5 ; - RECT 12632.5 33090.0 12697.5 33155.0 ; + RECT 12632.5 33135.0 12697.5 33200.0 ; RECT 12632.5 32647.5 12697.5 32712.5 ; - RECT 10900.0 33090.0 12665.0 33155.0 ; - RECT 12632.5 32680.0 12697.5 33122.5 ; + RECT 10900.0 33135.0 12665.0 33200.0 ; + RECT 12632.5 32680.0 12697.5 33167.5 ; RECT 12665.0 32647.5 14430.0 32712.5 ; - RECT 8475.0 34615.0 8540.0 34680.0 ; + RECT 8475.0 34570.0 8540.0 34635.0 ; RECT 8475.0 34842.5 8540.0 34907.5 ; - RECT 8405.0 34615.0 8507.5 34680.0 ; - RECT 8475.0 34647.5 8540.0 34875.0 ; + RECT 8405.0 34570.0 8507.5 34635.0 ; + RECT 8475.0 34602.5 8540.0 34875.0 ; RECT 8507.5 34842.5 8610.0 34907.5 ; - RECT 12632.5 34615.0 12697.5 34680.0 ; + RECT 12632.5 34570.0 12697.5 34635.0 ; RECT 12632.5 35057.5 12697.5 35122.5 ; - RECT 10900.0 34615.0 12665.0 34680.0 ; - RECT 12632.5 34647.5 12697.5 35090.0 ; + RECT 10900.0 34570.0 12665.0 34635.0 ; + RECT 12632.5 34602.5 12697.5 35090.0 ; RECT 12665.0 35057.5 14430.0 35122.5 ; - RECT 8475.0 35780.0 8540.0 35845.0 ; + RECT 8475.0 35825.0 8540.0 35890.0 ; RECT 8475.0 35552.5 8540.0 35617.5 ; - RECT 8405.0 35780.0 8507.5 35845.0 ; - RECT 8475.0 35585.0 8540.0 35812.5 ; + RECT 8405.0 35825.0 8507.5 35890.0 ; + RECT 8475.0 35585.0 8540.0 35857.5 ; RECT 8507.5 35552.5 8610.0 35617.5 ; - RECT 12632.5 35780.0 12697.5 35845.0 ; + RECT 12632.5 35825.0 12697.5 35890.0 ; RECT 12632.5 35337.5 12697.5 35402.5 ; - RECT 10900.0 35780.0 12665.0 35845.0 ; - RECT 12632.5 35370.0 12697.5 35812.5 ; + RECT 10900.0 35825.0 12665.0 35890.0 ; + RECT 12632.5 35370.0 12697.5 35857.5 ; RECT 12665.0 35337.5 14430.0 35402.5 ; - RECT 8475.0 37305.0 8540.0 37370.0 ; + RECT 8475.0 37260.0 8540.0 37325.0 ; RECT 8475.0 37532.5 8540.0 37597.5 ; - RECT 8405.0 37305.0 8507.5 37370.0 ; - RECT 8475.0 37337.5 8540.0 37565.0 ; + RECT 8405.0 37260.0 8507.5 37325.0 ; + RECT 8475.0 37292.5 8540.0 37565.0 ; RECT 8507.5 37532.5 8610.0 37597.5 ; - RECT 12632.5 37305.0 12697.5 37370.0 ; + RECT 12632.5 37260.0 12697.5 37325.0 ; RECT 12632.5 37747.5 12697.5 37812.5 ; - RECT 10900.0 37305.0 12665.0 37370.0 ; - RECT 12632.5 37337.5 12697.5 37780.0 ; + RECT 10900.0 37260.0 12665.0 37325.0 ; + RECT 12632.5 37292.5 12697.5 37780.0 ; RECT 12665.0 37747.5 14430.0 37812.5 ; - RECT 8475.0 38470.0 8540.0 38535.0 ; + RECT 8475.0 38515.0 8540.0 38580.0 ; RECT 8475.0 38242.5 8540.0 38307.5 ; - RECT 8405.0 38470.0 8507.5 38535.0 ; - RECT 8475.0 38275.0 8540.0 38502.5 ; + RECT 8405.0 38515.0 8507.5 38580.0 ; + RECT 8475.0 38275.0 8540.0 38547.5 ; RECT 8507.5 38242.5 8610.0 38307.5 ; - RECT 12632.5 38470.0 12697.5 38535.0 ; + RECT 12632.5 38515.0 12697.5 38580.0 ; RECT 12632.5 38027.5 12697.5 38092.5 ; - RECT 10900.0 38470.0 12665.0 38535.0 ; - RECT 12632.5 38060.0 12697.5 38502.5 ; + RECT 10900.0 38515.0 12665.0 38580.0 ; + RECT 12632.5 38060.0 12697.5 38547.5 ; RECT 12665.0 38027.5 14430.0 38092.5 ; - RECT 8475.0 39995.0 8540.0 40060.0 ; + RECT 8475.0 39950.0 8540.0 40015.0 ; RECT 8475.0 40222.5 8540.0 40287.5 ; - RECT 8405.0 39995.0 8507.5 40060.0 ; - RECT 8475.0 40027.5 8540.0 40255.0 ; + RECT 8405.0 39950.0 8507.5 40015.0 ; + RECT 8475.0 39982.5 8540.0 40255.0 ; RECT 8507.5 40222.5 8610.0 40287.5 ; - RECT 12632.5 39995.0 12697.5 40060.0 ; + RECT 12632.5 39950.0 12697.5 40015.0 ; RECT 12632.5 40437.5 12697.5 40502.5 ; - RECT 10900.0 39995.0 12665.0 40060.0 ; - RECT 12632.5 40027.5 12697.5 40470.0 ; + RECT 10900.0 39950.0 12665.0 40015.0 ; + RECT 12632.5 39982.5 12697.5 40470.0 ; RECT 12665.0 40437.5 14430.0 40502.5 ; RECT 9065.0 19057.5 14520.0 19122.5 ; RECT 9065.0 21747.5 14520.0 21812.5 ; @@ -320,14 +320,14 @@ MACRO sram_2_16_1_freepdk45 RECT 13632.5 3795.0 14520.0 3860.0 ; RECT 13222.5 1610.0 14520.0 1675.0 ; RECT 13427.5 3157.5 14520.0 3222.5 ; - RECT 13632.5 41477.5 14520.0 41542.5 ; + RECT 13632.5 41587.5 14520.0 41652.5 ; RECT 13837.5 10297.5 14520.0 10362.5 ; RECT 14042.5 14322.5 14520.0 14387.5 ; RECT 4860.0 8092.5 4925.0 8157.5 ; RECT 4860.0 7920.0 4925.0 8125.0 ; RECT 4892.5 8092.5 13017.5 8157.5 ; RECT 8840.0 40782.5 13082.5 40847.5 ; - RECT 14520.0 42205.0 16125.0 42270.0 ; + RECT 14520.0 42272.5 16125.0 42337.5 ; RECT 14520.0 18895.0 16125.0 18960.0 ; RECT 14520.0 10427.5 16125.0 10492.5 ; RECT 14520.0 6800.0 16125.0 6865.0 ; @@ -404,50 +404,50 @@ MACRO sram_2_16_1_freepdk45 RECT 14430.0 35197.5 16020.0 35262.5 ; RECT 14430.0 37887.5 16020.0 37952.5 ; RECT 14430.0 40577.5 16020.0 40642.5 ; - RECT 14872.5 41767.5 14937.5 42270.0 ; - RECT 14682.5 41182.5 14747.5 41317.5 ; - RECT 14872.5 41182.5 14937.5 41317.5 ; - RECT 14872.5 41182.5 14937.5 41317.5 ; - RECT 14682.5 41182.5 14747.5 41317.5 ; - RECT 14682.5 41767.5 14747.5 41902.5 ; - RECT 14872.5 41767.5 14937.5 41902.5 ; - RECT 14872.5 41767.5 14937.5 41902.5 ; - RECT 14682.5 41767.5 14747.5 41902.5 ; - RECT 14872.5 41767.5 14937.5 41902.5 ; - RECT 15062.5 41767.5 15127.5 41902.5 ; - RECT 15062.5 41767.5 15127.5 41902.5 ; - RECT 14872.5 41767.5 14937.5 41902.5 ; - RECT 14852.5 41477.5 14717.5 41542.5 ; - RECT 14872.5 42067.5 14937.5 42202.5 ; - RECT 14682.5 41182.5 14747.5 41317.5 ; - RECT 14872.5 41182.5 14937.5 41317.5 ; - RECT 14682.5 41767.5 14747.5 41902.5 ; - RECT 15062.5 41767.5 15127.5 41902.5 ; - RECT 14520.0 41477.5 15225.0 41542.5 ; - RECT 14520.0 42205.0 15225.0 42270.0 ; - RECT 15577.5 41767.5 15642.5 42270.0 ; - RECT 15387.5 41182.5 15452.5 41317.5 ; - RECT 15577.5 41182.5 15642.5 41317.5 ; - RECT 15577.5 41182.5 15642.5 41317.5 ; - RECT 15387.5 41182.5 15452.5 41317.5 ; - RECT 15387.5 41767.5 15452.5 41902.5 ; - RECT 15577.5 41767.5 15642.5 41902.5 ; - RECT 15577.5 41767.5 15642.5 41902.5 ; - RECT 15387.5 41767.5 15452.5 41902.5 ; - RECT 15577.5 41767.5 15642.5 41902.5 ; - RECT 15767.5 41767.5 15832.5 41902.5 ; - RECT 15767.5 41767.5 15832.5 41902.5 ; - RECT 15577.5 41767.5 15642.5 41902.5 ; - RECT 15557.5 41477.5 15422.5 41542.5 ; - RECT 15577.5 42067.5 15642.5 42202.5 ; - RECT 15387.5 41182.5 15452.5 41317.5 ; - RECT 15577.5 41182.5 15642.5 41317.5 ; - RECT 15387.5 41767.5 15452.5 41902.5 ; - RECT 15767.5 41767.5 15832.5 41902.5 ; - RECT 15225.0 41477.5 15930.0 41542.5 ; - RECT 15225.0 42205.0 15930.0 42270.0 ; - RECT 14520.0 41477.5 15930.0 41542.5 ; - RECT 14520.0 42205.0 15930.0 42270.0 ; + RECT 14872.5 41822.5 14937.5 42337.5 ; + RECT 14682.5 41292.5 14747.5 41427.5 ; + RECT 14872.5 41292.5 14937.5 41427.5 ; + RECT 14872.5 41292.5 14937.5 41427.5 ; + RECT 14682.5 41292.5 14747.5 41427.5 ; + RECT 14682.5 41822.5 14747.5 41957.5 ; + RECT 14872.5 41822.5 14937.5 41957.5 ; + RECT 14872.5 41822.5 14937.5 41957.5 ; + RECT 14682.5 41822.5 14747.5 41957.5 ; + RECT 14872.5 41822.5 14937.5 41957.5 ; + RECT 15062.5 41822.5 15127.5 41957.5 ; + RECT 15062.5 41822.5 15127.5 41957.5 ; + RECT 14872.5 41822.5 14937.5 41957.5 ; + RECT 14852.5 41587.5 14717.5 41652.5 ; + RECT 14872.5 42135.0 14937.5 42270.0 ; + RECT 14682.5 41292.5 14747.5 41427.5 ; + RECT 14872.5 41292.5 14937.5 41427.5 ; + RECT 14682.5 41822.5 14747.5 41957.5 ; + RECT 15062.5 41822.5 15127.5 41957.5 ; + RECT 14520.0 41587.5 15225.0 41652.5 ; + RECT 14520.0 42272.5 15225.0 42337.5 ; + RECT 15577.5 41822.5 15642.5 42337.5 ; + RECT 15387.5 41292.5 15452.5 41427.5 ; + RECT 15577.5 41292.5 15642.5 41427.5 ; + RECT 15577.5 41292.5 15642.5 41427.5 ; + RECT 15387.5 41292.5 15452.5 41427.5 ; + RECT 15387.5 41822.5 15452.5 41957.5 ; + RECT 15577.5 41822.5 15642.5 41957.5 ; + RECT 15577.5 41822.5 15642.5 41957.5 ; + RECT 15387.5 41822.5 15452.5 41957.5 ; + RECT 15577.5 41822.5 15642.5 41957.5 ; + RECT 15767.5 41822.5 15832.5 41957.5 ; + RECT 15767.5 41822.5 15832.5 41957.5 ; + RECT 15577.5 41822.5 15642.5 41957.5 ; + RECT 15557.5 41587.5 15422.5 41652.5 ; + RECT 15577.5 42135.0 15642.5 42270.0 ; + RECT 15387.5 41292.5 15452.5 41427.5 ; + RECT 15577.5 41292.5 15642.5 41427.5 ; + RECT 15387.5 41822.5 15452.5 41957.5 ; + RECT 15767.5 41822.5 15832.5 41957.5 ; + RECT 15225.0 41587.5 15930.0 41652.5 ; + RECT 15225.0 42272.5 15930.0 42337.5 ; + RECT 14520.0 41587.5 15930.0 41652.5 ; + RECT 14520.0 42272.5 15930.0 42337.5 ; RECT 14520.0 14205.0 15225.0 19090.0 ; RECT 15225.0 14205.0 15930.0 19090.0 ; RECT 14520.0 14322.5 15930.0 14387.5 ; @@ -473,93 +473,93 @@ MACRO sram_2_16_1_freepdk45 RECT 14520.0 1805.0 15930.0 1740.0 ; RECT 14520.0 3092.5 15930.0 3027.5 ; RECT 7895.0 19697.5 7960.0 19762.5 ; - RECT 7895.0 19640.0 7960.0 19705.0 ; + RECT 7895.0 19685.0 7960.0 19750.0 ; RECT 7677.5 19697.5 7927.5 19762.5 ; - RECT 7895.0 19672.5 7960.0 19730.0 ; - RECT 7927.5 19640.0 8175.0 19705.0 ; + RECT 7895.0 19717.5 7960.0 19730.0 ; + RECT 7927.5 19685.0 8175.0 19750.0 ; RECT 7895.0 21107.5 7960.0 21172.5 ; - RECT 7895.0 21165.0 7960.0 21230.0 ; + RECT 7895.0 21120.0 7960.0 21185.0 ; RECT 7677.5 21107.5 7927.5 21172.5 ; - RECT 7895.0 21140.0 7960.0 21197.5 ; - RECT 7927.5 21165.0 8175.0 21230.0 ; + RECT 7895.0 21140.0 7960.0 21152.5 ; + RECT 7927.5 21120.0 8175.0 21185.0 ; RECT 7895.0 22387.5 7960.0 22452.5 ; - RECT 7895.0 22330.0 7960.0 22395.0 ; + RECT 7895.0 22375.0 7960.0 22440.0 ; RECT 7677.5 22387.5 7927.5 22452.5 ; - RECT 7895.0 22362.5 7960.0 22420.0 ; - RECT 7927.5 22330.0 8175.0 22395.0 ; + RECT 7895.0 22407.5 7960.0 22420.0 ; + RECT 7927.5 22375.0 8175.0 22440.0 ; RECT 7895.0 23797.5 7960.0 23862.5 ; - RECT 7895.0 23855.0 7960.0 23920.0 ; + RECT 7895.0 23810.0 7960.0 23875.0 ; RECT 7677.5 23797.5 7927.5 23862.5 ; - RECT 7895.0 23830.0 7960.0 23887.5 ; - RECT 7927.5 23855.0 8175.0 23920.0 ; + RECT 7895.0 23830.0 7960.0 23842.5 ; + RECT 7927.5 23810.0 8175.0 23875.0 ; RECT 7895.0 25077.5 7960.0 25142.5 ; - RECT 7895.0 25020.0 7960.0 25085.0 ; + RECT 7895.0 25065.0 7960.0 25130.0 ; RECT 7677.5 25077.5 7927.5 25142.5 ; - RECT 7895.0 25052.5 7960.0 25110.0 ; - RECT 7927.5 25020.0 8175.0 25085.0 ; + RECT 7895.0 25097.5 7960.0 25110.0 ; + RECT 7927.5 25065.0 8175.0 25130.0 ; RECT 7895.0 26487.5 7960.0 26552.5 ; - RECT 7895.0 26545.0 7960.0 26610.0 ; + RECT 7895.0 26500.0 7960.0 26565.0 ; RECT 7677.5 26487.5 7927.5 26552.5 ; - RECT 7895.0 26520.0 7960.0 26577.5 ; - RECT 7927.5 26545.0 8175.0 26610.0 ; + RECT 7895.0 26520.0 7960.0 26532.5 ; + RECT 7927.5 26500.0 8175.0 26565.0 ; RECT 7895.0 27767.5 7960.0 27832.5 ; - RECT 7895.0 27710.0 7960.0 27775.0 ; + RECT 7895.0 27755.0 7960.0 27820.0 ; RECT 7677.5 27767.5 7927.5 27832.5 ; - RECT 7895.0 27742.5 7960.0 27800.0 ; - RECT 7927.5 27710.0 8175.0 27775.0 ; + RECT 7895.0 27787.5 7960.0 27800.0 ; + RECT 7927.5 27755.0 8175.0 27820.0 ; RECT 7895.0 29177.5 7960.0 29242.5 ; - RECT 7895.0 29235.0 7960.0 29300.0 ; + RECT 7895.0 29190.0 7960.0 29255.0 ; RECT 7677.5 29177.5 7927.5 29242.5 ; - RECT 7895.0 29210.0 7960.0 29267.5 ; - RECT 7927.5 29235.0 8175.0 29300.0 ; + RECT 7895.0 29210.0 7960.0 29222.5 ; + RECT 7927.5 29190.0 8175.0 29255.0 ; RECT 7895.0 30457.5 7960.0 30522.5 ; - RECT 7895.0 30400.0 7960.0 30465.0 ; + RECT 7895.0 30445.0 7960.0 30510.0 ; RECT 7677.5 30457.5 7927.5 30522.5 ; - RECT 7895.0 30432.5 7960.0 30490.0 ; - RECT 7927.5 30400.0 8175.0 30465.0 ; + RECT 7895.0 30477.5 7960.0 30490.0 ; + RECT 7927.5 30445.0 8175.0 30510.0 ; RECT 7895.0 31867.5 7960.0 31932.5 ; - RECT 7895.0 31925.0 7960.0 31990.0 ; + RECT 7895.0 31880.0 7960.0 31945.0 ; RECT 7677.5 31867.5 7927.5 31932.5 ; - RECT 7895.0 31900.0 7960.0 31957.5 ; - RECT 7927.5 31925.0 8175.0 31990.0 ; + RECT 7895.0 31900.0 7960.0 31912.5 ; + RECT 7927.5 31880.0 8175.0 31945.0 ; RECT 7895.0 33147.5 7960.0 33212.5 ; - RECT 7895.0 33090.0 7960.0 33155.0 ; + RECT 7895.0 33135.0 7960.0 33200.0 ; RECT 7677.5 33147.5 7927.5 33212.5 ; - RECT 7895.0 33122.5 7960.0 33180.0 ; - RECT 7927.5 33090.0 8175.0 33155.0 ; + RECT 7895.0 33167.5 7960.0 33180.0 ; + RECT 7927.5 33135.0 8175.0 33200.0 ; RECT 7895.0 34557.5 7960.0 34622.5 ; - RECT 7895.0 34615.0 7960.0 34680.0 ; + RECT 7895.0 34570.0 7960.0 34635.0 ; RECT 7677.5 34557.5 7927.5 34622.5 ; - RECT 7895.0 34590.0 7960.0 34647.5 ; - RECT 7927.5 34615.0 8175.0 34680.0 ; + RECT 7895.0 34590.0 7960.0 34602.5 ; + RECT 7927.5 34570.0 8175.0 34635.0 ; RECT 7895.0 35837.5 7960.0 35902.5 ; - RECT 7895.0 35780.0 7960.0 35845.0 ; + RECT 7895.0 35825.0 7960.0 35890.0 ; RECT 7677.5 35837.5 7927.5 35902.5 ; - RECT 7895.0 35812.5 7960.0 35870.0 ; - RECT 7927.5 35780.0 8175.0 35845.0 ; + RECT 7895.0 35857.5 7960.0 35870.0 ; + RECT 7927.5 35825.0 8175.0 35890.0 ; RECT 7895.0 37247.5 7960.0 37312.5 ; - RECT 7895.0 37305.0 7960.0 37370.0 ; + RECT 7895.0 37260.0 7960.0 37325.0 ; RECT 7677.5 37247.5 7927.5 37312.5 ; - RECT 7895.0 37280.0 7960.0 37337.5 ; - RECT 7927.5 37305.0 8175.0 37370.0 ; + RECT 7895.0 37280.0 7960.0 37292.5 ; + RECT 7927.5 37260.0 8175.0 37325.0 ; RECT 7895.0 38527.5 7960.0 38592.5 ; - RECT 7895.0 38470.0 7960.0 38535.0 ; + RECT 7895.0 38515.0 7960.0 38580.0 ; RECT 7677.5 38527.5 7927.5 38592.5 ; - RECT 7895.0 38502.5 7960.0 38560.0 ; - RECT 7927.5 38470.0 8175.0 38535.0 ; + RECT 7895.0 38547.5 7960.0 38560.0 ; + RECT 7927.5 38515.0 8175.0 38580.0 ; RECT 7895.0 39937.5 7960.0 40002.5 ; - RECT 7895.0 39995.0 7960.0 40060.0 ; + RECT 7895.0 39950.0 7960.0 40015.0 ; RECT 7677.5 39937.5 7927.5 40002.5 ; - RECT 7895.0 39970.0 7960.0 40027.5 ; - RECT 7927.5 39995.0 8175.0 40060.0 ; - RECT 5765.0 8880.0 7130.0 8945.0 ; - RECT 5940.0 10405.0 7130.0 10470.0 ; - RECT 6115.0 11570.0 7130.0 11635.0 ; - RECT 6290.0 13095.0 7130.0 13160.0 ; - RECT 6465.0 14260.0 7130.0 14325.0 ; - RECT 6640.0 15785.0 7130.0 15850.0 ; - RECT 6815.0 16950.0 7130.0 17015.0 ; - RECT 6990.0 18475.0 7130.0 18540.0 ; + RECT 7895.0 39970.0 7960.0 39982.5 ; + RECT 7927.5 39950.0 8175.0 40015.0 ; + RECT 5765.0 8925.0 7130.0 8990.0 ; + RECT 5940.0 10360.0 7130.0 10425.0 ; + RECT 6115.0 11615.0 7130.0 11680.0 ; + RECT 6290.0 13050.0 7130.0 13115.0 ; + RECT 6465.0 14305.0 7130.0 14370.0 ; + RECT 6640.0 15740.0 7130.0 15805.0 ; + RECT 6815.0 16995.0 7130.0 17060.0 ; + RECT 6990.0 18430.0 7130.0 18495.0 ; RECT 5765.0 19697.5 7190.0 19762.5 ; RECT 6465.0 19482.5 7447.5 19547.5 ; RECT 5765.0 21107.5 7190.0 21172.5 ; @@ -592,53 +592,53 @@ MACRO sram_2_16_1_freepdk45 RECT 6815.0 38312.5 7447.5 38377.5 ; RECT 6290.0 39937.5 7190.0 40002.5 ; RECT 6990.0 40152.5 7447.5 40217.5 ; - RECT 9952.5 8880.0 9887.5 8945.0 ; + RECT 9952.5 8925.0 9887.5 8990.0 ; RECT 9952.5 9447.5 9887.5 9512.5 ; - RECT 10190.0 8880.0 9920.0 8945.0 ; - RECT 9952.5 8912.5 9887.5 9480.0 ; + RECT 10190.0 8925.0 9920.0 8990.0 ; + RECT 9952.5 8957.5 9887.5 9480.0 ; RECT 9920.0 9447.5 9675.0 9512.5 ; - RECT 11060.0 8880.0 10420.0 8945.0 ; - RECT 9952.5 10405.0 9887.5 10470.0 ; + RECT 11060.0 8925.0 10420.0 8990.0 ; + RECT 9952.5 10360.0 9887.5 10425.0 ; RECT 9952.5 10792.5 9887.5 10857.5 ; - RECT 10190.0 10405.0 9920.0 10470.0 ; - RECT 9952.5 10437.5 9887.5 10825.0 ; + RECT 10190.0 10360.0 9920.0 10425.0 ; + RECT 9952.5 10392.5 9887.5 10825.0 ; RECT 9920.0 10792.5 9400.0 10857.5 ; - RECT 10785.0 10405.0 10420.0 10470.0 ; + RECT 10785.0 10360.0 10420.0 10425.0 ; RECT 11060.0 11122.5 9125.0 11187.5 ; RECT 10785.0 12467.5 8850.0 12532.5 ; - RECT 9675.0 8937.5 8550.0 9002.5 ; - RECT 9400.0 8722.5 8292.5 8787.5 ; - RECT 9125.0 10347.5 8550.0 10412.5 ; - RECT 9400.0 10562.5 8292.5 10627.5 ; - RECT 9675.0 11627.5 8550.0 11692.5 ; - RECT 8850.0 11412.5 8292.5 11477.5 ; - RECT 9125.0 13037.5 8550.0 13102.5 ; - RECT 8850.0 13252.5 8292.5 13317.5 ; + RECT 9675.0 8722.5 8292.5 8787.5 ; + RECT 9400.0 8937.5 8550.0 9002.5 ; + RECT 9125.0 10562.5 8292.5 10627.5 ; + RECT 9400.0 10347.5 8550.0 10412.5 ; + RECT 9675.0 11412.5 8292.5 11477.5 ; + RECT 8850.0 11627.5 8550.0 11692.5 ; + RECT 9125.0 13252.5 8292.5 13317.5 ; + RECT 8850.0 13037.5 8550.0 13102.5 ; RECT 7845.0 8937.5 7780.0 9002.5 ; - RECT 7845.0 8880.0 7780.0 8945.0 ; + RECT 7845.0 8925.0 7780.0 8990.0 ; RECT 8062.5 8937.5 7812.5 9002.5 ; - RECT 7845.0 8912.5 7780.0 8970.0 ; - RECT 7812.5 8880.0 7565.0 8945.0 ; + RECT 7845.0 8957.5 7780.0 8970.0 ; + RECT 7812.5 8925.0 7565.0 8990.0 ; RECT 7845.0 10347.5 7780.0 10412.5 ; - RECT 7845.0 10405.0 7780.0 10470.0 ; + RECT 7845.0 10360.0 7780.0 10425.0 ; RECT 8062.5 10347.5 7812.5 10412.5 ; - RECT 7845.0 10380.0 7780.0 10437.5 ; - RECT 7812.5 10405.0 7565.0 10470.0 ; + RECT 7845.0 10380.0 7780.0 10392.5 ; + RECT 7812.5 10360.0 7565.0 10425.0 ; RECT 7845.0 11627.5 7780.0 11692.5 ; - RECT 7845.0 11570.0 7780.0 11635.0 ; + RECT 7845.0 11615.0 7780.0 11680.0 ; RECT 8062.5 11627.5 7812.5 11692.5 ; - RECT 7845.0 11602.5 7780.0 11660.0 ; - RECT 7812.5 11570.0 7565.0 11635.0 ; + RECT 7845.0 11647.5 7780.0 11660.0 ; + RECT 7812.5 11615.0 7565.0 11680.0 ; RECT 7845.0 13037.5 7780.0 13102.5 ; - RECT 7845.0 13095.0 7780.0 13160.0 ; + RECT 7845.0 13050.0 7780.0 13115.0 ; RECT 8062.5 13037.5 7812.5 13102.5 ; - RECT 7845.0 13070.0 7780.0 13127.5 ; - RECT 7812.5 13095.0 7565.0 13160.0 ; + RECT 7845.0 13070.0 7780.0 13082.5 ; + RECT 7812.5 13050.0 7565.0 13115.0 ; RECT 10117.5 9490.0 10052.5 9675.0 ; RECT 10117.5 8330.0 10052.5 8515.0 ; RECT 10477.5 8447.5 10412.5 8297.5 ; RECT 10477.5 9332.5 10412.5 9707.5 ; - RECT 10287.5 8582.5 10222.5 9332.5 ; + RECT 10287.5 8447.5 10222.5 9332.5 ; RECT 10477.5 9332.5 10412.5 9467.5 ; RECT 10287.5 9332.5 10222.5 9467.5 ; RECT 10287.5 9332.5 10222.5 9467.5 ; @@ -649,16 +649,16 @@ MACRO sram_2_16_1_freepdk45 RECT 10477.5 8447.5 10412.5 8582.5 ; RECT 10117.5 9422.5 10052.5 9557.5 ; RECT 10117.5 8447.5 10052.5 8582.5 ; - RECT 10420.0 8845.0 10355.0 8980.0 ; - RECT 10420.0 8845.0 10355.0 8980.0 ; - RECT 10255.0 8880.0 10190.0 8945.0 ; + RECT 10420.0 8890.0 10355.0 9025.0 ; + RECT 10420.0 8890.0 10355.0 9025.0 ; + RECT 10255.0 8925.0 10190.0 8990.0 ; RECT 10545.0 9642.5 9985.0 9707.5 ; RECT 10545.0 8297.5 9985.0 8362.5 ; RECT 10117.5 9860.0 10052.5 9675.0 ; RECT 10117.5 11020.0 10052.5 10835.0 ; RECT 10477.5 10902.5 10412.5 11052.5 ; RECT 10477.5 10017.5 10412.5 9642.5 ; - RECT 10287.5 10767.5 10222.5 10017.5 ; + RECT 10287.5 10902.5 10222.5 10017.5 ; RECT 10477.5 10017.5 10412.5 9882.5 ; RECT 10287.5 10017.5 10222.5 9882.5 ; RECT 10287.5 10017.5 10222.5 9882.5 ; @@ -669,16 +669,16 @@ MACRO sram_2_16_1_freepdk45 RECT 10477.5 10902.5 10412.5 10767.5 ; RECT 10117.5 9927.5 10052.5 9792.5 ; RECT 10117.5 10902.5 10052.5 10767.5 ; - RECT 10420.0 10505.0 10355.0 10370.0 ; - RECT 10420.0 10505.0 10355.0 10370.0 ; - RECT 10255.0 10470.0 10190.0 10405.0 ; + RECT 10420.0 10460.0 10355.0 10325.0 ; + RECT 10420.0 10460.0 10355.0 10325.0 ; + RECT 10255.0 10425.0 10190.0 10360.0 ; RECT 10545.0 9707.5 9985.0 9642.5 ; RECT 10545.0 11052.5 9985.0 10987.5 ; RECT 7262.5 9490.0 7197.5 9675.0 ; RECT 7262.5 8330.0 7197.5 8515.0 ; RECT 7622.5 8447.5 7557.5 8297.5 ; RECT 7622.5 9332.5 7557.5 9707.5 ; - RECT 7432.5 8582.5 7367.5 9332.5 ; + RECT 7432.5 8447.5 7367.5 9332.5 ; RECT 7622.5 9332.5 7557.5 9467.5 ; RECT 7432.5 9332.5 7367.5 9467.5 ; RECT 7432.5 9332.5 7367.5 9467.5 ; @@ -689,16 +689,16 @@ MACRO sram_2_16_1_freepdk45 RECT 7622.5 8447.5 7557.5 8582.5 ; RECT 7262.5 9422.5 7197.5 9557.5 ; RECT 7262.5 8447.5 7197.5 8582.5 ; - RECT 7565.0 8845.0 7500.0 8980.0 ; - RECT 7565.0 8845.0 7500.0 8980.0 ; - RECT 7400.0 8880.0 7335.0 8945.0 ; + RECT 7565.0 8890.0 7500.0 9025.0 ; + RECT 7565.0 8890.0 7500.0 9025.0 ; + RECT 7400.0 8925.0 7335.0 8990.0 ; RECT 7690.0 9642.5 7130.0 9707.5 ; RECT 7690.0 8297.5 7130.0 8362.5 ; RECT 7262.5 9860.0 7197.5 9675.0 ; RECT 7262.5 11020.0 7197.5 10835.0 ; RECT 7622.5 10902.5 7557.5 11052.5 ; RECT 7622.5 10017.5 7557.5 9642.5 ; - RECT 7432.5 10767.5 7367.5 10017.5 ; + RECT 7432.5 10902.5 7367.5 10017.5 ; RECT 7622.5 10017.5 7557.5 9882.5 ; RECT 7432.5 10017.5 7367.5 9882.5 ; RECT 7432.5 10017.5 7367.5 9882.5 ; @@ -709,16 +709,16 @@ MACRO sram_2_16_1_freepdk45 RECT 7622.5 10902.5 7557.5 10767.5 ; RECT 7262.5 9927.5 7197.5 9792.5 ; RECT 7262.5 10902.5 7197.5 10767.5 ; - RECT 7565.0 10505.0 7500.0 10370.0 ; - RECT 7565.0 10505.0 7500.0 10370.0 ; - RECT 7400.0 10470.0 7335.0 10405.0 ; + RECT 7565.0 10460.0 7500.0 10325.0 ; + RECT 7565.0 10460.0 7500.0 10325.0 ; + RECT 7400.0 10425.0 7335.0 10360.0 ; RECT 7690.0 9707.5 7130.0 9642.5 ; RECT 7690.0 11052.5 7130.0 10987.5 ; RECT 7262.5 12180.0 7197.5 12365.0 ; RECT 7262.5 11020.0 7197.5 11205.0 ; RECT 7622.5 11137.5 7557.5 10987.5 ; RECT 7622.5 12022.5 7557.5 12397.5 ; - RECT 7432.5 11272.5 7367.5 12022.5 ; + RECT 7432.5 11137.5 7367.5 12022.5 ; RECT 7622.5 12022.5 7557.5 12157.5 ; RECT 7432.5 12022.5 7367.5 12157.5 ; RECT 7432.5 12022.5 7367.5 12157.5 ; @@ -729,16 +729,16 @@ MACRO sram_2_16_1_freepdk45 RECT 7622.5 11137.5 7557.5 11272.5 ; RECT 7262.5 12112.5 7197.5 12247.5 ; RECT 7262.5 11137.5 7197.5 11272.5 ; - RECT 7565.0 11535.0 7500.0 11670.0 ; - RECT 7565.0 11535.0 7500.0 11670.0 ; - RECT 7400.0 11570.0 7335.0 11635.0 ; + RECT 7565.0 11580.0 7500.0 11715.0 ; + RECT 7565.0 11580.0 7500.0 11715.0 ; + RECT 7400.0 11615.0 7335.0 11680.0 ; RECT 7690.0 12332.5 7130.0 12397.5 ; RECT 7690.0 10987.5 7130.0 11052.5 ; RECT 7262.5 12550.0 7197.5 12365.0 ; RECT 7262.5 13710.0 7197.5 13525.0 ; RECT 7622.5 13592.5 7557.5 13742.5 ; RECT 7622.5 12707.5 7557.5 12332.5 ; - RECT 7432.5 13457.5 7367.5 12707.5 ; + RECT 7432.5 13592.5 7367.5 12707.5 ; RECT 7622.5 12707.5 7557.5 12572.5 ; RECT 7432.5 12707.5 7367.5 12572.5 ; RECT 7432.5 12707.5 7367.5 12572.5 ; @@ -749,9 +749,9 @@ MACRO sram_2_16_1_freepdk45 RECT 7622.5 13592.5 7557.5 13457.5 ; RECT 7262.5 12617.5 7197.5 12482.5 ; RECT 7262.5 13592.5 7197.5 13457.5 ; - RECT 7565.0 13195.0 7500.0 13060.0 ; - RECT 7565.0 13195.0 7500.0 13060.0 ; - RECT 7400.0 13160.0 7335.0 13095.0 ; + RECT 7565.0 13150.0 7500.0 13015.0 ; + RECT 7565.0 13150.0 7500.0 13015.0 ; + RECT 7400.0 13115.0 7335.0 13050.0 ; RECT 7690.0 12397.5 7130.0 12332.5 ; RECT 7690.0 13742.5 7130.0 13677.5 ; RECT 8542.5 8492.5 8477.5 8297.5 ; @@ -887,77 +887,77 @@ MACRO sram_2_16_1_freepdk45 RECT 8610.0 12397.5 7690.0 12332.5 ; RECT 8610.0 13742.5 7690.0 13677.5 ; RECT 9607.5 9447.5 9742.5 9512.5 ; - RECT 10992.5 8880.0 11127.5 8945.0 ; + RECT 10992.5 8925.0 11127.5 8990.0 ; RECT 9332.5 10792.5 9467.5 10857.5 ; - RECT 10717.5 10405.0 10852.5 10470.0 ; + RECT 10717.5 10360.0 10852.5 10425.0 ; RECT 10992.5 11122.5 11127.5 11187.5 ; RECT 9057.5 11122.5 9192.5 11187.5 ; RECT 10717.5 12467.5 10852.5 12532.5 ; RECT 8782.5 12467.5 8917.5 12532.5 ; - RECT 9607.5 8937.5 9742.5 9002.5 ; - RECT 9332.5 8722.5 9467.5 8787.5 ; - RECT 9057.5 10347.5 9192.5 10412.5 ; - RECT 9332.5 10562.5 9467.5 10627.5 ; - RECT 9607.5 11627.5 9742.5 11692.5 ; - RECT 8782.5 11412.5 8917.5 11477.5 ; - RECT 9057.5 13037.5 9192.5 13102.5 ; - RECT 8782.5 13252.5 8917.5 13317.5 ; - RECT 7335.0 8880.0 7130.0 8945.0 ; - RECT 7335.0 10405.0 7130.0 10470.0 ; - RECT 7335.0 11570.0 7130.0 11635.0 ; - RECT 7335.0 13095.0 7130.0 13160.0 ; + RECT 9607.5 8722.5 9742.5 8787.5 ; + RECT 9332.5 8937.5 9467.5 9002.5 ; + RECT 9057.5 10562.5 9192.5 10627.5 ; + RECT 9332.5 10347.5 9467.5 10412.5 ; + RECT 9607.5 11412.5 9742.5 11477.5 ; + RECT 8782.5 11627.5 8917.5 11692.5 ; + RECT 9057.5 13252.5 9192.5 13317.5 ; + RECT 8782.5 13037.5 8917.5 13102.5 ; + RECT 7335.0 8925.0 7130.0 8990.0 ; + RECT 7335.0 10360.0 7130.0 10425.0 ; + RECT 7335.0 11615.0 7130.0 11680.0 ; + RECT 7335.0 13050.0 7130.0 13115.0 ; RECT 11095.0 9642.5 7130.0 9707.5 ; RECT 11095.0 12332.5 7130.0 12397.5 ; RECT 11095.0 8297.5 7130.0 8362.5 ; RECT 11095.0 10987.5 7130.0 11052.5 ; RECT 11095.0 13677.5 7130.0 13742.5 ; - RECT 9952.5 14260.0 9887.5 14325.0 ; + RECT 9952.5 14305.0 9887.5 14370.0 ; RECT 9952.5 14827.5 9887.5 14892.5 ; - RECT 10190.0 14260.0 9920.0 14325.0 ; - RECT 9952.5 14292.5 9887.5 14860.0 ; + RECT 10190.0 14305.0 9920.0 14370.0 ; + RECT 9952.5 14337.5 9887.5 14860.0 ; RECT 9920.0 14827.5 9675.0 14892.5 ; - RECT 11060.0 14260.0 10420.0 14325.0 ; - RECT 9952.5 15785.0 9887.5 15850.0 ; + RECT 11060.0 14305.0 10420.0 14370.0 ; + RECT 9952.5 15740.0 9887.5 15805.0 ; RECT 9952.5 16172.5 9887.5 16237.5 ; - RECT 10190.0 15785.0 9920.0 15850.0 ; - RECT 9952.5 15817.5 9887.5 16205.0 ; + RECT 10190.0 15740.0 9920.0 15805.0 ; + RECT 9952.5 15772.5 9887.5 16205.0 ; RECT 9920.0 16172.5 9400.0 16237.5 ; - RECT 10785.0 15785.0 10420.0 15850.0 ; + RECT 10785.0 15740.0 10420.0 15805.0 ; RECT 11060.0 16502.5 9125.0 16567.5 ; RECT 10785.0 17847.5 8850.0 17912.5 ; - RECT 9675.0 14317.5 8550.0 14382.5 ; - RECT 9400.0 14102.5 8292.5 14167.5 ; - RECT 9125.0 15727.5 8550.0 15792.5 ; - RECT 9400.0 15942.5 8292.5 16007.5 ; - RECT 9675.0 17007.5 8550.0 17072.5 ; - RECT 8850.0 16792.5 8292.5 16857.5 ; - RECT 9125.0 18417.5 8550.0 18482.5 ; - RECT 8850.0 18632.5 8292.5 18697.5 ; + RECT 9675.0 14102.5 8292.5 14167.5 ; + RECT 9400.0 14317.5 8550.0 14382.5 ; + RECT 9125.0 15942.5 8292.5 16007.5 ; + RECT 9400.0 15727.5 8550.0 15792.5 ; + RECT 9675.0 16792.5 8292.5 16857.5 ; + RECT 8850.0 17007.5 8550.0 17072.5 ; + RECT 9125.0 18632.5 8292.5 18697.5 ; + RECT 8850.0 18417.5 8550.0 18482.5 ; RECT 7845.0 14317.5 7780.0 14382.5 ; - RECT 7845.0 14260.0 7780.0 14325.0 ; + RECT 7845.0 14305.0 7780.0 14370.0 ; RECT 8062.5 14317.5 7812.5 14382.5 ; - RECT 7845.0 14292.5 7780.0 14350.0 ; - RECT 7812.5 14260.0 7565.0 14325.0 ; + RECT 7845.0 14337.5 7780.0 14350.0 ; + RECT 7812.5 14305.0 7565.0 14370.0 ; RECT 7845.0 15727.5 7780.0 15792.5 ; - RECT 7845.0 15785.0 7780.0 15850.0 ; + RECT 7845.0 15740.0 7780.0 15805.0 ; RECT 8062.5 15727.5 7812.5 15792.5 ; - RECT 7845.0 15760.0 7780.0 15817.5 ; - RECT 7812.5 15785.0 7565.0 15850.0 ; + RECT 7845.0 15760.0 7780.0 15772.5 ; + RECT 7812.5 15740.0 7565.0 15805.0 ; RECT 7845.0 17007.5 7780.0 17072.5 ; - RECT 7845.0 16950.0 7780.0 17015.0 ; + RECT 7845.0 16995.0 7780.0 17060.0 ; RECT 8062.5 17007.5 7812.5 17072.5 ; - RECT 7845.0 16982.5 7780.0 17040.0 ; - RECT 7812.5 16950.0 7565.0 17015.0 ; + RECT 7845.0 17027.5 7780.0 17040.0 ; + RECT 7812.5 16995.0 7565.0 17060.0 ; RECT 7845.0 18417.5 7780.0 18482.5 ; - RECT 7845.0 18475.0 7780.0 18540.0 ; + RECT 7845.0 18430.0 7780.0 18495.0 ; RECT 8062.5 18417.5 7812.5 18482.5 ; - RECT 7845.0 18450.0 7780.0 18507.5 ; - RECT 7812.5 18475.0 7565.0 18540.0 ; + RECT 7845.0 18450.0 7780.0 18462.5 ; + RECT 7812.5 18430.0 7565.0 18495.0 ; RECT 10117.5 14870.0 10052.5 15055.0 ; RECT 10117.5 13710.0 10052.5 13895.0 ; RECT 10477.5 13827.5 10412.5 13677.5 ; RECT 10477.5 14712.5 10412.5 15087.5 ; - RECT 10287.5 13962.5 10222.5 14712.5 ; + RECT 10287.5 13827.5 10222.5 14712.5 ; RECT 10477.5 14712.5 10412.5 14847.5 ; RECT 10287.5 14712.5 10222.5 14847.5 ; RECT 10287.5 14712.5 10222.5 14847.5 ; @@ -968,16 +968,16 @@ MACRO sram_2_16_1_freepdk45 RECT 10477.5 13827.5 10412.5 13962.5 ; RECT 10117.5 14802.5 10052.5 14937.5 ; RECT 10117.5 13827.5 10052.5 13962.5 ; - RECT 10420.0 14225.0 10355.0 14360.0 ; - RECT 10420.0 14225.0 10355.0 14360.0 ; - RECT 10255.0 14260.0 10190.0 14325.0 ; + RECT 10420.0 14270.0 10355.0 14405.0 ; + RECT 10420.0 14270.0 10355.0 14405.0 ; + RECT 10255.0 14305.0 10190.0 14370.0 ; RECT 10545.0 15022.5 9985.0 15087.5 ; RECT 10545.0 13677.5 9985.0 13742.5 ; RECT 10117.5 15240.0 10052.5 15055.0 ; RECT 10117.5 16400.0 10052.5 16215.0 ; RECT 10477.5 16282.5 10412.5 16432.5 ; RECT 10477.5 15397.5 10412.5 15022.5 ; - RECT 10287.5 16147.5 10222.5 15397.5 ; + RECT 10287.5 16282.5 10222.5 15397.5 ; RECT 10477.5 15397.5 10412.5 15262.5 ; RECT 10287.5 15397.5 10222.5 15262.5 ; RECT 10287.5 15397.5 10222.5 15262.5 ; @@ -988,16 +988,16 @@ MACRO sram_2_16_1_freepdk45 RECT 10477.5 16282.5 10412.5 16147.5 ; RECT 10117.5 15307.5 10052.5 15172.5 ; RECT 10117.5 16282.5 10052.5 16147.5 ; - RECT 10420.0 15885.0 10355.0 15750.0 ; - RECT 10420.0 15885.0 10355.0 15750.0 ; - RECT 10255.0 15850.0 10190.0 15785.0 ; + RECT 10420.0 15840.0 10355.0 15705.0 ; + RECT 10420.0 15840.0 10355.0 15705.0 ; + RECT 10255.0 15805.0 10190.0 15740.0 ; RECT 10545.0 15087.5 9985.0 15022.5 ; RECT 10545.0 16432.5 9985.0 16367.5 ; RECT 7262.5 14870.0 7197.5 15055.0 ; RECT 7262.5 13710.0 7197.5 13895.0 ; RECT 7622.5 13827.5 7557.5 13677.5 ; RECT 7622.5 14712.5 7557.5 15087.5 ; - RECT 7432.5 13962.5 7367.5 14712.5 ; + RECT 7432.5 13827.5 7367.5 14712.5 ; RECT 7622.5 14712.5 7557.5 14847.5 ; RECT 7432.5 14712.5 7367.5 14847.5 ; RECT 7432.5 14712.5 7367.5 14847.5 ; @@ -1008,16 +1008,16 @@ MACRO sram_2_16_1_freepdk45 RECT 7622.5 13827.5 7557.5 13962.5 ; RECT 7262.5 14802.5 7197.5 14937.5 ; RECT 7262.5 13827.5 7197.5 13962.5 ; - RECT 7565.0 14225.0 7500.0 14360.0 ; - RECT 7565.0 14225.0 7500.0 14360.0 ; - RECT 7400.0 14260.0 7335.0 14325.0 ; + RECT 7565.0 14270.0 7500.0 14405.0 ; + RECT 7565.0 14270.0 7500.0 14405.0 ; + RECT 7400.0 14305.0 7335.0 14370.0 ; RECT 7690.0 15022.5 7130.0 15087.5 ; RECT 7690.0 13677.5 7130.0 13742.5 ; RECT 7262.5 15240.0 7197.5 15055.0 ; RECT 7262.5 16400.0 7197.5 16215.0 ; RECT 7622.5 16282.5 7557.5 16432.5 ; RECT 7622.5 15397.5 7557.5 15022.5 ; - RECT 7432.5 16147.5 7367.5 15397.5 ; + RECT 7432.5 16282.5 7367.5 15397.5 ; RECT 7622.5 15397.5 7557.5 15262.5 ; RECT 7432.5 15397.5 7367.5 15262.5 ; RECT 7432.5 15397.5 7367.5 15262.5 ; @@ -1028,16 +1028,16 @@ MACRO sram_2_16_1_freepdk45 RECT 7622.5 16282.5 7557.5 16147.5 ; RECT 7262.5 15307.5 7197.5 15172.5 ; RECT 7262.5 16282.5 7197.5 16147.5 ; - RECT 7565.0 15885.0 7500.0 15750.0 ; - RECT 7565.0 15885.0 7500.0 15750.0 ; - RECT 7400.0 15850.0 7335.0 15785.0 ; + RECT 7565.0 15840.0 7500.0 15705.0 ; + RECT 7565.0 15840.0 7500.0 15705.0 ; + RECT 7400.0 15805.0 7335.0 15740.0 ; RECT 7690.0 15087.5 7130.0 15022.5 ; RECT 7690.0 16432.5 7130.0 16367.5 ; RECT 7262.5 17560.0 7197.5 17745.0 ; RECT 7262.5 16400.0 7197.5 16585.0 ; RECT 7622.5 16517.5 7557.5 16367.5 ; RECT 7622.5 17402.5 7557.5 17777.5 ; - RECT 7432.5 16652.5 7367.5 17402.5 ; + RECT 7432.5 16517.5 7367.5 17402.5 ; RECT 7622.5 17402.5 7557.5 17537.5 ; RECT 7432.5 17402.5 7367.5 17537.5 ; RECT 7432.5 17402.5 7367.5 17537.5 ; @@ -1048,16 +1048,16 @@ MACRO sram_2_16_1_freepdk45 RECT 7622.5 16517.5 7557.5 16652.5 ; RECT 7262.5 17492.5 7197.5 17627.5 ; RECT 7262.5 16517.5 7197.5 16652.5 ; - RECT 7565.0 16915.0 7500.0 17050.0 ; - RECT 7565.0 16915.0 7500.0 17050.0 ; - RECT 7400.0 16950.0 7335.0 17015.0 ; + RECT 7565.0 16960.0 7500.0 17095.0 ; + RECT 7565.0 16960.0 7500.0 17095.0 ; + RECT 7400.0 16995.0 7335.0 17060.0 ; RECT 7690.0 17712.5 7130.0 17777.5 ; RECT 7690.0 16367.5 7130.0 16432.5 ; RECT 7262.5 17930.0 7197.5 17745.0 ; RECT 7262.5 19090.0 7197.5 18905.0 ; RECT 7622.5 18972.5 7557.5 19122.5 ; RECT 7622.5 18087.5 7557.5 17712.5 ; - RECT 7432.5 18837.5 7367.5 18087.5 ; + RECT 7432.5 18972.5 7367.5 18087.5 ; RECT 7622.5 18087.5 7557.5 17952.5 ; RECT 7432.5 18087.5 7367.5 17952.5 ; RECT 7432.5 18087.5 7367.5 17952.5 ; @@ -1068,9 +1068,9 @@ MACRO sram_2_16_1_freepdk45 RECT 7622.5 18972.5 7557.5 18837.5 ; RECT 7262.5 17997.5 7197.5 17862.5 ; RECT 7262.5 18972.5 7197.5 18837.5 ; - RECT 7565.0 18575.0 7500.0 18440.0 ; - RECT 7565.0 18575.0 7500.0 18440.0 ; - RECT 7400.0 18540.0 7335.0 18475.0 ; + RECT 7565.0 18530.0 7500.0 18395.0 ; + RECT 7565.0 18530.0 7500.0 18395.0 ; + RECT 7400.0 18495.0 7335.0 18430.0 ; RECT 7690.0 17777.5 7130.0 17712.5 ; RECT 7690.0 19122.5 7130.0 19057.5 ; RECT 8542.5 13872.5 8477.5 13677.5 ; @@ -1206,25 +1206,25 @@ MACRO sram_2_16_1_freepdk45 RECT 8610.0 17777.5 7690.0 17712.5 ; RECT 8610.0 19122.5 7690.0 19057.5 ; RECT 9607.5 14827.5 9742.5 14892.5 ; - RECT 10992.5 14260.0 11127.5 14325.0 ; + RECT 10992.5 14305.0 11127.5 14370.0 ; RECT 9332.5 16172.5 9467.5 16237.5 ; - RECT 10717.5 15785.0 10852.5 15850.0 ; + RECT 10717.5 15740.0 10852.5 15805.0 ; RECT 10992.5 16502.5 11127.5 16567.5 ; RECT 9057.5 16502.5 9192.5 16567.5 ; RECT 10717.5 17847.5 10852.5 17912.5 ; RECT 8782.5 17847.5 8917.5 17912.5 ; - RECT 9607.5 14317.5 9742.5 14382.5 ; - RECT 9332.5 14102.5 9467.5 14167.5 ; - RECT 9057.5 15727.5 9192.5 15792.5 ; - RECT 9332.5 15942.5 9467.5 16007.5 ; - RECT 9607.5 17007.5 9742.5 17072.5 ; - RECT 8782.5 16792.5 8917.5 16857.5 ; - RECT 9057.5 18417.5 9192.5 18482.5 ; - RECT 8782.5 18632.5 8917.5 18697.5 ; - RECT 7335.0 14260.0 7130.0 14325.0 ; - RECT 7335.0 15785.0 7130.0 15850.0 ; - RECT 7335.0 16950.0 7130.0 17015.0 ; - RECT 7335.0 18475.0 7130.0 18540.0 ; + RECT 9607.5 14102.5 9742.5 14167.5 ; + RECT 9332.5 14317.5 9467.5 14382.5 ; + RECT 9057.5 15942.5 9192.5 16007.5 ; + RECT 9332.5 15727.5 9467.5 15792.5 ; + RECT 9607.5 16792.5 9742.5 16857.5 ; + RECT 8782.5 17007.5 8917.5 17072.5 ; + RECT 9057.5 18632.5 9192.5 18697.5 ; + RECT 8782.5 18417.5 8917.5 18482.5 ; + RECT 7335.0 14305.0 7130.0 14370.0 ; + RECT 7335.0 15740.0 7130.0 15805.0 ; + RECT 7335.0 16995.0 7130.0 17060.0 ; + RECT 7335.0 18430.0 7130.0 18495.0 ; RECT 11095.0 15022.5 7130.0 15087.5 ; RECT 11095.0 17712.5 7130.0 17777.5 ; RECT 11095.0 13677.5 7130.0 13742.5 ; @@ -1762,7 +1762,7 @@ MACRO sram_2_16_1_freepdk45 RECT 8477.5 19090.0 8542.5 19275.0 ; RECT 8117.5 19207.5 8182.5 19057.5 ; RECT 8117.5 20092.5 8182.5 20467.5 ; - RECT 8307.5 19342.5 8372.5 20092.5 ; + RECT 8307.5 19207.5 8372.5 20092.5 ; RECT 8117.5 20092.5 8182.5 20227.5 ; RECT 8307.5 20092.5 8372.5 20227.5 ; RECT 8307.5 20092.5 8372.5 20227.5 ; @@ -1773,16 +1773,16 @@ MACRO sram_2_16_1_freepdk45 RECT 8117.5 19207.5 8182.5 19342.5 ; RECT 8477.5 20182.5 8542.5 20317.5 ; RECT 8477.5 19207.5 8542.5 19342.5 ; - RECT 8175.0 19605.0 8240.0 19740.0 ; - RECT 8175.0 19605.0 8240.0 19740.0 ; - RECT 8340.0 19640.0 8405.0 19705.0 ; + RECT 8175.0 19650.0 8240.0 19785.0 ; + RECT 8175.0 19650.0 8240.0 19785.0 ; + RECT 8340.0 19685.0 8405.0 19750.0 ; RECT 8050.0 20402.5 8610.0 20467.5 ; RECT 8050.0 19057.5 8610.0 19122.5 ; RECT 8477.5 20620.0 8542.5 20435.0 ; RECT 8477.5 21780.0 8542.5 21595.0 ; RECT 8117.5 21662.5 8182.5 21812.5 ; RECT 8117.5 20777.5 8182.5 20402.5 ; - RECT 8307.5 21527.5 8372.5 20777.5 ; + RECT 8307.5 21662.5 8372.5 20777.5 ; RECT 8117.5 20777.5 8182.5 20642.5 ; RECT 8307.5 20777.5 8372.5 20642.5 ; RECT 8307.5 20777.5 8372.5 20642.5 ; @@ -1793,16 +1793,16 @@ MACRO sram_2_16_1_freepdk45 RECT 8117.5 21662.5 8182.5 21527.5 ; RECT 8477.5 20687.5 8542.5 20552.5 ; RECT 8477.5 21662.5 8542.5 21527.5 ; - RECT 8175.0 21265.0 8240.0 21130.0 ; - RECT 8175.0 21265.0 8240.0 21130.0 ; - RECT 8340.0 21230.0 8405.0 21165.0 ; + RECT 8175.0 21220.0 8240.0 21085.0 ; + RECT 8175.0 21220.0 8240.0 21085.0 ; + RECT 8340.0 21185.0 8405.0 21120.0 ; RECT 8050.0 20467.5 8610.0 20402.5 ; RECT 8050.0 21812.5 8610.0 21747.5 ; RECT 8477.5 22940.0 8542.5 23125.0 ; RECT 8477.5 21780.0 8542.5 21965.0 ; RECT 8117.5 21897.5 8182.5 21747.5 ; RECT 8117.5 22782.5 8182.5 23157.5 ; - RECT 8307.5 22032.5 8372.5 22782.5 ; + RECT 8307.5 21897.5 8372.5 22782.5 ; RECT 8117.5 22782.5 8182.5 22917.5 ; RECT 8307.5 22782.5 8372.5 22917.5 ; RECT 8307.5 22782.5 8372.5 22917.5 ; @@ -1813,16 +1813,16 @@ MACRO sram_2_16_1_freepdk45 RECT 8117.5 21897.5 8182.5 22032.5 ; RECT 8477.5 22872.5 8542.5 23007.5 ; RECT 8477.5 21897.5 8542.5 22032.5 ; - RECT 8175.0 22295.0 8240.0 22430.0 ; - RECT 8175.0 22295.0 8240.0 22430.0 ; - RECT 8340.0 22330.0 8405.0 22395.0 ; + RECT 8175.0 22340.0 8240.0 22475.0 ; + RECT 8175.0 22340.0 8240.0 22475.0 ; + RECT 8340.0 22375.0 8405.0 22440.0 ; RECT 8050.0 23092.5 8610.0 23157.5 ; RECT 8050.0 21747.5 8610.0 21812.5 ; RECT 8477.5 23310.0 8542.5 23125.0 ; RECT 8477.5 24470.0 8542.5 24285.0 ; RECT 8117.5 24352.5 8182.5 24502.5 ; RECT 8117.5 23467.5 8182.5 23092.5 ; - RECT 8307.5 24217.5 8372.5 23467.5 ; + RECT 8307.5 24352.5 8372.5 23467.5 ; RECT 8117.5 23467.5 8182.5 23332.5 ; RECT 8307.5 23467.5 8372.5 23332.5 ; RECT 8307.5 23467.5 8372.5 23332.5 ; @@ -1833,16 +1833,16 @@ MACRO sram_2_16_1_freepdk45 RECT 8117.5 24352.5 8182.5 24217.5 ; RECT 8477.5 23377.5 8542.5 23242.5 ; RECT 8477.5 24352.5 8542.5 24217.5 ; - RECT 8175.0 23955.0 8240.0 23820.0 ; - RECT 8175.0 23955.0 8240.0 23820.0 ; - RECT 8340.0 23920.0 8405.0 23855.0 ; + RECT 8175.0 23910.0 8240.0 23775.0 ; + RECT 8175.0 23910.0 8240.0 23775.0 ; + RECT 8340.0 23875.0 8405.0 23810.0 ; RECT 8050.0 23157.5 8610.0 23092.5 ; RECT 8050.0 24502.5 8610.0 24437.5 ; RECT 8477.5 25630.0 8542.5 25815.0 ; RECT 8477.5 24470.0 8542.5 24655.0 ; RECT 8117.5 24587.5 8182.5 24437.5 ; RECT 8117.5 25472.5 8182.5 25847.5 ; - RECT 8307.5 24722.5 8372.5 25472.5 ; + RECT 8307.5 24587.5 8372.5 25472.5 ; RECT 8117.5 25472.5 8182.5 25607.5 ; RECT 8307.5 25472.5 8372.5 25607.5 ; RECT 8307.5 25472.5 8372.5 25607.5 ; @@ -1853,16 +1853,16 @@ MACRO sram_2_16_1_freepdk45 RECT 8117.5 24587.5 8182.5 24722.5 ; RECT 8477.5 25562.5 8542.5 25697.5 ; RECT 8477.5 24587.5 8542.5 24722.5 ; - RECT 8175.0 24985.0 8240.0 25120.0 ; - RECT 8175.0 24985.0 8240.0 25120.0 ; - RECT 8340.0 25020.0 8405.0 25085.0 ; + RECT 8175.0 25030.0 8240.0 25165.0 ; + RECT 8175.0 25030.0 8240.0 25165.0 ; + RECT 8340.0 25065.0 8405.0 25130.0 ; RECT 8050.0 25782.5 8610.0 25847.5 ; RECT 8050.0 24437.5 8610.0 24502.5 ; RECT 8477.5 26000.0 8542.5 25815.0 ; RECT 8477.5 27160.0 8542.5 26975.0 ; RECT 8117.5 27042.5 8182.5 27192.5 ; RECT 8117.5 26157.5 8182.5 25782.5 ; - RECT 8307.5 26907.5 8372.5 26157.5 ; + RECT 8307.5 27042.5 8372.5 26157.5 ; RECT 8117.5 26157.5 8182.5 26022.5 ; RECT 8307.5 26157.5 8372.5 26022.5 ; RECT 8307.5 26157.5 8372.5 26022.5 ; @@ -1873,16 +1873,16 @@ MACRO sram_2_16_1_freepdk45 RECT 8117.5 27042.5 8182.5 26907.5 ; RECT 8477.5 26067.5 8542.5 25932.5 ; RECT 8477.5 27042.5 8542.5 26907.5 ; - RECT 8175.0 26645.0 8240.0 26510.0 ; - RECT 8175.0 26645.0 8240.0 26510.0 ; - RECT 8340.0 26610.0 8405.0 26545.0 ; + RECT 8175.0 26600.0 8240.0 26465.0 ; + RECT 8175.0 26600.0 8240.0 26465.0 ; + RECT 8340.0 26565.0 8405.0 26500.0 ; RECT 8050.0 25847.5 8610.0 25782.5 ; RECT 8050.0 27192.5 8610.0 27127.5 ; RECT 8477.5 28320.0 8542.5 28505.0 ; RECT 8477.5 27160.0 8542.5 27345.0 ; RECT 8117.5 27277.5 8182.5 27127.5 ; RECT 8117.5 28162.5 8182.5 28537.5 ; - RECT 8307.5 27412.5 8372.5 28162.5 ; + RECT 8307.5 27277.5 8372.5 28162.5 ; RECT 8117.5 28162.5 8182.5 28297.5 ; RECT 8307.5 28162.5 8372.5 28297.5 ; RECT 8307.5 28162.5 8372.5 28297.5 ; @@ -1893,16 +1893,16 @@ MACRO sram_2_16_1_freepdk45 RECT 8117.5 27277.5 8182.5 27412.5 ; RECT 8477.5 28252.5 8542.5 28387.5 ; RECT 8477.5 27277.5 8542.5 27412.5 ; - RECT 8175.0 27675.0 8240.0 27810.0 ; - RECT 8175.0 27675.0 8240.0 27810.0 ; - RECT 8340.0 27710.0 8405.0 27775.0 ; + RECT 8175.0 27720.0 8240.0 27855.0 ; + RECT 8175.0 27720.0 8240.0 27855.0 ; + RECT 8340.0 27755.0 8405.0 27820.0 ; RECT 8050.0 28472.5 8610.0 28537.5 ; RECT 8050.0 27127.5 8610.0 27192.5 ; RECT 8477.5 28690.0 8542.5 28505.0 ; RECT 8477.5 29850.0 8542.5 29665.0 ; RECT 8117.5 29732.5 8182.5 29882.5 ; RECT 8117.5 28847.5 8182.5 28472.5 ; - RECT 8307.5 29597.5 8372.5 28847.5 ; + RECT 8307.5 29732.5 8372.5 28847.5 ; RECT 8117.5 28847.5 8182.5 28712.5 ; RECT 8307.5 28847.5 8372.5 28712.5 ; RECT 8307.5 28847.5 8372.5 28712.5 ; @@ -1913,16 +1913,16 @@ MACRO sram_2_16_1_freepdk45 RECT 8117.5 29732.5 8182.5 29597.5 ; RECT 8477.5 28757.5 8542.5 28622.5 ; RECT 8477.5 29732.5 8542.5 29597.5 ; - RECT 8175.0 29335.0 8240.0 29200.0 ; - RECT 8175.0 29335.0 8240.0 29200.0 ; - RECT 8340.0 29300.0 8405.0 29235.0 ; + RECT 8175.0 29290.0 8240.0 29155.0 ; + RECT 8175.0 29290.0 8240.0 29155.0 ; + RECT 8340.0 29255.0 8405.0 29190.0 ; RECT 8050.0 28537.5 8610.0 28472.5 ; RECT 8050.0 29882.5 8610.0 29817.5 ; RECT 8477.5 31010.0 8542.5 31195.0 ; RECT 8477.5 29850.0 8542.5 30035.0 ; RECT 8117.5 29967.5 8182.5 29817.5 ; RECT 8117.5 30852.5 8182.5 31227.5 ; - RECT 8307.5 30102.5 8372.5 30852.5 ; + RECT 8307.5 29967.5 8372.5 30852.5 ; RECT 8117.5 30852.5 8182.5 30987.5 ; RECT 8307.5 30852.5 8372.5 30987.5 ; RECT 8307.5 30852.5 8372.5 30987.5 ; @@ -1933,16 +1933,16 @@ MACRO sram_2_16_1_freepdk45 RECT 8117.5 29967.5 8182.5 30102.5 ; RECT 8477.5 30942.5 8542.5 31077.5 ; RECT 8477.5 29967.5 8542.5 30102.5 ; - RECT 8175.0 30365.0 8240.0 30500.0 ; - RECT 8175.0 30365.0 8240.0 30500.0 ; - RECT 8340.0 30400.0 8405.0 30465.0 ; + RECT 8175.0 30410.0 8240.0 30545.0 ; + RECT 8175.0 30410.0 8240.0 30545.0 ; + RECT 8340.0 30445.0 8405.0 30510.0 ; RECT 8050.0 31162.5 8610.0 31227.5 ; RECT 8050.0 29817.5 8610.0 29882.5 ; RECT 8477.5 31380.0 8542.5 31195.0 ; RECT 8477.5 32540.0 8542.5 32355.0 ; RECT 8117.5 32422.5 8182.5 32572.5 ; RECT 8117.5 31537.5 8182.5 31162.5 ; - RECT 8307.5 32287.5 8372.5 31537.5 ; + RECT 8307.5 32422.5 8372.5 31537.5 ; RECT 8117.5 31537.5 8182.5 31402.5 ; RECT 8307.5 31537.5 8372.5 31402.5 ; RECT 8307.5 31537.5 8372.5 31402.5 ; @@ -1953,16 +1953,16 @@ MACRO sram_2_16_1_freepdk45 RECT 8117.5 32422.5 8182.5 32287.5 ; RECT 8477.5 31447.5 8542.5 31312.5 ; RECT 8477.5 32422.5 8542.5 32287.5 ; - RECT 8175.0 32025.0 8240.0 31890.0 ; - RECT 8175.0 32025.0 8240.0 31890.0 ; - RECT 8340.0 31990.0 8405.0 31925.0 ; + RECT 8175.0 31980.0 8240.0 31845.0 ; + RECT 8175.0 31980.0 8240.0 31845.0 ; + RECT 8340.0 31945.0 8405.0 31880.0 ; RECT 8050.0 31227.5 8610.0 31162.5 ; RECT 8050.0 32572.5 8610.0 32507.5 ; RECT 8477.5 33700.0 8542.5 33885.0 ; RECT 8477.5 32540.0 8542.5 32725.0 ; RECT 8117.5 32657.5 8182.5 32507.5 ; RECT 8117.5 33542.5 8182.5 33917.5 ; - RECT 8307.5 32792.5 8372.5 33542.5 ; + RECT 8307.5 32657.5 8372.5 33542.5 ; RECT 8117.5 33542.5 8182.5 33677.5 ; RECT 8307.5 33542.5 8372.5 33677.5 ; RECT 8307.5 33542.5 8372.5 33677.5 ; @@ -1973,16 +1973,16 @@ MACRO sram_2_16_1_freepdk45 RECT 8117.5 32657.5 8182.5 32792.5 ; RECT 8477.5 33632.5 8542.5 33767.5 ; RECT 8477.5 32657.5 8542.5 32792.5 ; - RECT 8175.0 33055.0 8240.0 33190.0 ; - RECT 8175.0 33055.0 8240.0 33190.0 ; - RECT 8340.0 33090.0 8405.0 33155.0 ; + RECT 8175.0 33100.0 8240.0 33235.0 ; + RECT 8175.0 33100.0 8240.0 33235.0 ; + RECT 8340.0 33135.0 8405.0 33200.0 ; RECT 8050.0 33852.5 8610.0 33917.5 ; RECT 8050.0 32507.5 8610.0 32572.5 ; RECT 8477.5 34070.0 8542.5 33885.0 ; RECT 8477.5 35230.0 8542.5 35045.0 ; RECT 8117.5 35112.5 8182.5 35262.5 ; RECT 8117.5 34227.5 8182.5 33852.5 ; - RECT 8307.5 34977.5 8372.5 34227.5 ; + RECT 8307.5 35112.5 8372.5 34227.5 ; RECT 8117.5 34227.5 8182.5 34092.5 ; RECT 8307.5 34227.5 8372.5 34092.5 ; RECT 8307.5 34227.5 8372.5 34092.5 ; @@ -1993,16 +1993,16 @@ MACRO sram_2_16_1_freepdk45 RECT 8117.5 35112.5 8182.5 34977.5 ; RECT 8477.5 34137.5 8542.5 34002.5 ; RECT 8477.5 35112.5 8542.5 34977.5 ; - RECT 8175.0 34715.0 8240.0 34580.0 ; - RECT 8175.0 34715.0 8240.0 34580.0 ; - RECT 8340.0 34680.0 8405.0 34615.0 ; + RECT 8175.0 34670.0 8240.0 34535.0 ; + RECT 8175.0 34670.0 8240.0 34535.0 ; + RECT 8340.0 34635.0 8405.0 34570.0 ; RECT 8050.0 33917.5 8610.0 33852.5 ; RECT 8050.0 35262.5 8610.0 35197.5 ; RECT 8477.5 36390.0 8542.5 36575.0 ; RECT 8477.5 35230.0 8542.5 35415.0 ; RECT 8117.5 35347.5 8182.5 35197.5 ; RECT 8117.5 36232.5 8182.5 36607.5 ; - RECT 8307.5 35482.5 8372.5 36232.5 ; + RECT 8307.5 35347.5 8372.5 36232.5 ; RECT 8117.5 36232.5 8182.5 36367.5 ; RECT 8307.5 36232.5 8372.5 36367.5 ; RECT 8307.5 36232.5 8372.5 36367.5 ; @@ -2013,16 +2013,16 @@ MACRO sram_2_16_1_freepdk45 RECT 8117.5 35347.5 8182.5 35482.5 ; RECT 8477.5 36322.5 8542.5 36457.5 ; RECT 8477.5 35347.5 8542.5 35482.5 ; - RECT 8175.0 35745.0 8240.0 35880.0 ; - RECT 8175.0 35745.0 8240.0 35880.0 ; - RECT 8340.0 35780.0 8405.0 35845.0 ; + RECT 8175.0 35790.0 8240.0 35925.0 ; + RECT 8175.0 35790.0 8240.0 35925.0 ; + RECT 8340.0 35825.0 8405.0 35890.0 ; RECT 8050.0 36542.5 8610.0 36607.5 ; RECT 8050.0 35197.5 8610.0 35262.5 ; RECT 8477.5 36760.0 8542.5 36575.0 ; RECT 8477.5 37920.0 8542.5 37735.0 ; RECT 8117.5 37802.5 8182.5 37952.5 ; RECT 8117.5 36917.5 8182.5 36542.5 ; - RECT 8307.5 37667.5 8372.5 36917.5 ; + RECT 8307.5 37802.5 8372.5 36917.5 ; RECT 8117.5 36917.5 8182.5 36782.5 ; RECT 8307.5 36917.5 8372.5 36782.5 ; RECT 8307.5 36917.5 8372.5 36782.5 ; @@ -2033,16 +2033,16 @@ MACRO sram_2_16_1_freepdk45 RECT 8117.5 37802.5 8182.5 37667.5 ; RECT 8477.5 36827.5 8542.5 36692.5 ; RECT 8477.5 37802.5 8542.5 37667.5 ; - RECT 8175.0 37405.0 8240.0 37270.0 ; - RECT 8175.0 37405.0 8240.0 37270.0 ; - RECT 8340.0 37370.0 8405.0 37305.0 ; + RECT 8175.0 37360.0 8240.0 37225.0 ; + RECT 8175.0 37360.0 8240.0 37225.0 ; + RECT 8340.0 37325.0 8405.0 37260.0 ; RECT 8050.0 36607.5 8610.0 36542.5 ; RECT 8050.0 37952.5 8610.0 37887.5 ; RECT 8477.5 39080.0 8542.5 39265.0 ; RECT 8477.5 37920.0 8542.5 38105.0 ; RECT 8117.5 38037.5 8182.5 37887.5 ; RECT 8117.5 38922.5 8182.5 39297.5 ; - RECT 8307.5 38172.5 8372.5 38922.5 ; + RECT 8307.5 38037.5 8372.5 38922.5 ; RECT 8117.5 38922.5 8182.5 39057.5 ; RECT 8307.5 38922.5 8372.5 39057.5 ; RECT 8307.5 38922.5 8372.5 39057.5 ; @@ -2053,16 +2053,16 @@ MACRO sram_2_16_1_freepdk45 RECT 8117.5 38037.5 8182.5 38172.5 ; RECT 8477.5 39012.5 8542.5 39147.5 ; RECT 8477.5 38037.5 8542.5 38172.5 ; - RECT 8175.0 38435.0 8240.0 38570.0 ; - RECT 8175.0 38435.0 8240.0 38570.0 ; - RECT 8340.0 38470.0 8405.0 38535.0 ; + RECT 8175.0 38480.0 8240.0 38615.0 ; + RECT 8175.0 38480.0 8240.0 38615.0 ; + RECT 8340.0 38515.0 8405.0 38580.0 ; RECT 8050.0 39232.5 8610.0 39297.5 ; RECT 8050.0 37887.5 8610.0 37952.5 ; RECT 8477.5 39450.0 8542.5 39265.0 ; RECT 8477.5 40610.0 8542.5 40425.0 ; RECT 8117.5 40492.5 8182.5 40642.5 ; RECT 8117.5 39607.5 8182.5 39232.5 ; - RECT 8307.5 40357.5 8372.5 39607.5 ; + RECT 8307.5 40492.5 8372.5 39607.5 ; RECT 8117.5 39607.5 8182.5 39472.5 ; RECT 8307.5 39607.5 8372.5 39472.5 ; RECT 8307.5 39607.5 8372.5 39472.5 ; @@ -2073,19 +2073,19 @@ MACRO sram_2_16_1_freepdk45 RECT 8117.5 40492.5 8182.5 40357.5 ; RECT 8477.5 39517.5 8542.5 39382.5 ; RECT 8477.5 40492.5 8542.5 40357.5 ; - RECT 8175.0 40095.0 8240.0 39960.0 ; - RECT 8175.0 40095.0 8240.0 39960.0 ; - RECT 8340.0 40060.0 8405.0 39995.0 ; + RECT 8175.0 40050.0 8240.0 39915.0 ; + RECT 8175.0 40050.0 8240.0 39915.0 ; + RECT 8340.0 40015.0 8405.0 39950.0 ; RECT 8050.0 39297.5 8610.0 39232.5 ; RECT 8050.0 40642.5 8610.0 40577.5 ; - RECT 5832.5 8880.0 5697.5 8945.0 ; - RECT 6007.5 10405.0 5872.5 10470.0 ; - RECT 6182.5 11570.0 6047.5 11635.0 ; - RECT 6357.5 13095.0 6222.5 13160.0 ; - RECT 6532.5 14260.0 6397.5 14325.0 ; - RECT 6707.5 15785.0 6572.5 15850.0 ; - RECT 6882.5 16950.0 6747.5 17015.0 ; - RECT 7057.5 18475.0 6922.5 18540.0 ; + RECT 5832.5 8925.0 5697.5 8990.0 ; + RECT 6007.5 10360.0 5872.5 10425.0 ; + RECT 6182.5 11615.0 6047.5 11680.0 ; + RECT 6357.5 13050.0 6222.5 13115.0 ; + RECT 6532.5 14305.0 6397.5 14370.0 ; + RECT 6707.5 15740.0 6572.5 15805.0 ; + RECT 6882.5 16995.0 6747.5 17060.0 ; + RECT 7057.5 18430.0 6922.5 18495.0 ; RECT 5832.5 19697.5 5697.5 19762.5 ; RECT 6532.5 19482.5 6397.5 19547.5 ; RECT 5832.5 21107.5 5697.5 21172.5 ; @@ -2118,22 +2118,22 @@ MACRO sram_2_16_1_freepdk45 RECT 6882.5 38312.5 6747.5 38377.5 ; RECT 6357.5 39937.5 6222.5 40002.5 ; RECT 7057.5 40152.5 6922.5 40217.5 ; - RECT 8340.0 19640.0 8405.0 19705.0 ; - RECT 8340.0 21165.0 8405.0 21230.0 ; - RECT 8340.0 22330.0 8405.0 22395.0 ; - RECT 8340.0 23855.0 8405.0 23920.0 ; - RECT 8340.0 25020.0 8405.0 25085.0 ; - RECT 8340.0 26545.0 8405.0 26610.0 ; - RECT 8340.0 27710.0 8405.0 27775.0 ; - RECT 8340.0 29235.0 8405.0 29300.0 ; - RECT 8340.0 30400.0 8405.0 30465.0 ; - RECT 8340.0 31925.0 8405.0 31990.0 ; - RECT 8340.0 33090.0 8405.0 33155.0 ; - RECT 8340.0 34615.0 8405.0 34680.0 ; - RECT 8340.0 35780.0 8405.0 35845.0 ; - RECT 8340.0 37305.0 8405.0 37370.0 ; - RECT 8340.0 38470.0 8405.0 38535.0 ; - RECT 8340.0 39995.0 8405.0 40060.0 ; + RECT 8340.0 19685.0 8405.0 19750.0 ; + RECT 8340.0 21120.0 8405.0 21185.0 ; + RECT 8340.0 22375.0 8405.0 22440.0 ; + RECT 8340.0 23810.0 8405.0 23875.0 ; + RECT 8340.0 25065.0 8405.0 25130.0 ; + RECT 8340.0 26500.0 8405.0 26565.0 ; + RECT 8340.0 27755.0 8405.0 27820.0 ; + RECT 8340.0 29190.0 8405.0 29255.0 ; + RECT 8340.0 30445.0 8405.0 30510.0 ; + RECT 8340.0 31880.0 8405.0 31945.0 ; + RECT 8340.0 33135.0 8405.0 33200.0 ; + RECT 8340.0 34570.0 8405.0 34635.0 ; + RECT 8340.0 35825.0 8405.0 35890.0 ; + RECT 8340.0 37260.0 8405.0 37325.0 ; + RECT 8340.0 38515.0 8405.0 38580.0 ; + RECT 8340.0 39950.0 8405.0 40015.0 ; RECT 5730.0 9642.5 11095.0 9707.5 ; RECT 5730.0 12332.5 11095.0 12397.5 ; RECT 5730.0 15022.5 11095.0 15087.5 ; @@ -2159,187 +2159,187 @@ MACRO sram_2_16_1_freepdk45 RECT 5730.0 35197.5 11095.0 35262.5 ; RECT 5730.0 37887.5 11095.0 37952.5 ; RECT 5730.0 40577.5 11095.0 40642.5 ; - RECT 8840.0 19640.0 9190.0 19705.0 ; + RECT 8840.0 19685.0 9190.0 19750.0 ; RECT 9355.0 19697.5 9420.0 19762.5 ; - RECT 9355.0 19640.0 9420.0 19705.0 ; - RECT 9355.0 19705.0 9420.0 19730.0 ; + RECT 9355.0 19685.0 9420.0 19750.0 ; + RECT 9355.0 19730.0 9420.0 19750.0 ; RECT 9387.5 19697.5 9685.0 19762.5 ; RECT 9685.0 19697.5 9820.0 19762.5 ; RECT 10390.0 19697.5 10455.0 19762.5 ; - RECT 10390.0 19640.0 10455.0 19705.0 ; + RECT 10390.0 19685.0 10455.0 19750.0 ; RECT 10172.5 19697.5 10422.5 19762.5 ; - RECT 10390.0 19672.5 10455.0 19730.0 ; - RECT 10422.5 19640.0 10670.0 19705.0 ; - RECT 8840.0 21165.0 9190.0 21230.0 ; + RECT 10390.0 19717.5 10455.0 19730.0 ; + RECT 10422.5 19685.0 10670.0 19750.0 ; + RECT 8840.0 21120.0 9190.0 21185.0 ; RECT 9355.0 21107.5 9420.0 21172.5 ; - RECT 9355.0 21165.0 9420.0 21230.0 ; - RECT 9355.0 21140.0 9420.0 21230.0 ; + RECT 9355.0 21120.0 9420.0 21185.0 ; + RECT 9355.0 21140.0 9420.0 21185.0 ; RECT 9387.5 21107.5 9685.0 21172.5 ; RECT 9685.0 21107.5 9820.0 21172.5 ; RECT 10390.0 21107.5 10455.0 21172.5 ; - RECT 10390.0 21165.0 10455.0 21230.0 ; + RECT 10390.0 21120.0 10455.0 21185.0 ; RECT 10172.5 21107.5 10422.5 21172.5 ; - RECT 10390.0 21140.0 10455.0 21197.5 ; - RECT 10422.5 21165.0 10670.0 21230.0 ; - RECT 8840.0 22330.0 9190.0 22395.0 ; + RECT 10390.0 21140.0 10455.0 21152.5 ; + RECT 10422.5 21120.0 10670.0 21185.0 ; + RECT 8840.0 22375.0 9190.0 22440.0 ; RECT 9355.0 22387.5 9420.0 22452.5 ; - RECT 9355.0 22330.0 9420.0 22395.0 ; - RECT 9355.0 22395.0 9420.0 22420.0 ; + RECT 9355.0 22375.0 9420.0 22440.0 ; + RECT 9355.0 22420.0 9420.0 22440.0 ; RECT 9387.5 22387.5 9685.0 22452.5 ; RECT 9685.0 22387.5 9820.0 22452.5 ; RECT 10390.0 22387.5 10455.0 22452.5 ; - RECT 10390.0 22330.0 10455.0 22395.0 ; + RECT 10390.0 22375.0 10455.0 22440.0 ; RECT 10172.5 22387.5 10422.5 22452.5 ; - RECT 10390.0 22362.5 10455.0 22420.0 ; - RECT 10422.5 22330.0 10670.0 22395.0 ; - RECT 8840.0 23855.0 9190.0 23920.0 ; + RECT 10390.0 22407.5 10455.0 22420.0 ; + RECT 10422.5 22375.0 10670.0 22440.0 ; + RECT 8840.0 23810.0 9190.0 23875.0 ; RECT 9355.0 23797.5 9420.0 23862.5 ; - RECT 9355.0 23855.0 9420.0 23920.0 ; - RECT 9355.0 23830.0 9420.0 23920.0 ; + RECT 9355.0 23810.0 9420.0 23875.0 ; + RECT 9355.0 23830.0 9420.0 23875.0 ; RECT 9387.5 23797.5 9685.0 23862.5 ; RECT 9685.0 23797.5 9820.0 23862.5 ; RECT 10390.0 23797.5 10455.0 23862.5 ; - RECT 10390.0 23855.0 10455.0 23920.0 ; + RECT 10390.0 23810.0 10455.0 23875.0 ; RECT 10172.5 23797.5 10422.5 23862.5 ; - RECT 10390.0 23830.0 10455.0 23887.5 ; - RECT 10422.5 23855.0 10670.0 23920.0 ; - RECT 8840.0 25020.0 9190.0 25085.0 ; + RECT 10390.0 23830.0 10455.0 23842.5 ; + RECT 10422.5 23810.0 10670.0 23875.0 ; + RECT 8840.0 25065.0 9190.0 25130.0 ; RECT 9355.0 25077.5 9420.0 25142.5 ; - RECT 9355.0 25020.0 9420.0 25085.0 ; - RECT 9355.0 25085.0 9420.0 25110.0 ; + RECT 9355.0 25065.0 9420.0 25130.0 ; + RECT 9355.0 25110.0 9420.0 25130.0 ; RECT 9387.5 25077.5 9685.0 25142.5 ; RECT 9685.0 25077.5 9820.0 25142.5 ; RECT 10390.0 25077.5 10455.0 25142.5 ; - RECT 10390.0 25020.0 10455.0 25085.0 ; + RECT 10390.0 25065.0 10455.0 25130.0 ; RECT 10172.5 25077.5 10422.5 25142.5 ; - RECT 10390.0 25052.5 10455.0 25110.0 ; - RECT 10422.5 25020.0 10670.0 25085.0 ; - RECT 8840.0 26545.0 9190.0 26610.0 ; + RECT 10390.0 25097.5 10455.0 25110.0 ; + RECT 10422.5 25065.0 10670.0 25130.0 ; + RECT 8840.0 26500.0 9190.0 26565.0 ; RECT 9355.0 26487.5 9420.0 26552.5 ; - RECT 9355.0 26545.0 9420.0 26610.0 ; - RECT 9355.0 26520.0 9420.0 26610.0 ; + RECT 9355.0 26500.0 9420.0 26565.0 ; + RECT 9355.0 26520.0 9420.0 26565.0 ; RECT 9387.5 26487.5 9685.0 26552.5 ; RECT 9685.0 26487.5 9820.0 26552.5 ; RECT 10390.0 26487.5 10455.0 26552.5 ; - RECT 10390.0 26545.0 10455.0 26610.0 ; + RECT 10390.0 26500.0 10455.0 26565.0 ; RECT 10172.5 26487.5 10422.5 26552.5 ; - RECT 10390.0 26520.0 10455.0 26577.5 ; - RECT 10422.5 26545.0 10670.0 26610.0 ; - RECT 8840.0 27710.0 9190.0 27775.0 ; + RECT 10390.0 26520.0 10455.0 26532.5 ; + RECT 10422.5 26500.0 10670.0 26565.0 ; + RECT 8840.0 27755.0 9190.0 27820.0 ; RECT 9355.0 27767.5 9420.0 27832.5 ; - RECT 9355.0 27710.0 9420.0 27775.0 ; - RECT 9355.0 27775.0 9420.0 27800.0 ; + RECT 9355.0 27755.0 9420.0 27820.0 ; + RECT 9355.0 27800.0 9420.0 27820.0 ; RECT 9387.5 27767.5 9685.0 27832.5 ; RECT 9685.0 27767.5 9820.0 27832.5 ; RECT 10390.0 27767.5 10455.0 27832.5 ; - RECT 10390.0 27710.0 10455.0 27775.0 ; + RECT 10390.0 27755.0 10455.0 27820.0 ; RECT 10172.5 27767.5 10422.5 27832.5 ; - RECT 10390.0 27742.5 10455.0 27800.0 ; - RECT 10422.5 27710.0 10670.0 27775.0 ; - RECT 8840.0 29235.0 9190.0 29300.0 ; + RECT 10390.0 27787.5 10455.0 27800.0 ; + RECT 10422.5 27755.0 10670.0 27820.0 ; + RECT 8840.0 29190.0 9190.0 29255.0 ; RECT 9355.0 29177.5 9420.0 29242.5 ; - RECT 9355.0 29235.0 9420.0 29300.0 ; - RECT 9355.0 29210.0 9420.0 29300.0 ; + RECT 9355.0 29190.0 9420.0 29255.0 ; + RECT 9355.0 29210.0 9420.0 29255.0 ; RECT 9387.5 29177.5 9685.0 29242.5 ; RECT 9685.0 29177.5 9820.0 29242.5 ; RECT 10390.0 29177.5 10455.0 29242.5 ; - RECT 10390.0 29235.0 10455.0 29300.0 ; + RECT 10390.0 29190.0 10455.0 29255.0 ; RECT 10172.5 29177.5 10422.5 29242.5 ; - RECT 10390.0 29210.0 10455.0 29267.5 ; - RECT 10422.5 29235.0 10670.0 29300.0 ; - RECT 8840.0 30400.0 9190.0 30465.0 ; + RECT 10390.0 29210.0 10455.0 29222.5 ; + RECT 10422.5 29190.0 10670.0 29255.0 ; + RECT 8840.0 30445.0 9190.0 30510.0 ; RECT 9355.0 30457.5 9420.0 30522.5 ; - RECT 9355.0 30400.0 9420.0 30465.0 ; - RECT 9355.0 30465.0 9420.0 30490.0 ; + RECT 9355.0 30445.0 9420.0 30510.0 ; + RECT 9355.0 30490.0 9420.0 30510.0 ; RECT 9387.5 30457.5 9685.0 30522.5 ; RECT 9685.0 30457.5 9820.0 30522.5 ; RECT 10390.0 30457.5 10455.0 30522.5 ; - RECT 10390.0 30400.0 10455.0 30465.0 ; + RECT 10390.0 30445.0 10455.0 30510.0 ; RECT 10172.5 30457.5 10422.5 30522.5 ; - RECT 10390.0 30432.5 10455.0 30490.0 ; - RECT 10422.5 30400.0 10670.0 30465.0 ; - RECT 8840.0 31925.0 9190.0 31990.0 ; + RECT 10390.0 30477.5 10455.0 30490.0 ; + RECT 10422.5 30445.0 10670.0 30510.0 ; + RECT 8840.0 31880.0 9190.0 31945.0 ; RECT 9355.0 31867.5 9420.0 31932.5 ; - RECT 9355.0 31925.0 9420.0 31990.0 ; - RECT 9355.0 31900.0 9420.0 31990.0 ; + RECT 9355.0 31880.0 9420.0 31945.0 ; + RECT 9355.0 31900.0 9420.0 31945.0 ; RECT 9387.5 31867.5 9685.0 31932.5 ; RECT 9685.0 31867.5 9820.0 31932.5 ; RECT 10390.0 31867.5 10455.0 31932.5 ; - RECT 10390.0 31925.0 10455.0 31990.0 ; + RECT 10390.0 31880.0 10455.0 31945.0 ; RECT 10172.5 31867.5 10422.5 31932.5 ; - RECT 10390.0 31900.0 10455.0 31957.5 ; - RECT 10422.5 31925.0 10670.0 31990.0 ; - RECT 8840.0 33090.0 9190.0 33155.0 ; + RECT 10390.0 31900.0 10455.0 31912.5 ; + RECT 10422.5 31880.0 10670.0 31945.0 ; + RECT 8840.0 33135.0 9190.0 33200.0 ; RECT 9355.0 33147.5 9420.0 33212.5 ; - RECT 9355.0 33090.0 9420.0 33155.0 ; - RECT 9355.0 33155.0 9420.0 33180.0 ; + RECT 9355.0 33135.0 9420.0 33200.0 ; + RECT 9355.0 33180.0 9420.0 33200.0 ; RECT 9387.5 33147.5 9685.0 33212.5 ; RECT 9685.0 33147.5 9820.0 33212.5 ; RECT 10390.0 33147.5 10455.0 33212.5 ; - RECT 10390.0 33090.0 10455.0 33155.0 ; + RECT 10390.0 33135.0 10455.0 33200.0 ; RECT 10172.5 33147.5 10422.5 33212.5 ; - RECT 10390.0 33122.5 10455.0 33180.0 ; - RECT 10422.5 33090.0 10670.0 33155.0 ; - RECT 8840.0 34615.0 9190.0 34680.0 ; + RECT 10390.0 33167.5 10455.0 33180.0 ; + RECT 10422.5 33135.0 10670.0 33200.0 ; + RECT 8840.0 34570.0 9190.0 34635.0 ; RECT 9355.0 34557.5 9420.0 34622.5 ; - RECT 9355.0 34615.0 9420.0 34680.0 ; - RECT 9355.0 34590.0 9420.0 34680.0 ; + RECT 9355.0 34570.0 9420.0 34635.0 ; + RECT 9355.0 34590.0 9420.0 34635.0 ; RECT 9387.5 34557.5 9685.0 34622.5 ; RECT 9685.0 34557.5 9820.0 34622.5 ; RECT 10390.0 34557.5 10455.0 34622.5 ; - RECT 10390.0 34615.0 10455.0 34680.0 ; + RECT 10390.0 34570.0 10455.0 34635.0 ; RECT 10172.5 34557.5 10422.5 34622.5 ; - RECT 10390.0 34590.0 10455.0 34647.5 ; - RECT 10422.5 34615.0 10670.0 34680.0 ; - RECT 8840.0 35780.0 9190.0 35845.0 ; + RECT 10390.0 34590.0 10455.0 34602.5 ; + RECT 10422.5 34570.0 10670.0 34635.0 ; + RECT 8840.0 35825.0 9190.0 35890.0 ; RECT 9355.0 35837.5 9420.0 35902.5 ; - RECT 9355.0 35780.0 9420.0 35845.0 ; - RECT 9355.0 35845.0 9420.0 35870.0 ; + RECT 9355.0 35825.0 9420.0 35890.0 ; + RECT 9355.0 35870.0 9420.0 35890.0 ; RECT 9387.5 35837.5 9685.0 35902.5 ; RECT 9685.0 35837.5 9820.0 35902.5 ; RECT 10390.0 35837.5 10455.0 35902.5 ; - RECT 10390.0 35780.0 10455.0 35845.0 ; + RECT 10390.0 35825.0 10455.0 35890.0 ; RECT 10172.5 35837.5 10422.5 35902.5 ; - RECT 10390.0 35812.5 10455.0 35870.0 ; - RECT 10422.5 35780.0 10670.0 35845.0 ; - RECT 8840.0 37305.0 9190.0 37370.0 ; + RECT 10390.0 35857.5 10455.0 35870.0 ; + RECT 10422.5 35825.0 10670.0 35890.0 ; + RECT 8840.0 37260.0 9190.0 37325.0 ; RECT 9355.0 37247.5 9420.0 37312.5 ; - RECT 9355.0 37305.0 9420.0 37370.0 ; - RECT 9355.0 37280.0 9420.0 37370.0 ; + RECT 9355.0 37260.0 9420.0 37325.0 ; + RECT 9355.0 37280.0 9420.0 37325.0 ; RECT 9387.5 37247.5 9685.0 37312.5 ; RECT 9685.0 37247.5 9820.0 37312.5 ; RECT 10390.0 37247.5 10455.0 37312.5 ; - RECT 10390.0 37305.0 10455.0 37370.0 ; + RECT 10390.0 37260.0 10455.0 37325.0 ; RECT 10172.5 37247.5 10422.5 37312.5 ; - RECT 10390.0 37280.0 10455.0 37337.5 ; - RECT 10422.5 37305.0 10670.0 37370.0 ; - RECT 8840.0 38470.0 9190.0 38535.0 ; + RECT 10390.0 37280.0 10455.0 37292.5 ; + RECT 10422.5 37260.0 10670.0 37325.0 ; + RECT 8840.0 38515.0 9190.0 38580.0 ; RECT 9355.0 38527.5 9420.0 38592.5 ; - RECT 9355.0 38470.0 9420.0 38535.0 ; - RECT 9355.0 38535.0 9420.0 38560.0 ; + RECT 9355.0 38515.0 9420.0 38580.0 ; + RECT 9355.0 38560.0 9420.0 38580.0 ; RECT 9387.5 38527.5 9685.0 38592.5 ; RECT 9685.0 38527.5 9820.0 38592.5 ; RECT 10390.0 38527.5 10455.0 38592.5 ; - RECT 10390.0 38470.0 10455.0 38535.0 ; + RECT 10390.0 38515.0 10455.0 38580.0 ; RECT 10172.5 38527.5 10422.5 38592.5 ; - RECT 10390.0 38502.5 10455.0 38560.0 ; - RECT 10422.5 38470.0 10670.0 38535.0 ; - RECT 8840.0 39995.0 9190.0 40060.0 ; + RECT 10390.0 38547.5 10455.0 38560.0 ; + RECT 10422.5 38515.0 10670.0 38580.0 ; + RECT 8840.0 39950.0 9190.0 40015.0 ; RECT 9355.0 39937.5 9420.0 40002.5 ; - RECT 9355.0 39995.0 9420.0 40060.0 ; - RECT 9355.0 39970.0 9420.0 40060.0 ; + RECT 9355.0 39950.0 9420.0 40015.0 ; + RECT 9355.0 39970.0 9420.0 40015.0 ; RECT 9387.5 39937.5 9685.0 40002.5 ; RECT 9685.0 39937.5 9820.0 40002.5 ; RECT 10390.0 39937.5 10455.0 40002.5 ; - RECT 10390.0 39995.0 10455.0 40060.0 ; + RECT 10390.0 39950.0 10455.0 40015.0 ; RECT 10172.5 39937.5 10422.5 40002.5 ; - RECT 10390.0 39970.0 10455.0 40027.5 ; - RECT 10422.5 39995.0 10670.0 40060.0 ; + RECT 10390.0 39970.0 10455.0 39982.5 ; + RECT 10422.5 39950.0 10670.0 40015.0 ; RECT 9492.5 20250.0 9557.5 20435.0 ; RECT 9492.5 19090.0 9557.5 19275.0 ; RECT 9132.5 19207.5 9197.5 19057.5 ; RECT 9132.5 20092.5 9197.5 20467.5 ; - RECT 9322.5 19342.5 9387.5 20092.5 ; + RECT 9322.5 19207.5 9387.5 20092.5 ; RECT 9132.5 20092.5 9197.5 20227.5 ; RECT 9322.5 20092.5 9387.5 20227.5 ; RECT 9322.5 20092.5 9387.5 20227.5 ; @@ -2350,9 +2350,9 @@ MACRO sram_2_16_1_freepdk45 RECT 9132.5 19207.5 9197.5 19342.5 ; RECT 9492.5 20182.5 9557.5 20317.5 ; RECT 9492.5 19207.5 9557.5 19342.5 ; - RECT 9190.0 19605.0 9255.0 19740.0 ; - RECT 9190.0 19605.0 9255.0 19740.0 ; - RECT 9355.0 19640.0 9420.0 19705.0 ; + RECT 9190.0 19650.0 9255.0 19785.0 ; + RECT 9190.0 19650.0 9255.0 19785.0 ; + RECT 9355.0 19685.0 9420.0 19750.0 ; RECT 9065.0 20402.5 9625.0 20467.5 ; RECT 9065.0 19057.5 9625.0 19122.5 ; RECT 9692.5 19252.5 9757.5 19057.5 ; @@ -2392,7 +2392,7 @@ MACRO sram_2_16_1_freepdk45 RECT 10972.5 19090.0 11037.5 19275.0 ; RECT 10612.5 19207.5 10677.5 19057.5 ; RECT 10612.5 20092.5 10677.5 20467.5 ; - RECT 10802.5 19342.5 10867.5 20092.5 ; + RECT 10802.5 19207.5 10867.5 20092.5 ; RECT 10612.5 20092.5 10677.5 20227.5 ; RECT 10802.5 20092.5 10867.5 20227.5 ; RECT 10802.5 20092.5 10867.5 20227.5 ; @@ -2403,19 +2403,19 @@ MACRO sram_2_16_1_freepdk45 RECT 10612.5 19207.5 10677.5 19342.5 ; RECT 10972.5 20182.5 11037.5 20317.5 ; RECT 10972.5 19207.5 11037.5 19342.5 ; - RECT 10670.0 19605.0 10735.0 19740.0 ; - RECT 10670.0 19605.0 10735.0 19740.0 ; - RECT 10835.0 19640.0 10900.0 19705.0 ; + RECT 10670.0 19650.0 10735.0 19785.0 ; + RECT 10670.0 19650.0 10735.0 19785.0 ; + RECT 10835.0 19685.0 10900.0 19750.0 ; RECT 10545.0 20402.5 11105.0 20467.5 ; RECT 10545.0 19057.5 11105.0 19122.5 ; - RECT 8807.5 19605.0 8872.5 19740.0 ; + RECT 8807.5 19650.0 8872.5 19785.0 ; RECT 8947.5 19377.5 9012.5 19512.5 ; RECT 9942.5 19482.5 9807.5 19547.5 ; RECT 9492.5 20620.0 9557.5 20435.0 ; RECT 9492.5 21780.0 9557.5 21595.0 ; RECT 9132.5 21662.5 9197.5 21812.5 ; RECT 9132.5 20777.5 9197.5 20402.5 ; - RECT 9322.5 21527.5 9387.5 20777.5 ; + RECT 9322.5 21662.5 9387.5 20777.5 ; RECT 9132.5 20777.5 9197.5 20642.5 ; RECT 9322.5 20777.5 9387.5 20642.5 ; RECT 9322.5 20777.5 9387.5 20642.5 ; @@ -2426,9 +2426,9 @@ MACRO sram_2_16_1_freepdk45 RECT 9132.5 21662.5 9197.5 21527.5 ; RECT 9492.5 20687.5 9557.5 20552.5 ; RECT 9492.5 21662.5 9557.5 21527.5 ; - RECT 9190.0 21265.0 9255.0 21130.0 ; - RECT 9190.0 21265.0 9255.0 21130.0 ; - RECT 9355.0 21230.0 9420.0 21165.0 ; + RECT 9190.0 21220.0 9255.0 21085.0 ; + RECT 9190.0 21220.0 9255.0 21085.0 ; + RECT 9355.0 21185.0 9420.0 21120.0 ; RECT 9065.0 20467.5 9625.0 20402.5 ; RECT 9065.0 21812.5 9625.0 21747.5 ; RECT 9692.5 21617.5 9757.5 21812.5 ; @@ -2468,7 +2468,7 @@ MACRO sram_2_16_1_freepdk45 RECT 10972.5 21780.0 11037.5 21595.0 ; RECT 10612.5 21662.5 10677.5 21812.5 ; RECT 10612.5 20777.5 10677.5 20402.5 ; - RECT 10802.5 21527.5 10867.5 20777.5 ; + RECT 10802.5 21662.5 10867.5 20777.5 ; RECT 10612.5 20777.5 10677.5 20642.5 ; RECT 10802.5 20777.5 10867.5 20642.5 ; RECT 10802.5 20777.5 10867.5 20642.5 ; @@ -2479,19 +2479,19 @@ MACRO sram_2_16_1_freepdk45 RECT 10612.5 21662.5 10677.5 21527.5 ; RECT 10972.5 20687.5 11037.5 20552.5 ; RECT 10972.5 21662.5 11037.5 21527.5 ; - RECT 10670.0 21265.0 10735.0 21130.0 ; - RECT 10670.0 21265.0 10735.0 21130.0 ; - RECT 10835.0 21230.0 10900.0 21165.0 ; + RECT 10670.0 21220.0 10735.0 21085.0 ; + RECT 10670.0 21220.0 10735.0 21085.0 ; + RECT 10835.0 21185.0 10900.0 21120.0 ; RECT 10545.0 20467.5 11105.0 20402.5 ; RECT 10545.0 21812.5 11105.0 21747.5 ; - RECT 8807.5 21130.0 8872.5 21265.0 ; + RECT 8807.5 21085.0 8872.5 21220.0 ; RECT 8947.5 21357.5 9012.5 21492.5 ; RECT 9942.5 21322.5 9807.5 21387.5 ; RECT 9492.5 22940.0 9557.5 23125.0 ; RECT 9492.5 21780.0 9557.5 21965.0 ; RECT 9132.5 21897.5 9197.5 21747.5 ; RECT 9132.5 22782.5 9197.5 23157.5 ; - RECT 9322.5 22032.5 9387.5 22782.5 ; + RECT 9322.5 21897.5 9387.5 22782.5 ; RECT 9132.5 22782.5 9197.5 22917.5 ; RECT 9322.5 22782.5 9387.5 22917.5 ; RECT 9322.5 22782.5 9387.5 22917.5 ; @@ -2502,9 +2502,9 @@ MACRO sram_2_16_1_freepdk45 RECT 9132.5 21897.5 9197.5 22032.5 ; RECT 9492.5 22872.5 9557.5 23007.5 ; RECT 9492.5 21897.5 9557.5 22032.5 ; - RECT 9190.0 22295.0 9255.0 22430.0 ; - RECT 9190.0 22295.0 9255.0 22430.0 ; - RECT 9355.0 22330.0 9420.0 22395.0 ; + RECT 9190.0 22340.0 9255.0 22475.0 ; + RECT 9190.0 22340.0 9255.0 22475.0 ; + RECT 9355.0 22375.0 9420.0 22440.0 ; RECT 9065.0 23092.5 9625.0 23157.5 ; RECT 9065.0 21747.5 9625.0 21812.5 ; RECT 9692.5 21942.5 9757.5 21747.5 ; @@ -2544,7 +2544,7 @@ MACRO sram_2_16_1_freepdk45 RECT 10972.5 21780.0 11037.5 21965.0 ; RECT 10612.5 21897.5 10677.5 21747.5 ; RECT 10612.5 22782.5 10677.5 23157.5 ; - RECT 10802.5 22032.5 10867.5 22782.5 ; + RECT 10802.5 21897.5 10867.5 22782.5 ; RECT 10612.5 22782.5 10677.5 22917.5 ; RECT 10802.5 22782.5 10867.5 22917.5 ; RECT 10802.5 22782.5 10867.5 22917.5 ; @@ -2555,19 +2555,19 @@ MACRO sram_2_16_1_freepdk45 RECT 10612.5 21897.5 10677.5 22032.5 ; RECT 10972.5 22872.5 11037.5 23007.5 ; RECT 10972.5 21897.5 11037.5 22032.5 ; - RECT 10670.0 22295.0 10735.0 22430.0 ; - RECT 10670.0 22295.0 10735.0 22430.0 ; - RECT 10835.0 22330.0 10900.0 22395.0 ; + RECT 10670.0 22340.0 10735.0 22475.0 ; + RECT 10670.0 22340.0 10735.0 22475.0 ; + RECT 10835.0 22375.0 10900.0 22440.0 ; RECT 10545.0 23092.5 11105.0 23157.5 ; RECT 10545.0 21747.5 11105.0 21812.5 ; - RECT 8807.5 22295.0 8872.5 22430.0 ; + RECT 8807.5 22340.0 8872.5 22475.0 ; RECT 8947.5 22067.5 9012.5 22202.5 ; RECT 9942.5 22172.5 9807.5 22237.5 ; RECT 9492.5 23310.0 9557.5 23125.0 ; RECT 9492.5 24470.0 9557.5 24285.0 ; RECT 9132.5 24352.5 9197.5 24502.5 ; RECT 9132.5 23467.5 9197.5 23092.5 ; - RECT 9322.5 24217.5 9387.5 23467.5 ; + RECT 9322.5 24352.5 9387.5 23467.5 ; RECT 9132.5 23467.5 9197.5 23332.5 ; RECT 9322.5 23467.5 9387.5 23332.5 ; RECT 9322.5 23467.5 9387.5 23332.5 ; @@ -2578,9 +2578,9 @@ MACRO sram_2_16_1_freepdk45 RECT 9132.5 24352.5 9197.5 24217.5 ; RECT 9492.5 23377.5 9557.5 23242.5 ; RECT 9492.5 24352.5 9557.5 24217.5 ; - RECT 9190.0 23955.0 9255.0 23820.0 ; - RECT 9190.0 23955.0 9255.0 23820.0 ; - RECT 9355.0 23920.0 9420.0 23855.0 ; + RECT 9190.0 23910.0 9255.0 23775.0 ; + RECT 9190.0 23910.0 9255.0 23775.0 ; + RECT 9355.0 23875.0 9420.0 23810.0 ; RECT 9065.0 23157.5 9625.0 23092.5 ; RECT 9065.0 24502.5 9625.0 24437.5 ; RECT 9692.5 24307.5 9757.5 24502.5 ; @@ -2620,7 +2620,7 @@ MACRO sram_2_16_1_freepdk45 RECT 10972.5 24470.0 11037.5 24285.0 ; RECT 10612.5 24352.5 10677.5 24502.5 ; RECT 10612.5 23467.5 10677.5 23092.5 ; - RECT 10802.5 24217.5 10867.5 23467.5 ; + RECT 10802.5 24352.5 10867.5 23467.5 ; RECT 10612.5 23467.5 10677.5 23332.5 ; RECT 10802.5 23467.5 10867.5 23332.5 ; RECT 10802.5 23467.5 10867.5 23332.5 ; @@ -2631,19 +2631,19 @@ MACRO sram_2_16_1_freepdk45 RECT 10612.5 24352.5 10677.5 24217.5 ; RECT 10972.5 23377.5 11037.5 23242.5 ; RECT 10972.5 24352.5 11037.5 24217.5 ; - RECT 10670.0 23955.0 10735.0 23820.0 ; - RECT 10670.0 23955.0 10735.0 23820.0 ; - RECT 10835.0 23920.0 10900.0 23855.0 ; + RECT 10670.0 23910.0 10735.0 23775.0 ; + RECT 10670.0 23910.0 10735.0 23775.0 ; + RECT 10835.0 23875.0 10900.0 23810.0 ; RECT 10545.0 23157.5 11105.0 23092.5 ; RECT 10545.0 24502.5 11105.0 24437.5 ; - RECT 8807.5 23820.0 8872.5 23955.0 ; + RECT 8807.5 23775.0 8872.5 23910.0 ; RECT 8947.5 24047.5 9012.5 24182.5 ; RECT 9942.5 24012.5 9807.5 24077.5 ; RECT 9492.5 25630.0 9557.5 25815.0 ; RECT 9492.5 24470.0 9557.5 24655.0 ; RECT 9132.5 24587.5 9197.5 24437.5 ; RECT 9132.5 25472.5 9197.5 25847.5 ; - RECT 9322.5 24722.5 9387.5 25472.5 ; + RECT 9322.5 24587.5 9387.5 25472.5 ; RECT 9132.5 25472.5 9197.5 25607.5 ; RECT 9322.5 25472.5 9387.5 25607.5 ; RECT 9322.5 25472.5 9387.5 25607.5 ; @@ -2654,9 +2654,9 @@ MACRO sram_2_16_1_freepdk45 RECT 9132.5 24587.5 9197.5 24722.5 ; RECT 9492.5 25562.5 9557.5 25697.5 ; RECT 9492.5 24587.5 9557.5 24722.5 ; - RECT 9190.0 24985.0 9255.0 25120.0 ; - RECT 9190.0 24985.0 9255.0 25120.0 ; - RECT 9355.0 25020.0 9420.0 25085.0 ; + RECT 9190.0 25030.0 9255.0 25165.0 ; + RECT 9190.0 25030.0 9255.0 25165.0 ; + RECT 9355.0 25065.0 9420.0 25130.0 ; RECT 9065.0 25782.5 9625.0 25847.5 ; RECT 9065.0 24437.5 9625.0 24502.5 ; RECT 9692.5 24632.5 9757.5 24437.5 ; @@ -2696,7 +2696,7 @@ MACRO sram_2_16_1_freepdk45 RECT 10972.5 24470.0 11037.5 24655.0 ; RECT 10612.5 24587.5 10677.5 24437.5 ; RECT 10612.5 25472.5 10677.5 25847.5 ; - RECT 10802.5 24722.5 10867.5 25472.5 ; + RECT 10802.5 24587.5 10867.5 25472.5 ; RECT 10612.5 25472.5 10677.5 25607.5 ; RECT 10802.5 25472.5 10867.5 25607.5 ; RECT 10802.5 25472.5 10867.5 25607.5 ; @@ -2707,19 +2707,19 @@ MACRO sram_2_16_1_freepdk45 RECT 10612.5 24587.5 10677.5 24722.5 ; RECT 10972.5 25562.5 11037.5 25697.5 ; RECT 10972.5 24587.5 11037.5 24722.5 ; - RECT 10670.0 24985.0 10735.0 25120.0 ; - RECT 10670.0 24985.0 10735.0 25120.0 ; - RECT 10835.0 25020.0 10900.0 25085.0 ; + RECT 10670.0 25030.0 10735.0 25165.0 ; + RECT 10670.0 25030.0 10735.0 25165.0 ; + RECT 10835.0 25065.0 10900.0 25130.0 ; RECT 10545.0 25782.5 11105.0 25847.5 ; RECT 10545.0 24437.5 11105.0 24502.5 ; - RECT 8807.5 24985.0 8872.5 25120.0 ; + RECT 8807.5 25030.0 8872.5 25165.0 ; RECT 8947.5 24757.5 9012.5 24892.5 ; RECT 9942.5 24862.5 9807.5 24927.5 ; RECT 9492.5 26000.0 9557.5 25815.0 ; RECT 9492.5 27160.0 9557.5 26975.0 ; RECT 9132.5 27042.5 9197.5 27192.5 ; RECT 9132.5 26157.5 9197.5 25782.5 ; - RECT 9322.5 26907.5 9387.5 26157.5 ; + RECT 9322.5 27042.5 9387.5 26157.5 ; RECT 9132.5 26157.5 9197.5 26022.5 ; RECT 9322.5 26157.5 9387.5 26022.5 ; RECT 9322.5 26157.5 9387.5 26022.5 ; @@ -2730,9 +2730,9 @@ MACRO sram_2_16_1_freepdk45 RECT 9132.5 27042.5 9197.5 26907.5 ; RECT 9492.5 26067.5 9557.5 25932.5 ; RECT 9492.5 27042.5 9557.5 26907.5 ; - RECT 9190.0 26645.0 9255.0 26510.0 ; - RECT 9190.0 26645.0 9255.0 26510.0 ; - RECT 9355.0 26610.0 9420.0 26545.0 ; + RECT 9190.0 26600.0 9255.0 26465.0 ; + RECT 9190.0 26600.0 9255.0 26465.0 ; + RECT 9355.0 26565.0 9420.0 26500.0 ; RECT 9065.0 25847.5 9625.0 25782.5 ; RECT 9065.0 27192.5 9625.0 27127.5 ; RECT 9692.5 26997.5 9757.5 27192.5 ; @@ -2772,7 +2772,7 @@ MACRO sram_2_16_1_freepdk45 RECT 10972.5 27160.0 11037.5 26975.0 ; RECT 10612.5 27042.5 10677.5 27192.5 ; RECT 10612.5 26157.5 10677.5 25782.5 ; - RECT 10802.5 26907.5 10867.5 26157.5 ; + RECT 10802.5 27042.5 10867.5 26157.5 ; RECT 10612.5 26157.5 10677.5 26022.5 ; RECT 10802.5 26157.5 10867.5 26022.5 ; RECT 10802.5 26157.5 10867.5 26022.5 ; @@ -2783,19 +2783,19 @@ MACRO sram_2_16_1_freepdk45 RECT 10612.5 27042.5 10677.5 26907.5 ; RECT 10972.5 26067.5 11037.5 25932.5 ; RECT 10972.5 27042.5 11037.5 26907.5 ; - RECT 10670.0 26645.0 10735.0 26510.0 ; - RECT 10670.0 26645.0 10735.0 26510.0 ; - RECT 10835.0 26610.0 10900.0 26545.0 ; + RECT 10670.0 26600.0 10735.0 26465.0 ; + RECT 10670.0 26600.0 10735.0 26465.0 ; + RECT 10835.0 26565.0 10900.0 26500.0 ; RECT 10545.0 25847.5 11105.0 25782.5 ; RECT 10545.0 27192.5 11105.0 27127.5 ; - RECT 8807.5 26510.0 8872.5 26645.0 ; + RECT 8807.5 26465.0 8872.5 26600.0 ; RECT 8947.5 26737.5 9012.5 26872.5 ; RECT 9942.5 26702.5 9807.5 26767.5 ; RECT 9492.5 28320.0 9557.5 28505.0 ; RECT 9492.5 27160.0 9557.5 27345.0 ; RECT 9132.5 27277.5 9197.5 27127.5 ; RECT 9132.5 28162.5 9197.5 28537.5 ; - RECT 9322.5 27412.5 9387.5 28162.5 ; + RECT 9322.5 27277.5 9387.5 28162.5 ; RECT 9132.5 28162.5 9197.5 28297.5 ; RECT 9322.5 28162.5 9387.5 28297.5 ; RECT 9322.5 28162.5 9387.5 28297.5 ; @@ -2806,9 +2806,9 @@ MACRO sram_2_16_1_freepdk45 RECT 9132.5 27277.5 9197.5 27412.5 ; RECT 9492.5 28252.5 9557.5 28387.5 ; RECT 9492.5 27277.5 9557.5 27412.5 ; - RECT 9190.0 27675.0 9255.0 27810.0 ; - RECT 9190.0 27675.0 9255.0 27810.0 ; - RECT 9355.0 27710.0 9420.0 27775.0 ; + RECT 9190.0 27720.0 9255.0 27855.0 ; + RECT 9190.0 27720.0 9255.0 27855.0 ; + RECT 9355.0 27755.0 9420.0 27820.0 ; RECT 9065.0 28472.5 9625.0 28537.5 ; RECT 9065.0 27127.5 9625.0 27192.5 ; RECT 9692.5 27322.5 9757.5 27127.5 ; @@ -2848,7 +2848,7 @@ MACRO sram_2_16_1_freepdk45 RECT 10972.5 27160.0 11037.5 27345.0 ; RECT 10612.5 27277.5 10677.5 27127.5 ; RECT 10612.5 28162.5 10677.5 28537.5 ; - RECT 10802.5 27412.5 10867.5 28162.5 ; + RECT 10802.5 27277.5 10867.5 28162.5 ; RECT 10612.5 28162.5 10677.5 28297.5 ; RECT 10802.5 28162.5 10867.5 28297.5 ; RECT 10802.5 28162.5 10867.5 28297.5 ; @@ -2859,19 +2859,19 @@ MACRO sram_2_16_1_freepdk45 RECT 10612.5 27277.5 10677.5 27412.5 ; RECT 10972.5 28252.5 11037.5 28387.5 ; RECT 10972.5 27277.5 11037.5 27412.5 ; - RECT 10670.0 27675.0 10735.0 27810.0 ; - RECT 10670.0 27675.0 10735.0 27810.0 ; - RECT 10835.0 27710.0 10900.0 27775.0 ; + RECT 10670.0 27720.0 10735.0 27855.0 ; + RECT 10670.0 27720.0 10735.0 27855.0 ; + RECT 10835.0 27755.0 10900.0 27820.0 ; RECT 10545.0 28472.5 11105.0 28537.5 ; RECT 10545.0 27127.5 11105.0 27192.5 ; - RECT 8807.5 27675.0 8872.5 27810.0 ; + RECT 8807.5 27720.0 8872.5 27855.0 ; RECT 8947.5 27447.5 9012.5 27582.5 ; RECT 9942.5 27552.5 9807.5 27617.5 ; RECT 9492.5 28690.0 9557.5 28505.0 ; RECT 9492.5 29850.0 9557.5 29665.0 ; RECT 9132.5 29732.5 9197.5 29882.5 ; RECT 9132.5 28847.5 9197.5 28472.5 ; - RECT 9322.5 29597.5 9387.5 28847.5 ; + RECT 9322.5 29732.5 9387.5 28847.5 ; RECT 9132.5 28847.5 9197.5 28712.5 ; RECT 9322.5 28847.5 9387.5 28712.5 ; RECT 9322.5 28847.5 9387.5 28712.5 ; @@ -2882,9 +2882,9 @@ MACRO sram_2_16_1_freepdk45 RECT 9132.5 29732.5 9197.5 29597.5 ; RECT 9492.5 28757.5 9557.5 28622.5 ; RECT 9492.5 29732.5 9557.5 29597.5 ; - RECT 9190.0 29335.0 9255.0 29200.0 ; - RECT 9190.0 29335.0 9255.0 29200.0 ; - RECT 9355.0 29300.0 9420.0 29235.0 ; + RECT 9190.0 29290.0 9255.0 29155.0 ; + RECT 9190.0 29290.0 9255.0 29155.0 ; + RECT 9355.0 29255.0 9420.0 29190.0 ; RECT 9065.0 28537.5 9625.0 28472.5 ; RECT 9065.0 29882.5 9625.0 29817.5 ; RECT 9692.5 29687.5 9757.5 29882.5 ; @@ -2924,7 +2924,7 @@ MACRO sram_2_16_1_freepdk45 RECT 10972.5 29850.0 11037.5 29665.0 ; RECT 10612.5 29732.5 10677.5 29882.5 ; RECT 10612.5 28847.5 10677.5 28472.5 ; - RECT 10802.5 29597.5 10867.5 28847.5 ; + RECT 10802.5 29732.5 10867.5 28847.5 ; RECT 10612.5 28847.5 10677.5 28712.5 ; RECT 10802.5 28847.5 10867.5 28712.5 ; RECT 10802.5 28847.5 10867.5 28712.5 ; @@ -2935,19 +2935,19 @@ MACRO sram_2_16_1_freepdk45 RECT 10612.5 29732.5 10677.5 29597.5 ; RECT 10972.5 28757.5 11037.5 28622.5 ; RECT 10972.5 29732.5 11037.5 29597.5 ; - RECT 10670.0 29335.0 10735.0 29200.0 ; - RECT 10670.0 29335.0 10735.0 29200.0 ; - RECT 10835.0 29300.0 10900.0 29235.0 ; + RECT 10670.0 29290.0 10735.0 29155.0 ; + RECT 10670.0 29290.0 10735.0 29155.0 ; + RECT 10835.0 29255.0 10900.0 29190.0 ; RECT 10545.0 28537.5 11105.0 28472.5 ; RECT 10545.0 29882.5 11105.0 29817.5 ; - RECT 8807.5 29200.0 8872.5 29335.0 ; + RECT 8807.5 29155.0 8872.5 29290.0 ; RECT 8947.5 29427.5 9012.5 29562.5 ; RECT 9942.5 29392.5 9807.5 29457.5 ; RECT 9492.5 31010.0 9557.5 31195.0 ; RECT 9492.5 29850.0 9557.5 30035.0 ; RECT 9132.5 29967.5 9197.5 29817.5 ; RECT 9132.5 30852.5 9197.5 31227.5 ; - RECT 9322.5 30102.5 9387.5 30852.5 ; + RECT 9322.5 29967.5 9387.5 30852.5 ; RECT 9132.5 30852.5 9197.5 30987.5 ; RECT 9322.5 30852.5 9387.5 30987.5 ; RECT 9322.5 30852.5 9387.5 30987.5 ; @@ -2958,9 +2958,9 @@ MACRO sram_2_16_1_freepdk45 RECT 9132.5 29967.5 9197.5 30102.5 ; RECT 9492.5 30942.5 9557.5 31077.5 ; RECT 9492.5 29967.5 9557.5 30102.5 ; - RECT 9190.0 30365.0 9255.0 30500.0 ; - RECT 9190.0 30365.0 9255.0 30500.0 ; - RECT 9355.0 30400.0 9420.0 30465.0 ; + RECT 9190.0 30410.0 9255.0 30545.0 ; + RECT 9190.0 30410.0 9255.0 30545.0 ; + RECT 9355.0 30445.0 9420.0 30510.0 ; RECT 9065.0 31162.5 9625.0 31227.5 ; RECT 9065.0 29817.5 9625.0 29882.5 ; RECT 9692.5 30012.5 9757.5 29817.5 ; @@ -3000,7 +3000,7 @@ MACRO sram_2_16_1_freepdk45 RECT 10972.5 29850.0 11037.5 30035.0 ; RECT 10612.5 29967.5 10677.5 29817.5 ; RECT 10612.5 30852.5 10677.5 31227.5 ; - RECT 10802.5 30102.5 10867.5 30852.5 ; + RECT 10802.5 29967.5 10867.5 30852.5 ; RECT 10612.5 30852.5 10677.5 30987.5 ; RECT 10802.5 30852.5 10867.5 30987.5 ; RECT 10802.5 30852.5 10867.5 30987.5 ; @@ -3011,19 +3011,19 @@ MACRO sram_2_16_1_freepdk45 RECT 10612.5 29967.5 10677.5 30102.5 ; RECT 10972.5 30942.5 11037.5 31077.5 ; RECT 10972.5 29967.5 11037.5 30102.5 ; - RECT 10670.0 30365.0 10735.0 30500.0 ; - RECT 10670.0 30365.0 10735.0 30500.0 ; - RECT 10835.0 30400.0 10900.0 30465.0 ; + RECT 10670.0 30410.0 10735.0 30545.0 ; + RECT 10670.0 30410.0 10735.0 30545.0 ; + RECT 10835.0 30445.0 10900.0 30510.0 ; RECT 10545.0 31162.5 11105.0 31227.5 ; RECT 10545.0 29817.5 11105.0 29882.5 ; - RECT 8807.5 30365.0 8872.5 30500.0 ; + RECT 8807.5 30410.0 8872.5 30545.0 ; RECT 8947.5 30137.5 9012.5 30272.5 ; RECT 9942.5 30242.5 9807.5 30307.5 ; RECT 9492.5 31380.0 9557.5 31195.0 ; RECT 9492.5 32540.0 9557.5 32355.0 ; RECT 9132.5 32422.5 9197.5 32572.5 ; RECT 9132.5 31537.5 9197.5 31162.5 ; - RECT 9322.5 32287.5 9387.5 31537.5 ; + RECT 9322.5 32422.5 9387.5 31537.5 ; RECT 9132.5 31537.5 9197.5 31402.5 ; RECT 9322.5 31537.5 9387.5 31402.5 ; RECT 9322.5 31537.5 9387.5 31402.5 ; @@ -3034,9 +3034,9 @@ MACRO sram_2_16_1_freepdk45 RECT 9132.5 32422.5 9197.5 32287.5 ; RECT 9492.5 31447.5 9557.5 31312.5 ; RECT 9492.5 32422.5 9557.5 32287.5 ; - RECT 9190.0 32025.0 9255.0 31890.0 ; - RECT 9190.0 32025.0 9255.0 31890.0 ; - RECT 9355.0 31990.0 9420.0 31925.0 ; + RECT 9190.0 31980.0 9255.0 31845.0 ; + RECT 9190.0 31980.0 9255.0 31845.0 ; + RECT 9355.0 31945.0 9420.0 31880.0 ; RECT 9065.0 31227.5 9625.0 31162.5 ; RECT 9065.0 32572.5 9625.0 32507.5 ; RECT 9692.5 32377.5 9757.5 32572.5 ; @@ -3076,7 +3076,7 @@ MACRO sram_2_16_1_freepdk45 RECT 10972.5 32540.0 11037.5 32355.0 ; RECT 10612.5 32422.5 10677.5 32572.5 ; RECT 10612.5 31537.5 10677.5 31162.5 ; - RECT 10802.5 32287.5 10867.5 31537.5 ; + RECT 10802.5 32422.5 10867.5 31537.5 ; RECT 10612.5 31537.5 10677.5 31402.5 ; RECT 10802.5 31537.5 10867.5 31402.5 ; RECT 10802.5 31537.5 10867.5 31402.5 ; @@ -3087,19 +3087,19 @@ MACRO sram_2_16_1_freepdk45 RECT 10612.5 32422.5 10677.5 32287.5 ; RECT 10972.5 31447.5 11037.5 31312.5 ; RECT 10972.5 32422.5 11037.5 32287.5 ; - RECT 10670.0 32025.0 10735.0 31890.0 ; - RECT 10670.0 32025.0 10735.0 31890.0 ; - RECT 10835.0 31990.0 10900.0 31925.0 ; + RECT 10670.0 31980.0 10735.0 31845.0 ; + RECT 10670.0 31980.0 10735.0 31845.0 ; + RECT 10835.0 31945.0 10900.0 31880.0 ; RECT 10545.0 31227.5 11105.0 31162.5 ; RECT 10545.0 32572.5 11105.0 32507.5 ; - RECT 8807.5 31890.0 8872.5 32025.0 ; + RECT 8807.5 31845.0 8872.5 31980.0 ; RECT 8947.5 32117.5 9012.5 32252.5 ; RECT 9942.5 32082.5 9807.5 32147.5 ; RECT 9492.5 33700.0 9557.5 33885.0 ; RECT 9492.5 32540.0 9557.5 32725.0 ; RECT 9132.5 32657.5 9197.5 32507.5 ; RECT 9132.5 33542.5 9197.5 33917.5 ; - RECT 9322.5 32792.5 9387.5 33542.5 ; + RECT 9322.5 32657.5 9387.5 33542.5 ; RECT 9132.5 33542.5 9197.5 33677.5 ; RECT 9322.5 33542.5 9387.5 33677.5 ; RECT 9322.5 33542.5 9387.5 33677.5 ; @@ -3110,9 +3110,9 @@ MACRO sram_2_16_1_freepdk45 RECT 9132.5 32657.5 9197.5 32792.5 ; RECT 9492.5 33632.5 9557.5 33767.5 ; RECT 9492.5 32657.5 9557.5 32792.5 ; - RECT 9190.0 33055.0 9255.0 33190.0 ; - RECT 9190.0 33055.0 9255.0 33190.0 ; - RECT 9355.0 33090.0 9420.0 33155.0 ; + RECT 9190.0 33100.0 9255.0 33235.0 ; + RECT 9190.0 33100.0 9255.0 33235.0 ; + RECT 9355.0 33135.0 9420.0 33200.0 ; RECT 9065.0 33852.5 9625.0 33917.5 ; RECT 9065.0 32507.5 9625.0 32572.5 ; RECT 9692.5 32702.5 9757.5 32507.5 ; @@ -3152,7 +3152,7 @@ MACRO sram_2_16_1_freepdk45 RECT 10972.5 32540.0 11037.5 32725.0 ; RECT 10612.5 32657.5 10677.5 32507.5 ; RECT 10612.5 33542.5 10677.5 33917.5 ; - RECT 10802.5 32792.5 10867.5 33542.5 ; + RECT 10802.5 32657.5 10867.5 33542.5 ; RECT 10612.5 33542.5 10677.5 33677.5 ; RECT 10802.5 33542.5 10867.5 33677.5 ; RECT 10802.5 33542.5 10867.5 33677.5 ; @@ -3163,19 +3163,19 @@ MACRO sram_2_16_1_freepdk45 RECT 10612.5 32657.5 10677.5 32792.5 ; RECT 10972.5 33632.5 11037.5 33767.5 ; RECT 10972.5 32657.5 11037.5 32792.5 ; - RECT 10670.0 33055.0 10735.0 33190.0 ; - RECT 10670.0 33055.0 10735.0 33190.0 ; - RECT 10835.0 33090.0 10900.0 33155.0 ; + RECT 10670.0 33100.0 10735.0 33235.0 ; + RECT 10670.0 33100.0 10735.0 33235.0 ; + RECT 10835.0 33135.0 10900.0 33200.0 ; RECT 10545.0 33852.5 11105.0 33917.5 ; RECT 10545.0 32507.5 11105.0 32572.5 ; - RECT 8807.5 33055.0 8872.5 33190.0 ; + RECT 8807.5 33100.0 8872.5 33235.0 ; RECT 8947.5 32827.5 9012.5 32962.5 ; RECT 9942.5 32932.5 9807.5 32997.5 ; RECT 9492.5 34070.0 9557.5 33885.0 ; RECT 9492.5 35230.0 9557.5 35045.0 ; RECT 9132.5 35112.5 9197.5 35262.5 ; RECT 9132.5 34227.5 9197.5 33852.5 ; - RECT 9322.5 34977.5 9387.5 34227.5 ; + RECT 9322.5 35112.5 9387.5 34227.5 ; RECT 9132.5 34227.5 9197.5 34092.5 ; RECT 9322.5 34227.5 9387.5 34092.5 ; RECT 9322.5 34227.5 9387.5 34092.5 ; @@ -3186,9 +3186,9 @@ MACRO sram_2_16_1_freepdk45 RECT 9132.5 35112.5 9197.5 34977.5 ; RECT 9492.5 34137.5 9557.5 34002.5 ; RECT 9492.5 35112.5 9557.5 34977.5 ; - RECT 9190.0 34715.0 9255.0 34580.0 ; - RECT 9190.0 34715.0 9255.0 34580.0 ; - RECT 9355.0 34680.0 9420.0 34615.0 ; + RECT 9190.0 34670.0 9255.0 34535.0 ; + RECT 9190.0 34670.0 9255.0 34535.0 ; + RECT 9355.0 34635.0 9420.0 34570.0 ; RECT 9065.0 33917.5 9625.0 33852.5 ; RECT 9065.0 35262.5 9625.0 35197.5 ; RECT 9692.5 35067.5 9757.5 35262.5 ; @@ -3228,7 +3228,7 @@ MACRO sram_2_16_1_freepdk45 RECT 10972.5 35230.0 11037.5 35045.0 ; RECT 10612.5 35112.5 10677.5 35262.5 ; RECT 10612.5 34227.5 10677.5 33852.5 ; - RECT 10802.5 34977.5 10867.5 34227.5 ; + RECT 10802.5 35112.5 10867.5 34227.5 ; RECT 10612.5 34227.5 10677.5 34092.5 ; RECT 10802.5 34227.5 10867.5 34092.5 ; RECT 10802.5 34227.5 10867.5 34092.5 ; @@ -3239,19 +3239,19 @@ MACRO sram_2_16_1_freepdk45 RECT 10612.5 35112.5 10677.5 34977.5 ; RECT 10972.5 34137.5 11037.5 34002.5 ; RECT 10972.5 35112.5 11037.5 34977.5 ; - RECT 10670.0 34715.0 10735.0 34580.0 ; - RECT 10670.0 34715.0 10735.0 34580.0 ; - RECT 10835.0 34680.0 10900.0 34615.0 ; + RECT 10670.0 34670.0 10735.0 34535.0 ; + RECT 10670.0 34670.0 10735.0 34535.0 ; + RECT 10835.0 34635.0 10900.0 34570.0 ; RECT 10545.0 33917.5 11105.0 33852.5 ; RECT 10545.0 35262.5 11105.0 35197.5 ; - RECT 8807.5 34580.0 8872.5 34715.0 ; + RECT 8807.5 34535.0 8872.5 34670.0 ; RECT 8947.5 34807.5 9012.5 34942.5 ; RECT 9942.5 34772.5 9807.5 34837.5 ; RECT 9492.5 36390.0 9557.5 36575.0 ; RECT 9492.5 35230.0 9557.5 35415.0 ; RECT 9132.5 35347.5 9197.5 35197.5 ; RECT 9132.5 36232.5 9197.5 36607.5 ; - RECT 9322.5 35482.5 9387.5 36232.5 ; + RECT 9322.5 35347.5 9387.5 36232.5 ; RECT 9132.5 36232.5 9197.5 36367.5 ; RECT 9322.5 36232.5 9387.5 36367.5 ; RECT 9322.5 36232.5 9387.5 36367.5 ; @@ -3262,9 +3262,9 @@ MACRO sram_2_16_1_freepdk45 RECT 9132.5 35347.5 9197.5 35482.5 ; RECT 9492.5 36322.5 9557.5 36457.5 ; RECT 9492.5 35347.5 9557.5 35482.5 ; - RECT 9190.0 35745.0 9255.0 35880.0 ; - RECT 9190.0 35745.0 9255.0 35880.0 ; - RECT 9355.0 35780.0 9420.0 35845.0 ; + RECT 9190.0 35790.0 9255.0 35925.0 ; + RECT 9190.0 35790.0 9255.0 35925.0 ; + RECT 9355.0 35825.0 9420.0 35890.0 ; RECT 9065.0 36542.5 9625.0 36607.5 ; RECT 9065.0 35197.5 9625.0 35262.5 ; RECT 9692.5 35392.5 9757.5 35197.5 ; @@ -3304,7 +3304,7 @@ MACRO sram_2_16_1_freepdk45 RECT 10972.5 35230.0 11037.5 35415.0 ; RECT 10612.5 35347.5 10677.5 35197.5 ; RECT 10612.5 36232.5 10677.5 36607.5 ; - RECT 10802.5 35482.5 10867.5 36232.5 ; + RECT 10802.5 35347.5 10867.5 36232.5 ; RECT 10612.5 36232.5 10677.5 36367.5 ; RECT 10802.5 36232.5 10867.5 36367.5 ; RECT 10802.5 36232.5 10867.5 36367.5 ; @@ -3315,19 +3315,19 @@ MACRO sram_2_16_1_freepdk45 RECT 10612.5 35347.5 10677.5 35482.5 ; RECT 10972.5 36322.5 11037.5 36457.5 ; RECT 10972.5 35347.5 11037.5 35482.5 ; - RECT 10670.0 35745.0 10735.0 35880.0 ; - RECT 10670.0 35745.0 10735.0 35880.0 ; - RECT 10835.0 35780.0 10900.0 35845.0 ; + RECT 10670.0 35790.0 10735.0 35925.0 ; + RECT 10670.0 35790.0 10735.0 35925.0 ; + RECT 10835.0 35825.0 10900.0 35890.0 ; RECT 10545.0 36542.5 11105.0 36607.5 ; RECT 10545.0 35197.5 11105.0 35262.5 ; - RECT 8807.5 35745.0 8872.5 35880.0 ; + RECT 8807.5 35790.0 8872.5 35925.0 ; RECT 8947.5 35517.5 9012.5 35652.5 ; RECT 9942.5 35622.5 9807.5 35687.5 ; RECT 9492.5 36760.0 9557.5 36575.0 ; RECT 9492.5 37920.0 9557.5 37735.0 ; RECT 9132.5 37802.5 9197.5 37952.5 ; RECT 9132.5 36917.5 9197.5 36542.5 ; - RECT 9322.5 37667.5 9387.5 36917.5 ; + RECT 9322.5 37802.5 9387.5 36917.5 ; RECT 9132.5 36917.5 9197.5 36782.5 ; RECT 9322.5 36917.5 9387.5 36782.5 ; RECT 9322.5 36917.5 9387.5 36782.5 ; @@ -3338,9 +3338,9 @@ MACRO sram_2_16_1_freepdk45 RECT 9132.5 37802.5 9197.5 37667.5 ; RECT 9492.5 36827.5 9557.5 36692.5 ; RECT 9492.5 37802.5 9557.5 37667.5 ; - RECT 9190.0 37405.0 9255.0 37270.0 ; - RECT 9190.0 37405.0 9255.0 37270.0 ; - RECT 9355.0 37370.0 9420.0 37305.0 ; + RECT 9190.0 37360.0 9255.0 37225.0 ; + RECT 9190.0 37360.0 9255.0 37225.0 ; + RECT 9355.0 37325.0 9420.0 37260.0 ; RECT 9065.0 36607.5 9625.0 36542.5 ; RECT 9065.0 37952.5 9625.0 37887.5 ; RECT 9692.5 37757.5 9757.5 37952.5 ; @@ -3380,7 +3380,7 @@ MACRO sram_2_16_1_freepdk45 RECT 10972.5 37920.0 11037.5 37735.0 ; RECT 10612.5 37802.5 10677.5 37952.5 ; RECT 10612.5 36917.5 10677.5 36542.5 ; - RECT 10802.5 37667.5 10867.5 36917.5 ; + RECT 10802.5 37802.5 10867.5 36917.5 ; RECT 10612.5 36917.5 10677.5 36782.5 ; RECT 10802.5 36917.5 10867.5 36782.5 ; RECT 10802.5 36917.5 10867.5 36782.5 ; @@ -3391,19 +3391,19 @@ MACRO sram_2_16_1_freepdk45 RECT 10612.5 37802.5 10677.5 37667.5 ; RECT 10972.5 36827.5 11037.5 36692.5 ; RECT 10972.5 37802.5 11037.5 37667.5 ; - RECT 10670.0 37405.0 10735.0 37270.0 ; - RECT 10670.0 37405.0 10735.0 37270.0 ; - RECT 10835.0 37370.0 10900.0 37305.0 ; + RECT 10670.0 37360.0 10735.0 37225.0 ; + RECT 10670.0 37360.0 10735.0 37225.0 ; + RECT 10835.0 37325.0 10900.0 37260.0 ; RECT 10545.0 36607.5 11105.0 36542.5 ; RECT 10545.0 37952.5 11105.0 37887.5 ; - RECT 8807.5 37270.0 8872.5 37405.0 ; + RECT 8807.5 37225.0 8872.5 37360.0 ; RECT 8947.5 37497.5 9012.5 37632.5 ; RECT 9942.5 37462.5 9807.5 37527.5 ; RECT 9492.5 39080.0 9557.5 39265.0 ; RECT 9492.5 37920.0 9557.5 38105.0 ; RECT 9132.5 38037.5 9197.5 37887.5 ; RECT 9132.5 38922.5 9197.5 39297.5 ; - RECT 9322.5 38172.5 9387.5 38922.5 ; + RECT 9322.5 38037.5 9387.5 38922.5 ; RECT 9132.5 38922.5 9197.5 39057.5 ; RECT 9322.5 38922.5 9387.5 39057.5 ; RECT 9322.5 38922.5 9387.5 39057.5 ; @@ -3414,9 +3414,9 @@ MACRO sram_2_16_1_freepdk45 RECT 9132.5 38037.5 9197.5 38172.5 ; RECT 9492.5 39012.5 9557.5 39147.5 ; RECT 9492.5 38037.5 9557.5 38172.5 ; - RECT 9190.0 38435.0 9255.0 38570.0 ; - RECT 9190.0 38435.0 9255.0 38570.0 ; - RECT 9355.0 38470.0 9420.0 38535.0 ; + RECT 9190.0 38480.0 9255.0 38615.0 ; + RECT 9190.0 38480.0 9255.0 38615.0 ; + RECT 9355.0 38515.0 9420.0 38580.0 ; RECT 9065.0 39232.5 9625.0 39297.5 ; RECT 9065.0 37887.5 9625.0 37952.5 ; RECT 9692.5 38082.5 9757.5 37887.5 ; @@ -3456,7 +3456,7 @@ MACRO sram_2_16_1_freepdk45 RECT 10972.5 37920.0 11037.5 38105.0 ; RECT 10612.5 38037.5 10677.5 37887.5 ; RECT 10612.5 38922.5 10677.5 39297.5 ; - RECT 10802.5 38172.5 10867.5 38922.5 ; + RECT 10802.5 38037.5 10867.5 38922.5 ; RECT 10612.5 38922.5 10677.5 39057.5 ; RECT 10802.5 38922.5 10867.5 39057.5 ; RECT 10802.5 38922.5 10867.5 39057.5 ; @@ -3467,19 +3467,19 @@ MACRO sram_2_16_1_freepdk45 RECT 10612.5 38037.5 10677.5 38172.5 ; RECT 10972.5 39012.5 11037.5 39147.5 ; RECT 10972.5 38037.5 11037.5 38172.5 ; - RECT 10670.0 38435.0 10735.0 38570.0 ; - RECT 10670.0 38435.0 10735.0 38570.0 ; - RECT 10835.0 38470.0 10900.0 38535.0 ; + RECT 10670.0 38480.0 10735.0 38615.0 ; + RECT 10670.0 38480.0 10735.0 38615.0 ; + RECT 10835.0 38515.0 10900.0 38580.0 ; RECT 10545.0 39232.5 11105.0 39297.5 ; RECT 10545.0 37887.5 11105.0 37952.5 ; - RECT 8807.5 38435.0 8872.5 38570.0 ; + RECT 8807.5 38480.0 8872.5 38615.0 ; RECT 8947.5 38207.5 9012.5 38342.5 ; RECT 9942.5 38312.5 9807.5 38377.5 ; RECT 9492.5 39450.0 9557.5 39265.0 ; RECT 9492.5 40610.0 9557.5 40425.0 ; RECT 9132.5 40492.5 9197.5 40642.5 ; RECT 9132.5 39607.5 9197.5 39232.5 ; - RECT 9322.5 40357.5 9387.5 39607.5 ; + RECT 9322.5 40492.5 9387.5 39607.5 ; RECT 9132.5 39607.5 9197.5 39472.5 ; RECT 9322.5 39607.5 9387.5 39472.5 ; RECT 9322.5 39607.5 9387.5 39472.5 ; @@ -3490,9 +3490,9 @@ MACRO sram_2_16_1_freepdk45 RECT 9132.5 40492.5 9197.5 40357.5 ; RECT 9492.5 39517.5 9557.5 39382.5 ; RECT 9492.5 40492.5 9557.5 40357.5 ; - RECT 9190.0 40095.0 9255.0 39960.0 ; - RECT 9190.0 40095.0 9255.0 39960.0 ; - RECT 9355.0 40060.0 9420.0 39995.0 ; + RECT 9190.0 40050.0 9255.0 39915.0 ; + RECT 9190.0 40050.0 9255.0 39915.0 ; + RECT 9355.0 40015.0 9420.0 39950.0 ; RECT 9065.0 39297.5 9625.0 39232.5 ; RECT 9065.0 40642.5 9625.0 40577.5 ; RECT 9692.5 40447.5 9757.5 40642.5 ; @@ -3532,7 +3532,7 @@ MACRO sram_2_16_1_freepdk45 RECT 10972.5 40610.0 11037.5 40425.0 ; RECT 10612.5 40492.5 10677.5 40642.5 ; RECT 10612.5 39607.5 10677.5 39232.5 ; - RECT 10802.5 40357.5 10867.5 39607.5 ; + RECT 10802.5 40492.5 10867.5 39607.5 ; RECT 10612.5 39607.5 10677.5 39472.5 ; RECT 10802.5 39607.5 10867.5 39472.5 ; RECT 10802.5 39607.5 10867.5 39472.5 ; @@ -3543,12 +3543,12 @@ MACRO sram_2_16_1_freepdk45 RECT 10612.5 40492.5 10677.5 40357.5 ; RECT 10972.5 39517.5 11037.5 39382.5 ; RECT 10972.5 40492.5 11037.5 40357.5 ; - RECT 10670.0 40095.0 10735.0 39960.0 ; - RECT 10670.0 40095.0 10735.0 39960.0 ; - RECT 10835.0 40060.0 10900.0 39995.0 ; + RECT 10670.0 40050.0 10735.0 39915.0 ; + RECT 10670.0 40050.0 10735.0 39915.0 ; + RECT 10835.0 40015.0 10900.0 39950.0 ; RECT 10545.0 39297.5 11105.0 39232.5 ; RECT 10545.0 40642.5 11105.0 40577.5 ; - RECT 8807.5 39960.0 8872.5 40095.0 ; + RECT 8807.5 39915.0 8872.5 40050.0 ; RECT 8947.5 40187.5 9012.5 40322.5 ; RECT 9942.5 40152.5 9807.5 40217.5 ; RECT 8610.0 19412.5 8980.0 19477.5 ; @@ -3567,22 +3567,22 @@ MACRO sram_2_16_1_freepdk45 RECT 8610.0 37532.5 8980.0 37597.5 ; RECT 8610.0 38242.5 8980.0 38307.5 ; RECT 8610.0 40222.5 8980.0 40287.5 ; - RECT 10835.0 19640.0 10900.0 19705.0 ; - RECT 10835.0 21165.0 10900.0 21230.0 ; - RECT 10835.0 22330.0 10900.0 22395.0 ; - RECT 10835.0 23855.0 10900.0 23920.0 ; - RECT 10835.0 25020.0 10900.0 25085.0 ; - RECT 10835.0 26545.0 10900.0 26610.0 ; - RECT 10835.0 27710.0 10900.0 27775.0 ; - RECT 10835.0 29235.0 10900.0 29300.0 ; - RECT 10835.0 30400.0 10900.0 30465.0 ; - RECT 10835.0 31925.0 10900.0 31990.0 ; - RECT 10835.0 33090.0 10900.0 33155.0 ; - RECT 10835.0 34615.0 10900.0 34680.0 ; - RECT 10835.0 35780.0 10900.0 35845.0 ; - RECT 10835.0 37305.0 10900.0 37370.0 ; - RECT 10835.0 38470.0 10900.0 38535.0 ; - RECT 10835.0 39995.0 10900.0 40060.0 ; + RECT 10835.0 19685.0 10900.0 19750.0 ; + RECT 10835.0 21120.0 10900.0 21185.0 ; + RECT 10835.0 22375.0 10900.0 22440.0 ; + RECT 10835.0 23810.0 10900.0 23875.0 ; + RECT 10835.0 25065.0 10900.0 25130.0 ; + RECT 10835.0 26500.0 10900.0 26565.0 ; + RECT 10835.0 27755.0 10900.0 27820.0 ; + RECT 10835.0 29190.0 10900.0 29255.0 ; + RECT 10835.0 30445.0 10900.0 30510.0 ; + RECT 10835.0 31880.0 10900.0 31945.0 ; + RECT 10835.0 33135.0 10900.0 33200.0 ; + RECT 10835.0 34570.0 10900.0 34635.0 ; + RECT 10835.0 35825.0 10900.0 35890.0 ; + RECT 10835.0 37260.0 10900.0 37325.0 ; + RECT 10835.0 38515.0 10900.0 38580.0 ; + RECT 10835.0 39950.0 10900.0 40015.0 ; RECT 8610.0 20402.5 9065.0 20467.5 ; RECT 8610.0 23092.5 9065.0 23157.5 ; RECT 8610.0 25782.5 9065.0 25847.5 ; @@ -3652,7 +3652,7 @@ MACRO sram_2_16_1_freepdk45 RECT 13700.0 3795.0 13565.0 3860.0 ; RECT 13290.0 1610.0 13155.0 1675.0 ; RECT 13495.0 3157.5 13360.0 3222.5 ; - RECT 13700.0 41477.5 13565.0 41542.5 ; + RECT 13700.0 41587.5 13565.0 41652.5 ; RECT 13905.0 10297.5 13770.0 10362.5 ; RECT 14110.0 14322.5 13975.0 14387.5 ; RECT 13085.0 8092.5 12950.0 8157.5 ; @@ -3662,49 +3662,49 @@ MACRO sram_2_16_1_freepdk45 RECT 12777.5 14452.5 12642.5 14517.5 ; RECT 12777.5 3955.0 12642.5 4020.0 ; RECT 12777.5 11230.0 12642.5 11295.0 ; - RECT 16125.0 0.0 16475.0 42270.0 ; - RECT 4175.0 0.0 4525.0 42270.0 ; - RECT 3515.0 19520.0 3450.0 19585.0 ; - RECT 3482.5 19520.0 3452.5 19585.0 ; - RECT 3515.0 19552.5 3450.0 20137.5 ; - RECT 3515.0 20682.5 3450.0 21077.5 ; - RECT 3515.0 22002.5 3450.0 22587.5 ; + RECT 16125.0 0.0 16475.0 42337.5 ; + RECT 4175.0 0.0 4525.0 42337.5 ; + RECT 3455.0 19520.0 3390.0 19585.0 ; + RECT 3422.5 19520.0 3407.5 19585.0 ; + RECT 3455.0 19552.5 3390.0 20137.5 ; + RECT 3455.0 20682.5 3390.0 21077.5 ; + RECT 3455.0 22002.5 3390.0 22587.5 ; RECT 2657.5 22440.0 2280.0 22505.0 ; RECT 2657.5 25400.0 2280.0 25465.0 ; RECT 2657.5 20450.0 2280.0 20515.0 ; RECT 2657.5 23410.0 2280.0 23475.0 ; - RECT 3485.0 19520.0 3420.0 19585.0 ; - RECT 3515.0 20650.0 3450.0 20715.0 ; - RECT 1960.0 31335.0 1895.0 32100.0 ; - RECT 3515.0 24685.0 3450.0 26115.0 ; + RECT 3440.0 19520.0 3375.0 19585.0 ; + RECT 3455.0 20650.0 3390.0 20715.0 ; + RECT 2005.0 31335.0 1940.0 32100.0 ; + RECT 3455.0 24685.0 3390.0 26115.0 ; RECT 2485.0 19435.0 2280.0 19500.0 ; RECT 1962.5 26115.0 1897.5 28052.5 ; RECT 1747.5 26525.0 1682.5 28310.0 ; - RECT 3290.0 27550.0 3225.0 28120.0 ; - RECT 3430.0 27345.0 3365.0 28310.0 ; - RECT 3570.0 26730.0 3505.0 28500.0 ; - RECT 3290.0 29060.0 3225.0 29125.0 ; - RECT 3290.0 28595.0 3225.0 29092.5 ; - RECT 3452.5 29060.0 3257.5 29125.0 ; - RECT 3520.0 29225.0 3455.0 29290.0 ; - RECT 3487.5 29225.0 3452.5 29290.0 ; - RECT 3520.0 29257.5 3455.0 32797.5 ; - RECT 600.0 27550.0 535.0 28680.0 ; - RECT 740.0 26730.0 675.0 28870.0 ; - RECT 880.0 26935.0 815.0 29060.0 ; - RECT 600.0 29620.0 535.0 29685.0 ; - RECT 600.0 29155.0 535.0 29652.5 ; - RECT 762.5 29620.0 567.5 29685.0 ; - RECT 795.0 29817.5 730.0 30212.5 ; - RECT 795.0 30377.5 730.0 30772.5 ; - RECT 1960.0 31302.5 1895.0 31367.5 ; - RECT 1927.5 31302.5 1895.0 31367.5 ; - RECT 1960.0 31210.0 1895.0 31335.0 ; - RECT 1960.0 30617.5 1895.0 31012.5 ; + RECT 3380.0 27550.0 3315.0 28120.0 ; + RECT 3520.0 27345.0 3455.0 28310.0 ; + RECT 3660.0 26730.0 3595.0 28500.0 ; + RECT 3380.0 29060.0 3315.0 29125.0 ; + RECT 3380.0 28595.0 3315.0 29092.5 ; + RECT 3407.5 29060.0 3347.5 29125.0 ; + RECT 3475.0 29225.0 3410.0 29290.0 ; + RECT 3442.5 29225.0 3407.5 29290.0 ; + RECT 3475.0 29257.5 3410.0 32797.5 ; + RECT 690.0 27550.0 625.0 28680.0 ; + RECT 830.0 26730.0 765.0 28870.0 ; + RECT 970.0 26935.0 905.0 29060.0 ; + RECT 690.0 29620.0 625.0 29685.0 ; + RECT 690.0 29155.0 625.0 29652.5 ; + RECT 717.5 29620.0 657.5 29685.0 ; + RECT 750.0 29817.5 685.0 30212.5 ; + RECT 750.0 30377.5 685.0 30772.5 ; + RECT 2005.0 31302.5 1940.0 31367.5 ; + RECT 1972.5 31302.5 1940.0 31367.5 ; + RECT 2005.0 31210.0 1940.0 31335.0 ; + RECT 2005.0 30617.5 1940.0 31012.5 ; RECT 1962.5 28475.0 1897.5 28845.0 ; RECT 2017.5 29550.0 1952.5 29990.0 ; - RECT 795.0 30937.5 730.0 31175.0 ; - RECT 1960.0 30215.0 1895.0 30452.5 ; + RECT 750.0 30937.5 685.0 31175.0 ; + RECT 2005.0 30215.0 1940.0 30452.5 ; RECT 4067.5 19230.0 4002.5 31335.0 ; RECT 4067.5 26320.0 4002.5 27925.0 ; RECT 2722.5 19230.0 2657.5 31335.0 ; @@ -3728,7 +3728,7 @@ MACRO sram_2_16_1_freepdk45 RECT 2875.0 19847.5 2690.0 19912.5 ; RECT 4035.0 19847.5 3850.0 19912.5 ; RECT 2832.5 19297.5 2657.5 19742.5 ; - RECT 3782.5 19487.5 3032.5 19552.5 ; + RECT 3917.5 19487.5 3032.5 19552.5 ; RECT 2965.0 19297.5 2800.0 19362.5 ; RECT 2965.0 19677.5 2800.0 19742.5 ; RECT 3032.5 19297.5 2897.5 19362.5 ; @@ -3745,15 +3745,15 @@ MACRO sram_2_16_1_freepdk45 RECT 4047.5 19297.5 3982.5 19742.5 ; RECT 2942.5 19847.5 2807.5 19912.5 ; RECT 3917.5 19847.5 3782.5 19912.5 ; - RECT 3520.0 19355.0 3385.0 19420.0 ; - RECT 3520.0 19355.0 3385.0 19420.0 ; - RECT 3485.0 19520.0 3420.0 19585.0 ; + RECT 3475.0 19355.0 3340.0 19420.0 ; + RECT 3475.0 19355.0 3340.0 19420.0 ; + RECT 3440.0 19520.0 3375.0 19585.0 ; RECT 2722.5 19230.0 2657.5 19980.0 ; RECT 4067.5 19230.0 4002.5 19980.0 ; RECT 2875.0 20787.5 2690.0 20852.5 ; RECT 4035.0 20787.5 3850.0 20852.5 ; RECT 2877.5 20047.5 2657.5 20492.5 ; - RECT 3637.5 20617.5 3207.5 20682.5 ; + RECT 3702.5 20617.5 3207.5 20682.5 ; RECT 3010.0 20047.5 2845.0 20112.5 ; RECT 3010.0 20427.5 2845.0 20492.5 ; RECT 3175.0 20237.5 3010.0 20302.5 ; @@ -3776,15 +3776,15 @@ MACRO sram_2_16_1_freepdk45 RECT 4032.5 20047.5 3967.5 20492.5 ; RECT 2942.5 20787.5 2807.5 20852.5 ; RECT 3917.5 20787.5 3782.5 20852.5 ; - RECT 3550.0 20105.0 3415.0 20170.0 ; - RECT 3550.0 20105.0 3415.0 20170.0 ; - RECT 3515.0 20650.0 3450.0 20715.0 ; + RECT 3490.0 20105.0 3355.0 20170.0 ; + RECT 3490.0 20105.0 3355.0 20170.0 ; + RECT 3455.0 20650.0 3390.0 20715.0 ; RECT 2722.5 19980.0 2657.5 20920.0 ; RECT 4067.5 19980.0 4002.5 20920.0 ; RECT 2875.0 22297.5 2690.0 22362.5 ; RECT 4035.0 22297.5 3850.0 22362.5 ; RECT 2877.5 20987.5 2657.5 22192.5 ; - RECT 3637.5 21937.5 3207.5 22002.5 ; + RECT 3702.5 21937.5 3207.5 22002.5 ; RECT 3010.0 20987.5 2845.0 21052.5 ; RECT 3010.0 21367.5 2845.0 21432.5 ; RECT 3010.0 21747.5 2845.0 21812.5 ; @@ -3819,15 +3819,15 @@ MACRO sram_2_16_1_freepdk45 RECT 4032.5 20987.5 3967.5 22192.5 ; RECT 2942.5 22297.5 2807.5 22362.5 ; RECT 3917.5 22297.5 3782.5 22362.5 ; - RECT 3550.0 21045.0 3415.0 21110.0 ; - RECT 3550.0 21045.0 3415.0 21110.0 ; - RECT 3515.0 21970.0 3450.0 22035.0 ; + RECT 3490.0 21045.0 3355.0 21110.0 ; + RECT 3490.0 21045.0 3355.0 21110.0 ; + RECT 3455.0 21970.0 3390.0 22035.0 ; RECT 2722.5 20920.0 2657.5 22430.0 ; RECT 4067.5 20920.0 4002.5 22430.0 ; RECT 2875.0 24947.5 2690.0 25012.5 ; RECT 4035.0 24947.5 3850.0 25012.5 ; RECT 2877.5 22497.5 2657.5 24842.5 ; - RECT 3637.5 24587.5 3207.5 24652.5 ; + RECT 3702.5 24587.5 3207.5 24652.5 ; RECT 3010.0 22497.5 2845.0 22562.5 ; RECT 3010.0 22877.5 2845.0 22942.5 ; RECT 3010.0 23257.5 2845.0 23322.5 ; @@ -3886,12 +3886,12 @@ MACRO sram_2_16_1_freepdk45 RECT 4032.5 22497.5 3967.5 24842.5 ; RECT 2942.5 24947.5 2807.5 25012.5 ; RECT 3917.5 24947.5 3782.5 25012.5 ; - RECT 3550.0 22555.0 3415.0 22620.0 ; - RECT 3550.0 22555.0 3415.0 22620.0 ; - RECT 3515.0 24620.0 3450.0 24685.0 ; + RECT 3490.0 22555.0 3355.0 22620.0 ; + RECT 3490.0 22555.0 3355.0 22620.0 ; + RECT 3455.0 24620.0 3390.0 24685.0 ; RECT 2722.5 22430.0 2657.5 25080.0 ; RECT 4067.5 22430.0 4002.5 25080.0 ; - RECT 3827.5 27992.5 4067.5 28057.5 ; + RECT 3872.5 27992.5 4067.5 28057.5 ; RECT 3032.5 27992.5 2657.5 28057.5 ; RECT 3032.5 28372.5 2657.5 28437.5 ; RECT 2875.0 28732.5 2690.0 28797.5 ; @@ -3908,38 +3908,38 @@ MACRO sram_2_16_1_freepdk45 RECT 3032.5 28562.5 2897.5 28627.5 ; RECT 3032.5 28562.5 2897.5 28627.5 ; RECT 3032.5 28372.5 2897.5 28437.5 ; - RECT 3827.5 27992.5 3692.5 28057.5 ; - RECT 3827.5 28182.5 3692.5 28247.5 ; - RECT 3827.5 28182.5 3692.5 28247.5 ; - RECT 3827.5 27992.5 3692.5 28057.5 ; - RECT 3827.5 28182.5 3692.5 28247.5 ; - RECT 3827.5 28372.5 3692.5 28437.5 ; - RECT 3827.5 28372.5 3692.5 28437.5 ; - RECT 3827.5 28182.5 3692.5 28247.5 ; - RECT 3827.5 28372.5 3692.5 28437.5 ; - RECT 3827.5 28562.5 3692.5 28627.5 ; - RECT 3827.5 28562.5 3692.5 28627.5 ; - RECT 3827.5 28372.5 3692.5 28437.5 ; + RECT 3872.5 27992.5 3737.5 28057.5 ; + RECT 3872.5 28182.5 3737.5 28247.5 ; + RECT 3872.5 28182.5 3737.5 28247.5 ; + RECT 3872.5 27992.5 3737.5 28057.5 ; + RECT 3872.5 28182.5 3737.5 28247.5 ; + RECT 3872.5 28372.5 3737.5 28437.5 ; + RECT 3872.5 28372.5 3737.5 28437.5 ; + RECT 3872.5 28182.5 3737.5 28247.5 ; + RECT 3872.5 28372.5 3737.5 28437.5 ; + RECT 3872.5 28562.5 3737.5 28627.5 ; + RECT 3872.5 28562.5 3737.5 28627.5 ; + RECT 3872.5 28372.5 3737.5 28437.5 ; RECT 2942.5 28732.5 2807.5 28797.5 ; RECT 3917.5 28732.5 3782.5 28797.5 ; - RECT 3570.0 28567.5 3505.0 28432.5 ; - RECT 3430.0 28377.5 3365.0 28242.5 ; - RECT 3290.0 28187.5 3225.0 28052.5 ; + RECT 3660.0 28567.5 3595.0 28432.5 ; + RECT 3520.0 28377.5 3455.0 28242.5 ; + RECT 3380.0 28187.5 3315.0 28052.5 ; RECT 3032.5 28182.5 2897.5 28247.5 ; RECT 3032.5 28562.5 2897.5 28627.5 ; - RECT 3827.5 28562.5 3692.5 28627.5 ; - RECT 3325.0 28562.5 3190.0 28627.5 ; - RECT 3290.0 28052.5 3225.0 28187.5 ; - RECT 3430.0 28242.5 3365.0 28377.5 ; - RECT 3570.0 28432.5 3505.0 28567.5 ; - RECT 3325.0 28562.5 3190.0 28627.5 ; + RECT 3872.5 28562.5 3737.5 28627.5 ; + RECT 3415.0 28562.5 3280.0 28627.5 ; + RECT 3380.0 28052.5 3315.0 28187.5 ; + RECT 3520.0 28242.5 3455.0 28377.5 ; + RECT 3660.0 28432.5 3595.0 28567.5 ; + RECT 3415.0 28562.5 3280.0 28627.5 ; RECT 2722.5 27925.0 2657.5 28935.0 ; RECT 4067.5 27925.0 4002.5 28935.0 ; RECT 2875.0 29362.5 2690.0 29427.5 ; RECT 4035.0 29362.5 3850.0 29427.5 ; RECT 3917.5 29002.5 4067.5 29067.5 ; RECT 3032.5 29002.5 2657.5 29067.5 ; - RECT 3782.5 29192.5 3032.5 29257.5 ; + RECT 3917.5 29192.5 3032.5 29257.5 ; RECT 3032.5 29002.5 2897.5 29067.5 ; RECT 3032.5 29192.5 2897.5 29257.5 ; RECT 3032.5 29192.5 2897.5 29257.5 ; @@ -3950,9 +3950,9 @@ MACRO sram_2_16_1_freepdk45 RECT 3917.5 29002.5 3782.5 29067.5 ; RECT 2942.5 29362.5 2807.5 29427.5 ; RECT 3917.5 29362.5 3782.5 29427.5 ; - RECT 3520.0 29060.0 3385.0 29125.0 ; - RECT 3520.0 29060.0 3385.0 29125.0 ; - RECT 3485.0 29225.0 3420.0 29290.0 ; + RECT 3475.0 29060.0 3340.0 29125.0 ; + RECT 3475.0 29060.0 3340.0 29125.0 ; + RECT 3440.0 29225.0 3375.0 29290.0 ; RECT 2722.5 28935.0 2657.5 29495.0 ; RECT 4067.5 28935.0 4002.5 29495.0 ; RECT 1462.5 27992.5 1312.5 28057.5 ; @@ -4025,7 +4025,7 @@ MACRO sram_2_16_1_freepdk45 RECT 1345.0 30347.5 1530.0 30282.5 ; RECT 1462.5 30707.5 1312.5 30642.5 ; RECT 2347.5 30707.5 2722.5 30642.5 ; - RECT 1597.5 30517.5 2347.5 30452.5 ; + RECT 1462.5 30517.5 2347.5 30452.5 ; RECT 2347.5 30707.5 2482.5 30642.5 ; RECT 2347.5 30517.5 2482.5 30452.5 ; RECT 2347.5 30517.5 2482.5 30452.5 ; @@ -4036,16 +4036,16 @@ MACRO sram_2_16_1_freepdk45 RECT 1462.5 30707.5 1597.5 30642.5 ; RECT 2437.5 30347.5 2572.5 30282.5 ; RECT 1462.5 30347.5 1597.5 30282.5 ; - RECT 1860.0 30650.0 1995.0 30585.0 ; - RECT 1860.0 30650.0 1995.0 30585.0 ; - RECT 1895.0 30485.0 1960.0 30420.0 ; + RECT 1905.0 30650.0 2040.0 30585.0 ; + RECT 1905.0 30650.0 2040.0 30585.0 ; + RECT 1940.0 30485.0 2005.0 30420.0 ; RECT 2657.5 30775.0 2722.5 30215.0 ; RECT 1312.5 30775.0 1377.5 30215.0 ; RECT 2505.0 30907.5 2690.0 30842.5 ; RECT 1345.0 30907.5 1530.0 30842.5 ; RECT 1462.5 31267.5 1312.5 31202.5 ; RECT 2347.5 31267.5 2722.5 31202.5 ; - RECT 1597.5 31077.5 2347.5 31012.5 ; + RECT 1462.5 31077.5 2347.5 31012.5 ; RECT 2347.5 31267.5 2482.5 31202.5 ; RECT 2347.5 31077.5 2482.5 31012.5 ; RECT 2347.5 31077.5 2482.5 31012.5 ; @@ -4056,12 +4056,12 @@ MACRO sram_2_16_1_freepdk45 RECT 1462.5 31267.5 1597.5 31202.5 ; RECT 2437.5 30907.5 2572.5 30842.5 ; RECT 1462.5 30907.5 1597.5 30842.5 ; - RECT 1860.0 31210.0 1995.0 31145.0 ; - RECT 1860.0 31210.0 1995.0 31145.0 ; - RECT 1895.0 31045.0 1960.0 30980.0 ; + RECT 1905.0 31210.0 2040.0 31145.0 ; + RECT 1905.0 31210.0 2040.0 31145.0 ; + RECT 1940.0 31045.0 2005.0 30980.0 ; RECT 2657.5 31335.0 2722.5 30775.0 ; RECT 1312.5 31335.0 1377.5 30775.0 ; - RECT 1137.5 28552.5 1377.5 28617.5 ; + RECT 1182.5 28552.5 1377.5 28617.5 ; RECT 342.5 28552.5 -32.5 28617.5 ; RECT 342.5 28932.5 -32.5 28997.5 ; RECT 185.0 29292.5 8.881784197e-13 29357.5 ; @@ -4078,38 +4078,38 @@ MACRO sram_2_16_1_freepdk45 RECT 342.5 29122.5 207.5 29187.5 ; RECT 342.5 29122.5 207.5 29187.5 ; RECT 342.5 28932.5 207.5 28997.5 ; - RECT 1137.5 28552.5 1002.5 28617.5 ; - RECT 1137.5 28742.5 1002.5 28807.5 ; - RECT 1137.5 28742.5 1002.5 28807.5 ; - RECT 1137.5 28552.5 1002.5 28617.5 ; - RECT 1137.5 28742.5 1002.5 28807.5 ; - RECT 1137.5 28932.5 1002.5 28997.5 ; - RECT 1137.5 28932.5 1002.5 28997.5 ; - RECT 1137.5 28742.5 1002.5 28807.5 ; - RECT 1137.5 28932.5 1002.5 28997.5 ; - RECT 1137.5 29122.5 1002.5 29187.5 ; - RECT 1137.5 29122.5 1002.5 29187.5 ; - RECT 1137.5 28932.5 1002.5 28997.5 ; + RECT 1182.5 28552.5 1047.5 28617.5 ; + RECT 1182.5 28742.5 1047.5 28807.5 ; + RECT 1182.5 28742.5 1047.5 28807.5 ; + RECT 1182.5 28552.5 1047.5 28617.5 ; + RECT 1182.5 28742.5 1047.5 28807.5 ; + RECT 1182.5 28932.5 1047.5 28997.5 ; + RECT 1182.5 28932.5 1047.5 28997.5 ; + RECT 1182.5 28742.5 1047.5 28807.5 ; + RECT 1182.5 28932.5 1047.5 28997.5 ; + RECT 1182.5 29122.5 1047.5 29187.5 ; + RECT 1182.5 29122.5 1047.5 29187.5 ; + RECT 1182.5 28932.5 1047.5 28997.5 ; RECT 252.5 29292.5 117.5 29357.5 ; RECT 1227.5 29292.5 1092.5 29357.5 ; - RECT 880.0 29127.5 815.0 28992.5 ; - RECT 740.0 28937.5 675.0 28802.5 ; - RECT 600.0 28747.5 535.0 28612.5 ; + RECT 970.0 29127.5 905.0 28992.5 ; + RECT 830.0 28937.5 765.0 28802.5 ; + RECT 690.0 28747.5 625.0 28612.5 ; RECT 342.5 28742.5 207.5 28807.5 ; RECT 342.5 29122.5 207.5 29187.5 ; - RECT 1137.5 29122.5 1002.5 29187.5 ; - RECT 635.0 29122.5 500.0 29187.5 ; - RECT 600.0 28612.5 535.0 28747.5 ; - RECT 740.0 28802.5 675.0 28937.5 ; - RECT 880.0 28992.5 815.0 29127.5 ; - RECT 635.0 29122.5 500.0 29187.5 ; + RECT 1182.5 29122.5 1047.5 29187.5 ; + RECT 725.0 29122.5 590.0 29187.5 ; + RECT 690.0 28612.5 625.0 28747.5 ; + RECT 830.0 28802.5 765.0 28937.5 ; + RECT 970.0 28992.5 905.0 29127.5 ; + RECT 725.0 29122.5 590.0 29187.5 ; RECT 32.5 28485.0 -32.5 29495.0 ; RECT 1377.5 28485.0 1312.5 29495.0 ; RECT 185.0 29922.5 8.881784197e-13 29987.5 ; RECT 1345.0 29922.5 1160.0 29987.5 ; RECT 1227.5 29562.5 1377.5 29627.5 ; RECT 342.5 29562.5 -32.5 29627.5 ; - RECT 1092.5 29752.5 342.5 29817.5 ; + RECT 1227.5 29752.5 342.5 29817.5 ; RECT 342.5 29562.5 207.5 29627.5 ; RECT 342.5 29752.5 207.5 29817.5 ; RECT 342.5 29752.5 207.5 29817.5 ; @@ -4120,16 +4120,16 @@ MACRO sram_2_16_1_freepdk45 RECT 1227.5 29562.5 1092.5 29627.5 ; RECT 252.5 29922.5 117.5 29987.5 ; RECT 1227.5 29922.5 1092.5 29987.5 ; - RECT 830.0 29620.0 695.0 29685.0 ; - RECT 830.0 29620.0 695.0 29685.0 ; - RECT 795.0 29785.0 730.0 29850.0 ; + RECT 785.0 29620.0 650.0 29685.0 ; + RECT 785.0 29620.0 650.0 29685.0 ; + RECT 750.0 29785.0 685.0 29850.0 ; RECT 32.5 29495.0 -32.5 30055.0 ; RECT 1377.5 29495.0 1312.5 30055.0 ; RECT 185.0 30482.5 8.881784197e-13 30547.5 ; RECT 1345.0 30482.5 1160.0 30547.5 ; RECT 1227.5 30122.5 1377.5 30187.5 ; RECT 342.5 30122.5 -32.5 30187.5 ; - RECT 1092.5 30312.5 342.5 30377.5 ; + RECT 1227.5 30312.5 342.5 30377.5 ; RECT 342.5 30122.5 207.5 30187.5 ; RECT 342.5 30312.5 207.5 30377.5 ; RECT 342.5 30312.5 207.5 30377.5 ; @@ -4140,16 +4140,16 @@ MACRO sram_2_16_1_freepdk45 RECT 1227.5 30122.5 1092.5 30187.5 ; RECT 252.5 30482.5 117.5 30547.5 ; RECT 1227.5 30482.5 1092.5 30547.5 ; - RECT 830.0 30180.0 695.0 30245.0 ; - RECT 830.0 30180.0 695.0 30245.0 ; - RECT 795.0 30345.0 730.0 30410.0 ; + RECT 785.0 30180.0 650.0 30245.0 ; + RECT 785.0 30180.0 650.0 30245.0 ; + RECT 750.0 30345.0 685.0 30410.0 ; RECT 32.5 30055.0 -32.5 30615.0 ; RECT 1377.5 30055.0 1312.5 30615.0 ; RECT 185.0 31042.5 8.881784197e-13 31107.5 ; RECT 1345.0 31042.5 1160.0 31107.5 ; RECT 1227.5 30682.5 1377.5 30747.5 ; RECT 342.5 30682.5 -32.5 30747.5 ; - RECT 1092.5 30872.5 342.5 30937.5 ; + RECT 1227.5 30872.5 342.5 30937.5 ; RECT 342.5 30682.5 207.5 30747.5 ; RECT 342.5 30872.5 207.5 30937.5 ; RECT 342.5 30872.5 207.5 30937.5 ; @@ -4160,35 +4160,35 @@ MACRO sram_2_16_1_freepdk45 RECT 1227.5 30682.5 1092.5 30747.5 ; RECT 252.5 31042.5 117.5 31107.5 ; RECT 1227.5 31042.5 1092.5 31107.5 ; - RECT 830.0 30740.0 695.0 30805.0 ; - RECT 830.0 30740.0 695.0 30805.0 ; - RECT 795.0 30905.0 730.0 30970.0 ; + RECT 785.0 30740.0 650.0 30805.0 ; + RECT 785.0 30740.0 650.0 30805.0 ; + RECT 750.0 30905.0 685.0 30970.0 ; RECT 32.5 30615.0 -32.5 31175.0 ; RECT 1377.5 30615.0 1312.5 31175.0 ; RECT 1380.0 33907.5 935.0 33972.5 ; RECT 1380.0 36317.5 935.0 36382.5 ; - RECT 1345.0 36762.5 935.0 36827.5 ; + RECT 1345.0 36817.5 935.0 36882.5 ; RECT 20.0 35112.5 935.0 35177.5 ; RECT 20.0 32422.5 935.0 32487.5 ; - RECT 1960.0 33435.0 1895.0 34135.0 ; - RECT 1960.0 33627.5 1895.0 33692.5 ; - RECT 1960.0 33435.0 1895.0 33660.0 ; - RECT 1927.5 33627.5 1025.0 33692.5 ; + RECT 2005.0 33435.0 1940.0 34135.0 ; + RECT 2005.0 33627.5 1940.0 33692.5 ; + RECT 2005.0 33435.0 1940.0 33660.0 ; + RECT 1972.5 33627.5 1025.0 33692.5 ; RECT 2690.0 33497.5 2465.0 33562.5 ; RECT 2430.0 32627.5 2365.0 32692.5 ; - RECT 1960.0 32627.5 1895.0 32692.5 ; + RECT 2005.0 32627.5 1940.0 32692.5 ; RECT 2430.0 32660.0 2365.0 33307.5 ; - RECT 2397.5 32627.5 1927.5 32692.5 ; - RECT 1960.0 32330.0 1895.0 32660.0 ; - RECT 1927.5 32627.5 1172.5 32692.5 ; + RECT 2397.5 32627.5 1972.5 32692.5 ; + RECT 2005.0 32330.0 1940.0 32660.0 ; + RECT 1972.5 32627.5 1172.5 32692.5 ; RECT 1172.5 32030.0 750.0 32095.0 ; - RECT 1995.0 32265.0 1860.0 32330.0 ; - RECT 1960.0 34135.0 1895.0 34340.0 ; + RECT 2040.0 32265.0 1905.0 32330.0 ; + RECT 2005.0 34135.0 1940.0 34340.0 ; RECT 2505.0 32027.5 2690.0 31962.5 ; RECT 1345.0 32027.5 1530.0 31962.5 ; RECT 1462.5 32387.5 1312.5 32322.5 ; RECT 2347.5 32387.5 2722.5 32322.5 ; - RECT 1597.5 32197.5 2347.5 32132.5 ; + RECT 1462.5 32197.5 2347.5 32132.5 ; RECT 2347.5 32387.5 2482.5 32322.5 ; RECT 2347.5 32197.5 2482.5 32132.5 ; RECT 2347.5 32197.5 2482.5 32132.5 ; @@ -4199,9 +4199,9 @@ MACRO sram_2_16_1_freepdk45 RECT 1462.5 32387.5 1597.5 32322.5 ; RECT 2437.5 32027.5 2572.5 31962.5 ; RECT 1462.5 32027.5 1597.5 31962.5 ; - RECT 1860.0 32330.0 1995.0 32265.0 ; - RECT 1860.0 32330.0 1995.0 32265.0 ; - RECT 1895.0 32165.0 1960.0 32100.0 ; + RECT 1905.0 32330.0 2040.0 32265.0 ; + RECT 1905.0 32330.0 2040.0 32265.0 ; + RECT 1940.0 32165.0 2005.0 32100.0 ; RECT 2657.5 32455.0 2722.5 31895.0 ; RECT 1312.5 32455.0 1377.5 31895.0 ; RECT 2330.0 33307.5 2465.0 33372.5 ; @@ -4217,7 +4217,7 @@ MACRO sram_2_16_1_freepdk45 RECT 4035.0 34562.5 3850.0 34627.5 ; RECT 3917.5 34202.5 4067.5 34267.5 ; RECT 3032.5 34202.5 2657.5 34267.5 ; - RECT 3782.5 34392.5 3032.5 34457.5 ; + RECT 3917.5 34392.5 3032.5 34457.5 ; RECT 3032.5 34202.5 2897.5 34267.5 ; RECT 3032.5 34392.5 2897.5 34457.5 ; RECT 3032.5 34392.5 2897.5 34457.5 ; @@ -4228,16 +4228,16 @@ MACRO sram_2_16_1_freepdk45 RECT 3917.5 34202.5 3782.5 34267.5 ; RECT 2942.5 34562.5 2807.5 34627.5 ; RECT 3917.5 34562.5 3782.5 34627.5 ; - RECT 3520.0 34260.0 3385.0 34325.0 ; - RECT 3520.0 34260.0 3385.0 34325.0 ; - RECT 3485.0 34425.0 3420.0 34490.0 ; + RECT 3475.0 34260.0 3340.0 34325.0 ; + RECT 3475.0 34260.0 3340.0 34325.0 ; + RECT 3440.0 34425.0 3375.0 34490.0 ; RECT 2722.5 34135.0 2657.5 34695.0 ; RECT 4067.5 34135.0 4002.5 34695.0 ; RECT 2875.0 35122.5 2690.0 35187.5 ; RECT 4035.0 35122.5 3850.0 35187.5 ; RECT 3917.5 34762.5 4067.5 34827.5 ; RECT 3032.5 34762.5 2657.5 34827.5 ; - RECT 3782.5 34952.5 3032.5 35017.5 ; + RECT 3917.5 34952.5 3032.5 35017.5 ; RECT 3032.5 34762.5 2897.5 34827.5 ; RECT 3032.5 34952.5 2897.5 35017.5 ; RECT 3032.5 34952.5 2897.5 35017.5 ; @@ -4248,17 +4248,17 @@ MACRO sram_2_16_1_freepdk45 RECT 3917.5 34762.5 3782.5 34827.5 ; RECT 2942.5 35122.5 2807.5 35187.5 ; RECT 3917.5 35122.5 3782.5 35187.5 ; - RECT 3520.0 34820.0 3385.0 34885.0 ; - RECT 3520.0 34820.0 3385.0 34885.0 ; - RECT 3485.0 34985.0 3420.0 35050.0 ; + RECT 3475.0 34820.0 3340.0 34885.0 ; + RECT 3475.0 34820.0 3340.0 34885.0 ; + RECT 3440.0 34985.0 3375.0 35050.0 ; RECT 2722.5 34695.0 2657.5 35255.0 ; RECT 4067.5 34695.0 4002.5 35255.0 ; - RECT 3385.0 34820.0 3520.0 34885.0 ; + RECT 3340.0 34820.0 3475.0 34885.0 ; RECT 2505.0 34827.5 2690.0 34762.5 ; RECT 1345.0 34827.5 1530.0 34762.5 ; RECT 1462.5 35187.5 1312.5 35122.5 ; RECT 2347.5 35187.5 2722.5 35122.5 ; - RECT 1597.5 34997.5 2347.5 34932.5 ; + RECT 1462.5 34997.5 2347.5 34932.5 ; RECT 2347.5 35187.5 2482.5 35122.5 ; RECT 2347.5 34997.5 2482.5 34932.5 ; RECT 2347.5 34997.5 2482.5 34932.5 ; @@ -4269,17 +4269,17 @@ MACRO sram_2_16_1_freepdk45 RECT 1462.5 35187.5 1597.5 35122.5 ; RECT 2437.5 34827.5 2572.5 34762.5 ; RECT 1462.5 34827.5 1597.5 34762.5 ; - RECT 1860.0 35130.0 1995.0 35065.0 ; - RECT 1860.0 35130.0 1995.0 35065.0 ; - RECT 1895.0 34965.0 1960.0 34900.0 ; + RECT 1905.0 35130.0 2040.0 35065.0 ; + RECT 1905.0 35130.0 2040.0 35065.0 ; + RECT 1940.0 34965.0 2005.0 34900.0 ; RECT 2657.5 35255.0 2722.5 34695.0 ; RECT 1312.5 35255.0 1377.5 34695.0 ; - RECT 1860.0 35065.0 1995.0 35130.0 ; + RECT 1905.0 35065.0 2040.0 35130.0 ; RECT 2505.0 34267.5 2690.0 34202.5 ; RECT 1345.0 34267.5 1530.0 34202.5 ; RECT 1462.5 34627.5 1312.5 34562.5 ; RECT 2347.5 34627.5 2722.5 34562.5 ; - RECT 1597.5 34437.5 2347.5 34372.5 ; + RECT 1462.5 34437.5 2347.5 34372.5 ; RECT 2347.5 34627.5 2482.5 34562.5 ; RECT 2347.5 34437.5 2482.5 34372.5 ; RECT 2347.5 34437.5 2482.5 34372.5 ; @@ -4290,17 +4290,17 @@ MACRO sram_2_16_1_freepdk45 RECT 1462.5 34627.5 1597.5 34562.5 ; RECT 2437.5 34267.5 2572.5 34202.5 ; RECT 1462.5 34267.5 1597.5 34202.5 ; - RECT 1860.0 34570.0 1995.0 34505.0 ; - RECT 1860.0 34570.0 1995.0 34505.0 ; - RECT 1895.0 34405.0 1960.0 34340.0 ; + RECT 1905.0 34570.0 2040.0 34505.0 ; + RECT 1905.0 34570.0 2040.0 34505.0 ; + RECT 1940.0 34405.0 2005.0 34340.0 ; RECT 2657.5 34695.0 2722.5 34135.0 ; RECT 1312.5 34695.0 1377.5 34135.0 ; - RECT 1860.0 34505.0 1995.0 34570.0 ; - RECT 3385.0 34425.0 3520.0 34490.0 ; - RECT 3385.0 34985.0 3520.0 35050.0 ; - RECT 1860.0 34900.0 1995.0 34965.0 ; - RECT 3385.0 34260.0 3520.0 34325.0 ; - RECT 1895.0 34135.0 1960.0 34340.0 ; + RECT 1905.0 34505.0 2040.0 34570.0 ; + RECT 3340.0 34425.0 3475.0 34490.0 ; + RECT 3340.0 34985.0 3475.0 35050.0 ; + RECT 1905.0 34900.0 2040.0 34965.0 ; + RECT 3340.0 34260.0 3475.0 34325.0 ; + RECT 1940.0 34135.0 2005.0 34340.0 ; RECT 2657.5 34135.0 2722.5 35255.0 ; RECT 1312.5 34135.0 1377.5 35255.0 ; RECT 4002.5 34135.0 4067.5 35255.0 ; @@ -4316,40 +4316,40 @@ MACRO sram_2_16_1_freepdk45 RECT 1377.5 36317.5 1312.5 36452.5 ; RECT 1377.5 34135.0 1312.5 34270.0 ; RECT 1377.5 31760.0 1312.5 31895.0 ; - RECT 1277.5 36762.5 1412.5 36827.5 ; - RECT 867.5 36762.5 1002.5 36827.5 ; - RECT 1960.0 33367.5 1895.0 33502.5 ; + RECT 1277.5 36817.5 1412.5 36882.5 ; + RECT 867.5 36817.5 1002.5 36882.5 ; + RECT 2005.0 33367.5 1940.0 33502.5 ; RECT 1105.0 32627.5 1240.0 32692.5 ; RECT 1105.0 32030.0 1240.0 32095.0 ; RECT 682.5 32030.0 817.5 32095.0 ; - RECT 3520.0 31335.0 3455.0 34260.0 ; - RECT 1960.0 31335.0 1895.0 32100.0 ; - RECT 20.0 31335.0 -45.0 36522.5 ; + RECT 3475.0 31335.0 3410.0 34260.0 ; + RECT 2005.0 31335.0 1940.0 32100.0 ; + RECT 20.0 31335.0 -45.0 36577.5 ; RECT 2722.5 31335.0 2657.5 34135.0 ; RECT 1380.0 31335.0 1310.0 32455.0 ; RECT 4067.5 31335.0 4002.5 34135.0 ; - RECT 3515.0 26182.5 3450.0 26047.5 ; - RECT 3515.0 22102.5 3450.0 21967.5 ; + RECT 3455.0 26182.5 3390.0 26047.5 ; + RECT 3455.0 22102.5 3390.0 21967.5 ; RECT 2517.5 19535.0 2452.5 19400.0 ; RECT 1962.5 26182.5 1897.5 26047.5 ; RECT 1747.5 26592.5 1682.5 26457.5 ; RECT 2017.5 29130.0 1952.5 28995.0 ; RECT 1802.5 29387.5 1737.5 29252.5 ; - RECT 3290.0 27617.5 3225.0 27482.5 ; - RECT 3430.0 27412.5 3365.0 27277.5 ; - RECT 3570.0 26797.5 3505.0 26662.5 ; - RECT 600.0 27617.5 535.0 27482.5 ; - RECT 740.0 26797.5 675.0 26662.5 ; - RECT 880.0 27002.5 815.0 26867.5 ; + RECT 3380.0 27617.5 3315.0 27482.5 ; + RECT 3520.0 27412.5 3455.0 27277.5 ; + RECT 3660.0 26797.5 3595.0 26662.5 ; + RECT 690.0 27617.5 625.0 27482.5 ; + RECT 830.0 26797.5 765.0 26662.5 ; + RECT 970.0 27002.5 905.0 26867.5 ; RECT 1997.5 28812.5 1862.5 28877.5 ; RECT 2052.5 29957.5 1917.5 30022.5 ; - RECT 830.0 31142.5 695.0 31207.5 ; - RECT 1995.0 30182.5 1860.0 30247.5 ; + RECT 785.0 31142.5 650.0 31207.5 ; + RECT 2040.0 30182.5 1905.0 30247.5 ; RECT 4067.5 26387.5 4002.5 26252.5 ; RECT 2722.5 27207.5 2657.5 27072.5 ; RECT 1377.5 26387.5 1312.5 26252.5 ; RECT 32.5 27207.5 -32.5 27072.5 ; - RECT 3520.0 19230.0 3385.0 19420.0 ; + RECT 3475.0 19230.0 3340.0 19420.0 ; RECT 2722.5 19230.0 2657.5 19295.0 ; RECT 4067.5 19230.0 4002.5 19295.0 ; RECT 4417.5 27107.5 4282.5 27172.5 ; @@ -4362,20 +4362,20 @@ MACRO sram_2_16_1_freepdk45 RECT 12982.5 25910.0 13052.5 26115.0 ; RECT 4035.0 27105.0 4350.0 27175.0 ; RECT 12567.5 26115.0 12637.5 26320.0 ; - RECT 12982.5 0.0 13052.5 42270.0 ; - RECT 13187.5 0.0 13257.5 42270.0 ; - RECT 13392.5 0.0 13462.5 42270.0 ; - RECT 13597.5 0.0 13667.5 42270.0 ; - RECT 13802.5 0.0 13872.5 42270.0 ; - RECT 14007.5 0.0 14077.5 42270.0 ; + RECT 12982.5 0.0 13052.5 42337.5 ; + RECT 13187.5 0.0 13257.5 42337.5 ; + RECT 13392.5 0.0 13462.5 42337.5 ; + RECT 13597.5 0.0 13667.5 42337.5 ; + RECT 13802.5 0.0 13872.5 42337.5 ; + RECT 14007.5 0.0 14077.5 42337.5 ; RECT 11402.5 4690.0 11472.5 19090.0 ; RECT 11607.5 4690.0 11677.5 19090.0 ; RECT 11812.5 4690.0 11882.5 19090.0 ; RECT 12017.5 4690.0 12087.5 19090.0 ; - RECT 14670.0 40710.0 14740.0 41060.0 ; - RECT 15005.0 40710.0 15075.0 41060.0 ; - RECT 15375.0 40710.0 15445.0 41060.0 ; - RECT 15710.0 40710.0 15780.0 41060.0 ; + RECT 14670.0 40765.0 14740.0 41170.0 ; + RECT 15005.0 40765.0 15075.0 41170.0 ; + RECT 15375.0 40765.0 15445.0 41170.0 ; + RECT 15710.0 40765.0 15780.0 41170.0 ; RECT 14837.5 440.0 14907.5 510.0 ; RECT 14662.5 440.0 14872.5 510.0 ; RECT 14837.5 475.0 14907.5 615.0 ; @@ -4415,36 +4415,37 @@ MACRO sram_2_16_1_freepdk45 RECT 15225.0 37920.0 15930.0 36575.0 ; RECT 15225.0 37920.0 15930.0 39265.0 ; RECT 15225.0 40610.0 15930.0 39265.0 ; - RECT 14670.0 18990.0 14740.0 40710.0 ; - RECT 15005.0 18990.0 15075.0 40710.0 ; - RECT 15375.0 18990.0 15445.0 40710.0 ; - RECT 15710.0 18990.0 15780.0 40710.0 ; - RECT 14485.0 18990.0 14555.0 40710.0 ; - RECT 15190.0 18990.0 15260.0 40710.0 ; - RECT 14670.0 41182.5 14747.5 41317.5 ; - RECT 14872.5 41182.5 15075.0 41317.5 ; - RECT 14670.0 41767.5 14747.5 41902.5 ; - RECT 15005.0 41767.5 15127.5 41902.5 ; - RECT 14680.0 41182.5 14750.0 41317.5 ; - RECT 14870.0 41182.5 14940.0 41317.5 ; - RECT 14680.0 41767.5 14750.0 41902.5 ; - RECT 15060.0 41767.5 15130.0 41902.5 ; - RECT 14670.0 41060.0 14740.0 42270.0 ; - RECT 15005.0 41060.0 15075.0 42270.0 ; - RECT 15375.0 41182.5 15452.5 41317.5 ; - RECT 15577.5 41182.5 15780.0 41317.5 ; - RECT 15375.0 41767.5 15452.5 41902.5 ; - RECT 15710.0 41767.5 15832.5 41902.5 ; - RECT 15385.0 41182.5 15455.0 41317.5 ; - RECT 15575.0 41182.5 15645.0 41317.5 ; - RECT 15385.0 41767.5 15455.0 41902.5 ; - RECT 15765.0 41767.5 15835.0 41902.5 ; - RECT 15375.0 41060.0 15445.0 42270.0 ; - RECT 15710.0 41060.0 15780.0 42270.0 ; - RECT 14670.0 41060.0 14740.0 42270.0 ; - RECT 15005.0 41060.0 15075.0 42270.0 ; - RECT 15375.0 41060.0 15445.0 42270.0 ; - RECT 15710.0 41060.0 15780.0 42270.0 ; + RECT 14670.0 18990.0 14740.0 40765.0 ; + RECT 15005.0 18990.0 15075.0 40765.0 ; + RECT 15375.0 18990.0 15445.0 40765.0 ; + RECT 15710.0 18990.0 15780.0 40765.0 ; + RECT 14485.0 18990.0 14555.0 40765.0 ; + RECT 15190.0 18990.0 15260.0 40765.0 ; + RECT 15895.0 18990.0 15965.0 40765.0 ; + RECT 14670.0 41292.5 14747.5 41427.5 ; + RECT 14872.5 41292.5 15075.0 41427.5 ; + RECT 14670.0 41822.5 14747.5 41957.5 ; + RECT 15005.0 41822.5 15127.5 41957.5 ; + RECT 14680.0 41292.5 14750.0 41427.5 ; + RECT 14870.0 41292.5 14940.0 41427.5 ; + RECT 14680.0 41822.5 14750.0 41957.5 ; + RECT 15060.0 41822.5 15130.0 41957.5 ; + RECT 14670.0 41170.0 14740.0 42337.5 ; + RECT 15005.0 41170.0 15075.0 42337.5 ; + RECT 15375.0 41292.5 15452.5 41427.5 ; + RECT 15577.5 41292.5 15780.0 41427.5 ; + RECT 15375.0 41822.5 15452.5 41957.5 ; + RECT 15710.0 41822.5 15832.5 41957.5 ; + RECT 15385.0 41292.5 15455.0 41427.5 ; + RECT 15575.0 41292.5 15645.0 41427.5 ; + RECT 15385.0 41822.5 15455.0 41957.5 ; + RECT 15765.0 41822.5 15835.0 41957.5 ; + RECT 15375.0 41170.0 15445.0 42337.5 ; + RECT 15710.0 41170.0 15780.0 42337.5 ; + RECT 14670.0 41170.0 14740.0 42337.5 ; + RECT 15005.0 41170.0 15075.0 42337.5 ; + RECT 15375.0 41170.0 15445.0 42337.5 ; + RECT 15710.0 41170.0 15780.0 42337.5 ; RECT 14520.0 14205.0 15225.0 19090.0 ; RECT 15225.0 14205.0 15930.0 19090.0 ; RECT 14670.0 14205.0 14740.0 19090.0 ; @@ -4521,21 +4522,21 @@ MACRO sram_2_16_1_freepdk45 RECT 8165.0 13547.5 8095.0 13412.5 ; RECT 8062.5 13105.0 8197.5 13035.0 ; RECT 9607.5 9445.0 9742.5 9515.0 ; - RECT 10992.5 8877.5 11127.5 8947.5 ; + RECT 10992.5 8922.5 11127.5 8992.5 ; RECT 9332.5 10790.0 9467.5 10860.0 ; - RECT 10717.5 10402.5 10852.5 10472.5 ; + RECT 10717.5 10357.5 10852.5 10427.5 ; RECT 10992.5 11120.0 11127.5 11190.0 ; RECT 9057.5 11120.0 9192.5 11190.0 ; RECT 10717.5 12465.0 10852.5 12535.0 ; RECT 8782.5 12465.0 8917.5 12535.0 ; - RECT 9607.5 8935.0 9742.5 9005.0 ; - RECT 9332.5 8720.0 9467.5 8790.0 ; - RECT 9057.5 10345.0 9192.5 10415.0 ; - RECT 9332.5 10560.0 9467.5 10630.0 ; - RECT 9607.5 11625.0 9742.5 11695.0 ; - RECT 8782.5 11410.0 8917.5 11480.0 ; - RECT 9057.5 13035.0 9192.5 13105.0 ; - RECT 8782.5 13250.0 8917.5 13320.0 ; + RECT 9607.5 8720.0 9742.5 8790.0 ; + RECT 9332.5 8935.0 9467.5 9005.0 ; + RECT 9057.5 10560.0 9192.5 10630.0 ; + RECT 9332.5 10345.0 9467.5 10415.0 ; + RECT 9607.5 11410.0 9742.5 11480.0 ; + RECT 8782.5 11625.0 8917.5 11695.0 ; + RECT 9057.5 13250.0 9192.5 13320.0 ; + RECT 8782.5 13035.0 8917.5 13105.0 ; RECT 11095.0 8330.0 11025.0 13570.0 ; RECT 10820.0 8330.0 10750.0 13570.0 ; RECT 9160.0 13710.0 9090.0 18950.0 ; @@ -4575,21 +4576,21 @@ MACRO sram_2_16_1_freepdk45 RECT 8165.0 18927.5 8095.0 18792.5 ; RECT 8062.5 18485.0 8197.5 18415.0 ; RECT 9607.5 14825.0 9742.5 14895.0 ; - RECT 10992.5 14257.5 11127.5 14327.5 ; + RECT 10992.5 14302.5 11127.5 14372.5 ; RECT 9332.5 16170.0 9467.5 16240.0 ; - RECT 10717.5 15782.5 10852.5 15852.5 ; + RECT 10717.5 15737.5 10852.5 15807.5 ; RECT 10992.5 16500.0 11127.5 16570.0 ; RECT 9057.5 16500.0 9192.5 16570.0 ; RECT 10717.5 17845.0 10852.5 17915.0 ; RECT 8782.5 17845.0 8917.5 17915.0 ; - RECT 9607.5 14315.0 9742.5 14385.0 ; - RECT 9332.5 14100.0 9467.5 14170.0 ; - RECT 9057.5 15725.0 9192.5 15795.0 ; - RECT 9332.5 15940.0 9467.5 16010.0 ; - RECT 9607.5 17005.0 9742.5 17075.0 ; - RECT 8782.5 16790.0 8917.5 16860.0 ; - RECT 9057.5 18415.0 9192.5 18485.0 ; - RECT 8782.5 18630.0 8917.5 18700.0 ; + RECT 9607.5 14100.0 9742.5 14170.0 ; + RECT 9332.5 14315.0 9467.5 14385.0 ; + RECT 9057.5 15940.0 9192.5 16010.0 ; + RECT 9332.5 15725.0 9467.5 15795.0 ; + RECT 9607.5 16790.0 9742.5 16860.0 ; + RECT 8782.5 17005.0 8917.5 17075.0 ; + RECT 9057.5 18630.0 9192.5 18700.0 ; + RECT 8782.5 18415.0 8917.5 18485.0 ; RECT 11095.0 13710.0 11025.0 18950.0 ; RECT 10820.0 13710.0 10750.0 18950.0 ; RECT 7385.0 19695.0 7455.0 19765.0 ; @@ -4720,14 +4721,14 @@ MACRO sram_2_16_1_freepdk45 RECT 7385.0 39607.5 7455.0 39472.5 ; RECT 7575.0 40447.5 7645.0 40312.5 ; RECT 7677.5 40005.0 7542.5 39935.0 ; - RECT 5832.5 8877.5 5697.5 8947.5 ; - RECT 6007.5 10402.5 5872.5 10472.5 ; - RECT 6182.5 11567.5 6047.5 11637.5 ; - RECT 6357.5 13092.5 6222.5 13162.5 ; - RECT 6532.5 14257.5 6397.5 14327.5 ; - RECT 6707.5 15782.5 6572.5 15852.5 ; - RECT 6882.5 16947.5 6747.5 17017.5 ; - RECT 7057.5 18472.5 6922.5 18542.5 ; + RECT 5832.5 8922.5 5697.5 8992.5 ; + RECT 6007.5 10357.5 5872.5 10427.5 ; + RECT 6182.5 11612.5 6047.5 11682.5 ; + RECT 6357.5 13047.5 6222.5 13117.5 ; + RECT 6532.5 14302.5 6397.5 14372.5 ; + RECT 6707.5 15737.5 6572.5 15807.5 ; + RECT 6882.5 16992.5 6747.5 17062.5 ; + RECT 7057.5 18427.5 6922.5 18497.5 ; RECT 5832.5 19695.0 5697.5 19765.0 ; RECT 6532.5 19480.0 6397.5 19550.0 ; RECT 5832.5 21105.0 5697.5 21175.0 ; @@ -4820,7 +4821,7 @@ MACRO sram_2_16_1_freepdk45 RECT 9880.0 20092.5 9950.0 20227.5 ; RECT 10070.0 19252.5 10140.0 19387.5 ; RECT 10172.5 19695.0 10037.5 19765.0 ; - RECT 8805.0 19605.0 8875.0 19740.0 ; + RECT 8805.0 19650.0 8875.0 19785.0 ; RECT 8945.0 19377.5 9015.0 19512.5 ; RECT 9942.5 19480.0 9807.5 19550.0 ; RECT 9880.0 21175.0 9950.0 21105.0 ; @@ -4831,7 +4832,7 @@ MACRO sram_2_16_1_freepdk45 RECT 9880.0 20777.5 9950.0 20642.5 ; RECT 10070.0 21617.5 10140.0 21482.5 ; RECT 10172.5 21175.0 10037.5 21105.0 ; - RECT 8805.0 21130.0 8875.0 21265.0 ; + RECT 8805.0 21085.0 8875.0 21220.0 ; RECT 8945.0 21357.5 9015.0 21492.5 ; RECT 9942.5 21320.0 9807.5 21390.0 ; RECT 9880.0 22385.0 9950.0 22455.0 ; @@ -4842,7 +4843,7 @@ MACRO sram_2_16_1_freepdk45 RECT 9880.0 22782.5 9950.0 22917.5 ; RECT 10070.0 21942.5 10140.0 22077.5 ; RECT 10172.5 22385.0 10037.5 22455.0 ; - RECT 8805.0 22295.0 8875.0 22430.0 ; + RECT 8805.0 22340.0 8875.0 22475.0 ; RECT 8945.0 22067.5 9015.0 22202.5 ; RECT 9942.5 22170.0 9807.5 22240.0 ; RECT 9880.0 23865.0 9950.0 23795.0 ; @@ -4853,7 +4854,7 @@ MACRO sram_2_16_1_freepdk45 RECT 9880.0 23467.5 9950.0 23332.5 ; RECT 10070.0 24307.5 10140.0 24172.5 ; RECT 10172.5 23865.0 10037.5 23795.0 ; - RECT 8805.0 23820.0 8875.0 23955.0 ; + RECT 8805.0 23775.0 8875.0 23910.0 ; RECT 8945.0 24047.5 9015.0 24182.5 ; RECT 9942.5 24010.0 9807.5 24080.0 ; RECT 9880.0 25075.0 9950.0 25145.0 ; @@ -4864,7 +4865,7 @@ MACRO sram_2_16_1_freepdk45 RECT 9880.0 25472.5 9950.0 25607.5 ; RECT 10070.0 24632.5 10140.0 24767.5 ; RECT 10172.5 25075.0 10037.5 25145.0 ; - RECT 8805.0 24985.0 8875.0 25120.0 ; + RECT 8805.0 25030.0 8875.0 25165.0 ; RECT 8945.0 24757.5 9015.0 24892.5 ; RECT 9942.5 24860.0 9807.5 24930.0 ; RECT 9880.0 26555.0 9950.0 26485.0 ; @@ -4875,7 +4876,7 @@ MACRO sram_2_16_1_freepdk45 RECT 9880.0 26157.5 9950.0 26022.5 ; RECT 10070.0 26997.5 10140.0 26862.5 ; RECT 10172.5 26555.0 10037.5 26485.0 ; - RECT 8805.0 26510.0 8875.0 26645.0 ; + RECT 8805.0 26465.0 8875.0 26600.0 ; RECT 8945.0 26737.5 9015.0 26872.5 ; RECT 9942.5 26700.0 9807.5 26770.0 ; RECT 9880.0 27765.0 9950.0 27835.0 ; @@ -4886,7 +4887,7 @@ MACRO sram_2_16_1_freepdk45 RECT 9880.0 28162.5 9950.0 28297.5 ; RECT 10070.0 27322.5 10140.0 27457.5 ; RECT 10172.5 27765.0 10037.5 27835.0 ; - RECT 8805.0 27675.0 8875.0 27810.0 ; + RECT 8805.0 27720.0 8875.0 27855.0 ; RECT 8945.0 27447.5 9015.0 27582.5 ; RECT 9942.5 27550.0 9807.5 27620.0 ; RECT 9880.0 29245.0 9950.0 29175.0 ; @@ -4897,7 +4898,7 @@ MACRO sram_2_16_1_freepdk45 RECT 9880.0 28847.5 9950.0 28712.5 ; RECT 10070.0 29687.5 10140.0 29552.5 ; RECT 10172.5 29245.0 10037.5 29175.0 ; - RECT 8805.0 29200.0 8875.0 29335.0 ; + RECT 8805.0 29155.0 8875.0 29290.0 ; RECT 8945.0 29427.5 9015.0 29562.5 ; RECT 9942.5 29390.0 9807.5 29460.0 ; RECT 9880.0 30455.0 9950.0 30525.0 ; @@ -4908,7 +4909,7 @@ MACRO sram_2_16_1_freepdk45 RECT 9880.0 30852.5 9950.0 30987.5 ; RECT 10070.0 30012.5 10140.0 30147.5 ; RECT 10172.5 30455.0 10037.5 30525.0 ; - RECT 8805.0 30365.0 8875.0 30500.0 ; + RECT 8805.0 30410.0 8875.0 30545.0 ; RECT 8945.0 30137.5 9015.0 30272.5 ; RECT 9942.5 30240.0 9807.5 30310.0 ; RECT 9880.0 31935.0 9950.0 31865.0 ; @@ -4919,7 +4920,7 @@ MACRO sram_2_16_1_freepdk45 RECT 9880.0 31537.5 9950.0 31402.5 ; RECT 10070.0 32377.5 10140.0 32242.5 ; RECT 10172.5 31935.0 10037.5 31865.0 ; - RECT 8805.0 31890.0 8875.0 32025.0 ; + RECT 8805.0 31845.0 8875.0 31980.0 ; RECT 8945.0 32117.5 9015.0 32252.5 ; RECT 9942.5 32080.0 9807.5 32150.0 ; RECT 9880.0 33145.0 9950.0 33215.0 ; @@ -4930,7 +4931,7 @@ MACRO sram_2_16_1_freepdk45 RECT 9880.0 33542.5 9950.0 33677.5 ; RECT 10070.0 32702.5 10140.0 32837.5 ; RECT 10172.5 33145.0 10037.5 33215.0 ; - RECT 8805.0 33055.0 8875.0 33190.0 ; + RECT 8805.0 33100.0 8875.0 33235.0 ; RECT 8945.0 32827.5 9015.0 32962.5 ; RECT 9942.5 32930.0 9807.5 33000.0 ; RECT 9880.0 34625.0 9950.0 34555.0 ; @@ -4941,7 +4942,7 @@ MACRO sram_2_16_1_freepdk45 RECT 9880.0 34227.5 9950.0 34092.5 ; RECT 10070.0 35067.5 10140.0 34932.5 ; RECT 10172.5 34625.0 10037.5 34555.0 ; - RECT 8805.0 34580.0 8875.0 34715.0 ; + RECT 8805.0 34535.0 8875.0 34670.0 ; RECT 8945.0 34807.5 9015.0 34942.5 ; RECT 9942.5 34770.0 9807.5 34840.0 ; RECT 9880.0 35835.0 9950.0 35905.0 ; @@ -4952,7 +4953,7 @@ MACRO sram_2_16_1_freepdk45 RECT 9880.0 36232.5 9950.0 36367.5 ; RECT 10070.0 35392.5 10140.0 35527.5 ; RECT 10172.5 35835.0 10037.5 35905.0 ; - RECT 8805.0 35745.0 8875.0 35880.0 ; + RECT 8805.0 35790.0 8875.0 35925.0 ; RECT 8945.0 35517.5 9015.0 35652.5 ; RECT 9942.5 35620.0 9807.5 35690.0 ; RECT 9880.0 37315.0 9950.0 37245.0 ; @@ -4963,7 +4964,7 @@ MACRO sram_2_16_1_freepdk45 RECT 9880.0 36917.5 9950.0 36782.5 ; RECT 10070.0 37757.5 10140.0 37622.5 ; RECT 10172.5 37315.0 10037.5 37245.0 ; - RECT 8805.0 37270.0 8875.0 37405.0 ; + RECT 8805.0 37225.0 8875.0 37360.0 ; RECT 8945.0 37497.5 9015.0 37632.5 ; RECT 9942.5 37460.0 9807.5 37530.0 ; RECT 9880.0 38525.0 9950.0 38595.0 ; @@ -4974,7 +4975,7 @@ MACRO sram_2_16_1_freepdk45 RECT 9880.0 38922.5 9950.0 39057.5 ; RECT 10070.0 38082.5 10140.0 38217.5 ; RECT 10172.5 38525.0 10037.5 38595.0 ; - RECT 8805.0 38435.0 8875.0 38570.0 ; + RECT 8805.0 38480.0 8875.0 38615.0 ; RECT 8945.0 38207.5 9015.0 38342.5 ; RECT 9942.5 38310.0 9807.5 38380.0 ; RECT 9880.0 40005.0 9950.0 39935.0 ; @@ -4985,7 +4986,7 @@ MACRO sram_2_16_1_freepdk45 RECT 9880.0 39607.5 9950.0 39472.5 ; RECT 10070.0 40447.5 10140.0 40312.5 ; RECT 10172.5 40005.0 10037.5 39935.0 ; - RECT 8805.0 39960.0 8875.0 40095.0 ; + RECT 8805.0 39915.0 8875.0 40050.0 ; RECT 8945.0 40187.5 9015.0 40322.5 ; RECT 9942.5 40150.0 9807.5 40220.0 ; RECT 8805.0 19090.0 8875.0 40610.0 ; @@ -5064,7 +5065,7 @@ MACRO sram_2_16_1_freepdk45 RECT 13700.0 3792.5 13565.0 3862.5 ; RECT 13290.0 1607.5 13155.0 1677.5 ; RECT 13495.0 3155.0 13360.0 3225.0 ; - RECT 13700.0 41475.0 13565.0 41545.0 ; + RECT 13700.0 41585.0 13565.0 41655.0 ; RECT 13905.0 10295.0 13770.0 10365.0 ; RECT 14110.0 14320.0 13975.0 14390.0 ; RECT 13085.0 8090.0 12950.0 8160.0 ; @@ -5076,13 +5077,13 @@ MACRO sram_2_16_1_freepdk45 RECT 12777.5 11227.5 12642.5 11297.5 ; RECT 14837.5 0.0 14907.5 140.0 ; RECT 15542.5 0.0 15612.5 140.0 ; - RECT 14007.5 0.0 14077.5 42270.0 ; - RECT 13802.5 0.0 13872.5 42270.0 ; - RECT 13187.5 0.0 13257.5 42270.0 ; - RECT 13392.5 0.0 13462.5 42270.0 ; - RECT 13597.5 0.0 13667.5 42270.0 ; - RECT 12982.5 0.0 13052.5 42270.0 ; - RECT 12427.5 0.0 12777.5 42270.0 ; + RECT 14007.5 0.0 14077.5 42337.5 ; + RECT 13802.5 0.0 13872.5 42337.5 ; + RECT 13187.5 0.0 13257.5 42337.5 ; + RECT 13392.5 0.0 13462.5 42337.5 ; + RECT 13597.5 0.0 13667.5 42337.5 ; + RECT 12982.5 0.0 13052.5 42337.5 ; + RECT 12427.5 0.0 12777.5 42337.5 ; RECT 4035.0 26490.0 8.881784197e-13 26560.0 ; RECT 4035.0 26695.0 8.881784197e-13 26765.0 ; RECT 4035.0 26900.0 8.881784197e-13 26970.0 ; @@ -5108,16 +5109,16 @@ MACRO sram_2_16_1_freepdk45 RECT 835.0 19230.0 905.0 25670.0 ; RECT 1540.0 19230.0 1610.0 25670.0 ; RECT 2245.0 19230.0 2315.0 25670.0 ; - RECT 3692.5 28560.0 3032.5 28630.0 ; - RECT 3292.5 28180.0 3222.5 28250.0 ; - RECT 3292.5 28560.0 3222.5 28630.0 ; - RECT 3257.5 28180.0 3032.5 28250.0 ; - RECT 3292.5 28215.0 3222.5 28595.0 ; - RECT 3692.5 28560.0 3257.5 28630.0 ; + RECT 3737.5 28560.0 3032.5 28630.0 ; + RECT 3382.5 28180.0 3312.5 28250.0 ; + RECT 3382.5 28560.0 3312.5 28630.0 ; + RECT 3347.5 28180.0 3032.5 28250.0 ; + RECT 3382.5 28215.0 3312.5 28595.0 ; + RECT 3737.5 28560.0 3347.5 28630.0 ; RECT 3032.5 28180.0 2897.5 28250.0 ; RECT 3032.5 28560.0 2897.5 28630.0 ; - RECT 3827.5 28560.0 3692.5 28630.0 ; - RECT 3325.0 28560.0 3190.0 28630.0 ; + RECT 3872.5 28560.0 3737.5 28630.0 ; + RECT 3415.0 28560.0 3280.0 28630.0 ; RECT 1895.0 28370.0 1965.0 28440.0 ; RECT 1930.0 28370.0 2280.0 28440.0 ; RECT 1895.0 28405.0 1965.0 28475.0 ; @@ -5135,16 +5136,16 @@ MACRO sram_2_16_1_freepdk45 RECT 2347.5 29325.0 2482.5 29395.0 ; RECT 1507.5 29515.0 1642.5 29585.0 ; RECT 1950.0 29617.5 2020.0 29482.5 ; - RECT 1002.5 29120.0 342.5 29190.0 ; - RECT 602.5 28740.0 532.5 28810.0 ; - RECT 602.5 29120.0 532.5 29190.0 ; - RECT 567.5 28740.0 342.5 28810.0 ; - RECT 602.5 28775.0 532.5 29155.0 ; - RECT 1002.5 29120.0 567.5 29190.0 ; + RECT 1047.5 29120.0 342.5 29190.0 ; + RECT 692.5 28740.0 622.5 28810.0 ; + RECT 692.5 29120.0 622.5 29190.0 ; + RECT 657.5 28740.0 342.5 28810.0 ; + RECT 692.5 28775.0 622.5 29155.0 ; + RECT 1047.5 29120.0 657.5 29190.0 ; RECT 342.5 28740.0 207.5 28810.0 ; RECT 342.5 29120.0 207.5 29190.0 ; - RECT 1137.5 29120.0 1002.5 29190.0 ; - RECT 635.0 29120.0 500.0 29190.0 ; + RECT 1182.5 29120.0 1047.5 29190.0 ; + RECT 725.0 29120.0 590.0 29190.0 ; RECT 397.5 25737.5 327.5 25602.5 ; RECT 397.5 27412.5 327.5 27277.5 ; RECT 552.5 25737.5 482.5 25602.5 ; @@ -5161,45 +5162,45 @@ MACRO sram_2_16_1_freepdk45 RECT 1610.0 26387.5 1540.0 26252.5 ; RECT 2315.0 25737.5 2245.0 25602.5 ; RECT 2315.0 26387.5 2245.0 26252.5 ; - RECT 1380.0 31895.0 1310.0 36695.0 ; + RECT 1380.0 31895.0 1310.0 36750.0 ; RECT 1380.0 32455.0 1310.0 32660.0 ; - RECT 1380.0 32660.0 1310.0 36795.0 ; - RECT 970.0 36590.0 900.0 36795.0 ; + RECT 1380.0 32660.0 1310.0 36850.0 ; + RECT 970.0 36645.0 900.0 36850.0 ; RECT 1207.5 32062.5 1137.5 32660.0 ; RECT 785.0 32062.5 715.0 32342.5 ; - RECT 3417.5 34457.5 3487.5 34852.5 ; + RECT 3372.5 34457.5 3442.5 34852.5 ; RECT 2655.0 34982.5 2725.0 35052.5 ; RECT 2655.0 35062.5 2725.0 35132.5 ; - RECT 2690.0 34982.5 3452.5 35052.5 ; + RECT 2690.0 34982.5 3407.5 35052.5 ; RECT 2655.0 35017.5 2725.0 35097.5 ; - RECT 1927.5 35062.5 2690.0 35132.5 ; - RECT 1892.5 34537.5 1962.5 34932.5 ; - RECT 3385.0 34817.5 3520.0 34887.5 ; - RECT 1860.0 35062.5 1995.0 35132.5 ; - RECT 1860.0 34502.5 1995.0 34572.5 ; - RECT 3385.0 34422.5 3520.0 34492.5 ; - RECT 3385.0 34982.5 3520.0 35052.5 ; - RECT 1860.0 34897.5 1995.0 34967.5 ; + RECT 1972.5 35062.5 2690.0 35132.5 ; + RECT 1937.5 34537.5 2007.5 34932.5 ; + RECT 3340.0 34817.5 3475.0 34887.5 ; + RECT 1905.0 35062.5 2040.0 35132.5 ; + RECT 1905.0 34502.5 2040.0 34572.5 ; + RECT 3340.0 34422.5 3475.0 34492.5 ; + RECT 3340.0 34982.5 3475.0 35052.5 ; + RECT 1905.0 34897.5 2040.0 34967.5 ; RECT 935.0 33800.0 225.0 32455.0 ; RECT 935.0 33800.0 230.0 35145.0 ; RECT 935.0 36490.0 230.0 35145.0 ; - RECT 785.0 33700.0 715.0 36590.0 ; - RECT 450.0 33700.0 380.0 36590.0 ; - RECT 970.0 33700.0 900.0 36590.0 ; - RECT 265.0 33700.0 195.0 36590.0 ; + RECT 785.0 33700.0 715.0 36645.0 ; + RECT 450.0 33700.0 380.0 36645.0 ; + RECT 970.0 33700.0 900.0 36645.0 ; + RECT 265.0 33700.0 195.0 36645.0 ; RECT 1380.0 33907.5 1310.0 34042.5 ; RECT 1380.0 36317.5 1310.0 36452.5 ; RECT 1380.0 34135.0 1310.0 34270.0 ; RECT 1380.0 31760.0 1310.0 31895.0 ; - RECT 1277.5 36760.0 1412.5 36830.0 ; - RECT 867.5 36760.0 1002.5 36830.0 ; + RECT 1277.5 36815.0 1412.5 36885.0 ; + RECT 867.5 36815.0 1002.5 36885.0 ; RECT 1105.0 32625.0 1240.0 32695.0 ; RECT 1105.0 32027.5 1240.0 32097.5 ; RECT 682.5 32027.5 817.5 32097.5 ; - RECT 3517.5 26182.5 3447.5 26047.5 ; - RECT 3517.5 22102.5 3447.5 21967.5 ; - RECT 3517.5 27617.5 3447.5 27482.5 ; - RECT 3517.5 22102.5 3447.5 21967.5 ; + RECT 3457.5 26182.5 3387.5 26047.5 ; + RECT 3457.5 22102.5 3387.5 21967.5 ; + RECT 3457.5 27617.5 3387.5 27482.5 ; + RECT 3457.5 22102.5 3387.5 21967.5 ; RECT 2520.0 19535.0 2450.0 19400.0 ; RECT 1965.0 26182.5 1895.0 26047.5 ; RECT 1750.0 26592.5 1680.0 26457.5 ; @@ -5209,22 +5210,22 @@ MACRO sram_2_16_1_freepdk45 RECT 1805.0 29387.5 1735.0 29252.5 ; RECT 1805.0 29387.5 1735.0 29252.5 ; RECT 1805.0 27412.5 1735.0 27277.5 ; - RECT 3292.5 27617.5 3222.5 27482.5 ; - RECT 3432.5 27412.5 3362.5 27277.5 ; - RECT 3572.5 26797.5 3502.5 26662.5 ; - RECT 602.5 27617.5 532.5 27482.5 ; - RECT 742.5 26797.5 672.5 26662.5 ; - RECT 882.5 27002.5 812.5 26867.5 ; + RECT 3382.5 27617.5 3312.5 27482.5 ; + RECT 3522.5 27412.5 3452.5 27277.5 ; + RECT 3662.5 26797.5 3592.5 26662.5 ; + RECT 692.5 27617.5 622.5 27482.5 ; + RECT 832.5 26797.5 762.5 26662.5 ; + RECT 972.5 27002.5 902.5 26867.5 ; RECT 1997.5 28810.0 1862.5 28880.0 ; RECT 2052.5 29955.0 1917.5 30025.0 ; - RECT 830.0 31140.0 695.0 31210.0 ; - RECT 1995.0 30180.0 1860.0 30250.0 ; + RECT 785.0 31140.0 650.0 31210.0 ; + RECT 2040.0 30180.0 1905.0 30250.0 ; RECT 4070.0 26387.5 4000.0 26252.5 ; RECT 2725.0 27207.5 2655.0 27072.5 ; RECT 1380.0 26387.5 1310.0 26252.5 ; RECT 35.0 27207.5 -35.0 27072.5 ; - RECT 4035.0 30180.0 1927.5 30250.0 ; - RECT 4035.0 31140.0 762.5 31210.0 ; + RECT 4035.0 30180.0 1972.5 30250.0 ; + RECT 4035.0 31140.0 717.5 31210.0 ; RECT 4035.0 28810.0 1930.0 28880.0 ; RECT 4035.0 29955.0 1985.0 30025.0 ; RECT 4035.0 27515.0 8.881784197e-13 27585.0 ; @@ -5298,7 +5299,7 @@ MACRO sram_2_16_1_freepdk45 RECT 905.0 25670.0 835.0 26320.0 ; RECT 1610.0 25670.0 1540.0 26320.0 ; RECT 2315.0 25670.0 2245.0 26320.0 ; - RECT 3517.5 22035.0 3447.5 27550.0 ; + RECT 3457.5 22035.0 3387.5 27550.0 ; RECT 2020.0 27550.0 1950.0 29062.5 ; RECT 1805.0 27345.0 1735.0 29320.0 ; RECT 482.5 19230.0 552.5 19370.0 ; @@ -5320,8 +5321,8 @@ MACRO sram_2_16_1_freepdk45 RECT 1610.0 26387.5 1540.0 26252.5 ; RECT 2315.0 25737.5 2245.0 25602.5 ; RECT 2315.0 26387.5 2245.0 26252.5 ; - RECT 3517.5 22102.5 3447.5 21967.5 ; - RECT 3517.5 27617.5 3447.5 27482.5 ; + RECT 3457.5 22102.5 3387.5 21967.5 ; + RECT 3457.5 27617.5 3387.5 27482.5 ; RECT 2020.0 29130.0 1950.0 28995.0 ; RECT 2020.0 27617.5 1950.0 27482.5 ; RECT 1805.0 29387.5 1735.0 29252.5 ; diff --git a/compiler/tests/golden/sram_2_16_1_scn3me_subm.lef b/compiler/tests/golden/sram_2_16_1_scn3me_subm.lef index b0090eaa..5e8d62ab 100644 --- a/compiler/tests/golden/sram_2_16_1_scn3me_subm.lef +++ b/compiler/tests/golden/sram_2_16_1_scn3me_subm.lef @@ -32,56 +32,56 @@ MACRO sram_2_16_1_scn3me_subm DIRECTION INPUT ; PORT LAYER metal3 ; - RECT 52800.0 77400.0 60000.0 78900.0 ; + RECT 52800.0 77700.0 60000.0 79200.0 ; END END ADDR[0] PIN ADDR[1] DIRECTION INPUT ; PORT LAYER metal3 ; - RECT 52800.0 67200.0 60000.0 68700.0 ; + RECT 52800.0 67500.0 60000.0 69000.0 ; END END ADDR[1] PIN ADDR[2] DIRECTION INPUT ; PORT LAYER metal3 ; - RECT 52800.0 57000.0 60000.0 58500.0 ; + RECT 52800.0 57300.0 60000.0 58800.0 ; END END ADDR[2] PIN ADDR[3] DIRECTION INPUT ; PORT LAYER metal3 ; - RECT 52800.0 46800.0 60000.0 48300.0 ; + RECT 52800.0 47100.0 60000.0 48600.0 ; END END ADDR[3] PIN CSb DIRECTION INPUT ; PORT LAYER metal3 ; - RECT 14400.0 203100.0 16200.0 204900.0 ; + RECT 14400.0 203400.0 16200.0 205200.0 ; END END CSb PIN WEb DIRECTION INPUT ; PORT LAYER metal3 ; - RECT 24600.0 203100.0 26400.0 204900.0 ; + RECT 24600.0 203400.0 26400.0 205200.0 ; END END WEb PIN OEb DIRECTION INPUT ; PORT LAYER metal3 ; - RECT 4200.0 203100.0 6000.0 204900.0 ; + RECT 4200.0 203400.0 6000.0 205200.0 ; END END OEb PIN clk DIRECTION INPUT ; PORT LAYER metal1 ; - RECT 42600.0 202200.0 43800.0 205800.0 ; + RECT 42600.0 202500.0 43800.0 206100.0 ; END END clk PIN vdd @@ -90,9 +90,9 @@ MACRO sram_2_16_1_scn3me_subm SHAPE ABUTMENT ; PORT LAYER metal1 ; - RECT 198600.0 0.0 203100.0 436800.0 ; + RECT 198600.0 0.0 203100.0 440700.0 ; LAYER metal1 ; - RECT 52800.0 0.0 57300.0 436800.0 ; + RECT 52800.0 0.0 57300.0 440700.0 ; END END vdd PIN gnd @@ -101,338 +101,338 @@ MACRO sram_2_16_1_scn3me_subm SHAPE ABUTMENT ; PORT LAYER metal2 ; - RECT 148050.0 0.0 152550.0 436800.0 ; + RECT 148050.0 0.0 152550.0 440700.0 ; END END gnd OBS LAYER metal1 ; - RECT 54600.0 295650.0 55500.0 298350.0 ; - RECT 97500.0 205350.0 98400.0 206250.0 ; - RECT 97500.0 202950.0 98400.0 203850.0 ; - RECT 96150.0 205350.0 97950.0 206250.0 ; - RECT 97500.0 203400.0 98400.0 205800.0 ; - RECT 97950.0 202950.0 99900.0 203850.0 ; - RECT 154950.0 205350.0 155850.0 206250.0 ; - RECT 154950.0 200850.0 155850.0 201750.0 ; - RECT 136050.0 205350.0 155400.0 206250.0 ; - RECT 154950.0 201300.0 155850.0 205800.0 ; - RECT 155400.0 200850.0 174900.0 201750.0 ; - RECT 97500.0 219750.0 98400.0 220650.0 ; - RECT 97500.0 222150.0 98400.0 223050.0 ; - RECT 96150.0 219750.0 97950.0 220650.0 ; - RECT 97500.0 220200.0 98400.0 222600.0 ; - RECT 97950.0 222150.0 99900.0 223050.0 ; - RECT 154950.0 219750.0 155850.0 220650.0 ; - RECT 154950.0 224250.0 155850.0 225150.0 ; - RECT 136050.0 219750.0 155400.0 220650.0 ; - RECT 154950.0 220200.0 155850.0 224700.0 ; - RECT 155400.0 224250.0 174900.0 225150.0 ; - RECT 97500.0 232950.0 98400.0 233850.0 ; - RECT 97500.0 230550.0 98400.0 231450.0 ; - RECT 96150.0 232950.0 97950.0 233850.0 ; - RECT 97500.0 231000.0 98400.0 233400.0 ; - RECT 97950.0 230550.0 99900.0 231450.0 ; - RECT 154950.0 232950.0 155850.0 233850.0 ; - RECT 154950.0 228450.0 155850.0 229350.0 ; - RECT 136050.0 232950.0 155400.0 233850.0 ; - RECT 154950.0 228900.0 155850.0 233400.0 ; - RECT 155400.0 228450.0 174900.0 229350.0 ; - RECT 97500.0 247350.0 98400.0 248250.0 ; - RECT 97500.0 249750.0 98400.0 250650.0 ; - RECT 96150.0 247350.0 97950.0 248250.0 ; - RECT 97500.0 247800.0 98400.0 250200.0 ; - RECT 97950.0 249750.0 99900.0 250650.0 ; - RECT 154950.0 247350.0 155850.0 248250.0 ; - RECT 154950.0 251850.0 155850.0 252750.0 ; - RECT 136050.0 247350.0 155400.0 248250.0 ; - RECT 154950.0 247800.0 155850.0 252300.0 ; - RECT 155400.0 251850.0 174900.0 252750.0 ; - RECT 97500.0 260550.0 98400.0 261450.0 ; - RECT 97500.0 258150.0 98400.0 259050.0 ; - RECT 96150.0 260550.0 97950.0 261450.0 ; - RECT 97500.0 258600.0 98400.0 261000.0 ; - RECT 97950.0 258150.0 99900.0 259050.0 ; - RECT 154950.0 260550.0 155850.0 261450.0 ; - RECT 154950.0 256050.0 155850.0 256950.0 ; - RECT 136050.0 260550.0 155400.0 261450.0 ; - RECT 154950.0 256500.0 155850.0 261000.0 ; - RECT 155400.0 256050.0 174900.0 256950.0 ; - RECT 97500.0 274950.0 98400.0 275850.0 ; - RECT 97500.0 277350.0 98400.0 278250.0 ; - RECT 96150.0 274950.0 97950.0 275850.0 ; - RECT 97500.0 275400.0 98400.0 277800.0 ; - RECT 97950.0 277350.0 99900.0 278250.0 ; - RECT 154950.0 274950.0 155850.0 275850.0 ; - RECT 154950.0 279450.0 155850.0 280350.0 ; - RECT 136050.0 274950.0 155400.0 275850.0 ; - RECT 154950.0 275400.0 155850.0 279900.0 ; - RECT 155400.0 279450.0 174900.0 280350.0 ; - RECT 97500.0 288150.0 98400.0 289050.0 ; - RECT 97500.0 285750.0 98400.0 286650.0 ; - RECT 96150.0 288150.0 97950.0 289050.0 ; - RECT 97500.0 286200.0 98400.0 288600.0 ; - RECT 97950.0 285750.0 99900.0 286650.0 ; - RECT 154950.0 288150.0 155850.0 289050.0 ; - RECT 154950.0 283650.0 155850.0 284550.0 ; - RECT 136050.0 288150.0 155400.0 289050.0 ; - RECT 154950.0 284100.0 155850.0 288600.0 ; - RECT 155400.0 283650.0 174900.0 284550.0 ; - RECT 97500.0 302550.0 98400.0 303450.0 ; - RECT 97500.0 304950.0 98400.0 305850.0 ; - RECT 96150.0 302550.0 97950.0 303450.0 ; - RECT 97500.0 303000.0 98400.0 305400.0 ; - RECT 97950.0 304950.0 99900.0 305850.0 ; - RECT 154950.0 302550.0 155850.0 303450.0 ; - RECT 154950.0 307050.0 155850.0 307950.0 ; - RECT 136050.0 302550.0 155400.0 303450.0 ; - RECT 154950.0 303000.0 155850.0 307500.0 ; - RECT 155400.0 307050.0 174900.0 307950.0 ; - RECT 97500.0 315750.0 98400.0 316650.0 ; - RECT 97500.0 313350.0 98400.0 314250.0 ; - RECT 96150.0 315750.0 97950.0 316650.0 ; - RECT 97500.0 313800.0 98400.0 316200.0 ; - RECT 97950.0 313350.0 99900.0 314250.0 ; - RECT 154950.0 315750.0 155850.0 316650.0 ; - RECT 154950.0 311250.0 155850.0 312150.0 ; - RECT 136050.0 315750.0 155400.0 316650.0 ; - RECT 154950.0 311700.0 155850.0 316200.0 ; - RECT 155400.0 311250.0 174900.0 312150.0 ; - RECT 97500.0 330150.0 98400.0 331050.0 ; - RECT 97500.0 332550.0 98400.0 333450.0 ; - RECT 96150.0 330150.0 97950.0 331050.0 ; - RECT 97500.0 330600.0 98400.0 333000.0 ; - RECT 97950.0 332550.0 99900.0 333450.0 ; - RECT 154950.0 330150.0 155850.0 331050.0 ; - RECT 154950.0 334650.0 155850.0 335550.0 ; - RECT 136050.0 330150.0 155400.0 331050.0 ; - RECT 154950.0 330600.0 155850.0 335100.0 ; - RECT 155400.0 334650.0 174900.0 335550.0 ; - RECT 97500.0 343350.0 98400.0 344250.0 ; - RECT 97500.0 340950.0 98400.0 341850.0 ; - RECT 96150.0 343350.0 97950.0 344250.0 ; - RECT 97500.0 341400.0 98400.0 343800.0 ; - RECT 97950.0 340950.0 99900.0 341850.0 ; - RECT 154950.0 343350.0 155850.0 344250.0 ; - RECT 154950.0 338850.0 155850.0 339750.0 ; - RECT 136050.0 343350.0 155400.0 344250.0 ; - RECT 154950.0 339300.0 155850.0 343800.0 ; - RECT 155400.0 338850.0 174900.0 339750.0 ; - RECT 97500.0 357750.0 98400.0 358650.0 ; - RECT 97500.0 360150.0 98400.0 361050.0 ; - RECT 96150.0 357750.0 97950.0 358650.0 ; - RECT 97500.0 358200.0 98400.0 360600.0 ; - RECT 97950.0 360150.0 99900.0 361050.0 ; - RECT 154950.0 357750.0 155850.0 358650.0 ; - RECT 154950.0 362250.0 155850.0 363150.0 ; - RECT 136050.0 357750.0 155400.0 358650.0 ; - RECT 154950.0 358200.0 155850.0 362700.0 ; - RECT 155400.0 362250.0 174900.0 363150.0 ; - RECT 97500.0 370950.0 98400.0 371850.0 ; - RECT 97500.0 368550.0 98400.0 369450.0 ; - RECT 96150.0 370950.0 97950.0 371850.0 ; - RECT 97500.0 369000.0 98400.0 371400.0 ; - RECT 97950.0 368550.0 99900.0 369450.0 ; - RECT 154950.0 370950.0 155850.0 371850.0 ; - RECT 154950.0 366450.0 155850.0 367350.0 ; - RECT 136050.0 370950.0 155400.0 371850.0 ; - RECT 154950.0 366900.0 155850.0 371400.0 ; - RECT 155400.0 366450.0 174900.0 367350.0 ; - RECT 97500.0 385350.0 98400.0 386250.0 ; - RECT 97500.0 387750.0 98400.0 388650.0 ; - RECT 96150.0 385350.0 97950.0 386250.0 ; - RECT 97500.0 385800.0 98400.0 388200.0 ; - RECT 97950.0 387750.0 99900.0 388650.0 ; - RECT 154950.0 385350.0 155850.0 386250.0 ; - RECT 154950.0 389850.0 155850.0 390750.0 ; - RECT 136050.0 385350.0 155400.0 386250.0 ; - RECT 154950.0 385800.0 155850.0 390300.0 ; - RECT 155400.0 389850.0 174900.0 390750.0 ; - RECT 97500.0 398550.0 98400.0 399450.0 ; - RECT 97500.0 396150.0 98400.0 397050.0 ; - RECT 96150.0 398550.0 97950.0 399450.0 ; - RECT 97500.0 396600.0 98400.0 399000.0 ; - RECT 97950.0 396150.0 99900.0 397050.0 ; - RECT 154950.0 398550.0 155850.0 399450.0 ; - RECT 154950.0 394050.0 155850.0 394950.0 ; - RECT 136050.0 398550.0 155400.0 399450.0 ; - RECT 154950.0 394500.0 155850.0 399000.0 ; - RECT 155400.0 394050.0 174900.0 394950.0 ; - RECT 97500.0 412950.0 98400.0 413850.0 ; - RECT 97500.0 415350.0 98400.0 416250.0 ; - RECT 96150.0 412950.0 97950.0 413850.0 ; - RECT 97500.0 413400.0 98400.0 415800.0 ; - RECT 97950.0 415350.0 99900.0 416250.0 ; - RECT 154950.0 412950.0 155850.0 413850.0 ; - RECT 154950.0 417450.0 155850.0 418350.0 ; - RECT 136050.0 412950.0 155400.0 413850.0 ; - RECT 154950.0 413400.0 155850.0 417900.0 ; - RECT 155400.0 417450.0 174900.0 418350.0 ; - RECT 106200.0 198750.0 175500.0 199650.0 ; - RECT 106200.0 226350.0 175500.0 227250.0 ; - RECT 106200.0 253950.0 175500.0 254850.0 ; - RECT 106200.0 281550.0 175500.0 282450.0 ; - RECT 106200.0 309150.0 175500.0 310050.0 ; - RECT 106200.0 336750.0 175500.0 337650.0 ; - RECT 106200.0 364350.0 175500.0 365250.0 ; - RECT 106200.0 391950.0 175500.0 392850.0 ; - RECT 106200.0 419550.0 175500.0 420450.0 ; - RECT 52800.0 212550.0 203100.0 213450.0 ; - RECT 52800.0 240150.0 203100.0 241050.0 ; - RECT 52800.0 267750.0 203100.0 268650.0 ; - RECT 52800.0 295350.0 203100.0 296250.0 ; - RECT 52800.0 322950.0 203100.0 323850.0 ; - RECT 52800.0 350550.0 203100.0 351450.0 ; - RECT 52800.0 378150.0 203100.0 379050.0 ; - RECT 52800.0 405750.0 203100.0 406650.0 ; - RECT 130500.0 91050.0 135000.0 91950.0 ; - RECT 127500.0 104850.0 137700.0 105750.0 ; - RECT 130500.0 146250.0 140400.0 147150.0 ; - RECT 127500.0 160050.0 143100.0 160950.0 ; - RECT 130500.0 88350.0 132000.0 89250.0 ; - RECT 130500.0 115950.0 132000.0 116850.0 ; - RECT 130500.0 143550.0 132000.0 144450.0 ; - RECT 130500.0 171150.0 132000.0 172050.0 ; - RECT 52800.0 102150.0 130500.0 103050.0 ; - RECT 52800.0 129750.0 130500.0 130650.0 ; - RECT 52800.0 157350.0 130500.0 158250.0 ; - RECT 52800.0 184950.0 130500.0 185850.0 ; - RECT 117900.0 77100.0 135000.0 78000.0 ; - RECT 117900.0 68400.0 137700.0 69300.0 ; - RECT 117900.0 56700.0 140400.0 57600.0 ; - RECT 117900.0 48000.0 143100.0 48900.0 ; - RECT 119100.0 72750.0 149250.0 73650.0 ; - RECT 119100.0 52350.0 149250.0 53250.0 ; - RECT 115500.0 40050.0 116400.0 40950.0 ; - RECT 115500.0 40500.0 116400.0 42600.0 ; - RECT 52800.0 40050.0 115950.0 40950.0 ; + RECT 54600.0 295950.0 55500.0 298650.0 ; + RECT 97500.0 205650.0 98400.0 206550.0 ; + RECT 97500.0 203250.0 98400.0 204150.0 ; + RECT 96150.0 205650.0 97950.0 206550.0 ; + RECT 97500.0 203700.0 98400.0 206100.0 ; + RECT 97950.0 203250.0 99900.0 204150.0 ; + RECT 154950.0 205650.0 155850.0 206550.0 ; + RECT 154950.0 201150.0 155850.0 202050.0 ; + RECT 136050.0 205650.0 155400.0 206550.0 ; + RECT 154950.0 201600.0 155850.0 206100.0 ; + RECT 155400.0 201150.0 174900.0 202050.0 ; + RECT 97500.0 220050.0 98400.0 220950.0 ; + RECT 97500.0 222450.0 98400.0 223350.0 ; + RECT 96150.0 220050.0 97950.0 220950.0 ; + RECT 97500.0 220500.0 98400.0 222900.0 ; + RECT 97950.0 222450.0 99900.0 223350.0 ; + RECT 154950.0 220050.0 155850.0 220950.0 ; + RECT 154950.0 224550.0 155850.0 225450.0 ; + RECT 136050.0 220050.0 155400.0 220950.0 ; + RECT 154950.0 220500.0 155850.0 225000.0 ; + RECT 155400.0 224550.0 174900.0 225450.0 ; + RECT 97500.0 233250.0 98400.0 234150.0 ; + RECT 97500.0 230850.0 98400.0 231750.0 ; + RECT 96150.0 233250.0 97950.0 234150.0 ; + RECT 97500.0 231300.0 98400.0 233700.0 ; + RECT 97950.0 230850.0 99900.0 231750.0 ; + RECT 154950.0 233250.0 155850.0 234150.0 ; + RECT 154950.0 228750.0 155850.0 229650.0 ; + RECT 136050.0 233250.0 155400.0 234150.0 ; + RECT 154950.0 229200.0 155850.0 233700.0 ; + RECT 155400.0 228750.0 174900.0 229650.0 ; + RECT 97500.0 247650.0 98400.0 248550.0 ; + RECT 97500.0 250050.0 98400.0 250950.0 ; + RECT 96150.0 247650.0 97950.0 248550.0 ; + RECT 97500.0 248100.0 98400.0 250500.0 ; + RECT 97950.0 250050.0 99900.0 250950.0 ; + RECT 154950.0 247650.0 155850.0 248550.0 ; + RECT 154950.0 252150.0 155850.0 253050.0 ; + RECT 136050.0 247650.0 155400.0 248550.0 ; + RECT 154950.0 248100.0 155850.0 252600.0 ; + RECT 155400.0 252150.0 174900.0 253050.0 ; + RECT 97500.0 260850.0 98400.0 261750.0 ; + RECT 97500.0 258450.0 98400.0 259350.0 ; + RECT 96150.0 260850.0 97950.0 261750.0 ; + RECT 97500.0 258900.0 98400.0 261300.0 ; + RECT 97950.0 258450.0 99900.0 259350.0 ; + RECT 154950.0 260850.0 155850.0 261750.0 ; + RECT 154950.0 256350.0 155850.0 257250.0 ; + RECT 136050.0 260850.0 155400.0 261750.0 ; + RECT 154950.0 256800.0 155850.0 261300.0 ; + RECT 155400.0 256350.0 174900.0 257250.0 ; + RECT 97500.0 275250.0 98400.0 276150.0 ; + RECT 97500.0 277650.0 98400.0 278550.0 ; + RECT 96150.0 275250.0 97950.0 276150.0 ; + RECT 97500.0 275700.0 98400.0 278100.0 ; + RECT 97950.0 277650.0 99900.0 278550.0 ; + RECT 154950.0 275250.0 155850.0 276150.0 ; + RECT 154950.0 279750.0 155850.0 280650.0 ; + RECT 136050.0 275250.0 155400.0 276150.0 ; + RECT 154950.0 275700.0 155850.0 280200.0 ; + RECT 155400.0 279750.0 174900.0 280650.0 ; + RECT 97500.0 288450.0 98400.0 289350.0 ; + RECT 97500.0 286050.0 98400.0 286950.0 ; + RECT 96150.0 288450.0 97950.0 289350.0 ; + RECT 97500.0 286500.0 98400.0 288900.0 ; + RECT 97950.0 286050.0 99900.0 286950.0 ; + RECT 154950.0 288450.0 155850.0 289350.0 ; + RECT 154950.0 283950.0 155850.0 284850.0 ; + RECT 136050.0 288450.0 155400.0 289350.0 ; + RECT 154950.0 284400.0 155850.0 288900.0 ; + RECT 155400.0 283950.0 174900.0 284850.0 ; + RECT 97500.0 302850.0 98400.0 303750.0 ; + RECT 97500.0 305250.0 98400.0 306150.0 ; + RECT 96150.0 302850.0 97950.0 303750.0 ; + RECT 97500.0 303300.0 98400.0 305700.0 ; + RECT 97950.0 305250.0 99900.0 306150.0 ; + RECT 154950.0 302850.0 155850.0 303750.0 ; + RECT 154950.0 307350.0 155850.0 308250.0 ; + RECT 136050.0 302850.0 155400.0 303750.0 ; + RECT 154950.0 303300.0 155850.0 307800.0 ; + RECT 155400.0 307350.0 174900.0 308250.0 ; + RECT 97500.0 316050.0 98400.0 316950.0 ; + RECT 97500.0 313650.0 98400.0 314550.0 ; + RECT 96150.0 316050.0 97950.0 316950.0 ; + RECT 97500.0 314100.0 98400.0 316500.0 ; + RECT 97950.0 313650.0 99900.0 314550.0 ; + RECT 154950.0 316050.0 155850.0 316950.0 ; + RECT 154950.0 311550.0 155850.0 312450.0 ; + RECT 136050.0 316050.0 155400.0 316950.0 ; + RECT 154950.0 312000.0 155850.0 316500.0 ; + RECT 155400.0 311550.0 174900.0 312450.0 ; + RECT 97500.0 330450.0 98400.0 331350.0 ; + RECT 97500.0 332850.0 98400.0 333750.0 ; + RECT 96150.0 330450.0 97950.0 331350.0 ; + RECT 97500.0 330900.0 98400.0 333300.0 ; + RECT 97950.0 332850.0 99900.0 333750.0 ; + RECT 154950.0 330450.0 155850.0 331350.0 ; + RECT 154950.0 334950.0 155850.0 335850.0 ; + RECT 136050.0 330450.0 155400.0 331350.0 ; + RECT 154950.0 330900.0 155850.0 335400.0 ; + RECT 155400.0 334950.0 174900.0 335850.0 ; + RECT 97500.0 343650.0 98400.0 344550.0 ; + RECT 97500.0 341250.0 98400.0 342150.0 ; + RECT 96150.0 343650.0 97950.0 344550.0 ; + RECT 97500.0 341700.0 98400.0 344100.0 ; + RECT 97950.0 341250.0 99900.0 342150.0 ; + RECT 154950.0 343650.0 155850.0 344550.0 ; + RECT 154950.0 339150.0 155850.0 340050.0 ; + RECT 136050.0 343650.0 155400.0 344550.0 ; + RECT 154950.0 339600.0 155850.0 344100.0 ; + RECT 155400.0 339150.0 174900.0 340050.0 ; + RECT 97500.0 358050.0 98400.0 358950.0 ; + RECT 97500.0 360450.0 98400.0 361350.0 ; + RECT 96150.0 358050.0 97950.0 358950.0 ; + RECT 97500.0 358500.0 98400.0 360900.0 ; + RECT 97950.0 360450.0 99900.0 361350.0 ; + RECT 154950.0 358050.0 155850.0 358950.0 ; + RECT 154950.0 362550.0 155850.0 363450.0 ; + RECT 136050.0 358050.0 155400.0 358950.0 ; + RECT 154950.0 358500.0 155850.0 363000.0 ; + RECT 155400.0 362550.0 174900.0 363450.0 ; + RECT 97500.0 371250.0 98400.0 372150.0 ; + RECT 97500.0 368850.0 98400.0 369750.0 ; + RECT 96150.0 371250.0 97950.0 372150.0 ; + RECT 97500.0 369300.0 98400.0 371700.0 ; + RECT 97950.0 368850.0 99900.0 369750.0 ; + RECT 154950.0 371250.0 155850.0 372150.0 ; + RECT 154950.0 366750.0 155850.0 367650.0 ; + RECT 136050.0 371250.0 155400.0 372150.0 ; + RECT 154950.0 367200.0 155850.0 371700.0 ; + RECT 155400.0 366750.0 174900.0 367650.0 ; + RECT 97500.0 385650.0 98400.0 386550.0 ; + RECT 97500.0 388050.0 98400.0 388950.0 ; + RECT 96150.0 385650.0 97950.0 386550.0 ; + RECT 97500.0 386100.0 98400.0 388500.0 ; + RECT 97950.0 388050.0 99900.0 388950.0 ; + RECT 154950.0 385650.0 155850.0 386550.0 ; + RECT 154950.0 390150.0 155850.0 391050.0 ; + RECT 136050.0 385650.0 155400.0 386550.0 ; + RECT 154950.0 386100.0 155850.0 390600.0 ; + RECT 155400.0 390150.0 174900.0 391050.0 ; + RECT 97500.0 398850.0 98400.0 399750.0 ; + RECT 97500.0 396450.0 98400.0 397350.0 ; + RECT 96150.0 398850.0 97950.0 399750.0 ; + RECT 97500.0 396900.0 98400.0 399300.0 ; + RECT 97950.0 396450.0 99900.0 397350.0 ; + RECT 154950.0 398850.0 155850.0 399750.0 ; + RECT 154950.0 394350.0 155850.0 395250.0 ; + RECT 136050.0 398850.0 155400.0 399750.0 ; + RECT 154950.0 394800.0 155850.0 399300.0 ; + RECT 155400.0 394350.0 174900.0 395250.0 ; + RECT 97500.0 413250.0 98400.0 414150.0 ; + RECT 97500.0 415650.0 98400.0 416550.0 ; + RECT 96150.0 413250.0 97950.0 414150.0 ; + RECT 97500.0 413700.0 98400.0 416100.0 ; + RECT 97950.0 415650.0 99900.0 416550.0 ; + RECT 154950.0 413250.0 155850.0 414150.0 ; + RECT 154950.0 417750.0 155850.0 418650.0 ; + RECT 136050.0 413250.0 155400.0 414150.0 ; + RECT 154950.0 413700.0 155850.0 418200.0 ; + RECT 155400.0 417750.0 174900.0 418650.0 ; + RECT 106200.0 199050.0 175500.0 199950.0 ; + RECT 106200.0 226650.0 175500.0 227550.0 ; + RECT 106200.0 254250.0 175500.0 255150.0 ; + RECT 106200.0 281850.0 175500.0 282750.0 ; + RECT 106200.0 309450.0 175500.0 310350.0 ; + RECT 106200.0 337050.0 175500.0 337950.0 ; + RECT 106200.0 364650.0 175500.0 365550.0 ; + RECT 106200.0 392250.0 175500.0 393150.0 ; + RECT 106200.0 419850.0 175500.0 420750.0 ; + RECT 52800.0 212850.0 203100.0 213750.0 ; + RECT 52800.0 240450.0 203100.0 241350.0 ; + RECT 52800.0 268050.0 203100.0 268950.0 ; + RECT 52800.0 295650.0 203100.0 296550.0 ; + RECT 52800.0 323250.0 203100.0 324150.0 ; + RECT 52800.0 350850.0 203100.0 351750.0 ; + RECT 52800.0 378450.0 203100.0 379350.0 ; + RECT 52800.0 406050.0 203100.0 406950.0 ; + RECT 130500.0 91350.0 135000.0 92250.0 ; + RECT 127500.0 105150.0 137700.0 106050.0 ; + RECT 130500.0 146550.0 140400.0 147450.0 ; + RECT 127500.0 160350.0 143100.0 161250.0 ; + RECT 130500.0 88650.0 132000.0 89550.0 ; + RECT 130500.0 116250.0 132000.0 117150.0 ; + RECT 130500.0 143850.0 132000.0 144750.0 ; + RECT 130500.0 171450.0 132000.0 172350.0 ; + RECT 52800.0 102450.0 130500.0 103350.0 ; + RECT 52800.0 130050.0 130500.0 130950.0 ; + RECT 52800.0 157650.0 130500.0 158550.0 ; + RECT 52800.0 185250.0 130500.0 186150.0 ; + RECT 117900.0 77400.0 135000.0 78300.0 ; + RECT 117900.0 68700.0 137700.0 69600.0 ; + RECT 117900.0 57000.0 140400.0 57900.0 ; + RECT 117900.0 48300.0 143100.0 49200.0 ; + RECT 119100.0 73050.0 149250.0 73950.0 ; + RECT 119100.0 52650.0 149250.0 53550.0 ; + RECT 115500.0 40350.0 116400.0 41250.0 ; + RECT 115500.0 40800.0 116400.0 42900.0 ; + RECT 52800.0 40350.0 115950.0 41250.0 ; RECT 163800.0 32400.0 175500.0 33300.0 ; RECT 158400.0 27900.0 175500.0 28800.0 ; RECT 161100.0 25500.0 175500.0 26400.0 ; - RECT 163800.0 424800.0 175500.0 425700.0 ; - RECT 166500.0 96900.0 175500.0 97800.0 ; - RECT 169200.0 195000.0 175500.0 195900.0 ; - RECT 61500.0 85050.0 62400.0 85950.0 ; - RECT 61500.0 83400.0 62400.0 85500.0 ; - RECT 61950.0 85050.0 155700.0 85950.0 ; - RECT 103050.0 421650.0 156600.0 422550.0 ; - RECT 175500.0 435900.0 198600.0 436800.0 ; - RECT 175500.0 167700.0 198600.0 168600.0 ; - RECT 175500.0 99000.0 198600.0 99900.0 ; + RECT 163800.0 428700.0 175500.0 429600.0 ; + RECT 166500.0 97200.0 175500.0 98100.0 ; + RECT 169200.0 195300.0 175500.0 196200.0 ; + RECT 61500.0 85350.0 62400.0 86250.0 ; + RECT 61500.0 83700.0 62400.0 85800.0 ; + RECT 61950.0 85350.0 155700.0 86250.0 ; + RECT 103050.0 421950.0 156600.0 422850.0 ; + RECT 175500.0 439800.0 198600.0 440700.0 ; + RECT 175500.0 168000.0 198600.0 168900.0 ; + RECT 175500.0 99300.0 198600.0 100200.0 ; RECT 175500.0 86400.0 198600.0 87300.0 ; RECT 175500.0 9600.0 198600.0 10500.0 ; RECT 152550.0 23400.0 175500.0 24300.0 ; - RECT 152550.0 192900.0 175500.0 193800.0 ; - RECT 152550.0 94800.0 175500.0 95700.0 ; - RECT 175500.0 199200.0 185700.0 213000.0 ; - RECT 175500.0 226800.0 185700.0 213000.0 ; - RECT 175500.0 226800.0 185700.0 240600.0 ; - RECT 175500.0 254400.0 185700.0 240600.0 ; - RECT 175500.0 254400.0 185700.0 268200.0 ; - RECT 175500.0 282000.0 185700.0 268200.0 ; - RECT 175500.0 282000.0 185700.0 295800.0 ; - RECT 175500.0 309600.0 185700.0 295800.0 ; - RECT 175500.0 309600.0 185700.0 323400.0 ; - RECT 175500.0 337200.0 185700.0 323400.0 ; - RECT 175500.0 337200.0 185700.0 351000.0 ; - RECT 175500.0 364800.0 185700.0 351000.0 ; - RECT 175500.0 364800.0 185700.0 378600.0 ; - RECT 175500.0 392400.0 185700.0 378600.0 ; - RECT 175500.0 392400.0 185700.0 406200.0 ; - RECT 175500.0 420000.0 185700.0 406200.0 ; - RECT 185700.0 199200.0 195900.0 213000.0 ; - RECT 185700.0 226800.0 195900.0 213000.0 ; - RECT 185700.0 226800.0 195900.0 240600.0 ; - RECT 185700.0 254400.0 195900.0 240600.0 ; - RECT 185700.0 254400.0 195900.0 268200.0 ; - RECT 185700.0 282000.0 195900.0 268200.0 ; - RECT 185700.0 282000.0 195900.0 295800.0 ; - RECT 185700.0 309600.0 195900.0 295800.0 ; - RECT 185700.0 309600.0 195900.0 323400.0 ; - RECT 185700.0 337200.0 195900.0 323400.0 ; - RECT 185700.0 337200.0 195900.0 351000.0 ; - RECT 185700.0 364800.0 195900.0 351000.0 ; - RECT 185700.0 364800.0 195900.0 378600.0 ; - RECT 185700.0 392400.0 195900.0 378600.0 ; - RECT 185700.0 392400.0 195900.0 406200.0 ; - RECT 185700.0 420000.0 195900.0 406200.0 ; - RECT 174900.0 200700.0 196500.0 201900.0 ; - RECT 174900.0 224100.0 196500.0 225300.0 ; - RECT 174900.0 228300.0 196500.0 229500.0 ; - RECT 174900.0 251700.0 196500.0 252900.0 ; - RECT 174900.0 255900.0 196500.0 257100.0 ; - RECT 174900.0 279300.0 196500.0 280500.0 ; - RECT 174900.0 283500.0 196500.0 284700.0 ; - RECT 174900.0 306900.0 196500.0 308100.0 ; - RECT 174900.0 311100.0 196500.0 312300.0 ; - RECT 174900.0 334500.0 196500.0 335700.0 ; - RECT 174900.0 338700.0 196500.0 339900.0 ; - RECT 174900.0 362100.0 196500.0 363300.0 ; - RECT 174900.0 366300.0 196500.0 367500.0 ; - RECT 174900.0 389700.0 196500.0 390900.0 ; - RECT 174900.0 393900.0 196500.0 395100.0 ; - RECT 174900.0 417300.0 196500.0 418500.0 ; - RECT 174900.0 212400.0 196500.0 213300.0 ; - RECT 174900.0 240000.0 196500.0 240900.0 ; - RECT 174900.0 267600.0 196500.0 268500.0 ; - RECT 174900.0 295200.0 196500.0 296100.0 ; - RECT 174900.0 322800.0 196500.0 323700.0 ; - RECT 174900.0 350400.0 196500.0 351300.0 ; - RECT 174900.0 378000.0 196500.0 378900.0 ; - RECT 174900.0 405600.0 196500.0 406500.0 ; - RECT 180900.0 429600.0 182100.0 436800.0 ; - RECT 178500.0 422400.0 179700.0 423600.0 ; - RECT 180900.0 422400.0 182100.0 423600.0 ; - RECT 180900.0 422400.0 182100.0 423600.0 ; - RECT 178500.0 422400.0 179700.0 423600.0 ; - RECT 178500.0 429600.0 179700.0 430800.0 ; - RECT 180900.0 429600.0 182100.0 430800.0 ; - RECT 180900.0 429600.0 182100.0 430800.0 ; - RECT 178500.0 429600.0 179700.0 430800.0 ; - RECT 180900.0 429600.0 182100.0 430800.0 ; - RECT 183300.0 429600.0 184500.0 430800.0 ; - RECT 183300.0 429600.0 184500.0 430800.0 ; - RECT 180900.0 429600.0 182100.0 430800.0 ; - RECT 180600.0 424650.0 179400.0 425850.0 ; - RECT 180900.0 435000.0 182100.0 436200.0 ; - RECT 178500.0 422400.0 179700.0 423600.0 ; - RECT 180900.0 422400.0 182100.0 423600.0 ; - RECT 178500.0 429600.0 179700.0 430800.0 ; - RECT 183300.0 429600.0 184500.0 430800.0 ; - RECT 175500.0 424800.0 185700.0 425700.0 ; - RECT 175500.0 435900.0 185700.0 436800.0 ; - RECT 191100.0 429600.0 192300.0 436800.0 ; - RECT 188700.0 422400.0 189900.0 423600.0 ; - RECT 191100.0 422400.0 192300.0 423600.0 ; - RECT 191100.0 422400.0 192300.0 423600.0 ; - RECT 188700.0 422400.0 189900.0 423600.0 ; - RECT 188700.0 429600.0 189900.0 430800.0 ; - RECT 191100.0 429600.0 192300.0 430800.0 ; - RECT 191100.0 429600.0 192300.0 430800.0 ; - RECT 188700.0 429600.0 189900.0 430800.0 ; - RECT 191100.0 429600.0 192300.0 430800.0 ; - RECT 193500.0 429600.0 194700.0 430800.0 ; - RECT 193500.0 429600.0 194700.0 430800.0 ; - RECT 191100.0 429600.0 192300.0 430800.0 ; - RECT 190800.0 424650.0 189600.0 425850.0 ; - RECT 191100.0 435000.0 192300.0 436200.0 ; - RECT 188700.0 422400.0 189900.0 423600.0 ; - RECT 191100.0 422400.0 192300.0 423600.0 ; - RECT 188700.0 429600.0 189900.0 430800.0 ; - RECT 193500.0 429600.0 194700.0 430800.0 ; - RECT 185700.0 424800.0 195900.0 425700.0 ; - RECT 185700.0 435900.0 195900.0 436800.0 ; - RECT 175500.0 424800.0 195900.0 425700.0 ; - RECT 175500.0 435900.0 195900.0 436800.0 ; - RECT 175500.0 150300.0 185700.0 199200.0 ; - RECT 185700.0 150300.0 195900.0 199200.0 ; - RECT 175500.0 195000.0 195900.0 195900.0 ; - RECT 175500.0 167700.0 195900.0 168600.0 ; - RECT 175500.0 192900.0 195900.0 193800.0 ; - RECT 175500.0 90000.0 185700.0 150300.0 ; - RECT 185700.0 90000.0 195900.0 150300.0 ; - RECT 175500.0 96900.0 195900.0 97800.0 ; - RECT 175500.0 99000.0 195900.0 99900.0 ; - RECT 175500.0 94800.0 195900.0 95700.0 ; + RECT 152550.0 193200.0 175500.0 194100.0 ; + RECT 152550.0 95100.0 175500.0 96000.0 ; + RECT 175500.0 199500.0 185700.0 213300.0 ; + RECT 175500.0 227100.0 185700.0 213300.0 ; + RECT 175500.0 227100.0 185700.0 240900.0 ; + RECT 175500.0 254700.0 185700.0 240900.0 ; + RECT 175500.0 254700.0 185700.0 268500.0 ; + RECT 175500.0 282300.0 185700.0 268500.0 ; + RECT 175500.0 282300.0 185700.0 296100.0 ; + RECT 175500.0 309900.0 185700.0 296100.0 ; + RECT 175500.0 309900.0 185700.0 323700.0 ; + RECT 175500.0 337500.0 185700.0 323700.0 ; + RECT 175500.0 337500.0 185700.0 351300.0 ; + RECT 175500.0 365100.0 185700.0 351300.0 ; + RECT 175500.0 365100.0 185700.0 378900.0 ; + RECT 175500.0 392700.0 185700.0 378900.0 ; + RECT 175500.0 392700.0 185700.0 406500.0 ; + RECT 175500.0 420300.0 185700.0 406500.0 ; + RECT 185700.0 199500.0 195900.0 213300.0 ; + RECT 185700.0 227100.0 195900.0 213300.0 ; + RECT 185700.0 227100.0 195900.0 240900.0 ; + RECT 185700.0 254700.0 195900.0 240900.0 ; + RECT 185700.0 254700.0 195900.0 268500.0 ; + RECT 185700.0 282300.0 195900.0 268500.0 ; + RECT 185700.0 282300.0 195900.0 296100.0 ; + RECT 185700.0 309900.0 195900.0 296100.0 ; + RECT 185700.0 309900.0 195900.0 323700.0 ; + RECT 185700.0 337500.0 195900.0 323700.0 ; + RECT 185700.0 337500.0 195900.0 351300.0 ; + RECT 185700.0 365100.0 195900.0 351300.0 ; + RECT 185700.0 365100.0 195900.0 378900.0 ; + RECT 185700.0 392700.0 195900.0 378900.0 ; + RECT 185700.0 392700.0 195900.0 406500.0 ; + RECT 185700.0 420300.0 195900.0 406500.0 ; + RECT 174900.0 201000.0 196500.0 202200.0 ; + RECT 174900.0 224400.0 196500.0 225600.0 ; + RECT 174900.0 228600.0 196500.0 229800.0 ; + RECT 174900.0 252000.0 196500.0 253200.0 ; + RECT 174900.0 256200.0 196500.0 257400.0 ; + RECT 174900.0 279600.0 196500.0 280800.0 ; + RECT 174900.0 283800.0 196500.0 285000.0 ; + RECT 174900.0 307200.0 196500.0 308400.0 ; + RECT 174900.0 311400.0 196500.0 312600.0 ; + RECT 174900.0 334800.0 196500.0 336000.0 ; + RECT 174900.0 339000.0 196500.0 340200.0 ; + RECT 174900.0 362400.0 196500.0 363600.0 ; + RECT 174900.0 366600.0 196500.0 367800.0 ; + RECT 174900.0 390000.0 196500.0 391200.0 ; + RECT 174900.0 394200.0 196500.0 395400.0 ; + RECT 174900.0 417600.0 196500.0 418800.0 ; + RECT 174900.0 212700.0 196500.0 213600.0 ; + RECT 174900.0 240300.0 196500.0 241200.0 ; + RECT 174900.0 267900.0 196500.0 268800.0 ; + RECT 174900.0 295500.0 196500.0 296400.0 ; + RECT 174900.0 323100.0 196500.0 324000.0 ; + RECT 174900.0 350700.0 196500.0 351600.0 ; + RECT 174900.0 378300.0 196500.0 379200.0 ; + RECT 174900.0 405900.0 196500.0 406800.0 ; + RECT 180900.0 433500.0 182100.0 440700.0 ; + RECT 178500.0 426300.0 179700.0 427500.0 ; + RECT 180900.0 426300.0 182100.0 427500.0 ; + RECT 180900.0 426300.0 182100.0 427500.0 ; + RECT 178500.0 426300.0 179700.0 427500.0 ; + RECT 178500.0 433500.0 179700.0 434700.0 ; + RECT 180900.0 433500.0 182100.0 434700.0 ; + RECT 180900.0 433500.0 182100.0 434700.0 ; + RECT 178500.0 433500.0 179700.0 434700.0 ; + RECT 180900.0 433500.0 182100.0 434700.0 ; + RECT 183300.0 433500.0 184500.0 434700.0 ; + RECT 183300.0 433500.0 184500.0 434700.0 ; + RECT 180900.0 433500.0 182100.0 434700.0 ; + RECT 180600.0 428550.0 179400.0 429750.0 ; + RECT 180900.0 438900.0 182100.0 440100.0 ; + RECT 178500.0 426300.0 179700.0 427500.0 ; + RECT 180900.0 426300.0 182100.0 427500.0 ; + RECT 178500.0 433500.0 179700.0 434700.0 ; + RECT 183300.0 433500.0 184500.0 434700.0 ; + RECT 175500.0 428700.0 185700.0 429600.0 ; + RECT 175500.0 439800.0 185700.0 440700.0 ; + RECT 191100.0 433500.0 192300.0 440700.0 ; + RECT 188700.0 426300.0 189900.0 427500.0 ; + RECT 191100.0 426300.0 192300.0 427500.0 ; + RECT 191100.0 426300.0 192300.0 427500.0 ; + RECT 188700.0 426300.0 189900.0 427500.0 ; + RECT 188700.0 433500.0 189900.0 434700.0 ; + RECT 191100.0 433500.0 192300.0 434700.0 ; + RECT 191100.0 433500.0 192300.0 434700.0 ; + RECT 188700.0 433500.0 189900.0 434700.0 ; + RECT 191100.0 433500.0 192300.0 434700.0 ; + RECT 193500.0 433500.0 194700.0 434700.0 ; + RECT 193500.0 433500.0 194700.0 434700.0 ; + RECT 191100.0 433500.0 192300.0 434700.0 ; + RECT 190800.0 428550.0 189600.0 429750.0 ; + RECT 191100.0 438900.0 192300.0 440100.0 ; + RECT 188700.0 426300.0 189900.0 427500.0 ; + RECT 191100.0 426300.0 192300.0 427500.0 ; + RECT 188700.0 433500.0 189900.0 434700.0 ; + RECT 193500.0 433500.0 194700.0 434700.0 ; + RECT 185700.0 428700.0 195900.0 429600.0 ; + RECT 185700.0 439800.0 195900.0 440700.0 ; + RECT 175500.0 428700.0 195900.0 429600.0 ; + RECT 175500.0 439800.0 195900.0 440700.0 ; + RECT 175500.0 150600.0 185700.0 199500.0 ; + RECT 185700.0 150600.0 195900.0 199500.0 ; + RECT 175500.0 195300.0 195900.0 196200.0 ; + RECT 175500.0 168000.0 195900.0 168900.0 ; + RECT 175500.0 193200.0 195900.0 194100.0 ; + RECT 175500.0 90000.0 185700.0 150600.0 ; + RECT 185700.0 90000.0 195900.0 150600.0 ; + RECT 175500.0 97200.0 195900.0 98100.0 ; + RECT 175500.0 99300.0 195900.0 100200.0 ; + RECT 175500.0 95100.0 195900.0 96000.0 ; RECT 175500.0 30000.0 185700.0 90000.0 ; RECT 195900.0 30000.0 185700.0 90000.0 ; RECT 175500.0 32400.0 195900.0 33300.0 ; @@ -443,3961 +443,3959 @@ MACRO sram_2_16_1_scn3me_subm RECT 175500.0 28800.0 195900.0 27900.0 ; RECT 175500.0 10500.0 195900.0 9600.0 ; RECT 175500.0 24300.0 195900.0 23400.0 ; - RECT 87750.0 206550.0 88650.0 207450.0 ; - RECT 87750.0 205350.0 88650.0 206250.0 ; - RECT 83700.0 206550.0 88200.0 207450.0 ; - RECT 87750.0 205800.0 88650.0 207000.0 ; - RECT 88200.0 205350.0 92700.0 206250.0 ; - RECT 87750.0 218550.0 88650.0 219450.0 ; - RECT 87750.0 219750.0 88650.0 220650.0 ; - RECT 83700.0 218550.0 88200.0 219450.0 ; - RECT 87750.0 219000.0 88650.0 220200.0 ; - RECT 88200.0 219750.0 92700.0 220650.0 ; - RECT 87750.0 234150.0 88650.0 235050.0 ; - RECT 87750.0 232950.0 88650.0 233850.0 ; - RECT 83700.0 234150.0 88200.0 235050.0 ; - RECT 87750.0 233400.0 88650.0 234600.0 ; - RECT 88200.0 232950.0 92700.0 233850.0 ; - RECT 87750.0 246150.0 88650.0 247050.0 ; - RECT 87750.0 247350.0 88650.0 248250.0 ; - RECT 83700.0 246150.0 88200.0 247050.0 ; - RECT 87750.0 246600.0 88650.0 247800.0 ; - RECT 88200.0 247350.0 92700.0 248250.0 ; - RECT 87750.0 261750.0 88650.0 262650.0 ; - RECT 87750.0 260550.0 88650.0 261450.0 ; - RECT 83700.0 261750.0 88200.0 262650.0 ; - RECT 87750.0 261000.0 88650.0 262200.0 ; - RECT 88200.0 260550.0 92700.0 261450.0 ; - RECT 87750.0 273750.0 88650.0 274650.0 ; - RECT 87750.0 274950.0 88650.0 275850.0 ; - RECT 83700.0 273750.0 88200.0 274650.0 ; - RECT 87750.0 274200.0 88650.0 275400.0 ; - RECT 88200.0 274950.0 92700.0 275850.0 ; - RECT 87750.0 289350.0 88650.0 290250.0 ; - RECT 87750.0 288150.0 88650.0 289050.0 ; - RECT 83700.0 289350.0 88200.0 290250.0 ; - RECT 87750.0 288600.0 88650.0 289800.0 ; - RECT 88200.0 288150.0 92700.0 289050.0 ; - RECT 87750.0 301350.0 88650.0 302250.0 ; - RECT 87750.0 302550.0 88650.0 303450.0 ; - RECT 83700.0 301350.0 88200.0 302250.0 ; - RECT 87750.0 301800.0 88650.0 303000.0 ; - RECT 88200.0 302550.0 92700.0 303450.0 ; - RECT 87750.0 316950.0 88650.0 317850.0 ; - RECT 87750.0 315750.0 88650.0 316650.0 ; - RECT 83700.0 316950.0 88200.0 317850.0 ; - RECT 87750.0 316200.0 88650.0 317400.0 ; - RECT 88200.0 315750.0 92700.0 316650.0 ; - RECT 87750.0 328950.0 88650.0 329850.0 ; - RECT 87750.0 330150.0 88650.0 331050.0 ; - RECT 83700.0 328950.0 88200.0 329850.0 ; - RECT 87750.0 329400.0 88650.0 330600.0 ; - RECT 88200.0 330150.0 92700.0 331050.0 ; - RECT 87750.0 344550.0 88650.0 345450.0 ; - RECT 87750.0 343350.0 88650.0 344250.0 ; - RECT 83700.0 344550.0 88200.0 345450.0 ; - RECT 87750.0 343800.0 88650.0 345000.0 ; - RECT 88200.0 343350.0 92700.0 344250.0 ; - RECT 87750.0 356550.0 88650.0 357450.0 ; - RECT 87750.0 357750.0 88650.0 358650.0 ; - RECT 83700.0 356550.0 88200.0 357450.0 ; - RECT 87750.0 357000.0 88650.0 358200.0 ; - RECT 88200.0 357750.0 92700.0 358650.0 ; - RECT 87750.0 372150.0 88650.0 373050.0 ; - RECT 87750.0 370950.0 88650.0 371850.0 ; - RECT 83700.0 372150.0 88200.0 373050.0 ; - RECT 87750.0 371400.0 88650.0 372600.0 ; - RECT 88200.0 370950.0 92700.0 371850.0 ; - RECT 87750.0 384150.0 88650.0 385050.0 ; - RECT 87750.0 385350.0 88650.0 386250.0 ; - RECT 83700.0 384150.0 88200.0 385050.0 ; - RECT 87750.0 384600.0 88650.0 385800.0 ; - RECT 88200.0 385350.0 92700.0 386250.0 ; - RECT 87750.0 399750.0 88650.0 400650.0 ; - RECT 87750.0 398550.0 88650.0 399450.0 ; - RECT 83700.0 399750.0 88200.0 400650.0 ; - RECT 87750.0 399000.0 88650.0 400200.0 ; - RECT 88200.0 398550.0 92700.0 399450.0 ; - RECT 87750.0 411750.0 88650.0 412650.0 ; - RECT 87750.0 412950.0 88650.0 413850.0 ; - RECT 83700.0 411750.0 88200.0 412650.0 ; - RECT 87750.0 412200.0 88650.0 413400.0 ; - RECT 88200.0 412950.0 92700.0 413850.0 ; - RECT 59550.0 94950.0 75900.0 95850.0 ; - RECT 61650.0 109350.0 75900.0 110250.0 ; - RECT 63750.0 122550.0 75900.0 123450.0 ; - RECT 65850.0 136950.0 75900.0 137850.0 ; - RECT 67950.0 150150.0 75900.0 151050.0 ; - RECT 70050.0 164550.0 75900.0 165450.0 ; - RECT 72150.0 177750.0 75900.0 178650.0 ; - RECT 74250.0 192150.0 75900.0 193050.0 ; - RECT 59550.0 206550.0 78300.0 207450.0 ; - RECT 67950.0 203850.0 81300.0 204750.0 ; - RECT 59550.0 218550.0 78300.0 219450.0 ; - RECT 70050.0 221250.0 81300.0 222150.0 ; - RECT 59550.0 234150.0 78300.0 235050.0 ; - RECT 72150.0 231450.0 81300.0 232350.0 ; - RECT 59550.0 246150.0 78300.0 247050.0 ; - RECT 74250.0 248850.0 81300.0 249750.0 ; - RECT 61650.0 261750.0 78300.0 262650.0 ; - RECT 67950.0 259050.0 81300.0 259950.0 ; - RECT 61650.0 273750.0 78300.0 274650.0 ; - RECT 70050.0 276450.0 81300.0 277350.0 ; - RECT 61650.0 289350.0 78300.0 290250.0 ; - RECT 72150.0 286650.0 81300.0 287550.0 ; - RECT 61650.0 301350.0 78300.0 302250.0 ; - RECT 74250.0 304050.0 81300.0 304950.0 ; - RECT 63750.0 316950.0 78300.0 317850.0 ; - RECT 67950.0 314250.0 81300.0 315150.0 ; - RECT 63750.0 328950.0 78300.0 329850.0 ; - RECT 70050.0 331650.0 81300.0 332550.0 ; - RECT 63750.0 344550.0 78300.0 345450.0 ; - RECT 72150.0 341850.0 81300.0 342750.0 ; - RECT 63750.0 356550.0 78300.0 357450.0 ; - RECT 74250.0 359250.0 81300.0 360150.0 ; - RECT 65850.0 372150.0 78300.0 373050.0 ; - RECT 67950.0 369450.0 81300.0 370350.0 ; - RECT 65850.0 384150.0 78300.0 385050.0 ; - RECT 70050.0 386850.0 81300.0 387750.0 ; - RECT 65850.0 399750.0 78300.0 400650.0 ; - RECT 72150.0 397050.0 81300.0 397950.0 ; - RECT 65850.0 411750.0 78300.0 412650.0 ; - RECT 74250.0 414450.0 81300.0 415350.0 ; - RECT 114450.0 94950.0 113550.0 95850.0 ; - RECT 114450.0 99450.0 113550.0 100350.0 ; - RECT 118650.0 94950.0 114000.0 95850.0 ; - RECT 114450.0 95400.0 113550.0 99900.0 ; - RECT 114000.0 99450.0 111450.0 100350.0 ; - RECT 130050.0 94950.0 122100.0 95850.0 ; - RECT 114450.0 109350.0 113550.0 110250.0 ; - RECT 114450.0 113250.0 113550.0 114150.0 ; - RECT 118650.0 109350.0 114000.0 110250.0 ; - RECT 114450.0 109800.0 113550.0 113700.0 ; - RECT 114000.0 113250.0 108450.0 114150.0 ; - RECT 127050.0 109350.0 122100.0 110250.0 ; - RECT 130050.0 118050.0 105450.0 118950.0 ; - RECT 127050.0 131850.0 102450.0 132750.0 ; - RECT 111450.0 93450.0 94500.0 94350.0 ; - RECT 108450.0 96150.0 97500.0 97050.0 ; - RECT 105450.0 110850.0 94500.0 111750.0 ; - RECT 108450.0 108150.0 97500.0 109050.0 ; - RECT 111450.0 121050.0 94500.0 121950.0 ; - RECT 102450.0 123750.0 97500.0 124650.0 ; - RECT 105450.0 138450.0 94500.0 139350.0 ; - RECT 102450.0 135750.0 97500.0 136650.0 ; - RECT 88050.0 96150.0 87150.0 97050.0 ; - RECT 88050.0 94950.0 87150.0 95850.0 ; - RECT 92100.0 96150.0 87600.0 97050.0 ; - RECT 88050.0 95400.0 87150.0 96600.0 ; - RECT 87600.0 94950.0 83100.0 95850.0 ; - RECT 88050.0 108150.0 87150.0 109050.0 ; - RECT 88050.0 109350.0 87150.0 110250.0 ; - RECT 92100.0 108150.0 87600.0 109050.0 ; - RECT 88050.0 108600.0 87150.0 109800.0 ; - RECT 87600.0 109350.0 83100.0 110250.0 ; - RECT 88050.0 123750.0 87150.0 124650.0 ; - RECT 88050.0 122550.0 87150.0 123450.0 ; - RECT 92100.0 123750.0 87600.0 124650.0 ; - RECT 88050.0 123000.0 87150.0 124200.0 ; - RECT 87600.0 122550.0 83100.0 123450.0 ; - RECT 88050.0 135750.0 87150.0 136650.0 ; - RECT 88050.0 136950.0 87150.0 137850.0 ; - RECT 92100.0 135750.0 87600.0 136650.0 ; - RECT 88050.0 136200.0 87150.0 137400.0 ; - RECT 87600.0 136950.0 83100.0 137850.0 ; - RECT 117900.0 100650.0 116700.0 102600.0 ; - RECT 117900.0 88800.0 116700.0 90750.0 ; - RECT 122700.0 90150.0 121500.0 88350.0 ; - RECT 122700.0 99450.0 121500.0 103050.0 ; - RECT 120000.0 90150.0 119100.0 99450.0 ; - RECT 122700.0 99450.0 121500.0 100650.0 ; - RECT 120300.0 99450.0 119100.0 100650.0 ; - RECT 120300.0 99450.0 119100.0 100650.0 ; - RECT 122700.0 99450.0 121500.0 100650.0 ; - RECT 122700.0 90150.0 121500.0 91350.0 ; - RECT 120300.0 90150.0 119100.0 91350.0 ; - RECT 120300.0 90150.0 119100.0 91350.0 ; - RECT 122700.0 90150.0 121500.0 91350.0 ; - RECT 117900.0 100050.0 116700.0 101250.0 ; - RECT 117900.0 90150.0 116700.0 91350.0 ; - RECT 122100.0 94800.0 120900.0 96000.0 ; - RECT 122100.0 94800.0 120900.0 96000.0 ; - RECT 119550.0 94950.0 118650.0 95850.0 ; - RECT 124500.0 102150.0 114900.0 103050.0 ; - RECT 124500.0 88350.0 114900.0 89250.0 ; - RECT 117900.0 104550.0 116700.0 102600.0 ; - RECT 117900.0 116400.0 116700.0 114450.0 ; - RECT 122700.0 115050.0 121500.0 116850.0 ; - RECT 122700.0 105750.0 121500.0 102150.0 ; - RECT 120000.0 115050.0 119100.0 105750.0 ; - RECT 122700.0 105750.0 121500.0 104550.0 ; - RECT 120300.0 105750.0 119100.0 104550.0 ; - RECT 120300.0 105750.0 119100.0 104550.0 ; - RECT 122700.0 105750.0 121500.0 104550.0 ; - RECT 122700.0 115050.0 121500.0 113850.0 ; - RECT 120300.0 115050.0 119100.0 113850.0 ; - RECT 120300.0 115050.0 119100.0 113850.0 ; - RECT 122700.0 115050.0 121500.0 113850.0 ; - RECT 117900.0 105150.0 116700.0 103950.0 ; - RECT 117900.0 115050.0 116700.0 113850.0 ; - RECT 122100.0 110400.0 120900.0 109200.0 ; - RECT 122100.0 110400.0 120900.0 109200.0 ; - RECT 119550.0 110250.0 118650.0 109350.0 ; - RECT 124500.0 103050.0 114900.0 102150.0 ; - RECT 124500.0 116850.0 114900.0 115950.0 ; - RECT 78900.0 100650.0 77700.0 102600.0 ; - RECT 78900.0 88800.0 77700.0 90750.0 ; - RECT 83700.0 90150.0 82500.0 88350.0 ; - RECT 83700.0 99450.0 82500.0 103050.0 ; - RECT 81000.0 90150.0 80100.0 99450.0 ; - RECT 83700.0 99450.0 82500.0 100650.0 ; - RECT 81300.0 99450.0 80100.0 100650.0 ; - RECT 81300.0 99450.0 80100.0 100650.0 ; - RECT 83700.0 99450.0 82500.0 100650.0 ; - RECT 83700.0 90150.0 82500.0 91350.0 ; - RECT 81300.0 90150.0 80100.0 91350.0 ; - RECT 81300.0 90150.0 80100.0 91350.0 ; - RECT 83700.0 90150.0 82500.0 91350.0 ; - RECT 78900.0 100050.0 77700.0 101250.0 ; - RECT 78900.0 90150.0 77700.0 91350.0 ; - RECT 83100.0 94800.0 81900.0 96000.0 ; - RECT 83100.0 94800.0 81900.0 96000.0 ; - RECT 80550.0 94950.0 79650.0 95850.0 ; - RECT 85500.0 102150.0 75900.0 103050.0 ; - RECT 85500.0 88350.0 75900.0 89250.0 ; - RECT 78900.0 104550.0 77700.0 102600.0 ; - RECT 78900.0 116400.0 77700.0 114450.0 ; - RECT 83700.0 115050.0 82500.0 116850.0 ; - RECT 83700.0 105750.0 82500.0 102150.0 ; - RECT 81000.0 115050.0 80100.0 105750.0 ; - RECT 83700.0 105750.0 82500.0 104550.0 ; - RECT 81300.0 105750.0 80100.0 104550.0 ; - RECT 81300.0 105750.0 80100.0 104550.0 ; - RECT 83700.0 105750.0 82500.0 104550.0 ; - RECT 83700.0 115050.0 82500.0 113850.0 ; - RECT 81300.0 115050.0 80100.0 113850.0 ; - RECT 81300.0 115050.0 80100.0 113850.0 ; - RECT 83700.0 115050.0 82500.0 113850.0 ; - RECT 78900.0 105150.0 77700.0 103950.0 ; - RECT 78900.0 115050.0 77700.0 113850.0 ; - RECT 83100.0 110400.0 81900.0 109200.0 ; - RECT 83100.0 110400.0 81900.0 109200.0 ; - RECT 80550.0 110250.0 79650.0 109350.0 ; - RECT 85500.0 103050.0 75900.0 102150.0 ; - RECT 85500.0 116850.0 75900.0 115950.0 ; - RECT 78900.0 128250.0 77700.0 130200.0 ; - RECT 78900.0 116400.0 77700.0 118350.0 ; - RECT 83700.0 117750.0 82500.0 115950.0 ; - RECT 83700.0 127050.0 82500.0 130650.0 ; - RECT 81000.0 117750.0 80100.0 127050.0 ; - RECT 83700.0 127050.0 82500.0 128250.0 ; - RECT 81300.0 127050.0 80100.0 128250.0 ; - RECT 81300.0 127050.0 80100.0 128250.0 ; - RECT 83700.0 127050.0 82500.0 128250.0 ; - RECT 83700.0 117750.0 82500.0 118950.0 ; - RECT 81300.0 117750.0 80100.0 118950.0 ; - RECT 81300.0 117750.0 80100.0 118950.0 ; - RECT 83700.0 117750.0 82500.0 118950.0 ; - RECT 78900.0 127650.0 77700.0 128850.0 ; - RECT 78900.0 117750.0 77700.0 118950.0 ; - RECT 83100.0 122400.0 81900.0 123600.0 ; - RECT 83100.0 122400.0 81900.0 123600.0 ; - RECT 80550.0 122550.0 79650.0 123450.0 ; - RECT 85500.0 129750.0 75900.0 130650.0 ; - RECT 85500.0 115950.0 75900.0 116850.0 ; - RECT 78900.0 132150.0 77700.0 130200.0 ; - RECT 78900.0 144000.0 77700.0 142050.0 ; - RECT 83700.0 142650.0 82500.0 144450.0 ; - RECT 83700.0 133350.0 82500.0 129750.0 ; - RECT 81000.0 142650.0 80100.0 133350.0 ; - RECT 83700.0 133350.0 82500.0 132150.0 ; - RECT 81300.0 133350.0 80100.0 132150.0 ; - RECT 81300.0 133350.0 80100.0 132150.0 ; - RECT 83700.0 133350.0 82500.0 132150.0 ; - RECT 83700.0 142650.0 82500.0 141450.0 ; - RECT 81300.0 142650.0 80100.0 141450.0 ; - RECT 81300.0 142650.0 80100.0 141450.0 ; - RECT 83700.0 142650.0 82500.0 141450.0 ; - RECT 78900.0 132750.0 77700.0 131550.0 ; - RECT 78900.0 142650.0 77700.0 141450.0 ; - RECT 83100.0 138000.0 81900.0 136800.0 ; - RECT 83100.0 138000.0 81900.0 136800.0 ; - RECT 80550.0 137850.0 79650.0 136950.0 ; - RECT 85500.0 130650.0 75900.0 129750.0 ; - RECT 85500.0 144450.0 75900.0 143550.0 ; - RECT 98100.0 90750.0 96900.0 88350.0 ; - RECT 98100.0 99450.0 96900.0 103050.0 ; - RECT 93300.0 99450.0 92100.0 103050.0 ; - RECT 90900.0 100650.0 89700.0 102600.0 ; - RECT 90900.0 88800.0 89700.0 90750.0 ; - RECT 98100.0 99450.0 96900.0 100650.0 ; - RECT 95700.0 99450.0 94500.0 100650.0 ; - RECT 95700.0 99450.0 94500.0 100650.0 ; - RECT 98100.0 99450.0 96900.0 100650.0 ; - RECT 95700.0 99450.0 94500.0 100650.0 ; - RECT 93300.0 99450.0 92100.0 100650.0 ; - RECT 93300.0 99450.0 92100.0 100650.0 ; - RECT 95700.0 99450.0 94500.0 100650.0 ; - RECT 98100.0 90750.0 96900.0 91950.0 ; - RECT 95700.0 90750.0 94500.0 91950.0 ; - RECT 95700.0 90750.0 94500.0 91950.0 ; - RECT 98100.0 90750.0 96900.0 91950.0 ; - RECT 95700.0 90750.0 94500.0 91950.0 ; - RECT 93300.0 90750.0 92100.0 91950.0 ; - RECT 93300.0 90750.0 92100.0 91950.0 ; - RECT 95700.0 90750.0 94500.0 91950.0 ; - RECT 90900.0 100050.0 89700.0 101250.0 ; - RECT 90900.0 90150.0 89700.0 91350.0 ; - RECT 93300.0 93300.0 94500.0 94500.0 ; - RECT 96300.0 96000.0 97500.0 97200.0 ; - RECT 95700.0 99450.0 94500.0 100650.0 ; - RECT 93300.0 90750.0 92100.0 91950.0 ; - RECT 92100.0 96000.0 93300.0 97200.0 ; - RECT 97500.0 96000.0 96300.0 97200.0 ; - RECT 94500.0 93300.0 93300.0 94500.0 ; - RECT 93300.0 96000.0 92100.0 97200.0 ; - RECT 99900.0 102150.0 85500.0 103050.0 ; - RECT 99900.0 88350.0 85500.0 89250.0 ; - RECT 98100.0 114450.0 96900.0 116850.0 ; - RECT 98100.0 105750.0 96900.0 102150.0 ; - RECT 93300.0 105750.0 92100.0 102150.0 ; - RECT 90900.0 104550.0 89700.0 102600.0 ; - RECT 90900.0 116400.0 89700.0 114450.0 ; - RECT 98100.0 105750.0 96900.0 104550.0 ; - RECT 95700.0 105750.0 94500.0 104550.0 ; - RECT 95700.0 105750.0 94500.0 104550.0 ; - RECT 98100.0 105750.0 96900.0 104550.0 ; - RECT 95700.0 105750.0 94500.0 104550.0 ; - RECT 93300.0 105750.0 92100.0 104550.0 ; - RECT 93300.0 105750.0 92100.0 104550.0 ; - RECT 95700.0 105750.0 94500.0 104550.0 ; - RECT 98100.0 114450.0 96900.0 113250.0 ; - RECT 95700.0 114450.0 94500.0 113250.0 ; - RECT 95700.0 114450.0 94500.0 113250.0 ; - RECT 98100.0 114450.0 96900.0 113250.0 ; - RECT 95700.0 114450.0 94500.0 113250.0 ; - RECT 93300.0 114450.0 92100.0 113250.0 ; - RECT 93300.0 114450.0 92100.0 113250.0 ; - RECT 95700.0 114450.0 94500.0 113250.0 ; - RECT 90900.0 105150.0 89700.0 103950.0 ; - RECT 90900.0 115050.0 89700.0 113850.0 ; - RECT 93300.0 111900.0 94500.0 110700.0 ; - RECT 96300.0 109200.0 97500.0 108000.0 ; - RECT 95700.0 105750.0 94500.0 104550.0 ; - RECT 93300.0 114450.0 92100.0 113250.0 ; - RECT 92100.0 109200.0 93300.0 108000.0 ; - RECT 97500.0 109200.0 96300.0 108000.0 ; - RECT 94500.0 111900.0 93300.0 110700.0 ; - RECT 93300.0 109200.0 92100.0 108000.0 ; - RECT 99900.0 103050.0 85500.0 102150.0 ; - RECT 99900.0 116850.0 85500.0 115950.0 ; - RECT 98100.0 118350.0 96900.0 115950.0 ; - RECT 98100.0 127050.0 96900.0 130650.0 ; - RECT 93300.0 127050.0 92100.0 130650.0 ; - RECT 90900.0 128250.0 89700.0 130200.0 ; - RECT 90900.0 116400.0 89700.0 118350.0 ; - RECT 98100.0 127050.0 96900.0 128250.0 ; - RECT 95700.0 127050.0 94500.0 128250.0 ; - RECT 95700.0 127050.0 94500.0 128250.0 ; - RECT 98100.0 127050.0 96900.0 128250.0 ; - RECT 95700.0 127050.0 94500.0 128250.0 ; - RECT 93300.0 127050.0 92100.0 128250.0 ; - RECT 93300.0 127050.0 92100.0 128250.0 ; - RECT 95700.0 127050.0 94500.0 128250.0 ; - RECT 98100.0 118350.0 96900.0 119550.0 ; - RECT 95700.0 118350.0 94500.0 119550.0 ; - RECT 95700.0 118350.0 94500.0 119550.0 ; - RECT 98100.0 118350.0 96900.0 119550.0 ; - RECT 95700.0 118350.0 94500.0 119550.0 ; - RECT 93300.0 118350.0 92100.0 119550.0 ; - RECT 93300.0 118350.0 92100.0 119550.0 ; - RECT 95700.0 118350.0 94500.0 119550.0 ; - RECT 90900.0 127650.0 89700.0 128850.0 ; - RECT 90900.0 117750.0 89700.0 118950.0 ; - RECT 93300.0 120900.0 94500.0 122100.0 ; - RECT 96300.0 123600.0 97500.0 124800.0 ; - RECT 95700.0 127050.0 94500.0 128250.0 ; - RECT 93300.0 118350.0 92100.0 119550.0 ; - RECT 92100.0 123600.0 93300.0 124800.0 ; - RECT 97500.0 123600.0 96300.0 124800.0 ; - RECT 94500.0 120900.0 93300.0 122100.0 ; - RECT 93300.0 123600.0 92100.0 124800.0 ; - RECT 99900.0 129750.0 85500.0 130650.0 ; - RECT 99900.0 115950.0 85500.0 116850.0 ; - RECT 98100.0 142050.0 96900.0 144450.0 ; - RECT 98100.0 133350.0 96900.0 129750.0 ; - RECT 93300.0 133350.0 92100.0 129750.0 ; - RECT 90900.0 132150.0 89700.0 130200.0 ; - RECT 90900.0 144000.0 89700.0 142050.0 ; - RECT 98100.0 133350.0 96900.0 132150.0 ; - RECT 95700.0 133350.0 94500.0 132150.0 ; - RECT 95700.0 133350.0 94500.0 132150.0 ; - RECT 98100.0 133350.0 96900.0 132150.0 ; - RECT 95700.0 133350.0 94500.0 132150.0 ; - RECT 93300.0 133350.0 92100.0 132150.0 ; - RECT 93300.0 133350.0 92100.0 132150.0 ; - RECT 95700.0 133350.0 94500.0 132150.0 ; - RECT 98100.0 142050.0 96900.0 140850.0 ; - RECT 95700.0 142050.0 94500.0 140850.0 ; - RECT 95700.0 142050.0 94500.0 140850.0 ; - RECT 98100.0 142050.0 96900.0 140850.0 ; - RECT 95700.0 142050.0 94500.0 140850.0 ; - RECT 93300.0 142050.0 92100.0 140850.0 ; - RECT 93300.0 142050.0 92100.0 140850.0 ; - RECT 95700.0 142050.0 94500.0 140850.0 ; - RECT 90900.0 132750.0 89700.0 131550.0 ; - RECT 90900.0 142650.0 89700.0 141450.0 ; - RECT 93300.0 139500.0 94500.0 138300.0 ; - RECT 96300.0 136800.0 97500.0 135600.0 ; - RECT 95700.0 133350.0 94500.0 132150.0 ; - RECT 93300.0 142050.0 92100.0 140850.0 ; - RECT 92100.0 136800.0 93300.0 135600.0 ; - RECT 97500.0 136800.0 96300.0 135600.0 ; - RECT 94500.0 139500.0 93300.0 138300.0 ; - RECT 93300.0 136800.0 92100.0 135600.0 ; - RECT 99900.0 130650.0 85500.0 129750.0 ; - RECT 99900.0 144450.0 85500.0 143550.0 ; - RECT 110850.0 99300.0 112050.0 100500.0 ; - RECT 129450.0 94800.0 130650.0 96000.0 ; - RECT 107850.0 113100.0 109050.0 114300.0 ; - RECT 126450.0 109200.0 127650.0 110400.0 ; - RECT 129450.0 117900.0 130650.0 119100.0 ; - RECT 104850.0 117900.0 106050.0 119100.0 ; - RECT 126450.0 131700.0 127650.0 132900.0 ; - RECT 101850.0 131700.0 103050.0 132900.0 ; - RECT 110850.0 93300.0 112050.0 94500.0 ; - RECT 107850.0 96000.0 109050.0 97200.0 ; - RECT 104850.0 110700.0 106050.0 111900.0 ; - RECT 107850.0 108000.0 109050.0 109200.0 ; - RECT 110850.0 120900.0 112050.0 122100.0 ; - RECT 101850.0 123600.0 103050.0 124800.0 ; - RECT 104850.0 138300.0 106050.0 139500.0 ; - RECT 101850.0 135600.0 103050.0 136800.0 ; - RECT 79650.0 94950.0 75900.0 95850.0 ; - RECT 79650.0 109350.0 75900.0 110250.0 ; - RECT 79650.0 122550.0 75900.0 123450.0 ; - RECT 79650.0 136950.0 75900.0 137850.0 ; - RECT 130500.0 102150.0 75900.0 103050.0 ; - RECT 130500.0 129750.0 75900.0 130650.0 ; - RECT 130500.0 88350.0 75900.0 89250.0 ; - RECT 130500.0 115950.0 75900.0 116850.0 ; - RECT 130500.0 143550.0 75900.0 144450.0 ; - RECT 114450.0 150150.0 113550.0 151050.0 ; - RECT 114450.0 154650.0 113550.0 155550.0 ; - RECT 118650.0 150150.0 114000.0 151050.0 ; - RECT 114450.0 150600.0 113550.0 155100.0 ; - RECT 114000.0 154650.0 111450.0 155550.0 ; - RECT 130050.0 150150.0 122100.0 151050.0 ; - RECT 114450.0 164550.0 113550.0 165450.0 ; - RECT 114450.0 168450.0 113550.0 169350.0 ; - RECT 118650.0 164550.0 114000.0 165450.0 ; - RECT 114450.0 165000.0 113550.0 168900.0 ; - RECT 114000.0 168450.0 108450.0 169350.0 ; - RECT 127050.0 164550.0 122100.0 165450.0 ; - RECT 130050.0 173250.0 105450.0 174150.0 ; - RECT 127050.0 187050.0 102450.0 187950.0 ; - RECT 111450.0 148650.0 94500.0 149550.0 ; - RECT 108450.0 151350.0 97500.0 152250.0 ; - RECT 105450.0 166050.0 94500.0 166950.0 ; - RECT 108450.0 163350.0 97500.0 164250.0 ; - RECT 111450.0 176250.0 94500.0 177150.0 ; - RECT 102450.0 178950.0 97500.0 179850.0 ; - RECT 105450.0 193650.0 94500.0 194550.0 ; - RECT 102450.0 190950.0 97500.0 191850.0 ; - RECT 88050.0 151350.0 87150.0 152250.0 ; - RECT 88050.0 150150.0 87150.0 151050.0 ; - RECT 92100.0 151350.0 87600.0 152250.0 ; - RECT 88050.0 150600.0 87150.0 151800.0 ; - RECT 87600.0 150150.0 83100.0 151050.0 ; - RECT 88050.0 163350.0 87150.0 164250.0 ; - RECT 88050.0 164550.0 87150.0 165450.0 ; - RECT 92100.0 163350.0 87600.0 164250.0 ; - RECT 88050.0 163800.0 87150.0 165000.0 ; - RECT 87600.0 164550.0 83100.0 165450.0 ; - RECT 88050.0 178950.0 87150.0 179850.0 ; - RECT 88050.0 177750.0 87150.0 178650.0 ; - RECT 92100.0 178950.0 87600.0 179850.0 ; - RECT 88050.0 178200.0 87150.0 179400.0 ; - RECT 87600.0 177750.0 83100.0 178650.0 ; - RECT 88050.0 190950.0 87150.0 191850.0 ; - RECT 88050.0 192150.0 87150.0 193050.0 ; - RECT 92100.0 190950.0 87600.0 191850.0 ; - RECT 88050.0 191400.0 87150.0 192600.0 ; - RECT 87600.0 192150.0 83100.0 193050.0 ; - RECT 117900.0 155850.0 116700.0 157800.0 ; - RECT 117900.0 144000.0 116700.0 145950.0 ; - RECT 122700.0 145350.0 121500.0 143550.0 ; - RECT 122700.0 154650.0 121500.0 158250.0 ; - RECT 120000.0 145350.0 119100.0 154650.0 ; - RECT 122700.0 154650.0 121500.0 155850.0 ; - RECT 120300.0 154650.0 119100.0 155850.0 ; - RECT 120300.0 154650.0 119100.0 155850.0 ; - RECT 122700.0 154650.0 121500.0 155850.0 ; - RECT 122700.0 145350.0 121500.0 146550.0 ; - RECT 120300.0 145350.0 119100.0 146550.0 ; - RECT 120300.0 145350.0 119100.0 146550.0 ; - RECT 122700.0 145350.0 121500.0 146550.0 ; - RECT 117900.0 155250.0 116700.0 156450.0 ; - RECT 117900.0 145350.0 116700.0 146550.0 ; - RECT 122100.0 150000.0 120900.0 151200.0 ; - RECT 122100.0 150000.0 120900.0 151200.0 ; - RECT 119550.0 150150.0 118650.0 151050.0 ; - RECT 124500.0 157350.0 114900.0 158250.0 ; - RECT 124500.0 143550.0 114900.0 144450.0 ; - RECT 117900.0 159750.0 116700.0 157800.0 ; - RECT 117900.0 171600.0 116700.0 169650.0 ; - RECT 122700.0 170250.0 121500.0 172050.0 ; - RECT 122700.0 160950.0 121500.0 157350.0 ; - RECT 120000.0 170250.0 119100.0 160950.0 ; - RECT 122700.0 160950.0 121500.0 159750.0 ; - RECT 120300.0 160950.0 119100.0 159750.0 ; - RECT 120300.0 160950.0 119100.0 159750.0 ; - RECT 122700.0 160950.0 121500.0 159750.0 ; - RECT 122700.0 170250.0 121500.0 169050.0 ; - RECT 120300.0 170250.0 119100.0 169050.0 ; - RECT 120300.0 170250.0 119100.0 169050.0 ; - RECT 122700.0 170250.0 121500.0 169050.0 ; - RECT 117900.0 160350.0 116700.0 159150.0 ; - RECT 117900.0 170250.0 116700.0 169050.0 ; - RECT 122100.0 165600.0 120900.0 164400.0 ; - RECT 122100.0 165600.0 120900.0 164400.0 ; - RECT 119550.0 165450.0 118650.0 164550.0 ; - RECT 124500.0 158250.0 114900.0 157350.0 ; - RECT 124500.0 172050.0 114900.0 171150.0 ; - RECT 78900.0 155850.0 77700.0 157800.0 ; - RECT 78900.0 144000.0 77700.0 145950.0 ; - RECT 83700.0 145350.0 82500.0 143550.0 ; - RECT 83700.0 154650.0 82500.0 158250.0 ; - RECT 81000.0 145350.0 80100.0 154650.0 ; - RECT 83700.0 154650.0 82500.0 155850.0 ; - RECT 81300.0 154650.0 80100.0 155850.0 ; - RECT 81300.0 154650.0 80100.0 155850.0 ; - RECT 83700.0 154650.0 82500.0 155850.0 ; - RECT 83700.0 145350.0 82500.0 146550.0 ; - RECT 81300.0 145350.0 80100.0 146550.0 ; - RECT 81300.0 145350.0 80100.0 146550.0 ; - RECT 83700.0 145350.0 82500.0 146550.0 ; - RECT 78900.0 155250.0 77700.0 156450.0 ; - RECT 78900.0 145350.0 77700.0 146550.0 ; - RECT 83100.0 150000.0 81900.0 151200.0 ; - RECT 83100.0 150000.0 81900.0 151200.0 ; - RECT 80550.0 150150.0 79650.0 151050.0 ; - RECT 85500.0 157350.0 75900.0 158250.0 ; - RECT 85500.0 143550.0 75900.0 144450.0 ; - RECT 78900.0 159750.0 77700.0 157800.0 ; - RECT 78900.0 171600.0 77700.0 169650.0 ; - RECT 83700.0 170250.0 82500.0 172050.0 ; - RECT 83700.0 160950.0 82500.0 157350.0 ; - RECT 81000.0 170250.0 80100.0 160950.0 ; - RECT 83700.0 160950.0 82500.0 159750.0 ; - RECT 81300.0 160950.0 80100.0 159750.0 ; - RECT 81300.0 160950.0 80100.0 159750.0 ; - RECT 83700.0 160950.0 82500.0 159750.0 ; - RECT 83700.0 170250.0 82500.0 169050.0 ; - RECT 81300.0 170250.0 80100.0 169050.0 ; - RECT 81300.0 170250.0 80100.0 169050.0 ; - RECT 83700.0 170250.0 82500.0 169050.0 ; - RECT 78900.0 160350.0 77700.0 159150.0 ; - RECT 78900.0 170250.0 77700.0 169050.0 ; - RECT 83100.0 165600.0 81900.0 164400.0 ; - RECT 83100.0 165600.0 81900.0 164400.0 ; - RECT 80550.0 165450.0 79650.0 164550.0 ; - RECT 85500.0 158250.0 75900.0 157350.0 ; - RECT 85500.0 172050.0 75900.0 171150.0 ; - RECT 78900.0 183450.0 77700.0 185400.0 ; - RECT 78900.0 171600.0 77700.0 173550.0 ; - RECT 83700.0 172950.0 82500.0 171150.0 ; - RECT 83700.0 182250.0 82500.0 185850.0 ; - RECT 81000.0 172950.0 80100.0 182250.0 ; - RECT 83700.0 182250.0 82500.0 183450.0 ; - RECT 81300.0 182250.0 80100.0 183450.0 ; - RECT 81300.0 182250.0 80100.0 183450.0 ; - RECT 83700.0 182250.0 82500.0 183450.0 ; - RECT 83700.0 172950.0 82500.0 174150.0 ; - RECT 81300.0 172950.0 80100.0 174150.0 ; - RECT 81300.0 172950.0 80100.0 174150.0 ; - RECT 83700.0 172950.0 82500.0 174150.0 ; - RECT 78900.0 182850.0 77700.0 184050.0 ; - RECT 78900.0 172950.0 77700.0 174150.0 ; - RECT 83100.0 177600.0 81900.0 178800.0 ; - RECT 83100.0 177600.0 81900.0 178800.0 ; - RECT 80550.0 177750.0 79650.0 178650.0 ; - RECT 85500.0 184950.0 75900.0 185850.0 ; - RECT 85500.0 171150.0 75900.0 172050.0 ; - RECT 78900.0 187350.0 77700.0 185400.0 ; - RECT 78900.0 199200.0 77700.0 197250.0 ; - RECT 83700.0 197850.0 82500.0 199650.0 ; - RECT 83700.0 188550.0 82500.0 184950.0 ; - RECT 81000.0 197850.0 80100.0 188550.0 ; - RECT 83700.0 188550.0 82500.0 187350.0 ; - RECT 81300.0 188550.0 80100.0 187350.0 ; - RECT 81300.0 188550.0 80100.0 187350.0 ; - RECT 83700.0 188550.0 82500.0 187350.0 ; - RECT 83700.0 197850.0 82500.0 196650.0 ; - RECT 81300.0 197850.0 80100.0 196650.0 ; - RECT 81300.0 197850.0 80100.0 196650.0 ; - RECT 83700.0 197850.0 82500.0 196650.0 ; - RECT 78900.0 187950.0 77700.0 186750.0 ; - RECT 78900.0 197850.0 77700.0 196650.0 ; - RECT 83100.0 193200.0 81900.0 192000.0 ; - RECT 83100.0 193200.0 81900.0 192000.0 ; - RECT 80550.0 193050.0 79650.0 192150.0 ; - RECT 85500.0 185850.0 75900.0 184950.0 ; - RECT 85500.0 199650.0 75900.0 198750.0 ; - RECT 98100.0 145950.0 96900.0 143550.0 ; - RECT 98100.0 154650.0 96900.0 158250.0 ; - RECT 93300.0 154650.0 92100.0 158250.0 ; - RECT 90900.0 155850.0 89700.0 157800.0 ; - RECT 90900.0 144000.0 89700.0 145950.0 ; - RECT 98100.0 154650.0 96900.0 155850.0 ; - RECT 95700.0 154650.0 94500.0 155850.0 ; - RECT 95700.0 154650.0 94500.0 155850.0 ; - RECT 98100.0 154650.0 96900.0 155850.0 ; - RECT 95700.0 154650.0 94500.0 155850.0 ; - RECT 93300.0 154650.0 92100.0 155850.0 ; - RECT 93300.0 154650.0 92100.0 155850.0 ; - RECT 95700.0 154650.0 94500.0 155850.0 ; - RECT 98100.0 145950.0 96900.0 147150.0 ; - RECT 95700.0 145950.0 94500.0 147150.0 ; - RECT 95700.0 145950.0 94500.0 147150.0 ; - RECT 98100.0 145950.0 96900.0 147150.0 ; - RECT 95700.0 145950.0 94500.0 147150.0 ; - RECT 93300.0 145950.0 92100.0 147150.0 ; - RECT 93300.0 145950.0 92100.0 147150.0 ; - RECT 95700.0 145950.0 94500.0 147150.0 ; - RECT 90900.0 155250.0 89700.0 156450.0 ; - RECT 90900.0 145350.0 89700.0 146550.0 ; - RECT 93300.0 148500.0 94500.0 149700.0 ; - RECT 96300.0 151200.0 97500.0 152400.0 ; - RECT 95700.0 154650.0 94500.0 155850.0 ; - RECT 93300.0 145950.0 92100.0 147150.0 ; - RECT 92100.0 151200.0 93300.0 152400.0 ; - RECT 97500.0 151200.0 96300.0 152400.0 ; - RECT 94500.0 148500.0 93300.0 149700.0 ; - RECT 93300.0 151200.0 92100.0 152400.0 ; - RECT 99900.0 157350.0 85500.0 158250.0 ; - RECT 99900.0 143550.0 85500.0 144450.0 ; - RECT 98100.0 169650.0 96900.0 172050.0 ; - RECT 98100.0 160950.0 96900.0 157350.0 ; - RECT 93300.0 160950.0 92100.0 157350.0 ; - RECT 90900.0 159750.0 89700.0 157800.0 ; - RECT 90900.0 171600.0 89700.0 169650.0 ; - RECT 98100.0 160950.0 96900.0 159750.0 ; - RECT 95700.0 160950.0 94500.0 159750.0 ; - RECT 95700.0 160950.0 94500.0 159750.0 ; - RECT 98100.0 160950.0 96900.0 159750.0 ; - RECT 95700.0 160950.0 94500.0 159750.0 ; - RECT 93300.0 160950.0 92100.0 159750.0 ; - RECT 93300.0 160950.0 92100.0 159750.0 ; - RECT 95700.0 160950.0 94500.0 159750.0 ; - RECT 98100.0 169650.0 96900.0 168450.0 ; - RECT 95700.0 169650.0 94500.0 168450.0 ; - RECT 95700.0 169650.0 94500.0 168450.0 ; - RECT 98100.0 169650.0 96900.0 168450.0 ; - RECT 95700.0 169650.0 94500.0 168450.0 ; - RECT 93300.0 169650.0 92100.0 168450.0 ; - RECT 93300.0 169650.0 92100.0 168450.0 ; - RECT 95700.0 169650.0 94500.0 168450.0 ; - RECT 90900.0 160350.0 89700.0 159150.0 ; - RECT 90900.0 170250.0 89700.0 169050.0 ; - RECT 93300.0 167100.0 94500.0 165900.0 ; - RECT 96300.0 164400.0 97500.0 163200.0 ; - RECT 95700.0 160950.0 94500.0 159750.0 ; - RECT 93300.0 169650.0 92100.0 168450.0 ; - RECT 92100.0 164400.0 93300.0 163200.0 ; - RECT 97500.0 164400.0 96300.0 163200.0 ; - RECT 94500.0 167100.0 93300.0 165900.0 ; - RECT 93300.0 164400.0 92100.0 163200.0 ; - RECT 99900.0 158250.0 85500.0 157350.0 ; - RECT 99900.0 172050.0 85500.0 171150.0 ; - RECT 98100.0 173550.0 96900.0 171150.0 ; - RECT 98100.0 182250.0 96900.0 185850.0 ; - RECT 93300.0 182250.0 92100.0 185850.0 ; - RECT 90900.0 183450.0 89700.0 185400.0 ; - RECT 90900.0 171600.0 89700.0 173550.0 ; - RECT 98100.0 182250.0 96900.0 183450.0 ; - RECT 95700.0 182250.0 94500.0 183450.0 ; - RECT 95700.0 182250.0 94500.0 183450.0 ; - RECT 98100.0 182250.0 96900.0 183450.0 ; - RECT 95700.0 182250.0 94500.0 183450.0 ; - RECT 93300.0 182250.0 92100.0 183450.0 ; - RECT 93300.0 182250.0 92100.0 183450.0 ; - RECT 95700.0 182250.0 94500.0 183450.0 ; - RECT 98100.0 173550.0 96900.0 174750.0 ; - RECT 95700.0 173550.0 94500.0 174750.0 ; - RECT 95700.0 173550.0 94500.0 174750.0 ; - RECT 98100.0 173550.0 96900.0 174750.0 ; - RECT 95700.0 173550.0 94500.0 174750.0 ; - RECT 93300.0 173550.0 92100.0 174750.0 ; - RECT 93300.0 173550.0 92100.0 174750.0 ; - RECT 95700.0 173550.0 94500.0 174750.0 ; - RECT 90900.0 182850.0 89700.0 184050.0 ; - RECT 90900.0 172950.0 89700.0 174150.0 ; - RECT 93300.0 176100.0 94500.0 177300.0 ; - RECT 96300.0 178800.0 97500.0 180000.0 ; - RECT 95700.0 182250.0 94500.0 183450.0 ; - RECT 93300.0 173550.0 92100.0 174750.0 ; - RECT 92100.0 178800.0 93300.0 180000.0 ; - RECT 97500.0 178800.0 96300.0 180000.0 ; - RECT 94500.0 176100.0 93300.0 177300.0 ; - RECT 93300.0 178800.0 92100.0 180000.0 ; - RECT 99900.0 184950.0 85500.0 185850.0 ; - RECT 99900.0 171150.0 85500.0 172050.0 ; - RECT 98100.0 197250.0 96900.0 199650.0 ; - RECT 98100.0 188550.0 96900.0 184950.0 ; - RECT 93300.0 188550.0 92100.0 184950.0 ; - RECT 90900.0 187350.0 89700.0 185400.0 ; - RECT 90900.0 199200.0 89700.0 197250.0 ; - RECT 98100.0 188550.0 96900.0 187350.0 ; - RECT 95700.0 188550.0 94500.0 187350.0 ; - RECT 95700.0 188550.0 94500.0 187350.0 ; - RECT 98100.0 188550.0 96900.0 187350.0 ; - RECT 95700.0 188550.0 94500.0 187350.0 ; - RECT 93300.0 188550.0 92100.0 187350.0 ; - RECT 93300.0 188550.0 92100.0 187350.0 ; - RECT 95700.0 188550.0 94500.0 187350.0 ; - RECT 98100.0 197250.0 96900.0 196050.0 ; - RECT 95700.0 197250.0 94500.0 196050.0 ; - RECT 95700.0 197250.0 94500.0 196050.0 ; - RECT 98100.0 197250.0 96900.0 196050.0 ; - RECT 95700.0 197250.0 94500.0 196050.0 ; - RECT 93300.0 197250.0 92100.0 196050.0 ; - RECT 93300.0 197250.0 92100.0 196050.0 ; - RECT 95700.0 197250.0 94500.0 196050.0 ; - RECT 90900.0 187950.0 89700.0 186750.0 ; - RECT 90900.0 197850.0 89700.0 196650.0 ; - RECT 93300.0 194700.0 94500.0 193500.0 ; - RECT 96300.0 192000.0 97500.0 190800.0 ; - RECT 95700.0 188550.0 94500.0 187350.0 ; - RECT 93300.0 197250.0 92100.0 196050.0 ; - RECT 92100.0 192000.0 93300.0 190800.0 ; - RECT 97500.0 192000.0 96300.0 190800.0 ; - RECT 94500.0 194700.0 93300.0 193500.0 ; - RECT 93300.0 192000.0 92100.0 190800.0 ; - RECT 99900.0 185850.0 85500.0 184950.0 ; - RECT 99900.0 199650.0 85500.0 198750.0 ; - RECT 110850.0 154500.0 112050.0 155700.0 ; - RECT 129450.0 150000.0 130650.0 151200.0 ; - RECT 107850.0 168300.0 109050.0 169500.0 ; - RECT 126450.0 164400.0 127650.0 165600.0 ; - RECT 129450.0 173100.0 130650.0 174300.0 ; - RECT 104850.0 173100.0 106050.0 174300.0 ; - RECT 126450.0 186900.0 127650.0 188100.0 ; - RECT 101850.0 186900.0 103050.0 188100.0 ; - RECT 110850.0 148500.0 112050.0 149700.0 ; - RECT 107850.0 151200.0 109050.0 152400.0 ; - RECT 104850.0 165900.0 106050.0 167100.0 ; - RECT 107850.0 163200.0 109050.0 164400.0 ; - RECT 110850.0 176100.0 112050.0 177300.0 ; - RECT 101850.0 178800.0 103050.0 180000.0 ; - RECT 104850.0 193500.0 106050.0 194700.0 ; - RECT 101850.0 190800.0 103050.0 192000.0 ; - RECT 79650.0 150150.0 75900.0 151050.0 ; - RECT 79650.0 164550.0 75900.0 165450.0 ; - RECT 79650.0 177750.0 75900.0 178650.0 ; - RECT 79650.0 192150.0 75900.0 193050.0 ; - RECT 130500.0 157350.0 75900.0 158250.0 ; - RECT 130500.0 184950.0 75900.0 185850.0 ; - RECT 130500.0 143550.0 75900.0 144450.0 ; - RECT 130500.0 171150.0 75900.0 172050.0 ; - RECT 130500.0 198750.0 75900.0 199650.0 ; - RECT 77700.0 201150.0 78900.0 198750.0 ; - RECT 77700.0 209850.0 78900.0 213450.0 ; - RECT 82500.0 209850.0 83700.0 213450.0 ; - RECT 84900.0 211050.0 86100.0 213000.0 ; - RECT 84900.0 199200.0 86100.0 201150.0 ; - RECT 77700.0 209850.0 78900.0 211050.0 ; - RECT 80100.0 209850.0 81300.0 211050.0 ; - RECT 80100.0 209850.0 81300.0 211050.0 ; - RECT 77700.0 209850.0 78900.0 211050.0 ; - RECT 80100.0 209850.0 81300.0 211050.0 ; - RECT 82500.0 209850.0 83700.0 211050.0 ; - RECT 82500.0 209850.0 83700.0 211050.0 ; - RECT 80100.0 209850.0 81300.0 211050.0 ; - RECT 77700.0 201150.0 78900.0 202350.0 ; - RECT 80100.0 201150.0 81300.0 202350.0 ; - RECT 80100.0 201150.0 81300.0 202350.0 ; - RECT 77700.0 201150.0 78900.0 202350.0 ; - RECT 80100.0 201150.0 81300.0 202350.0 ; - RECT 82500.0 201150.0 83700.0 202350.0 ; - RECT 82500.0 201150.0 83700.0 202350.0 ; - RECT 80100.0 201150.0 81300.0 202350.0 ; - RECT 84900.0 210450.0 86100.0 211650.0 ; - RECT 84900.0 200550.0 86100.0 201750.0 ; - RECT 82500.0 203700.0 81300.0 204900.0 ; - RECT 79500.0 206400.0 78300.0 207600.0 ; - RECT 80100.0 209850.0 81300.0 211050.0 ; - RECT 82500.0 201150.0 83700.0 202350.0 ; - RECT 83700.0 206400.0 82500.0 207600.0 ; - RECT 78300.0 206400.0 79500.0 207600.0 ; - RECT 81300.0 203700.0 82500.0 204900.0 ; - RECT 82500.0 206400.0 83700.0 207600.0 ; - RECT 75900.0 212550.0 90300.0 213450.0 ; - RECT 75900.0 198750.0 90300.0 199650.0 ; - RECT 77700.0 224850.0 78900.0 227250.0 ; - RECT 77700.0 216150.0 78900.0 212550.0 ; - RECT 82500.0 216150.0 83700.0 212550.0 ; - RECT 84900.0 214950.0 86100.0 213000.0 ; - RECT 84900.0 226800.0 86100.0 224850.0 ; - RECT 77700.0 216150.0 78900.0 214950.0 ; - RECT 80100.0 216150.0 81300.0 214950.0 ; - RECT 80100.0 216150.0 81300.0 214950.0 ; - RECT 77700.0 216150.0 78900.0 214950.0 ; - RECT 80100.0 216150.0 81300.0 214950.0 ; - RECT 82500.0 216150.0 83700.0 214950.0 ; - RECT 82500.0 216150.0 83700.0 214950.0 ; - RECT 80100.0 216150.0 81300.0 214950.0 ; - RECT 77700.0 224850.0 78900.0 223650.0 ; - RECT 80100.0 224850.0 81300.0 223650.0 ; - RECT 80100.0 224850.0 81300.0 223650.0 ; - RECT 77700.0 224850.0 78900.0 223650.0 ; - RECT 80100.0 224850.0 81300.0 223650.0 ; - RECT 82500.0 224850.0 83700.0 223650.0 ; - RECT 82500.0 224850.0 83700.0 223650.0 ; - RECT 80100.0 224850.0 81300.0 223650.0 ; - RECT 84900.0 215550.0 86100.0 214350.0 ; - RECT 84900.0 225450.0 86100.0 224250.0 ; - RECT 82500.0 222300.0 81300.0 221100.0 ; - RECT 79500.0 219600.0 78300.0 218400.0 ; - RECT 80100.0 216150.0 81300.0 214950.0 ; - RECT 82500.0 224850.0 83700.0 223650.0 ; - RECT 83700.0 219600.0 82500.0 218400.0 ; - RECT 78300.0 219600.0 79500.0 218400.0 ; - RECT 81300.0 222300.0 82500.0 221100.0 ; - RECT 82500.0 219600.0 83700.0 218400.0 ; - RECT 75900.0 213450.0 90300.0 212550.0 ; - RECT 75900.0 227250.0 90300.0 226350.0 ; - RECT 77700.0 228750.0 78900.0 226350.0 ; - RECT 77700.0 237450.0 78900.0 241050.0 ; - RECT 82500.0 237450.0 83700.0 241050.0 ; - RECT 84900.0 238650.0 86100.0 240600.0 ; - RECT 84900.0 226800.0 86100.0 228750.0 ; - RECT 77700.0 237450.0 78900.0 238650.0 ; - RECT 80100.0 237450.0 81300.0 238650.0 ; - RECT 80100.0 237450.0 81300.0 238650.0 ; - RECT 77700.0 237450.0 78900.0 238650.0 ; - RECT 80100.0 237450.0 81300.0 238650.0 ; - RECT 82500.0 237450.0 83700.0 238650.0 ; - RECT 82500.0 237450.0 83700.0 238650.0 ; - RECT 80100.0 237450.0 81300.0 238650.0 ; - RECT 77700.0 228750.0 78900.0 229950.0 ; - RECT 80100.0 228750.0 81300.0 229950.0 ; - RECT 80100.0 228750.0 81300.0 229950.0 ; - RECT 77700.0 228750.0 78900.0 229950.0 ; - RECT 80100.0 228750.0 81300.0 229950.0 ; - RECT 82500.0 228750.0 83700.0 229950.0 ; - RECT 82500.0 228750.0 83700.0 229950.0 ; - RECT 80100.0 228750.0 81300.0 229950.0 ; - RECT 84900.0 238050.0 86100.0 239250.0 ; - RECT 84900.0 228150.0 86100.0 229350.0 ; - RECT 82500.0 231300.0 81300.0 232500.0 ; - RECT 79500.0 234000.0 78300.0 235200.0 ; - RECT 80100.0 237450.0 81300.0 238650.0 ; - RECT 82500.0 228750.0 83700.0 229950.0 ; - RECT 83700.0 234000.0 82500.0 235200.0 ; - RECT 78300.0 234000.0 79500.0 235200.0 ; - RECT 81300.0 231300.0 82500.0 232500.0 ; - RECT 82500.0 234000.0 83700.0 235200.0 ; - RECT 75900.0 240150.0 90300.0 241050.0 ; - RECT 75900.0 226350.0 90300.0 227250.0 ; - RECT 77700.0 252450.0 78900.0 254850.0 ; - RECT 77700.0 243750.0 78900.0 240150.0 ; - RECT 82500.0 243750.0 83700.0 240150.0 ; - RECT 84900.0 242550.0 86100.0 240600.0 ; - RECT 84900.0 254400.0 86100.0 252450.0 ; - RECT 77700.0 243750.0 78900.0 242550.0 ; - RECT 80100.0 243750.0 81300.0 242550.0 ; - RECT 80100.0 243750.0 81300.0 242550.0 ; - RECT 77700.0 243750.0 78900.0 242550.0 ; - RECT 80100.0 243750.0 81300.0 242550.0 ; - RECT 82500.0 243750.0 83700.0 242550.0 ; - RECT 82500.0 243750.0 83700.0 242550.0 ; - RECT 80100.0 243750.0 81300.0 242550.0 ; - RECT 77700.0 252450.0 78900.0 251250.0 ; - RECT 80100.0 252450.0 81300.0 251250.0 ; - RECT 80100.0 252450.0 81300.0 251250.0 ; - RECT 77700.0 252450.0 78900.0 251250.0 ; - RECT 80100.0 252450.0 81300.0 251250.0 ; - RECT 82500.0 252450.0 83700.0 251250.0 ; - RECT 82500.0 252450.0 83700.0 251250.0 ; - RECT 80100.0 252450.0 81300.0 251250.0 ; - RECT 84900.0 243150.0 86100.0 241950.0 ; - RECT 84900.0 253050.0 86100.0 251850.0 ; - RECT 82500.0 249900.0 81300.0 248700.0 ; - RECT 79500.0 247200.0 78300.0 246000.0 ; - RECT 80100.0 243750.0 81300.0 242550.0 ; - RECT 82500.0 252450.0 83700.0 251250.0 ; - RECT 83700.0 247200.0 82500.0 246000.0 ; - RECT 78300.0 247200.0 79500.0 246000.0 ; - RECT 81300.0 249900.0 82500.0 248700.0 ; - RECT 82500.0 247200.0 83700.0 246000.0 ; - RECT 75900.0 241050.0 90300.0 240150.0 ; - RECT 75900.0 254850.0 90300.0 253950.0 ; - RECT 77700.0 256350.0 78900.0 253950.0 ; - RECT 77700.0 265050.0 78900.0 268650.0 ; - RECT 82500.0 265050.0 83700.0 268650.0 ; - RECT 84900.0 266250.0 86100.0 268200.0 ; - RECT 84900.0 254400.0 86100.0 256350.0 ; - RECT 77700.0 265050.0 78900.0 266250.0 ; - RECT 80100.0 265050.0 81300.0 266250.0 ; - RECT 80100.0 265050.0 81300.0 266250.0 ; - RECT 77700.0 265050.0 78900.0 266250.0 ; - RECT 80100.0 265050.0 81300.0 266250.0 ; - RECT 82500.0 265050.0 83700.0 266250.0 ; - RECT 82500.0 265050.0 83700.0 266250.0 ; - RECT 80100.0 265050.0 81300.0 266250.0 ; - RECT 77700.0 256350.0 78900.0 257550.0 ; - RECT 80100.0 256350.0 81300.0 257550.0 ; - RECT 80100.0 256350.0 81300.0 257550.0 ; - RECT 77700.0 256350.0 78900.0 257550.0 ; - RECT 80100.0 256350.0 81300.0 257550.0 ; - RECT 82500.0 256350.0 83700.0 257550.0 ; - RECT 82500.0 256350.0 83700.0 257550.0 ; - RECT 80100.0 256350.0 81300.0 257550.0 ; - RECT 84900.0 265650.0 86100.0 266850.0 ; - RECT 84900.0 255750.0 86100.0 256950.0 ; - RECT 82500.0 258900.0 81300.0 260100.0 ; - RECT 79500.0 261600.0 78300.0 262800.0 ; - RECT 80100.0 265050.0 81300.0 266250.0 ; - RECT 82500.0 256350.0 83700.0 257550.0 ; - RECT 83700.0 261600.0 82500.0 262800.0 ; - RECT 78300.0 261600.0 79500.0 262800.0 ; - RECT 81300.0 258900.0 82500.0 260100.0 ; - RECT 82500.0 261600.0 83700.0 262800.0 ; - RECT 75900.0 267750.0 90300.0 268650.0 ; - RECT 75900.0 253950.0 90300.0 254850.0 ; - RECT 77700.0 280050.0 78900.0 282450.0 ; - RECT 77700.0 271350.0 78900.0 267750.0 ; - RECT 82500.0 271350.0 83700.0 267750.0 ; - RECT 84900.0 270150.0 86100.0 268200.0 ; - RECT 84900.0 282000.0 86100.0 280050.0 ; - RECT 77700.0 271350.0 78900.0 270150.0 ; - RECT 80100.0 271350.0 81300.0 270150.0 ; - RECT 80100.0 271350.0 81300.0 270150.0 ; - RECT 77700.0 271350.0 78900.0 270150.0 ; - RECT 80100.0 271350.0 81300.0 270150.0 ; - RECT 82500.0 271350.0 83700.0 270150.0 ; - RECT 82500.0 271350.0 83700.0 270150.0 ; - RECT 80100.0 271350.0 81300.0 270150.0 ; - RECT 77700.0 280050.0 78900.0 278850.0 ; - RECT 80100.0 280050.0 81300.0 278850.0 ; - RECT 80100.0 280050.0 81300.0 278850.0 ; - RECT 77700.0 280050.0 78900.0 278850.0 ; - RECT 80100.0 280050.0 81300.0 278850.0 ; - RECT 82500.0 280050.0 83700.0 278850.0 ; - RECT 82500.0 280050.0 83700.0 278850.0 ; - RECT 80100.0 280050.0 81300.0 278850.0 ; - RECT 84900.0 270750.0 86100.0 269550.0 ; - RECT 84900.0 280650.0 86100.0 279450.0 ; - RECT 82500.0 277500.0 81300.0 276300.0 ; - RECT 79500.0 274800.0 78300.0 273600.0 ; - RECT 80100.0 271350.0 81300.0 270150.0 ; - RECT 82500.0 280050.0 83700.0 278850.0 ; - RECT 83700.0 274800.0 82500.0 273600.0 ; - RECT 78300.0 274800.0 79500.0 273600.0 ; - RECT 81300.0 277500.0 82500.0 276300.0 ; - RECT 82500.0 274800.0 83700.0 273600.0 ; - RECT 75900.0 268650.0 90300.0 267750.0 ; - RECT 75900.0 282450.0 90300.0 281550.0 ; - RECT 77700.0 283950.0 78900.0 281550.0 ; - RECT 77700.0 292650.0 78900.0 296250.0 ; - RECT 82500.0 292650.0 83700.0 296250.0 ; - RECT 84900.0 293850.0 86100.0 295800.0 ; - RECT 84900.0 282000.0 86100.0 283950.0 ; - RECT 77700.0 292650.0 78900.0 293850.0 ; - RECT 80100.0 292650.0 81300.0 293850.0 ; - RECT 80100.0 292650.0 81300.0 293850.0 ; - RECT 77700.0 292650.0 78900.0 293850.0 ; - RECT 80100.0 292650.0 81300.0 293850.0 ; - RECT 82500.0 292650.0 83700.0 293850.0 ; - RECT 82500.0 292650.0 83700.0 293850.0 ; - RECT 80100.0 292650.0 81300.0 293850.0 ; - RECT 77700.0 283950.0 78900.0 285150.0 ; - RECT 80100.0 283950.0 81300.0 285150.0 ; - RECT 80100.0 283950.0 81300.0 285150.0 ; - RECT 77700.0 283950.0 78900.0 285150.0 ; - RECT 80100.0 283950.0 81300.0 285150.0 ; - RECT 82500.0 283950.0 83700.0 285150.0 ; - RECT 82500.0 283950.0 83700.0 285150.0 ; - RECT 80100.0 283950.0 81300.0 285150.0 ; - RECT 84900.0 293250.0 86100.0 294450.0 ; - RECT 84900.0 283350.0 86100.0 284550.0 ; - RECT 82500.0 286500.0 81300.0 287700.0 ; - RECT 79500.0 289200.0 78300.0 290400.0 ; - RECT 80100.0 292650.0 81300.0 293850.0 ; - RECT 82500.0 283950.0 83700.0 285150.0 ; - RECT 83700.0 289200.0 82500.0 290400.0 ; - RECT 78300.0 289200.0 79500.0 290400.0 ; - RECT 81300.0 286500.0 82500.0 287700.0 ; - RECT 82500.0 289200.0 83700.0 290400.0 ; - RECT 75900.0 295350.0 90300.0 296250.0 ; - RECT 75900.0 281550.0 90300.0 282450.0 ; - RECT 77700.0 307650.0 78900.0 310050.0 ; - RECT 77700.0 298950.0 78900.0 295350.0 ; - RECT 82500.0 298950.0 83700.0 295350.0 ; - RECT 84900.0 297750.0 86100.0 295800.0 ; - RECT 84900.0 309600.0 86100.0 307650.0 ; - RECT 77700.0 298950.0 78900.0 297750.0 ; - RECT 80100.0 298950.0 81300.0 297750.0 ; - RECT 80100.0 298950.0 81300.0 297750.0 ; - RECT 77700.0 298950.0 78900.0 297750.0 ; - RECT 80100.0 298950.0 81300.0 297750.0 ; - RECT 82500.0 298950.0 83700.0 297750.0 ; - RECT 82500.0 298950.0 83700.0 297750.0 ; - RECT 80100.0 298950.0 81300.0 297750.0 ; - RECT 77700.0 307650.0 78900.0 306450.0 ; - RECT 80100.0 307650.0 81300.0 306450.0 ; - RECT 80100.0 307650.0 81300.0 306450.0 ; - RECT 77700.0 307650.0 78900.0 306450.0 ; - RECT 80100.0 307650.0 81300.0 306450.0 ; - RECT 82500.0 307650.0 83700.0 306450.0 ; - RECT 82500.0 307650.0 83700.0 306450.0 ; - RECT 80100.0 307650.0 81300.0 306450.0 ; - RECT 84900.0 298350.0 86100.0 297150.0 ; - RECT 84900.0 308250.0 86100.0 307050.0 ; - RECT 82500.0 305100.0 81300.0 303900.0 ; - RECT 79500.0 302400.0 78300.0 301200.0 ; - RECT 80100.0 298950.0 81300.0 297750.0 ; - RECT 82500.0 307650.0 83700.0 306450.0 ; - RECT 83700.0 302400.0 82500.0 301200.0 ; - RECT 78300.0 302400.0 79500.0 301200.0 ; - RECT 81300.0 305100.0 82500.0 303900.0 ; - RECT 82500.0 302400.0 83700.0 301200.0 ; - RECT 75900.0 296250.0 90300.0 295350.0 ; - RECT 75900.0 310050.0 90300.0 309150.0 ; - RECT 77700.0 311550.0 78900.0 309150.0 ; - RECT 77700.0 320250.0 78900.0 323850.0 ; - RECT 82500.0 320250.0 83700.0 323850.0 ; - RECT 84900.0 321450.0 86100.0 323400.0 ; - RECT 84900.0 309600.0 86100.0 311550.0 ; - RECT 77700.0 320250.0 78900.0 321450.0 ; - RECT 80100.0 320250.0 81300.0 321450.0 ; - RECT 80100.0 320250.0 81300.0 321450.0 ; - RECT 77700.0 320250.0 78900.0 321450.0 ; - RECT 80100.0 320250.0 81300.0 321450.0 ; - RECT 82500.0 320250.0 83700.0 321450.0 ; - RECT 82500.0 320250.0 83700.0 321450.0 ; - RECT 80100.0 320250.0 81300.0 321450.0 ; - RECT 77700.0 311550.0 78900.0 312750.0 ; - RECT 80100.0 311550.0 81300.0 312750.0 ; - RECT 80100.0 311550.0 81300.0 312750.0 ; - RECT 77700.0 311550.0 78900.0 312750.0 ; - RECT 80100.0 311550.0 81300.0 312750.0 ; - RECT 82500.0 311550.0 83700.0 312750.0 ; - RECT 82500.0 311550.0 83700.0 312750.0 ; - RECT 80100.0 311550.0 81300.0 312750.0 ; - RECT 84900.0 320850.0 86100.0 322050.0 ; - RECT 84900.0 310950.0 86100.0 312150.0 ; - RECT 82500.0 314100.0 81300.0 315300.0 ; - RECT 79500.0 316800.0 78300.0 318000.0 ; - RECT 80100.0 320250.0 81300.0 321450.0 ; - RECT 82500.0 311550.0 83700.0 312750.0 ; - RECT 83700.0 316800.0 82500.0 318000.0 ; - RECT 78300.0 316800.0 79500.0 318000.0 ; - RECT 81300.0 314100.0 82500.0 315300.0 ; - RECT 82500.0 316800.0 83700.0 318000.0 ; - RECT 75900.0 322950.0 90300.0 323850.0 ; - RECT 75900.0 309150.0 90300.0 310050.0 ; - RECT 77700.0 335250.0 78900.0 337650.0 ; - RECT 77700.0 326550.0 78900.0 322950.0 ; - RECT 82500.0 326550.0 83700.0 322950.0 ; - RECT 84900.0 325350.0 86100.0 323400.0 ; - RECT 84900.0 337200.0 86100.0 335250.0 ; - RECT 77700.0 326550.0 78900.0 325350.0 ; - RECT 80100.0 326550.0 81300.0 325350.0 ; - RECT 80100.0 326550.0 81300.0 325350.0 ; - RECT 77700.0 326550.0 78900.0 325350.0 ; - RECT 80100.0 326550.0 81300.0 325350.0 ; - RECT 82500.0 326550.0 83700.0 325350.0 ; - RECT 82500.0 326550.0 83700.0 325350.0 ; - RECT 80100.0 326550.0 81300.0 325350.0 ; - RECT 77700.0 335250.0 78900.0 334050.0 ; - RECT 80100.0 335250.0 81300.0 334050.0 ; - RECT 80100.0 335250.0 81300.0 334050.0 ; - RECT 77700.0 335250.0 78900.0 334050.0 ; - RECT 80100.0 335250.0 81300.0 334050.0 ; - RECT 82500.0 335250.0 83700.0 334050.0 ; - RECT 82500.0 335250.0 83700.0 334050.0 ; - RECT 80100.0 335250.0 81300.0 334050.0 ; - RECT 84900.0 325950.0 86100.0 324750.0 ; - RECT 84900.0 335850.0 86100.0 334650.0 ; - RECT 82500.0 332700.0 81300.0 331500.0 ; - RECT 79500.0 330000.0 78300.0 328800.0 ; - RECT 80100.0 326550.0 81300.0 325350.0 ; - RECT 82500.0 335250.0 83700.0 334050.0 ; - RECT 83700.0 330000.0 82500.0 328800.0 ; - RECT 78300.0 330000.0 79500.0 328800.0 ; - RECT 81300.0 332700.0 82500.0 331500.0 ; - RECT 82500.0 330000.0 83700.0 328800.0 ; - RECT 75900.0 323850.0 90300.0 322950.0 ; - RECT 75900.0 337650.0 90300.0 336750.0 ; - RECT 77700.0 339150.0 78900.0 336750.0 ; - RECT 77700.0 347850.0 78900.0 351450.0 ; - RECT 82500.0 347850.0 83700.0 351450.0 ; - RECT 84900.0 349050.0 86100.0 351000.0 ; - RECT 84900.0 337200.0 86100.0 339150.0 ; - RECT 77700.0 347850.0 78900.0 349050.0 ; - RECT 80100.0 347850.0 81300.0 349050.0 ; - RECT 80100.0 347850.0 81300.0 349050.0 ; - RECT 77700.0 347850.0 78900.0 349050.0 ; - RECT 80100.0 347850.0 81300.0 349050.0 ; - RECT 82500.0 347850.0 83700.0 349050.0 ; - RECT 82500.0 347850.0 83700.0 349050.0 ; - RECT 80100.0 347850.0 81300.0 349050.0 ; - RECT 77700.0 339150.0 78900.0 340350.0 ; - RECT 80100.0 339150.0 81300.0 340350.0 ; - RECT 80100.0 339150.0 81300.0 340350.0 ; - RECT 77700.0 339150.0 78900.0 340350.0 ; - RECT 80100.0 339150.0 81300.0 340350.0 ; - RECT 82500.0 339150.0 83700.0 340350.0 ; - RECT 82500.0 339150.0 83700.0 340350.0 ; - RECT 80100.0 339150.0 81300.0 340350.0 ; - RECT 84900.0 348450.0 86100.0 349650.0 ; - RECT 84900.0 338550.0 86100.0 339750.0 ; - RECT 82500.0 341700.0 81300.0 342900.0 ; - RECT 79500.0 344400.0 78300.0 345600.0 ; - RECT 80100.0 347850.0 81300.0 349050.0 ; - RECT 82500.0 339150.0 83700.0 340350.0 ; - RECT 83700.0 344400.0 82500.0 345600.0 ; - RECT 78300.0 344400.0 79500.0 345600.0 ; - RECT 81300.0 341700.0 82500.0 342900.0 ; - RECT 82500.0 344400.0 83700.0 345600.0 ; - RECT 75900.0 350550.0 90300.0 351450.0 ; - RECT 75900.0 336750.0 90300.0 337650.0 ; - RECT 77700.0 362850.0 78900.0 365250.0 ; - RECT 77700.0 354150.0 78900.0 350550.0 ; - RECT 82500.0 354150.0 83700.0 350550.0 ; - RECT 84900.0 352950.0 86100.0 351000.0 ; - RECT 84900.0 364800.0 86100.0 362850.0 ; - RECT 77700.0 354150.0 78900.0 352950.0 ; - RECT 80100.0 354150.0 81300.0 352950.0 ; - RECT 80100.0 354150.0 81300.0 352950.0 ; - RECT 77700.0 354150.0 78900.0 352950.0 ; - RECT 80100.0 354150.0 81300.0 352950.0 ; - RECT 82500.0 354150.0 83700.0 352950.0 ; - RECT 82500.0 354150.0 83700.0 352950.0 ; - RECT 80100.0 354150.0 81300.0 352950.0 ; - RECT 77700.0 362850.0 78900.0 361650.0 ; - RECT 80100.0 362850.0 81300.0 361650.0 ; - RECT 80100.0 362850.0 81300.0 361650.0 ; - RECT 77700.0 362850.0 78900.0 361650.0 ; - RECT 80100.0 362850.0 81300.0 361650.0 ; - RECT 82500.0 362850.0 83700.0 361650.0 ; - RECT 82500.0 362850.0 83700.0 361650.0 ; - RECT 80100.0 362850.0 81300.0 361650.0 ; - RECT 84900.0 353550.0 86100.0 352350.0 ; - RECT 84900.0 363450.0 86100.0 362250.0 ; - RECT 82500.0 360300.0 81300.0 359100.0 ; - RECT 79500.0 357600.0 78300.0 356400.0 ; - RECT 80100.0 354150.0 81300.0 352950.0 ; - RECT 82500.0 362850.0 83700.0 361650.0 ; - RECT 83700.0 357600.0 82500.0 356400.0 ; - RECT 78300.0 357600.0 79500.0 356400.0 ; - RECT 81300.0 360300.0 82500.0 359100.0 ; - RECT 82500.0 357600.0 83700.0 356400.0 ; - RECT 75900.0 351450.0 90300.0 350550.0 ; - RECT 75900.0 365250.0 90300.0 364350.0 ; - RECT 77700.0 366750.0 78900.0 364350.0 ; - RECT 77700.0 375450.0 78900.0 379050.0 ; - RECT 82500.0 375450.0 83700.0 379050.0 ; - RECT 84900.0 376650.0 86100.0 378600.0 ; - RECT 84900.0 364800.0 86100.0 366750.0 ; - RECT 77700.0 375450.0 78900.0 376650.0 ; - RECT 80100.0 375450.0 81300.0 376650.0 ; - RECT 80100.0 375450.0 81300.0 376650.0 ; - RECT 77700.0 375450.0 78900.0 376650.0 ; - RECT 80100.0 375450.0 81300.0 376650.0 ; - RECT 82500.0 375450.0 83700.0 376650.0 ; - RECT 82500.0 375450.0 83700.0 376650.0 ; - RECT 80100.0 375450.0 81300.0 376650.0 ; - RECT 77700.0 366750.0 78900.0 367950.0 ; - RECT 80100.0 366750.0 81300.0 367950.0 ; - RECT 80100.0 366750.0 81300.0 367950.0 ; - RECT 77700.0 366750.0 78900.0 367950.0 ; - RECT 80100.0 366750.0 81300.0 367950.0 ; - RECT 82500.0 366750.0 83700.0 367950.0 ; - RECT 82500.0 366750.0 83700.0 367950.0 ; - RECT 80100.0 366750.0 81300.0 367950.0 ; - RECT 84900.0 376050.0 86100.0 377250.0 ; - RECT 84900.0 366150.0 86100.0 367350.0 ; - RECT 82500.0 369300.0 81300.0 370500.0 ; - RECT 79500.0 372000.0 78300.0 373200.0 ; - RECT 80100.0 375450.0 81300.0 376650.0 ; - RECT 82500.0 366750.0 83700.0 367950.0 ; - RECT 83700.0 372000.0 82500.0 373200.0 ; - RECT 78300.0 372000.0 79500.0 373200.0 ; - RECT 81300.0 369300.0 82500.0 370500.0 ; - RECT 82500.0 372000.0 83700.0 373200.0 ; - RECT 75900.0 378150.0 90300.0 379050.0 ; - RECT 75900.0 364350.0 90300.0 365250.0 ; - RECT 77700.0 390450.0 78900.0 392850.0 ; - RECT 77700.0 381750.0 78900.0 378150.0 ; - RECT 82500.0 381750.0 83700.0 378150.0 ; - RECT 84900.0 380550.0 86100.0 378600.0 ; - RECT 84900.0 392400.0 86100.0 390450.0 ; - RECT 77700.0 381750.0 78900.0 380550.0 ; - RECT 80100.0 381750.0 81300.0 380550.0 ; - RECT 80100.0 381750.0 81300.0 380550.0 ; - RECT 77700.0 381750.0 78900.0 380550.0 ; - RECT 80100.0 381750.0 81300.0 380550.0 ; - RECT 82500.0 381750.0 83700.0 380550.0 ; - RECT 82500.0 381750.0 83700.0 380550.0 ; - RECT 80100.0 381750.0 81300.0 380550.0 ; - RECT 77700.0 390450.0 78900.0 389250.0 ; - RECT 80100.0 390450.0 81300.0 389250.0 ; - RECT 80100.0 390450.0 81300.0 389250.0 ; - RECT 77700.0 390450.0 78900.0 389250.0 ; - RECT 80100.0 390450.0 81300.0 389250.0 ; - RECT 82500.0 390450.0 83700.0 389250.0 ; - RECT 82500.0 390450.0 83700.0 389250.0 ; - RECT 80100.0 390450.0 81300.0 389250.0 ; - RECT 84900.0 381150.0 86100.0 379950.0 ; - RECT 84900.0 391050.0 86100.0 389850.0 ; - RECT 82500.0 387900.0 81300.0 386700.0 ; - RECT 79500.0 385200.0 78300.0 384000.0 ; - RECT 80100.0 381750.0 81300.0 380550.0 ; - RECT 82500.0 390450.0 83700.0 389250.0 ; - RECT 83700.0 385200.0 82500.0 384000.0 ; - RECT 78300.0 385200.0 79500.0 384000.0 ; - RECT 81300.0 387900.0 82500.0 386700.0 ; - RECT 82500.0 385200.0 83700.0 384000.0 ; - RECT 75900.0 379050.0 90300.0 378150.0 ; - RECT 75900.0 392850.0 90300.0 391950.0 ; - RECT 77700.0 394350.0 78900.0 391950.0 ; - RECT 77700.0 403050.0 78900.0 406650.0 ; - RECT 82500.0 403050.0 83700.0 406650.0 ; - RECT 84900.0 404250.0 86100.0 406200.0 ; - RECT 84900.0 392400.0 86100.0 394350.0 ; - RECT 77700.0 403050.0 78900.0 404250.0 ; - RECT 80100.0 403050.0 81300.0 404250.0 ; - RECT 80100.0 403050.0 81300.0 404250.0 ; - RECT 77700.0 403050.0 78900.0 404250.0 ; - RECT 80100.0 403050.0 81300.0 404250.0 ; - RECT 82500.0 403050.0 83700.0 404250.0 ; - RECT 82500.0 403050.0 83700.0 404250.0 ; - RECT 80100.0 403050.0 81300.0 404250.0 ; - RECT 77700.0 394350.0 78900.0 395550.0 ; - RECT 80100.0 394350.0 81300.0 395550.0 ; - RECT 80100.0 394350.0 81300.0 395550.0 ; - RECT 77700.0 394350.0 78900.0 395550.0 ; - RECT 80100.0 394350.0 81300.0 395550.0 ; - RECT 82500.0 394350.0 83700.0 395550.0 ; - RECT 82500.0 394350.0 83700.0 395550.0 ; - RECT 80100.0 394350.0 81300.0 395550.0 ; - RECT 84900.0 403650.0 86100.0 404850.0 ; - RECT 84900.0 393750.0 86100.0 394950.0 ; - RECT 82500.0 396900.0 81300.0 398100.0 ; - RECT 79500.0 399600.0 78300.0 400800.0 ; - RECT 80100.0 403050.0 81300.0 404250.0 ; - RECT 82500.0 394350.0 83700.0 395550.0 ; - RECT 83700.0 399600.0 82500.0 400800.0 ; - RECT 78300.0 399600.0 79500.0 400800.0 ; - RECT 81300.0 396900.0 82500.0 398100.0 ; - RECT 82500.0 399600.0 83700.0 400800.0 ; - RECT 75900.0 405750.0 90300.0 406650.0 ; - RECT 75900.0 391950.0 90300.0 392850.0 ; - RECT 77700.0 418050.0 78900.0 420450.0 ; - RECT 77700.0 409350.0 78900.0 405750.0 ; - RECT 82500.0 409350.0 83700.0 405750.0 ; - RECT 84900.0 408150.0 86100.0 406200.0 ; - RECT 84900.0 420000.0 86100.0 418050.0 ; - RECT 77700.0 409350.0 78900.0 408150.0 ; - RECT 80100.0 409350.0 81300.0 408150.0 ; - RECT 80100.0 409350.0 81300.0 408150.0 ; - RECT 77700.0 409350.0 78900.0 408150.0 ; - RECT 80100.0 409350.0 81300.0 408150.0 ; - RECT 82500.0 409350.0 83700.0 408150.0 ; - RECT 82500.0 409350.0 83700.0 408150.0 ; - RECT 80100.0 409350.0 81300.0 408150.0 ; - RECT 77700.0 418050.0 78900.0 416850.0 ; - RECT 80100.0 418050.0 81300.0 416850.0 ; - RECT 80100.0 418050.0 81300.0 416850.0 ; - RECT 77700.0 418050.0 78900.0 416850.0 ; - RECT 80100.0 418050.0 81300.0 416850.0 ; - RECT 82500.0 418050.0 83700.0 416850.0 ; - RECT 82500.0 418050.0 83700.0 416850.0 ; - RECT 80100.0 418050.0 81300.0 416850.0 ; - RECT 84900.0 408750.0 86100.0 407550.0 ; - RECT 84900.0 418650.0 86100.0 417450.0 ; - RECT 82500.0 415500.0 81300.0 414300.0 ; - RECT 79500.0 412800.0 78300.0 411600.0 ; - RECT 80100.0 409350.0 81300.0 408150.0 ; - RECT 82500.0 418050.0 83700.0 416850.0 ; - RECT 83700.0 412800.0 82500.0 411600.0 ; - RECT 78300.0 412800.0 79500.0 411600.0 ; - RECT 81300.0 415500.0 82500.0 414300.0 ; - RECT 82500.0 412800.0 83700.0 411600.0 ; - RECT 75900.0 406650.0 90300.0 405750.0 ; - RECT 75900.0 420450.0 90300.0 419550.0 ; - RECT 96900.0 211050.0 98100.0 213000.0 ; - RECT 96900.0 199200.0 98100.0 201150.0 ; - RECT 92100.0 200550.0 93300.0 198750.0 ; - RECT 92100.0 209850.0 93300.0 213450.0 ; - RECT 94800.0 200550.0 95700.0 209850.0 ; - RECT 92100.0 209850.0 93300.0 211050.0 ; - RECT 94500.0 209850.0 95700.0 211050.0 ; - RECT 94500.0 209850.0 95700.0 211050.0 ; - RECT 92100.0 209850.0 93300.0 211050.0 ; - RECT 92100.0 200550.0 93300.0 201750.0 ; - RECT 94500.0 200550.0 95700.0 201750.0 ; - RECT 94500.0 200550.0 95700.0 201750.0 ; - RECT 92100.0 200550.0 93300.0 201750.0 ; - RECT 96900.0 210450.0 98100.0 211650.0 ; - RECT 96900.0 200550.0 98100.0 201750.0 ; - RECT 92700.0 205200.0 93900.0 206400.0 ; - RECT 92700.0 205200.0 93900.0 206400.0 ; - RECT 95250.0 205350.0 96150.0 206250.0 ; - RECT 90300.0 212550.0 99900.0 213450.0 ; - RECT 90300.0 198750.0 99900.0 199650.0 ; - RECT 96900.0 214950.0 98100.0 213000.0 ; - RECT 96900.0 226800.0 98100.0 224850.0 ; - RECT 92100.0 225450.0 93300.0 227250.0 ; - RECT 92100.0 216150.0 93300.0 212550.0 ; - RECT 94800.0 225450.0 95700.0 216150.0 ; - RECT 92100.0 216150.0 93300.0 214950.0 ; - RECT 94500.0 216150.0 95700.0 214950.0 ; - RECT 94500.0 216150.0 95700.0 214950.0 ; - RECT 92100.0 216150.0 93300.0 214950.0 ; - RECT 92100.0 225450.0 93300.0 224250.0 ; - RECT 94500.0 225450.0 95700.0 224250.0 ; - RECT 94500.0 225450.0 95700.0 224250.0 ; - RECT 92100.0 225450.0 93300.0 224250.0 ; - RECT 96900.0 215550.0 98100.0 214350.0 ; - RECT 96900.0 225450.0 98100.0 224250.0 ; - RECT 92700.0 220800.0 93900.0 219600.0 ; - RECT 92700.0 220800.0 93900.0 219600.0 ; - RECT 95250.0 220650.0 96150.0 219750.0 ; - RECT 90300.0 213450.0 99900.0 212550.0 ; - RECT 90300.0 227250.0 99900.0 226350.0 ; - RECT 96900.0 238650.0 98100.0 240600.0 ; - RECT 96900.0 226800.0 98100.0 228750.0 ; - RECT 92100.0 228150.0 93300.0 226350.0 ; - RECT 92100.0 237450.0 93300.0 241050.0 ; - RECT 94800.0 228150.0 95700.0 237450.0 ; - RECT 92100.0 237450.0 93300.0 238650.0 ; - RECT 94500.0 237450.0 95700.0 238650.0 ; - RECT 94500.0 237450.0 95700.0 238650.0 ; - RECT 92100.0 237450.0 93300.0 238650.0 ; - RECT 92100.0 228150.0 93300.0 229350.0 ; - RECT 94500.0 228150.0 95700.0 229350.0 ; - RECT 94500.0 228150.0 95700.0 229350.0 ; - RECT 92100.0 228150.0 93300.0 229350.0 ; - RECT 96900.0 238050.0 98100.0 239250.0 ; - RECT 96900.0 228150.0 98100.0 229350.0 ; - RECT 92700.0 232800.0 93900.0 234000.0 ; - RECT 92700.0 232800.0 93900.0 234000.0 ; - RECT 95250.0 232950.0 96150.0 233850.0 ; - RECT 90300.0 240150.0 99900.0 241050.0 ; - RECT 90300.0 226350.0 99900.0 227250.0 ; - RECT 96900.0 242550.0 98100.0 240600.0 ; - RECT 96900.0 254400.0 98100.0 252450.0 ; - RECT 92100.0 253050.0 93300.0 254850.0 ; - RECT 92100.0 243750.0 93300.0 240150.0 ; - RECT 94800.0 253050.0 95700.0 243750.0 ; - RECT 92100.0 243750.0 93300.0 242550.0 ; - RECT 94500.0 243750.0 95700.0 242550.0 ; - RECT 94500.0 243750.0 95700.0 242550.0 ; - RECT 92100.0 243750.0 93300.0 242550.0 ; - RECT 92100.0 253050.0 93300.0 251850.0 ; - RECT 94500.0 253050.0 95700.0 251850.0 ; - RECT 94500.0 253050.0 95700.0 251850.0 ; - RECT 92100.0 253050.0 93300.0 251850.0 ; - RECT 96900.0 243150.0 98100.0 241950.0 ; - RECT 96900.0 253050.0 98100.0 251850.0 ; - RECT 92700.0 248400.0 93900.0 247200.0 ; - RECT 92700.0 248400.0 93900.0 247200.0 ; - RECT 95250.0 248250.0 96150.0 247350.0 ; - RECT 90300.0 241050.0 99900.0 240150.0 ; - RECT 90300.0 254850.0 99900.0 253950.0 ; - RECT 96900.0 266250.0 98100.0 268200.0 ; - RECT 96900.0 254400.0 98100.0 256350.0 ; - RECT 92100.0 255750.0 93300.0 253950.0 ; - RECT 92100.0 265050.0 93300.0 268650.0 ; - RECT 94800.0 255750.0 95700.0 265050.0 ; - RECT 92100.0 265050.0 93300.0 266250.0 ; - RECT 94500.0 265050.0 95700.0 266250.0 ; - RECT 94500.0 265050.0 95700.0 266250.0 ; - RECT 92100.0 265050.0 93300.0 266250.0 ; - RECT 92100.0 255750.0 93300.0 256950.0 ; - RECT 94500.0 255750.0 95700.0 256950.0 ; - RECT 94500.0 255750.0 95700.0 256950.0 ; - RECT 92100.0 255750.0 93300.0 256950.0 ; - RECT 96900.0 265650.0 98100.0 266850.0 ; - RECT 96900.0 255750.0 98100.0 256950.0 ; - RECT 92700.0 260400.0 93900.0 261600.0 ; - RECT 92700.0 260400.0 93900.0 261600.0 ; - RECT 95250.0 260550.0 96150.0 261450.0 ; - RECT 90300.0 267750.0 99900.0 268650.0 ; - RECT 90300.0 253950.0 99900.0 254850.0 ; - RECT 96900.0 270150.0 98100.0 268200.0 ; - RECT 96900.0 282000.0 98100.0 280050.0 ; - RECT 92100.0 280650.0 93300.0 282450.0 ; - RECT 92100.0 271350.0 93300.0 267750.0 ; - RECT 94800.0 280650.0 95700.0 271350.0 ; - RECT 92100.0 271350.0 93300.0 270150.0 ; - RECT 94500.0 271350.0 95700.0 270150.0 ; - RECT 94500.0 271350.0 95700.0 270150.0 ; - RECT 92100.0 271350.0 93300.0 270150.0 ; - RECT 92100.0 280650.0 93300.0 279450.0 ; - RECT 94500.0 280650.0 95700.0 279450.0 ; - RECT 94500.0 280650.0 95700.0 279450.0 ; - RECT 92100.0 280650.0 93300.0 279450.0 ; - RECT 96900.0 270750.0 98100.0 269550.0 ; - RECT 96900.0 280650.0 98100.0 279450.0 ; - RECT 92700.0 276000.0 93900.0 274800.0 ; - RECT 92700.0 276000.0 93900.0 274800.0 ; - RECT 95250.0 275850.0 96150.0 274950.0 ; - RECT 90300.0 268650.0 99900.0 267750.0 ; - RECT 90300.0 282450.0 99900.0 281550.0 ; - RECT 96900.0 293850.0 98100.0 295800.0 ; - RECT 96900.0 282000.0 98100.0 283950.0 ; - RECT 92100.0 283350.0 93300.0 281550.0 ; - RECT 92100.0 292650.0 93300.0 296250.0 ; - RECT 94800.0 283350.0 95700.0 292650.0 ; - RECT 92100.0 292650.0 93300.0 293850.0 ; - RECT 94500.0 292650.0 95700.0 293850.0 ; - RECT 94500.0 292650.0 95700.0 293850.0 ; - RECT 92100.0 292650.0 93300.0 293850.0 ; - RECT 92100.0 283350.0 93300.0 284550.0 ; - RECT 94500.0 283350.0 95700.0 284550.0 ; - RECT 94500.0 283350.0 95700.0 284550.0 ; - RECT 92100.0 283350.0 93300.0 284550.0 ; - RECT 96900.0 293250.0 98100.0 294450.0 ; - RECT 96900.0 283350.0 98100.0 284550.0 ; - RECT 92700.0 288000.0 93900.0 289200.0 ; - RECT 92700.0 288000.0 93900.0 289200.0 ; - RECT 95250.0 288150.0 96150.0 289050.0 ; - RECT 90300.0 295350.0 99900.0 296250.0 ; - RECT 90300.0 281550.0 99900.0 282450.0 ; - RECT 96900.0 297750.0 98100.0 295800.0 ; - RECT 96900.0 309600.0 98100.0 307650.0 ; - RECT 92100.0 308250.0 93300.0 310050.0 ; - RECT 92100.0 298950.0 93300.0 295350.0 ; - RECT 94800.0 308250.0 95700.0 298950.0 ; - RECT 92100.0 298950.0 93300.0 297750.0 ; - RECT 94500.0 298950.0 95700.0 297750.0 ; - RECT 94500.0 298950.0 95700.0 297750.0 ; - RECT 92100.0 298950.0 93300.0 297750.0 ; - RECT 92100.0 308250.0 93300.0 307050.0 ; - RECT 94500.0 308250.0 95700.0 307050.0 ; - RECT 94500.0 308250.0 95700.0 307050.0 ; - RECT 92100.0 308250.0 93300.0 307050.0 ; - RECT 96900.0 298350.0 98100.0 297150.0 ; - RECT 96900.0 308250.0 98100.0 307050.0 ; - RECT 92700.0 303600.0 93900.0 302400.0 ; - RECT 92700.0 303600.0 93900.0 302400.0 ; - RECT 95250.0 303450.0 96150.0 302550.0 ; - RECT 90300.0 296250.0 99900.0 295350.0 ; - RECT 90300.0 310050.0 99900.0 309150.0 ; - RECT 96900.0 321450.0 98100.0 323400.0 ; - RECT 96900.0 309600.0 98100.0 311550.0 ; - RECT 92100.0 310950.0 93300.0 309150.0 ; - RECT 92100.0 320250.0 93300.0 323850.0 ; - RECT 94800.0 310950.0 95700.0 320250.0 ; - RECT 92100.0 320250.0 93300.0 321450.0 ; - RECT 94500.0 320250.0 95700.0 321450.0 ; - RECT 94500.0 320250.0 95700.0 321450.0 ; - RECT 92100.0 320250.0 93300.0 321450.0 ; - RECT 92100.0 310950.0 93300.0 312150.0 ; - RECT 94500.0 310950.0 95700.0 312150.0 ; - RECT 94500.0 310950.0 95700.0 312150.0 ; - RECT 92100.0 310950.0 93300.0 312150.0 ; - RECT 96900.0 320850.0 98100.0 322050.0 ; - RECT 96900.0 310950.0 98100.0 312150.0 ; - RECT 92700.0 315600.0 93900.0 316800.0 ; - RECT 92700.0 315600.0 93900.0 316800.0 ; - RECT 95250.0 315750.0 96150.0 316650.0 ; - RECT 90300.0 322950.0 99900.0 323850.0 ; - RECT 90300.0 309150.0 99900.0 310050.0 ; - RECT 96900.0 325350.0 98100.0 323400.0 ; - RECT 96900.0 337200.0 98100.0 335250.0 ; - RECT 92100.0 335850.0 93300.0 337650.0 ; - RECT 92100.0 326550.0 93300.0 322950.0 ; - RECT 94800.0 335850.0 95700.0 326550.0 ; - RECT 92100.0 326550.0 93300.0 325350.0 ; - RECT 94500.0 326550.0 95700.0 325350.0 ; - RECT 94500.0 326550.0 95700.0 325350.0 ; - RECT 92100.0 326550.0 93300.0 325350.0 ; - RECT 92100.0 335850.0 93300.0 334650.0 ; - RECT 94500.0 335850.0 95700.0 334650.0 ; - RECT 94500.0 335850.0 95700.0 334650.0 ; - RECT 92100.0 335850.0 93300.0 334650.0 ; - RECT 96900.0 325950.0 98100.0 324750.0 ; - RECT 96900.0 335850.0 98100.0 334650.0 ; - RECT 92700.0 331200.0 93900.0 330000.0 ; - RECT 92700.0 331200.0 93900.0 330000.0 ; - RECT 95250.0 331050.0 96150.0 330150.0 ; - RECT 90300.0 323850.0 99900.0 322950.0 ; - RECT 90300.0 337650.0 99900.0 336750.0 ; - RECT 96900.0 349050.0 98100.0 351000.0 ; - RECT 96900.0 337200.0 98100.0 339150.0 ; - RECT 92100.0 338550.0 93300.0 336750.0 ; - RECT 92100.0 347850.0 93300.0 351450.0 ; - RECT 94800.0 338550.0 95700.0 347850.0 ; - RECT 92100.0 347850.0 93300.0 349050.0 ; - RECT 94500.0 347850.0 95700.0 349050.0 ; - RECT 94500.0 347850.0 95700.0 349050.0 ; - RECT 92100.0 347850.0 93300.0 349050.0 ; - RECT 92100.0 338550.0 93300.0 339750.0 ; - RECT 94500.0 338550.0 95700.0 339750.0 ; - RECT 94500.0 338550.0 95700.0 339750.0 ; - RECT 92100.0 338550.0 93300.0 339750.0 ; - RECT 96900.0 348450.0 98100.0 349650.0 ; - RECT 96900.0 338550.0 98100.0 339750.0 ; - RECT 92700.0 343200.0 93900.0 344400.0 ; - RECT 92700.0 343200.0 93900.0 344400.0 ; - RECT 95250.0 343350.0 96150.0 344250.0 ; - RECT 90300.0 350550.0 99900.0 351450.0 ; - RECT 90300.0 336750.0 99900.0 337650.0 ; - RECT 96900.0 352950.0 98100.0 351000.0 ; - RECT 96900.0 364800.0 98100.0 362850.0 ; - RECT 92100.0 363450.0 93300.0 365250.0 ; - RECT 92100.0 354150.0 93300.0 350550.0 ; - RECT 94800.0 363450.0 95700.0 354150.0 ; - RECT 92100.0 354150.0 93300.0 352950.0 ; - RECT 94500.0 354150.0 95700.0 352950.0 ; - RECT 94500.0 354150.0 95700.0 352950.0 ; - RECT 92100.0 354150.0 93300.0 352950.0 ; - RECT 92100.0 363450.0 93300.0 362250.0 ; - RECT 94500.0 363450.0 95700.0 362250.0 ; - RECT 94500.0 363450.0 95700.0 362250.0 ; - RECT 92100.0 363450.0 93300.0 362250.0 ; - RECT 96900.0 353550.0 98100.0 352350.0 ; - RECT 96900.0 363450.0 98100.0 362250.0 ; - RECT 92700.0 358800.0 93900.0 357600.0 ; - RECT 92700.0 358800.0 93900.0 357600.0 ; - RECT 95250.0 358650.0 96150.0 357750.0 ; - RECT 90300.0 351450.0 99900.0 350550.0 ; - RECT 90300.0 365250.0 99900.0 364350.0 ; - RECT 96900.0 376650.0 98100.0 378600.0 ; - RECT 96900.0 364800.0 98100.0 366750.0 ; - RECT 92100.0 366150.0 93300.0 364350.0 ; - RECT 92100.0 375450.0 93300.0 379050.0 ; - RECT 94800.0 366150.0 95700.0 375450.0 ; - RECT 92100.0 375450.0 93300.0 376650.0 ; - RECT 94500.0 375450.0 95700.0 376650.0 ; - RECT 94500.0 375450.0 95700.0 376650.0 ; - RECT 92100.0 375450.0 93300.0 376650.0 ; - RECT 92100.0 366150.0 93300.0 367350.0 ; - RECT 94500.0 366150.0 95700.0 367350.0 ; - RECT 94500.0 366150.0 95700.0 367350.0 ; - RECT 92100.0 366150.0 93300.0 367350.0 ; - RECT 96900.0 376050.0 98100.0 377250.0 ; - RECT 96900.0 366150.0 98100.0 367350.0 ; - RECT 92700.0 370800.0 93900.0 372000.0 ; - RECT 92700.0 370800.0 93900.0 372000.0 ; - RECT 95250.0 370950.0 96150.0 371850.0 ; - RECT 90300.0 378150.0 99900.0 379050.0 ; - RECT 90300.0 364350.0 99900.0 365250.0 ; - RECT 96900.0 380550.0 98100.0 378600.0 ; - RECT 96900.0 392400.0 98100.0 390450.0 ; - RECT 92100.0 391050.0 93300.0 392850.0 ; - RECT 92100.0 381750.0 93300.0 378150.0 ; - RECT 94800.0 391050.0 95700.0 381750.0 ; - RECT 92100.0 381750.0 93300.0 380550.0 ; - RECT 94500.0 381750.0 95700.0 380550.0 ; - RECT 94500.0 381750.0 95700.0 380550.0 ; - RECT 92100.0 381750.0 93300.0 380550.0 ; - RECT 92100.0 391050.0 93300.0 389850.0 ; - RECT 94500.0 391050.0 95700.0 389850.0 ; - RECT 94500.0 391050.0 95700.0 389850.0 ; - RECT 92100.0 391050.0 93300.0 389850.0 ; - RECT 96900.0 381150.0 98100.0 379950.0 ; - RECT 96900.0 391050.0 98100.0 389850.0 ; - RECT 92700.0 386400.0 93900.0 385200.0 ; - RECT 92700.0 386400.0 93900.0 385200.0 ; - RECT 95250.0 386250.0 96150.0 385350.0 ; - RECT 90300.0 379050.0 99900.0 378150.0 ; - RECT 90300.0 392850.0 99900.0 391950.0 ; - RECT 96900.0 404250.0 98100.0 406200.0 ; - RECT 96900.0 392400.0 98100.0 394350.0 ; - RECT 92100.0 393750.0 93300.0 391950.0 ; - RECT 92100.0 403050.0 93300.0 406650.0 ; - RECT 94800.0 393750.0 95700.0 403050.0 ; - RECT 92100.0 403050.0 93300.0 404250.0 ; - RECT 94500.0 403050.0 95700.0 404250.0 ; - RECT 94500.0 403050.0 95700.0 404250.0 ; - RECT 92100.0 403050.0 93300.0 404250.0 ; - RECT 92100.0 393750.0 93300.0 394950.0 ; - RECT 94500.0 393750.0 95700.0 394950.0 ; - RECT 94500.0 393750.0 95700.0 394950.0 ; - RECT 92100.0 393750.0 93300.0 394950.0 ; - RECT 96900.0 403650.0 98100.0 404850.0 ; - RECT 96900.0 393750.0 98100.0 394950.0 ; - RECT 92700.0 398400.0 93900.0 399600.0 ; - RECT 92700.0 398400.0 93900.0 399600.0 ; - RECT 95250.0 398550.0 96150.0 399450.0 ; - RECT 90300.0 405750.0 99900.0 406650.0 ; - RECT 90300.0 391950.0 99900.0 392850.0 ; - RECT 96900.0 408150.0 98100.0 406200.0 ; - RECT 96900.0 420000.0 98100.0 418050.0 ; - RECT 92100.0 418650.0 93300.0 420450.0 ; - RECT 92100.0 409350.0 93300.0 405750.0 ; - RECT 94800.0 418650.0 95700.0 409350.0 ; - RECT 92100.0 409350.0 93300.0 408150.0 ; - RECT 94500.0 409350.0 95700.0 408150.0 ; - RECT 94500.0 409350.0 95700.0 408150.0 ; - RECT 92100.0 409350.0 93300.0 408150.0 ; - RECT 92100.0 418650.0 93300.0 417450.0 ; - RECT 94500.0 418650.0 95700.0 417450.0 ; - RECT 94500.0 418650.0 95700.0 417450.0 ; - RECT 92100.0 418650.0 93300.0 417450.0 ; - RECT 96900.0 408750.0 98100.0 407550.0 ; - RECT 96900.0 418650.0 98100.0 417450.0 ; - RECT 92700.0 414000.0 93900.0 412800.0 ; - RECT 92700.0 414000.0 93900.0 412800.0 ; - RECT 95250.0 413850.0 96150.0 412950.0 ; - RECT 90300.0 406650.0 99900.0 405750.0 ; - RECT 90300.0 420450.0 99900.0 419550.0 ; - RECT 60150.0 94800.0 58950.0 96000.0 ; - RECT 62250.0 109200.0 61050.0 110400.0 ; - RECT 64350.0 122400.0 63150.0 123600.0 ; - RECT 66450.0 136800.0 65250.0 138000.0 ; - RECT 68550.0 150000.0 67350.0 151200.0 ; - RECT 70650.0 164400.0 69450.0 165600.0 ; - RECT 72750.0 177600.0 71550.0 178800.0 ; - RECT 74850.0 192000.0 73650.0 193200.0 ; - RECT 60150.0 206400.0 58950.0 207600.0 ; - RECT 68550.0 203700.0 67350.0 204900.0 ; - RECT 60150.0 218400.0 58950.0 219600.0 ; - RECT 70650.0 221100.0 69450.0 222300.0 ; - RECT 60150.0 234000.0 58950.0 235200.0 ; - RECT 72750.0 231300.0 71550.0 232500.0 ; - RECT 60150.0 246000.0 58950.0 247200.0 ; - RECT 74850.0 248700.0 73650.0 249900.0 ; - RECT 62250.0 261600.0 61050.0 262800.0 ; - RECT 68550.0 258900.0 67350.0 260100.0 ; - RECT 62250.0 273600.0 61050.0 274800.0 ; - RECT 70650.0 276300.0 69450.0 277500.0 ; - RECT 62250.0 289200.0 61050.0 290400.0 ; - RECT 72750.0 286500.0 71550.0 287700.0 ; - RECT 62250.0 301200.0 61050.0 302400.0 ; - RECT 74850.0 303900.0 73650.0 305100.0 ; - RECT 64350.0 316800.0 63150.0 318000.0 ; - RECT 68550.0 314100.0 67350.0 315300.0 ; - RECT 64350.0 328800.0 63150.0 330000.0 ; - RECT 70650.0 331500.0 69450.0 332700.0 ; - RECT 64350.0 344400.0 63150.0 345600.0 ; - RECT 72750.0 341700.0 71550.0 342900.0 ; - RECT 64350.0 356400.0 63150.0 357600.0 ; - RECT 74850.0 359100.0 73650.0 360300.0 ; - RECT 66450.0 372000.0 65250.0 373200.0 ; - RECT 68550.0 369300.0 67350.0 370500.0 ; - RECT 66450.0 384000.0 65250.0 385200.0 ; - RECT 70650.0 386700.0 69450.0 387900.0 ; - RECT 66450.0 399600.0 65250.0 400800.0 ; - RECT 72750.0 396900.0 71550.0 398100.0 ; - RECT 66450.0 411600.0 65250.0 412800.0 ; - RECT 74850.0 414300.0 73650.0 415500.0 ; - RECT 95250.0 205350.0 96150.0 206250.0 ; - RECT 95250.0 219750.0 96150.0 220650.0 ; - RECT 95250.0 232950.0 96150.0 233850.0 ; - RECT 95250.0 247350.0 96150.0 248250.0 ; - RECT 95250.0 260550.0 96150.0 261450.0 ; - RECT 95250.0 274950.0 96150.0 275850.0 ; - RECT 95250.0 288150.0 96150.0 289050.0 ; - RECT 95250.0 302550.0 96150.0 303450.0 ; - RECT 95250.0 315750.0 96150.0 316650.0 ; - RECT 95250.0 330150.0 96150.0 331050.0 ; - RECT 95250.0 343350.0 96150.0 344250.0 ; - RECT 95250.0 357750.0 96150.0 358650.0 ; - RECT 95250.0 370950.0 96150.0 371850.0 ; - RECT 95250.0 385350.0 96150.0 386250.0 ; - RECT 95250.0 398550.0 96150.0 399450.0 ; - RECT 95250.0 412950.0 96150.0 413850.0 ; - RECT 59100.0 102150.0 130500.0 103050.0 ; - RECT 59100.0 129750.0 130500.0 130650.0 ; - RECT 59100.0 157350.0 130500.0 158250.0 ; - RECT 59100.0 184950.0 130500.0 185850.0 ; - RECT 59100.0 212550.0 130500.0 213450.0 ; - RECT 59100.0 240150.0 130500.0 241050.0 ; - RECT 59100.0 267750.0 130500.0 268650.0 ; - RECT 59100.0 295350.0 130500.0 296250.0 ; - RECT 59100.0 322950.0 130500.0 323850.0 ; - RECT 59100.0 350550.0 130500.0 351450.0 ; - RECT 59100.0 378150.0 130500.0 379050.0 ; - RECT 59100.0 405750.0 130500.0 406650.0 ; - RECT 59100.0 88350.0 130500.0 89250.0 ; - RECT 59100.0 115950.0 130500.0 116850.0 ; - RECT 59100.0 143550.0 130500.0 144450.0 ; - RECT 59100.0 171150.0 130500.0 172050.0 ; - RECT 59100.0 198750.0 130500.0 199650.0 ; - RECT 59100.0 226350.0 130500.0 227250.0 ; - RECT 59100.0 253950.0 130500.0 254850.0 ; - RECT 59100.0 281550.0 130500.0 282450.0 ; - RECT 59100.0 309150.0 130500.0 310050.0 ; - RECT 59100.0 336750.0 130500.0 337650.0 ; - RECT 59100.0 364350.0 130500.0 365250.0 ; - RECT 59100.0 391950.0 130500.0 392850.0 ; - RECT 59100.0 419550.0 130500.0 420450.0 ; - RECT 103050.0 205350.0 108600.0 206250.0 ; - RECT 111150.0 206550.0 112050.0 207450.0 ; - RECT 111150.0 205350.0 112050.0 206250.0 ; - RECT 111150.0 206250.0 112050.0 207000.0 ; - RECT 111600.0 206550.0 118200.0 207450.0 ; - RECT 118200.0 206550.0 119400.0 207450.0 ; - RECT 127650.0 206550.0 128550.0 207450.0 ; - RECT 127650.0 205350.0 128550.0 206250.0 ; - RECT 123600.0 206550.0 128100.0 207450.0 ; - RECT 127650.0 205800.0 128550.0 207000.0 ; - RECT 128100.0 205350.0 132600.0 206250.0 ; - RECT 103050.0 219750.0 108600.0 220650.0 ; - RECT 111150.0 218550.0 112050.0 219450.0 ; - RECT 111150.0 219750.0 112050.0 220650.0 ; - RECT 111150.0 219000.0 112050.0 220650.0 ; - RECT 111600.0 218550.0 118200.0 219450.0 ; - RECT 118200.0 218550.0 119400.0 219450.0 ; - RECT 127650.0 218550.0 128550.0 219450.0 ; - RECT 127650.0 219750.0 128550.0 220650.0 ; - RECT 123600.0 218550.0 128100.0 219450.0 ; - RECT 127650.0 219000.0 128550.0 220200.0 ; - RECT 128100.0 219750.0 132600.0 220650.0 ; - RECT 103050.0 232950.0 108600.0 233850.0 ; - RECT 111150.0 234150.0 112050.0 235050.0 ; - RECT 111150.0 232950.0 112050.0 233850.0 ; - RECT 111150.0 233850.0 112050.0 234600.0 ; - RECT 111600.0 234150.0 118200.0 235050.0 ; - RECT 118200.0 234150.0 119400.0 235050.0 ; - RECT 127650.0 234150.0 128550.0 235050.0 ; - RECT 127650.0 232950.0 128550.0 233850.0 ; - RECT 123600.0 234150.0 128100.0 235050.0 ; - RECT 127650.0 233400.0 128550.0 234600.0 ; - RECT 128100.0 232950.0 132600.0 233850.0 ; - RECT 103050.0 247350.0 108600.0 248250.0 ; - RECT 111150.0 246150.0 112050.0 247050.0 ; - RECT 111150.0 247350.0 112050.0 248250.0 ; - RECT 111150.0 246600.0 112050.0 248250.0 ; - RECT 111600.0 246150.0 118200.0 247050.0 ; - RECT 118200.0 246150.0 119400.0 247050.0 ; - RECT 127650.0 246150.0 128550.0 247050.0 ; - RECT 127650.0 247350.0 128550.0 248250.0 ; - RECT 123600.0 246150.0 128100.0 247050.0 ; - RECT 127650.0 246600.0 128550.0 247800.0 ; - RECT 128100.0 247350.0 132600.0 248250.0 ; - RECT 103050.0 260550.0 108600.0 261450.0 ; - RECT 111150.0 261750.0 112050.0 262650.0 ; - RECT 111150.0 260550.0 112050.0 261450.0 ; - RECT 111150.0 261450.0 112050.0 262200.0 ; - RECT 111600.0 261750.0 118200.0 262650.0 ; - RECT 118200.0 261750.0 119400.0 262650.0 ; - RECT 127650.0 261750.0 128550.0 262650.0 ; - RECT 127650.0 260550.0 128550.0 261450.0 ; - RECT 123600.0 261750.0 128100.0 262650.0 ; - RECT 127650.0 261000.0 128550.0 262200.0 ; - RECT 128100.0 260550.0 132600.0 261450.0 ; - RECT 103050.0 274950.0 108600.0 275850.0 ; - RECT 111150.0 273750.0 112050.0 274650.0 ; - RECT 111150.0 274950.0 112050.0 275850.0 ; - RECT 111150.0 274200.0 112050.0 275850.0 ; - RECT 111600.0 273750.0 118200.0 274650.0 ; - RECT 118200.0 273750.0 119400.0 274650.0 ; - RECT 127650.0 273750.0 128550.0 274650.0 ; - RECT 127650.0 274950.0 128550.0 275850.0 ; - RECT 123600.0 273750.0 128100.0 274650.0 ; - RECT 127650.0 274200.0 128550.0 275400.0 ; - RECT 128100.0 274950.0 132600.0 275850.0 ; - RECT 103050.0 288150.0 108600.0 289050.0 ; - RECT 111150.0 289350.0 112050.0 290250.0 ; - RECT 111150.0 288150.0 112050.0 289050.0 ; - RECT 111150.0 289050.0 112050.0 289800.0 ; - RECT 111600.0 289350.0 118200.0 290250.0 ; - RECT 118200.0 289350.0 119400.0 290250.0 ; - RECT 127650.0 289350.0 128550.0 290250.0 ; - RECT 127650.0 288150.0 128550.0 289050.0 ; - RECT 123600.0 289350.0 128100.0 290250.0 ; - RECT 127650.0 288600.0 128550.0 289800.0 ; - RECT 128100.0 288150.0 132600.0 289050.0 ; - RECT 103050.0 302550.0 108600.0 303450.0 ; - RECT 111150.0 301350.0 112050.0 302250.0 ; - RECT 111150.0 302550.0 112050.0 303450.0 ; - RECT 111150.0 301800.0 112050.0 303450.0 ; - RECT 111600.0 301350.0 118200.0 302250.0 ; - RECT 118200.0 301350.0 119400.0 302250.0 ; - RECT 127650.0 301350.0 128550.0 302250.0 ; - RECT 127650.0 302550.0 128550.0 303450.0 ; - RECT 123600.0 301350.0 128100.0 302250.0 ; - RECT 127650.0 301800.0 128550.0 303000.0 ; - RECT 128100.0 302550.0 132600.0 303450.0 ; - RECT 103050.0 315750.0 108600.0 316650.0 ; - RECT 111150.0 316950.0 112050.0 317850.0 ; - RECT 111150.0 315750.0 112050.0 316650.0 ; - RECT 111150.0 316650.0 112050.0 317400.0 ; - RECT 111600.0 316950.0 118200.0 317850.0 ; - RECT 118200.0 316950.0 119400.0 317850.0 ; - RECT 127650.0 316950.0 128550.0 317850.0 ; - RECT 127650.0 315750.0 128550.0 316650.0 ; - RECT 123600.0 316950.0 128100.0 317850.0 ; - RECT 127650.0 316200.0 128550.0 317400.0 ; - RECT 128100.0 315750.0 132600.0 316650.0 ; - RECT 103050.0 330150.0 108600.0 331050.0 ; - RECT 111150.0 328950.0 112050.0 329850.0 ; - RECT 111150.0 330150.0 112050.0 331050.0 ; - RECT 111150.0 329400.0 112050.0 331050.0 ; - RECT 111600.0 328950.0 118200.0 329850.0 ; - RECT 118200.0 328950.0 119400.0 329850.0 ; - RECT 127650.0 328950.0 128550.0 329850.0 ; - RECT 127650.0 330150.0 128550.0 331050.0 ; - RECT 123600.0 328950.0 128100.0 329850.0 ; - RECT 127650.0 329400.0 128550.0 330600.0 ; - RECT 128100.0 330150.0 132600.0 331050.0 ; - RECT 103050.0 343350.0 108600.0 344250.0 ; - RECT 111150.0 344550.0 112050.0 345450.0 ; - RECT 111150.0 343350.0 112050.0 344250.0 ; - RECT 111150.0 344250.0 112050.0 345000.0 ; - RECT 111600.0 344550.0 118200.0 345450.0 ; - RECT 118200.0 344550.0 119400.0 345450.0 ; - RECT 127650.0 344550.0 128550.0 345450.0 ; - RECT 127650.0 343350.0 128550.0 344250.0 ; - RECT 123600.0 344550.0 128100.0 345450.0 ; - RECT 127650.0 343800.0 128550.0 345000.0 ; - RECT 128100.0 343350.0 132600.0 344250.0 ; - RECT 103050.0 357750.0 108600.0 358650.0 ; - RECT 111150.0 356550.0 112050.0 357450.0 ; - RECT 111150.0 357750.0 112050.0 358650.0 ; - RECT 111150.0 357000.0 112050.0 358650.0 ; - RECT 111600.0 356550.0 118200.0 357450.0 ; - RECT 118200.0 356550.0 119400.0 357450.0 ; - RECT 127650.0 356550.0 128550.0 357450.0 ; - RECT 127650.0 357750.0 128550.0 358650.0 ; - RECT 123600.0 356550.0 128100.0 357450.0 ; - RECT 127650.0 357000.0 128550.0 358200.0 ; - RECT 128100.0 357750.0 132600.0 358650.0 ; - RECT 103050.0 370950.0 108600.0 371850.0 ; - RECT 111150.0 372150.0 112050.0 373050.0 ; - RECT 111150.0 370950.0 112050.0 371850.0 ; - RECT 111150.0 371850.0 112050.0 372600.0 ; - RECT 111600.0 372150.0 118200.0 373050.0 ; - RECT 118200.0 372150.0 119400.0 373050.0 ; - RECT 127650.0 372150.0 128550.0 373050.0 ; - RECT 127650.0 370950.0 128550.0 371850.0 ; - RECT 123600.0 372150.0 128100.0 373050.0 ; - RECT 127650.0 371400.0 128550.0 372600.0 ; - RECT 128100.0 370950.0 132600.0 371850.0 ; - RECT 103050.0 385350.0 108600.0 386250.0 ; - RECT 111150.0 384150.0 112050.0 385050.0 ; - RECT 111150.0 385350.0 112050.0 386250.0 ; - RECT 111150.0 384600.0 112050.0 386250.0 ; - RECT 111600.0 384150.0 118200.0 385050.0 ; - RECT 118200.0 384150.0 119400.0 385050.0 ; - RECT 127650.0 384150.0 128550.0 385050.0 ; - RECT 127650.0 385350.0 128550.0 386250.0 ; - RECT 123600.0 384150.0 128100.0 385050.0 ; - RECT 127650.0 384600.0 128550.0 385800.0 ; - RECT 128100.0 385350.0 132600.0 386250.0 ; - RECT 103050.0 398550.0 108600.0 399450.0 ; - RECT 111150.0 399750.0 112050.0 400650.0 ; - RECT 111150.0 398550.0 112050.0 399450.0 ; - RECT 111150.0 399450.0 112050.0 400200.0 ; - RECT 111600.0 399750.0 118200.0 400650.0 ; - RECT 118200.0 399750.0 119400.0 400650.0 ; - RECT 127650.0 399750.0 128550.0 400650.0 ; - RECT 127650.0 398550.0 128550.0 399450.0 ; - RECT 123600.0 399750.0 128100.0 400650.0 ; - RECT 127650.0 399000.0 128550.0 400200.0 ; - RECT 128100.0 398550.0 132600.0 399450.0 ; - RECT 103050.0 412950.0 108600.0 413850.0 ; - RECT 111150.0 411750.0 112050.0 412650.0 ; - RECT 111150.0 412950.0 112050.0 413850.0 ; - RECT 111150.0 412200.0 112050.0 413850.0 ; - RECT 111600.0 411750.0 118200.0 412650.0 ; - RECT 118200.0 411750.0 119400.0 412650.0 ; - RECT 127650.0 411750.0 128550.0 412650.0 ; - RECT 127650.0 412950.0 128550.0 413850.0 ; - RECT 123600.0 411750.0 128100.0 412650.0 ; - RECT 127650.0 412200.0 128550.0 413400.0 ; - RECT 128100.0 412950.0 132600.0 413850.0 ; - RECT 112800.0 211050.0 114000.0 213000.0 ; - RECT 112800.0 199200.0 114000.0 201150.0 ; - RECT 108000.0 200550.0 109200.0 198750.0 ; - RECT 108000.0 209850.0 109200.0 213450.0 ; - RECT 110700.0 200550.0 111600.0 209850.0 ; - RECT 108000.0 209850.0 109200.0 211050.0 ; - RECT 110400.0 209850.0 111600.0 211050.0 ; - RECT 110400.0 209850.0 111600.0 211050.0 ; - RECT 108000.0 209850.0 109200.0 211050.0 ; - RECT 108000.0 200550.0 109200.0 201750.0 ; - RECT 110400.0 200550.0 111600.0 201750.0 ; - RECT 110400.0 200550.0 111600.0 201750.0 ; - RECT 108000.0 200550.0 109200.0 201750.0 ; - RECT 112800.0 210450.0 114000.0 211650.0 ; - RECT 112800.0 200550.0 114000.0 201750.0 ; - RECT 108600.0 205200.0 109800.0 206400.0 ; - RECT 108600.0 205200.0 109800.0 206400.0 ; - RECT 111150.0 205350.0 112050.0 206250.0 ; - RECT 106200.0 212550.0 115800.0 213450.0 ; - RECT 106200.0 198750.0 115800.0 199650.0 ; - RECT 117600.0 201150.0 118800.0 198750.0 ; - RECT 117600.0 209850.0 118800.0 213450.0 ; - RECT 122400.0 209850.0 123600.0 213450.0 ; - RECT 124800.0 211050.0 126000.0 213000.0 ; - RECT 124800.0 199200.0 126000.0 201150.0 ; - RECT 117600.0 209850.0 118800.0 211050.0 ; - RECT 120000.0 209850.0 121200.0 211050.0 ; - RECT 120000.0 209850.0 121200.0 211050.0 ; - RECT 117600.0 209850.0 118800.0 211050.0 ; - RECT 120000.0 209850.0 121200.0 211050.0 ; - RECT 122400.0 209850.0 123600.0 211050.0 ; - RECT 122400.0 209850.0 123600.0 211050.0 ; - RECT 120000.0 209850.0 121200.0 211050.0 ; - RECT 117600.0 201150.0 118800.0 202350.0 ; - RECT 120000.0 201150.0 121200.0 202350.0 ; - RECT 120000.0 201150.0 121200.0 202350.0 ; - RECT 117600.0 201150.0 118800.0 202350.0 ; - RECT 120000.0 201150.0 121200.0 202350.0 ; - RECT 122400.0 201150.0 123600.0 202350.0 ; - RECT 122400.0 201150.0 123600.0 202350.0 ; - RECT 120000.0 201150.0 121200.0 202350.0 ; - RECT 124800.0 210450.0 126000.0 211650.0 ; - RECT 124800.0 200550.0 126000.0 201750.0 ; - RECT 122400.0 203700.0 121200.0 204900.0 ; - RECT 119400.0 206400.0 118200.0 207600.0 ; - RECT 120000.0 209850.0 121200.0 211050.0 ; - RECT 122400.0 201150.0 123600.0 202350.0 ; - RECT 123600.0 206400.0 122400.0 207600.0 ; - RECT 118200.0 206400.0 119400.0 207600.0 ; - RECT 121200.0 203700.0 122400.0 204900.0 ; - RECT 122400.0 206400.0 123600.0 207600.0 ; - RECT 115800.0 212550.0 130200.0 213450.0 ; - RECT 115800.0 198750.0 130200.0 199650.0 ; - RECT 136800.0 211050.0 138000.0 213000.0 ; - RECT 136800.0 199200.0 138000.0 201150.0 ; - RECT 132000.0 200550.0 133200.0 198750.0 ; - RECT 132000.0 209850.0 133200.0 213450.0 ; - RECT 134700.0 200550.0 135600.0 209850.0 ; - RECT 132000.0 209850.0 133200.0 211050.0 ; - RECT 134400.0 209850.0 135600.0 211050.0 ; - RECT 134400.0 209850.0 135600.0 211050.0 ; - RECT 132000.0 209850.0 133200.0 211050.0 ; - RECT 132000.0 200550.0 133200.0 201750.0 ; - RECT 134400.0 200550.0 135600.0 201750.0 ; - RECT 134400.0 200550.0 135600.0 201750.0 ; - RECT 132000.0 200550.0 133200.0 201750.0 ; - RECT 136800.0 210450.0 138000.0 211650.0 ; - RECT 136800.0 200550.0 138000.0 201750.0 ; - RECT 132600.0 205200.0 133800.0 206400.0 ; - RECT 132600.0 205200.0 133800.0 206400.0 ; - RECT 135150.0 205350.0 136050.0 206250.0 ; - RECT 130200.0 212550.0 139800.0 213450.0 ; - RECT 130200.0 198750.0 139800.0 199650.0 ; - RECT 102450.0 205200.0 103650.0 206400.0 ; - RECT 104400.0 202800.0 105600.0 204000.0 ; - RECT 121200.0 203700.0 120000.0 204900.0 ; - RECT 112800.0 214950.0 114000.0 213000.0 ; - RECT 112800.0 226800.0 114000.0 224850.0 ; - RECT 108000.0 225450.0 109200.0 227250.0 ; - RECT 108000.0 216150.0 109200.0 212550.0 ; - RECT 110700.0 225450.0 111600.0 216150.0 ; - RECT 108000.0 216150.0 109200.0 214950.0 ; - RECT 110400.0 216150.0 111600.0 214950.0 ; - RECT 110400.0 216150.0 111600.0 214950.0 ; - RECT 108000.0 216150.0 109200.0 214950.0 ; - RECT 108000.0 225450.0 109200.0 224250.0 ; - RECT 110400.0 225450.0 111600.0 224250.0 ; - RECT 110400.0 225450.0 111600.0 224250.0 ; - RECT 108000.0 225450.0 109200.0 224250.0 ; - RECT 112800.0 215550.0 114000.0 214350.0 ; - RECT 112800.0 225450.0 114000.0 224250.0 ; - RECT 108600.0 220800.0 109800.0 219600.0 ; - RECT 108600.0 220800.0 109800.0 219600.0 ; - RECT 111150.0 220650.0 112050.0 219750.0 ; - RECT 106200.0 213450.0 115800.0 212550.0 ; - RECT 106200.0 227250.0 115800.0 226350.0 ; - RECT 117600.0 224850.0 118800.0 227250.0 ; - RECT 117600.0 216150.0 118800.0 212550.0 ; - RECT 122400.0 216150.0 123600.0 212550.0 ; - RECT 124800.0 214950.0 126000.0 213000.0 ; - RECT 124800.0 226800.0 126000.0 224850.0 ; - RECT 117600.0 216150.0 118800.0 214950.0 ; - RECT 120000.0 216150.0 121200.0 214950.0 ; - RECT 120000.0 216150.0 121200.0 214950.0 ; - RECT 117600.0 216150.0 118800.0 214950.0 ; - RECT 120000.0 216150.0 121200.0 214950.0 ; - RECT 122400.0 216150.0 123600.0 214950.0 ; - RECT 122400.0 216150.0 123600.0 214950.0 ; - RECT 120000.0 216150.0 121200.0 214950.0 ; - RECT 117600.0 224850.0 118800.0 223650.0 ; - RECT 120000.0 224850.0 121200.0 223650.0 ; - RECT 120000.0 224850.0 121200.0 223650.0 ; - RECT 117600.0 224850.0 118800.0 223650.0 ; - RECT 120000.0 224850.0 121200.0 223650.0 ; - RECT 122400.0 224850.0 123600.0 223650.0 ; - RECT 122400.0 224850.0 123600.0 223650.0 ; - RECT 120000.0 224850.0 121200.0 223650.0 ; - RECT 124800.0 215550.0 126000.0 214350.0 ; - RECT 124800.0 225450.0 126000.0 224250.0 ; - RECT 122400.0 222300.0 121200.0 221100.0 ; - RECT 119400.0 219600.0 118200.0 218400.0 ; - RECT 120000.0 216150.0 121200.0 214950.0 ; - RECT 122400.0 224850.0 123600.0 223650.0 ; - RECT 123600.0 219600.0 122400.0 218400.0 ; - RECT 118200.0 219600.0 119400.0 218400.0 ; - RECT 121200.0 222300.0 122400.0 221100.0 ; - RECT 122400.0 219600.0 123600.0 218400.0 ; - RECT 115800.0 213450.0 130200.0 212550.0 ; - RECT 115800.0 227250.0 130200.0 226350.0 ; - RECT 136800.0 214950.0 138000.0 213000.0 ; - RECT 136800.0 226800.0 138000.0 224850.0 ; - RECT 132000.0 225450.0 133200.0 227250.0 ; - RECT 132000.0 216150.0 133200.0 212550.0 ; - RECT 134700.0 225450.0 135600.0 216150.0 ; - RECT 132000.0 216150.0 133200.0 214950.0 ; - RECT 134400.0 216150.0 135600.0 214950.0 ; - RECT 134400.0 216150.0 135600.0 214950.0 ; - RECT 132000.0 216150.0 133200.0 214950.0 ; - RECT 132000.0 225450.0 133200.0 224250.0 ; - RECT 134400.0 225450.0 135600.0 224250.0 ; - RECT 134400.0 225450.0 135600.0 224250.0 ; - RECT 132000.0 225450.0 133200.0 224250.0 ; - RECT 136800.0 215550.0 138000.0 214350.0 ; - RECT 136800.0 225450.0 138000.0 224250.0 ; - RECT 132600.0 220800.0 133800.0 219600.0 ; - RECT 132600.0 220800.0 133800.0 219600.0 ; - RECT 135150.0 220650.0 136050.0 219750.0 ; - RECT 130200.0 213450.0 139800.0 212550.0 ; - RECT 130200.0 227250.0 139800.0 226350.0 ; - RECT 102450.0 219600.0 103650.0 220800.0 ; - RECT 104400.0 222000.0 105600.0 223200.0 ; - RECT 121200.0 221100.0 120000.0 222300.0 ; - RECT 112800.0 238650.0 114000.0 240600.0 ; - RECT 112800.0 226800.0 114000.0 228750.0 ; - RECT 108000.0 228150.0 109200.0 226350.0 ; - RECT 108000.0 237450.0 109200.0 241050.0 ; - RECT 110700.0 228150.0 111600.0 237450.0 ; - RECT 108000.0 237450.0 109200.0 238650.0 ; - RECT 110400.0 237450.0 111600.0 238650.0 ; - RECT 110400.0 237450.0 111600.0 238650.0 ; - RECT 108000.0 237450.0 109200.0 238650.0 ; - RECT 108000.0 228150.0 109200.0 229350.0 ; - RECT 110400.0 228150.0 111600.0 229350.0 ; - RECT 110400.0 228150.0 111600.0 229350.0 ; - RECT 108000.0 228150.0 109200.0 229350.0 ; - RECT 112800.0 238050.0 114000.0 239250.0 ; - RECT 112800.0 228150.0 114000.0 229350.0 ; - RECT 108600.0 232800.0 109800.0 234000.0 ; - RECT 108600.0 232800.0 109800.0 234000.0 ; - RECT 111150.0 232950.0 112050.0 233850.0 ; - RECT 106200.0 240150.0 115800.0 241050.0 ; - RECT 106200.0 226350.0 115800.0 227250.0 ; - RECT 117600.0 228750.0 118800.0 226350.0 ; - RECT 117600.0 237450.0 118800.0 241050.0 ; - RECT 122400.0 237450.0 123600.0 241050.0 ; - RECT 124800.0 238650.0 126000.0 240600.0 ; - RECT 124800.0 226800.0 126000.0 228750.0 ; - RECT 117600.0 237450.0 118800.0 238650.0 ; - RECT 120000.0 237450.0 121200.0 238650.0 ; - RECT 120000.0 237450.0 121200.0 238650.0 ; - RECT 117600.0 237450.0 118800.0 238650.0 ; - RECT 120000.0 237450.0 121200.0 238650.0 ; - RECT 122400.0 237450.0 123600.0 238650.0 ; - RECT 122400.0 237450.0 123600.0 238650.0 ; - RECT 120000.0 237450.0 121200.0 238650.0 ; - RECT 117600.0 228750.0 118800.0 229950.0 ; - RECT 120000.0 228750.0 121200.0 229950.0 ; - RECT 120000.0 228750.0 121200.0 229950.0 ; - RECT 117600.0 228750.0 118800.0 229950.0 ; - RECT 120000.0 228750.0 121200.0 229950.0 ; - RECT 122400.0 228750.0 123600.0 229950.0 ; - RECT 122400.0 228750.0 123600.0 229950.0 ; - RECT 120000.0 228750.0 121200.0 229950.0 ; - RECT 124800.0 238050.0 126000.0 239250.0 ; - RECT 124800.0 228150.0 126000.0 229350.0 ; - RECT 122400.0 231300.0 121200.0 232500.0 ; - RECT 119400.0 234000.0 118200.0 235200.0 ; - RECT 120000.0 237450.0 121200.0 238650.0 ; - RECT 122400.0 228750.0 123600.0 229950.0 ; - RECT 123600.0 234000.0 122400.0 235200.0 ; - RECT 118200.0 234000.0 119400.0 235200.0 ; - RECT 121200.0 231300.0 122400.0 232500.0 ; - RECT 122400.0 234000.0 123600.0 235200.0 ; - RECT 115800.0 240150.0 130200.0 241050.0 ; - RECT 115800.0 226350.0 130200.0 227250.0 ; - RECT 136800.0 238650.0 138000.0 240600.0 ; - RECT 136800.0 226800.0 138000.0 228750.0 ; - RECT 132000.0 228150.0 133200.0 226350.0 ; - RECT 132000.0 237450.0 133200.0 241050.0 ; - RECT 134700.0 228150.0 135600.0 237450.0 ; - RECT 132000.0 237450.0 133200.0 238650.0 ; - RECT 134400.0 237450.0 135600.0 238650.0 ; - RECT 134400.0 237450.0 135600.0 238650.0 ; - RECT 132000.0 237450.0 133200.0 238650.0 ; - RECT 132000.0 228150.0 133200.0 229350.0 ; - RECT 134400.0 228150.0 135600.0 229350.0 ; - RECT 134400.0 228150.0 135600.0 229350.0 ; - RECT 132000.0 228150.0 133200.0 229350.0 ; - RECT 136800.0 238050.0 138000.0 239250.0 ; - RECT 136800.0 228150.0 138000.0 229350.0 ; - RECT 132600.0 232800.0 133800.0 234000.0 ; - RECT 132600.0 232800.0 133800.0 234000.0 ; - RECT 135150.0 232950.0 136050.0 233850.0 ; - RECT 130200.0 240150.0 139800.0 241050.0 ; - RECT 130200.0 226350.0 139800.0 227250.0 ; - RECT 102450.0 232800.0 103650.0 234000.0 ; - RECT 104400.0 230400.0 105600.0 231600.0 ; - RECT 121200.0 231300.0 120000.0 232500.0 ; - RECT 112800.0 242550.0 114000.0 240600.0 ; - RECT 112800.0 254400.0 114000.0 252450.0 ; - RECT 108000.0 253050.0 109200.0 254850.0 ; - RECT 108000.0 243750.0 109200.0 240150.0 ; - RECT 110700.0 253050.0 111600.0 243750.0 ; - RECT 108000.0 243750.0 109200.0 242550.0 ; - RECT 110400.0 243750.0 111600.0 242550.0 ; - RECT 110400.0 243750.0 111600.0 242550.0 ; - RECT 108000.0 243750.0 109200.0 242550.0 ; - RECT 108000.0 253050.0 109200.0 251850.0 ; - RECT 110400.0 253050.0 111600.0 251850.0 ; - RECT 110400.0 253050.0 111600.0 251850.0 ; - RECT 108000.0 253050.0 109200.0 251850.0 ; - RECT 112800.0 243150.0 114000.0 241950.0 ; - RECT 112800.0 253050.0 114000.0 251850.0 ; - RECT 108600.0 248400.0 109800.0 247200.0 ; - RECT 108600.0 248400.0 109800.0 247200.0 ; - RECT 111150.0 248250.0 112050.0 247350.0 ; - RECT 106200.0 241050.0 115800.0 240150.0 ; - RECT 106200.0 254850.0 115800.0 253950.0 ; - RECT 117600.0 252450.0 118800.0 254850.0 ; - RECT 117600.0 243750.0 118800.0 240150.0 ; - RECT 122400.0 243750.0 123600.0 240150.0 ; - RECT 124800.0 242550.0 126000.0 240600.0 ; - RECT 124800.0 254400.0 126000.0 252450.0 ; - RECT 117600.0 243750.0 118800.0 242550.0 ; - RECT 120000.0 243750.0 121200.0 242550.0 ; - RECT 120000.0 243750.0 121200.0 242550.0 ; - RECT 117600.0 243750.0 118800.0 242550.0 ; - RECT 120000.0 243750.0 121200.0 242550.0 ; - RECT 122400.0 243750.0 123600.0 242550.0 ; - RECT 122400.0 243750.0 123600.0 242550.0 ; - RECT 120000.0 243750.0 121200.0 242550.0 ; - RECT 117600.0 252450.0 118800.0 251250.0 ; - RECT 120000.0 252450.0 121200.0 251250.0 ; - RECT 120000.0 252450.0 121200.0 251250.0 ; - RECT 117600.0 252450.0 118800.0 251250.0 ; - RECT 120000.0 252450.0 121200.0 251250.0 ; - RECT 122400.0 252450.0 123600.0 251250.0 ; - RECT 122400.0 252450.0 123600.0 251250.0 ; - RECT 120000.0 252450.0 121200.0 251250.0 ; - RECT 124800.0 243150.0 126000.0 241950.0 ; - RECT 124800.0 253050.0 126000.0 251850.0 ; - RECT 122400.0 249900.0 121200.0 248700.0 ; - RECT 119400.0 247200.0 118200.0 246000.0 ; - RECT 120000.0 243750.0 121200.0 242550.0 ; - RECT 122400.0 252450.0 123600.0 251250.0 ; - RECT 123600.0 247200.0 122400.0 246000.0 ; - RECT 118200.0 247200.0 119400.0 246000.0 ; - RECT 121200.0 249900.0 122400.0 248700.0 ; - RECT 122400.0 247200.0 123600.0 246000.0 ; - RECT 115800.0 241050.0 130200.0 240150.0 ; - RECT 115800.0 254850.0 130200.0 253950.0 ; - RECT 136800.0 242550.0 138000.0 240600.0 ; - RECT 136800.0 254400.0 138000.0 252450.0 ; - RECT 132000.0 253050.0 133200.0 254850.0 ; - RECT 132000.0 243750.0 133200.0 240150.0 ; - RECT 134700.0 253050.0 135600.0 243750.0 ; - RECT 132000.0 243750.0 133200.0 242550.0 ; - RECT 134400.0 243750.0 135600.0 242550.0 ; - RECT 134400.0 243750.0 135600.0 242550.0 ; - RECT 132000.0 243750.0 133200.0 242550.0 ; - RECT 132000.0 253050.0 133200.0 251850.0 ; - RECT 134400.0 253050.0 135600.0 251850.0 ; - RECT 134400.0 253050.0 135600.0 251850.0 ; - RECT 132000.0 253050.0 133200.0 251850.0 ; - RECT 136800.0 243150.0 138000.0 241950.0 ; - RECT 136800.0 253050.0 138000.0 251850.0 ; - RECT 132600.0 248400.0 133800.0 247200.0 ; - RECT 132600.0 248400.0 133800.0 247200.0 ; - RECT 135150.0 248250.0 136050.0 247350.0 ; - RECT 130200.0 241050.0 139800.0 240150.0 ; - RECT 130200.0 254850.0 139800.0 253950.0 ; - RECT 102450.0 247200.0 103650.0 248400.0 ; - RECT 104400.0 249600.0 105600.0 250800.0 ; - RECT 121200.0 248700.0 120000.0 249900.0 ; - RECT 112800.0 266250.0 114000.0 268200.0 ; - RECT 112800.0 254400.0 114000.0 256350.0 ; - RECT 108000.0 255750.0 109200.0 253950.0 ; - RECT 108000.0 265050.0 109200.0 268650.0 ; - RECT 110700.0 255750.0 111600.0 265050.0 ; - RECT 108000.0 265050.0 109200.0 266250.0 ; - RECT 110400.0 265050.0 111600.0 266250.0 ; - RECT 110400.0 265050.0 111600.0 266250.0 ; - RECT 108000.0 265050.0 109200.0 266250.0 ; - RECT 108000.0 255750.0 109200.0 256950.0 ; - RECT 110400.0 255750.0 111600.0 256950.0 ; - RECT 110400.0 255750.0 111600.0 256950.0 ; - RECT 108000.0 255750.0 109200.0 256950.0 ; - RECT 112800.0 265650.0 114000.0 266850.0 ; - RECT 112800.0 255750.0 114000.0 256950.0 ; - RECT 108600.0 260400.0 109800.0 261600.0 ; - RECT 108600.0 260400.0 109800.0 261600.0 ; - RECT 111150.0 260550.0 112050.0 261450.0 ; - RECT 106200.0 267750.0 115800.0 268650.0 ; - RECT 106200.0 253950.0 115800.0 254850.0 ; - RECT 117600.0 256350.0 118800.0 253950.0 ; - RECT 117600.0 265050.0 118800.0 268650.0 ; - RECT 122400.0 265050.0 123600.0 268650.0 ; - RECT 124800.0 266250.0 126000.0 268200.0 ; - RECT 124800.0 254400.0 126000.0 256350.0 ; - RECT 117600.0 265050.0 118800.0 266250.0 ; - RECT 120000.0 265050.0 121200.0 266250.0 ; - RECT 120000.0 265050.0 121200.0 266250.0 ; - RECT 117600.0 265050.0 118800.0 266250.0 ; - RECT 120000.0 265050.0 121200.0 266250.0 ; - RECT 122400.0 265050.0 123600.0 266250.0 ; - RECT 122400.0 265050.0 123600.0 266250.0 ; - RECT 120000.0 265050.0 121200.0 266250.0 ; - RECT 117600.0 256350.0 118800.0 257550.0 ; - RECT 120000.0 256350.0 121200.0 257550.0 ; - RECT 120000.0 256350.0 121200.0 257550.0 ; - RECT 117600.0 256350.0 118800.0 257550.0 ; - RECT 120000.0 256350.0 121200.0 257550.0 ; - RECT 122400.0 256350.0 123600.0 257550.0 ; - RECT 122400.0 256350.0 123600.0 257550.0 ; - RECT 120000.0 256350.0 121200.0 257550.0 ; - RECT 124800.0 265650.0 126000.0 266850.0 ; - RECT 124800.0 255750.0 126000.0 256950.0 ; - RECT 122400.0 258900.0 121200.0 260100.0 ; - RECT 119400.0 261600.0 118200.0 262800.0 ; - RECT 120000.0 265050.0 121200.0 266250.0 ; - RECT 122400.0 256350.0 123600.0 257550.0 ; - RECT 123600.0 261600.0 122400.0 262800.0 ; - RECT 118200.0 261600.0 119400.0 262800.0 ; - RECT 121200.0 258900.0 122400.0 260100.0 ; - RECT 122400.0 261600.0 123600.0 262800.0 ; - RECT 115800.0 267750.0 130200.0 268650.0 ; - RECT 115800.0 253950.0 130200.0 254850.0 ; - RECT 136800.0 266250.0 138000.0 268200.0 ; - RECT 136800.0 254400.0 138000.0 256350.0 ; - RECT 132000.0 255750.0 133200.0 253950.0 ; - RECT 132000.0 265050.0 133200.0 268650.0 ; - RECT 134700.0 255750.0 135600.0 265050.0 ; - RECT 132000.0 265050.0 133200.0 266250.0 ; - RECT 134400.0 265050.0 135600.0 266250.0 ; - RECT 134400.0 265050.0 135600.0 266250.0 ; - RECT 132000.0 265050.0 133200.0 266250.0 ; - RECT 132000.0 255750.0 133200.0 256950.0 ; - RECT 134400.0 255750.0 135600.0 256950.0 ; - RECT 134400.0 255750.0 135600.0 256950.0 ; - RECT 132000.0 255750.0 133200.0 256950.0 ; - RECT 136800.0 265650.0 138000.0 266850.0 ; - RECT 136800.0 255750.0 138000.0 256950.0 ; - RECT 132600.0 260400.0 133800.0 261600.0 ; - RECT 132600.0 260400.0 133800.0 261600.0 ; - RECT 135150.0 260550.0 136050.0 261450.0 ; - RECT 130200.0 267750.0 139800.0 268650.0 ; - RECT 130200.0 253950.0 139800.0 254850.0 ; - RECT 102450.0 260400.0 103650.0 261600.0 ; - RECT 104400.0 258000.0 105600.0 259200.0 ; - RECT 121200.0 258900.0 120000.0 260100.0 ; - RECT 112800.0 270150.0 114000.0 268200.0 ; - RECT 112800.0 282000.0 114000.0 280050.0 ; - RECT 108000.0 280650.0 109200.0 282450.0 ; - RECT 108000.0 271350.0 109200.0 267750.0 ; - RECT 110700.0 280650.0 111600.0 271350.0 ; - RECT 108000.0 271350.0 109200.0 270150.0 ; - RECT 110400.0 271350.0 111600.0 270150.0 ; - RECT 110400.0 271350.0 111600.0 270150.0 ; - RECT 108000.0 271350.0 109200.0 270150.0 ; - RECT 108000.0 280650.0 109200.0 279450.0 ; - RECT 110400.0 280650.0 111600.0 279450.0 ; - RECT 110400.0 280650.0 111600.0 279450.0 ; - RECT 108000.0 280650.0 109200.0 279450.0 ; - RECT 112800.0 270750.0 114000.0 269550.0 ; - RECT 112800.0 280650.0 114000.0 279450.0 ; - RECT 108600.0 276000.0 109800.0 274800.0 ; - RECT 108600.0 276000.0 109800.0 274800.0 ; - RECT 111150.0 275850.0 112050.0 274950.0 ; - RECT 106200.0 268650.0 115800.0 267750.0 ; - RECT 106200.0 282450.0 115800.0 281550.0 ; - RECT 117600.0 280050.0 118800.0 282450.0 ; - RECT 117600.0 271350.0 118800.0 267750.0 ; - RECT 122400.0 271350.0 123600.0 267750.0 ; - RECT 124800.0 270150.0 126000.0 268200.0 ; - RECT 124800.0 282000.0 126000.0 280050.0 ; - RECT 117600.0 271350.0 118800.0 270150.0 ; - RECT 120000.0 271350.0 121200.0 270150.0 ; - RECT 120000.0 271350.0 121200.0 270150.0 ; - RECT 117600.0 271350.0 118800.0 270150.0 ; - RECT 120000.0 271350.0 121200.0 270150.0 ; - RECT 122400.0 271350.0 123600.0 270150.0 ; - RECT 122400.0 271350.0 123600.0 270150.0 ; - RECT 120000.0 271350.0 121200.0 270150.0 ; - RECT 117600.0 280050.0 118800.0 278850.0 ; - RECT 120000.0 280050.0 121200.0 278850.0 ; - RECT 120000.0 280050.0 121200.0 278850.0 ; - RECT 117600.0 280050.0 118800.0 278850.0 ; - RECT 120000.0 280050.0 121200.0 278850.0 ; - RECT 122400.0 280050.0 123600.0 278850.0 ; - RECT 122400.0 280050.0 123600.0 278850.0 ; - RECT 120000.0 280050.0 121200.0 278850.0 ; - RECT 124800.0 270750.0 126000.0 269550.0 ; - RECT 124800.0 280650.0 126000.0 279450.0 ; - RECT 122400.0 277500.0 121200.0 276300.0 ; - RECT 119400.0 274800.0 118200.0 273600.0 ; - RECT 120000.0 271350.0 121200.0 270150.0 ; - RECT 122400.0 280050.0 123600.0 278850.0 ; - RECT 123600.0 274800.0 122400.0 273600.0 ; - RECT 118200.0 274800.0 119400.0 273600.0 ; - RECT 121200.0 277500.0 122400.0 276300.0 ; - RECT 122400.0 274800.0 123600.0 273600.0 ; - RECT 115800.0 268650.0 130200.0 267750.0 ; - RECT 115800.0 282450.0 130200.0 281550.0 ; - RECT 136800.0 270150.0 138000.0 268200.0 ; - RECT 136800.0 282000.0 138000.0 280050.0 ; - RECT 132000.0 280650.0 133200.0 282450.0 ; - RECT 132000.0 271350.0 133200.0 267750.0 ; - RECT 134700.0 280650.0 135600.0 271350.0 ; - RECT 132000.0 271350.0 133200.0 270150.0 ; - RECT 134400.0 271350.0 135600.0 270150.0 ; - RECT 134400.0 271350.0 135600.0 270150.0 ; - RECT 132000.0 271350.0 133200.0 270150.0 ; - RECT 132000.0 280650.0 133200.0 279450.0 ; - RECT 134400.0 280650.0 135600.0 279450.0 ; - RECT 134400.0 280650.0 135600.0 279450.0 ; - RECT 132000.0 280650.0 133200.0 279450.0 ; - RECT 136800.0 270750.0 138000.0 269550.0 ; - RECT 136800.0 280650.0 138000.0 279450.0 ; - RECT 132600.0 276000.0 133800.0 274800.0 ; - RECT 132600.0 276000.0 133800.0 274800.0 ; - RECT 135150.0 275850.0 136050.0 274950.0 ; - RECT 130200.0 268650.0 139800.0 267750.0 ; - RECT 130200.0 282450.0 139800.0 281550.0 ; - RECT 102450.0 274800.0 103650.0 276000.0 ; - RECT 104400.0 277200.0 105600.0 278400.0 ; - RECT 121200.0 276300.0 120000.0 277500.0 ; - RECT 112800.0 293850.0 114000.0 295800.0 ; - RECT 112800.0 282000.0 114000.0 283950.0 ; - RECT 108000.0 283350.0 109200.0 281550.0 ; - RECT 108000.0 292650.0 109200.0 296250.0 ; - RECT 110700.0 283350.0 111600.0 292650.0 ; - RECT 108000.0 292650.0 109200.0 293850.0 ; - RECT 110400.0 292650.0 111600.0 293850.0 ; - RECT 110400.0 292650.0 111600.0 293850.0 ; - RECT 108000.0 292650.0 109200.0 293850.0 ; - RECT 108000.0 283350.0 109200.0 284550.0 ; - RECT 110400.0 283350.0 111600.0 284550.0 ; - RECT 110400.0 283350.0 111600.0 284550.0 ; - RECT 108000.0 283350.0 109200.0 284550.0 ; - RECT 112800.0 293250.0 114000.0 294450.0 ; - RECT 112800.0 283350.0 114000.0 284550.0 ; - RECT 108600.0 288000.0 109800.0 289200.0 ; - RECT 108600.0 288000.0 109800.0 289200.0 ; - RECT 111150.0 288150.0 112050.0 289050.0 ; - RECT 106200.0 295350.0 115800.0 296250.0 ; - RECT 106200.0 281550.0 115800.0 282450.0 ; - RECT 117600.0 283950.0 118800.0 281550.0 ; - RECT 117600.0 292650.0 118800.0 296250.0 ; - RECT 122400.0 292650.0 123600.0 296250.0 ; - RECT 124800.0 293850.0 126000.0 295800.0 ; - RECT 124800.0 282000.0 126000.0 283950.0 ; - RECT 117600.0 292650.0 118800.0 293850.0 ; - RECT 120000.0 292650.0 121200.0 293850.0 ; - RECT 120000.0 292650.0 121200.0 293850.0 ; - RECT 117600.0 292650.0 118800.0 293850.0 ; - RECT 120000.0 292650.0 121200.0 293850.0 ; - RECT 122400.0 292650.0 123600.0 293850.0 ; - RECT 122400.0 292650.0 123600.0 293850.0 ; - RECT 120000.0 292650.0 121200.0 293850.0 ; - RECT 117600.0 283950.0 118800.0 285150.0 ; - RECT 120000.0 283950.0 121200.0 285150.0 ; - RECT 120000.0 283950.0 121200.0 285150.0 ; - RECT 117600.0 283950.0 118800.0 285150.0 ; - RECT 120000.0 283950.0 121200.0 285150.0 ; - RECT 122400.0 283950.0 123600.0 285150.0 ; - RECT 122400.0 283950.0 123600.0 285150.0 ; - RECT 120000.0 283950.0 121200.0 285150.0 ; - RECT 124800.0 293250.0 126000.0 294450.0 ; - RECT 124800.0 283350.0 126000.0 284550.0 ; - RECT 122400.0 286500.0 121200.0 287700.0 ; - RECT 119400.0 289200.0 118200.0 290400.0 ; - RECT 120000.0 292650.0 121200.0 293850.0 ; - RECT 122400.0 283950.0 123600.0 285150.0 ; - RECT 123600.0 289200.0 122400.0 290400.0 ; - RECT 118200.0 289200.0 119400.0 290400.0 ; - RECT 121200.0 286500.0 122400.0 287700.0 ; - RECT 122400.0 289200.0 123600.0 290400.0 ; - RECT 115800.0 295350.0 130200.0 296250.0 ; - RECT 115800.0 281550.0 130200.0 282450.0 ; - RECT 136800.0 293850.0 138000.0 295800.0 ; - RECT 136800.0 282000.0 138000.0 283950.0 ; - RECT 132000.0 283350.0 133200.0 281550.0 ; - RECT 132000.0 292650.0 133200.0 296250.0 ; - RECT 134700.0 283350.0 135600.0 292650.0 ; - RECT 132000.0 292650.0 133200.0 293850.0 ; - RECT 134400.0 292650.0 135600.0 293850.0 ; - RECT 134400.0 292650.0 135600.0 293850.0 ; - RECT 132000.0 292650.0 133200.0 293850.0 ; - RECT 132000.0 283350.0 133200.0 284550.0 ; - RECT 134400.0 283350.0 135600.0 284550.0 ; - RECT 134400.0 283350.0 135600.0 284550.0 ; - RECT 132000.0 283350.0 133200.0 284550.0 ; - RECT 136800.0 293250.0 138000.0 294450.0 ; - RECT 136800.0 283350.0 138000.0 284550.0 ; - RECT 132600.0 288000.0 133800.0 289200.0 ; - RECT 132600.0 288000.0 133800.0 289200.0 ; - RECT 135150.0 288150.0 136050.0 289050.0 ; - RECT 130200.0 295350.0 139800.0 296250.0 ; - RECT 130200.0 281550.0 139800.0 282450.0 ; - RECT 102450.0 288000.0 103650.0 289200.0 ; - RECT 104400.0 285600.0 105600.0 286800.0 ; - RECT 121200.0 286500.0 120000.0 287700.0 ; - RECT 112800.0 297750.0 114000.0 295800.0 ; - RECT 112800.0 309600.0 114000.0 307650.0 ; - RECT 108000.0 308250.0 109200.0 310050.0 ; - RECT 108000.0 298950.0 109200.0 295350.0 ; - RECT 110700.0 308250.0 111600.0 298950.0 ; - RECT 108000.0 298950.0 109200.0 297750.0 ; - RECT 110400.0 298950.0 111600.0 297750.0 ; - RECT 110400.0 298950.0 111600.0 297750.0 ; - RECT 108000.0 298950.0 109200.0 297750.0 ; - RECT 108000.0 308250.0 109200.0 307050.0 ; - RECT 110400.0 308250.0 111600.0 307050.0 ; - RECT 110400.0 308250.0 111600.0 307050.0 ; - RECT 108000.0 308250.0 109200.0 307050.0 ; - RECT 112800.0 298350.0 114000.0 297150.0 ; - RECT 112800.0 308250.0 114000.0 307050.0 ; - RECT 108600.0 303600.0 109800.0 302400.0 ; - RECT 108600.0 303600.0 109800.0 302400.0 ; - RECT 111150.0 303450.0 112050.0 302550.0 ; - RECT 106200.0 296250.0 115800.0 295350.0 ; - RECT 106200.0 310050.0 115800.0 309150.0 ; - RECT 117600.0 307650.0 118800.0 310050.0 ; - RECT 117600.0 298950.0 118800.0 295350.0 ; - RECT 122400.0 298950.0 123600.0 295350.0 ; - RECT 124800.0 297750.0 126000.0 295800.0 ; - RECT 124800.0 309600.0 126000.0 307650.0 ; - RECT 117600.0 298950.0 118800.0 297750.0 ; - RECT 120000.0 298950.0 121200.0 297750.0 ; - RECT 120000.0 298950.0 121200.0 297750.0 ; - RECT 117600.0 298950.0 118800.0 297750.0 ; - RECT 120000.0 298950.0 121200.0 297750.0 ; - RECT 122400.0 298950.0 123600.0 297750.0 ; - RECT 122400.0 298950.0 123600.0 297750.0 ; - RECT 120000.0 298950.0 121200.0 297750.0 ; - RECT 117600.0 307650.0 118800.0 306450.0 ; - RECT 120000.0 307650.0 121200.0 306450.0 ; - RECT 120000.0 307650.0 121200.0 306450.0 ; - RECT 117600.0 307650.0 118800.0 306450.0 ; - RECT 120000.0 307650.0 121200.0 306450.0 ; - RECT 122400.0 307650.0 123600.0 306450.0 ; - RECT 122400.0 307650.0 123600.0 306450.0 ; - RECT 120000.0 307650.0 121200.0 306450.0 ; - RECT 124800.0 298350.0 126000.0 297150.0 ; - RECT 124800.0 308250.0 126000.0 307050.0 ; - RECT 122400.0 305100.0 121200.0 303900.0 ; - RECT 119400.0 302400.0 118200.0 301200.0 ; - RECT 120000.0 298950.0 121200.0 297750.0 ; - RECT 122400.0 307650.0 123600.0 306450.0 ; - RECT 123600.0 302400.0 122400.0 301200.0 ; - RECT 118200.0 302400.0 119400.0 301200.0 ; - RECT 121200.0 305100.0 122400.0 303900.0 ; - RECT 122400.0 302400.0 123600.0 301200.0 ; - RECT 115800.0 296250.0 130200.0 295350.0 ; - RECT 115800.0 310050.0 130200.0 309150.0 ; - RECT 136800.0 297750.0 138000.0 295800.0 ; - RECT 136800.0 309600.0 138000.0 307650.0 ; - RECT 132000.0 308250.0 133200.0 310050.0 ; - RECT 132000.0 298950.0 133200.0 295350.0 ; - RECT 134700.0 308250.0 135600.0 298950.0 ; - RECT 132000.0 298950.0 133200.0 297750.0 ; - RECT 134400.0 298950.0 135600.0 297750.0 ; - RECT 134400.0 298950.0 135600.0 297750.0 ; - RECT 132000.0 298950.0 133200.0 297750.0 ; - RECT 132000.0 308250.0 133200.0 307050.0 ; - RECT 134400.0 308250.0 135600.0 307050.0 ; - RECT 134400.0 308250.0 135600.0 307050.0 ; - RECT 132000.0 308250.0 133200.0 307050.0 ; - RECT 136800.0 298350.0 138000.0 297150.0 ; - RECT 136800.0 308250.0 138000.0 307050.0 ; - RECT 132600.0 303600.0 133800.0 302400.0 ; - RECT 132600.0 303600.0 133800.0 302400.0 ; - RECT 135150.0 303450.0 136050.0 302550.0 ; - RECT 130200.0 296250.0 139800.0 295350.0 ; - RECT 130200.0 310050.0 139800.0 309150.0 ; - RECT 102450.0 302400.0 103650.0 303600.0 ; - RECT 104400.0 304800.0 105600.0 306000.0 ; - RECT 121200.0 303900.0 120000.0 305100.0 ; - RECT 112800.0 321450.0 114000.0 323400.0 ; - RECT 112800.0 309600.0 114000.0 311550.0 ; - RECT 108000.0 310950.0 109200.0 309150.0 ; - RECT 108000.0 320250.0 109200.0 323850.0 ; - RECT 110700.0 310950.0 111600.0 320250.0 ; - RECT 108000.0 320250.0 109200.0 321450.0 ; - RECT 110400.0 320250.0 111600.0 321450.0 ; - RECT 110400.0 320250.0 111600.0 321450.0 ; - RECT 108000.0 320250.0 109200.0 321450.0 ; - RECT 108000.0 310950.0 109200.0 312150.0 ; - RECT 110400.0 310950.0 111600.0 312150.0 ; - RECT 110400.0 310950.0 111600.0 312150.0 ; - RECT 108000.0 310950.0 109200.0 312150.0 ; - RECT 112800.0 320850.0 114000.0 322050.0 ; - RECT 112800.0 310950.0 114000.0 312150.0 ; - RECT 108600.0 315600.0 109800.0 316800.0 ; - RECT 108600.0 315600.0 109800.0 316800.0 ; - RECT 111150.0 315750.0 112050.0 316650.0 ; - RECT 106200.0 322950.0 115800.0 323850.0 ; - RECT 106200.0 309150.0 115800.0 310050.0 ; - RECT 117600.0 311550.0 118800.0 309150.0 ; - RECT 117600.0 320250.0 118800.0 323850.0 ; - RECT 122400.0 320250.0 123600.0 323850.0 ; - RECT 124800.0 321450.0 126000.0 323400.0 ; - RECT 124800.0 309600.0 126000.0 311550.0 ; - RECT 117600.0 320250.0 118800.0 321450.0 ; - RECT 120000.0 320250.0 121200.0 321450.0 ; - RECT 120000.0 320250.0 121200.0 321450.0 ; - RECT 117600.0 320250.0 118800.0 321450.0 ; - RECT 120000.0 320250.0 121200.0 321450.0 ; - RECT 122400.0 320250.0 123600.0 321450.0 ; - RECT 122400.0 320250.0 123600.0 321450.0 ; - RECT 120000.0 320250.0 121200.0 321450.0 ; - RECT 117600.0 311550.0 118800.0 312750.0 ; - RECT 120000.0 311550.0 121200.0 312750.0 ; - RECT 120000.0 311550.0 121200.0 312750.0 ; - RECT 117600.0 311550.0 118800.0 312750.0 ; - RECT 120000.0 311550.0 121200.0 312750.0 ; - RECT 122400.0 311550.0 123600.0 312750.0 ; - RECT 122400.0 311550.0 123600.0 312750.0 ; - RECT 120000.0 311550.0 121200.0 312750.0 ; - RECT 124800.0 320850.0 126000.0 322050.0 ; - RECT 124800.0 310950.0 126000.0 312150.0 ; - RECT 122400.0 314100.0 121200.0 315300.0 ; - RECT 119400.0 316800.0 118200.0 318000.0 ; - RECT 120000.0 320250.0 121200.0 321450.0 ; - RECT 122400.0 311550.0 123600.0 312750.0 ; - RECT 123600.0 316800.0 122400.0 318000.0 ; - RECT 118200.0 316800.0 119400.0 318000.0 ; - RECT 121200.0 314100.0 122400.0 315300.0 ; - RECT 122400.0 316800.0 123600.0 318000.0 ; - RECT 115800.0 322950.0 130200.0 323850.0 ; - RECT 115800.0 309150.0 130200.0 310050.0 ; - RECT 136800.0 321450.0 138000.0 323400.0 ; - RECT 136800.0 309600.0 138000.0 311550.0 ; - RECT 132000.0 310950.0 133200.0 309150.0 ; - RECT 132000.0 320250.0 133200.0 323850.0 ; - RECT 134700.0 310950.0 135600.0 320250.0 ; - RECT 132000.0 320250.0 133200.0 321450.0 ; - RECT 134400.0 320250.0 135600.0 321450.0 ; - RECT 134400.0 320250.0 135600.0 321450.0 ; - RECT 132000.0 320250.0 133200.0 321450.0 ; - RECT 132000.0 310950.0 133200.0 312150.0 ; - RECT 134400.0 310950.0 135600.0 312150.0 ; - RECT 134400.0 310950.0 135600.0 312150.0 ; - RECT 132000.0 310950.0 133200.0 312150.0 ; - RECT 136800.0 320850.0 138000.0 322050.0 ; - RECT 136800.0 310950.0 138000.0 312150.0 ; - RECT 132600.0 315600.0 133800.0 316800.0 ; - RECT 132600.0 315600.0 133800.0 316800.0 ; - RECT 135150.0 315750.0 136050.0 316650.0 ; - RECT 130200.0 322950.0 139800.0 323850.0 ; - RECT 130200.0 309150.0 139800.0 310050.0 ; - RECT 102450.0 315600.0 103650.0 316800.0 ; - RECT 104400.0 313200.0 105600.0 314400.0 ; - RECT 121200.0 314100.0 120000.0 315300.0 ; - RECT 112800.0 325350.0 114000.0 323400.0 ; - RECT 112800.0 337200.0 114000.0 335250.0 ; - RECT 108000.0 335850.0 109200.0 337650.0 ; - RECT 108000.0 326550.0 109200.0 322950.0 ; - RECT 110700.0 335850.0 111600.0 326550.0 ; - RECT 108000.0 326550.0 109200.0 325350.0 ; - RECT 110400.0 326550.0 111600.0 325350.0 ; - RECT 110400.0 326550.0 111600.0 325350.0 ; - RECT 108000.0 326550.0 109200.0 325350.0 ; - RECT 108000.0 335850.0 109200.0 334650.0 ; - RECT 110400.0 335850.0 111600.0 334650.0 ; - RECT 110400.0 335850.0 111600.0 334650.0 ; - RECT 108000.0 335850.0 109200.0 334650.0 ; - RECT 112800.0 325950.0 114000.0 324750.0 ; - RECT 112800.0 335850.0 114000.0 334650.0 ; - RECT 108600.0 331200.0 109800.0 330000.0 ; - RECT 108600.0 331200.0 109800.0 330000.0 ; - RECT 111150.0 331050.0 112050.0 330150.0 ; - RECT 106200.0 323850.0 115800.0 322950.0 ; - RECT 106200.0 337650.0 115800.0 336750.0 ; - RECT 117600.0 335250.0 118800.0 337650.0 ; - RECT 117600.0 326550.0 118800.0 322950.0 ; - RECT 122400.0 326550.0 123600.0 322950.0 ; - RECT 124800.0 325350.0 126000.0 323400.0 ; - RECT 124800.0 337200.0 126000.0 335250.0 ; - RECT 117600.0 326550.0 118800.0 325350.0 ; - RECT 120000.0 326550.0 121200.0 325350.0 ; - RECT 120000.0 326550.0 121200.0 325350.0 ; - RECT 117600.0 326550.0 118800.0 325350.0 ; - RECT 120000.0 326550.0 121200.0 325350.0 ; - RECT 122400.0 326550.0 123600.0 325350.0 ; - RECT 122400.0 326550.0 123600.0 325350.0 ; - RECT 120000.0 326550.0 121200.0 325350.0 ; - RECT 117600.0 335250.0 118800.0 334050.0 ; - RECT 120000.0 335250.0 121200.0 334050.0 ; - RECT 120000.0 335250.0 121200.0 334050.0 ; - RECT 117600.0 335250.0 118800.0 334050.0 ; - RECT 120000.0 335250.0 121200.0 334050.0 ; - RECT 122400.0 335250.0 123600.0 334050.0 ; - RECT 122400.0 335250.0 123600.0 334050.0 ; - RECT 120000.0 335250.0 121200.0 334050.0 ; - RECT 124800.0 325950.0 126000.0 324750.0 ; - RECT 124800.0 335850.0 126000.0 334650.0 ; - RECT 122400.0 332700.0 121200.0 331500.0 ; - RECT 119400.0 330000.0 118200.0 328800.0 ; - RECT 120000.0 326550.0 121200.0 325350.0 ; - RECT 122400.0 335250.0 123600.0 334050.0 ; - RECT 123600.0 330000.0 122400.0 328800.0 ; - RECT 118200.0 330000.0 119400.0 328800.0 ; - RECT 121200.0 332700.0 122400.0 331500.0 ; - RECT 122400.0 330000.0 123600.0 328800.0 ; - RECT 115800.0 323850.0 130200.0 322950.0 ; - RECT 115800.0 337650.0 130200.0 336750.0 ; - RECT 136800.0 325350.0 138000.0 323400.0 ; - RECT 136800.0 337200.0 138000.0 335250.0 ; - RECT 132000.0 335850.0 133200.0 337650.0 ; - RECT 132000.0 326550.0 133200.0 322950.0 ; - RECT 134700.0 335850.0 135600.0 326550.0 ; - RECT 132000.0 326550.0 133200.0 325350.0 ; - RECT 134400.0 326550.0 135600.0 325350.0 ; - RECT 134400.0 326550.0 135600.0 325350.0 ; - RECT 132000.0 326550.0 133200.0 325350.0 ; - RECT 132000.0 335850.0 133200.0 334650.0 ; - RECT 134400.0 335850.0 135600.0 334650.0 ; - RECT 134400.0 335850.0 135600.0 334650.0 ; - RECT 132000.0 335850.0 133200.0 334650.0 ; - RECT 136800.0 325950.0 138000.0 324750.0 ; - RECT 136800.0 335850.0 138000.0 334650.0 ; - RECT 132600.0 331200.0 133800.0 330000.0 ; - RECT 132600.0 331200.0 133800.0 330000.0 ; - RECT 135150.0 331050.0 136050.0 330150.0 ; - RECT 130200.0 323850.0 139800.0 322950.0 ; - RECT 130200.0 337650.0 139800.0 336750.0 ; - RECT 102450.0 330000.0 103650.0 331200.0 ; - RECT 104400.0 332400.0 105600.0 333600.0 ; - RECT 121200.0 331500.0 120000.0 332700.0 ; - RECT 112800.0 349050.0 114000.0 351000.0 ; - RECT 112800.0 337200.0 114000.0 339150.0 ; - RECT 108000.0 338550.0 109200.0 336750.0 ; - RECT 108000.0 347850.0 109200.0 351450.0 ; - RECT 110700.0 338550.0 111600.0 347850.0 ; - RECT 108000.0 347850.0 109200.0 349050.0 ; - RECT 110400.0 347850.0 111600.0 349050.0 ; - RECT 110400.0 347850.0 111600.0 349050.0 ; - RECT 108000.0 347850.0 109200.0 349050.0 ; - RECT 108000.0 338550.0 109200.0 339750.0 ; - RECT 110400.0 338550.0 111600.0 339750.0 ; - RECT 110400.0 338550.0 111600.0 339750.0 ; - RECT 108000.0 338550.0 109200.0 339750.0 ; - RECT 112800.0 348450.0 114000.0 349650.0 ; - RECT 112800.0 338550.0 114000.0 339750.0 ; - RECT 108600.0 343200.0 109800.0 344400.0 ; - RECT 108600.0 343200.0 109800.0 344400.0 ; - RECT 111150.0 343350.0 112050.0 344250.0 ; - RECT 106200.0 350550.0 115800.0 351450.0 ; - RECT 106200.0 336750.0 115800.0 337650.0 ; - RECT 117600.0 339150.0 118800.0 336750.0 ; - RECT 117600.0 347850.0 118800.0 351450.0 ; - RECT 122400.0 347850.0 123600.0 351450.0 ; - RECT 124800.0 349050.0 126000.0 351000.0 ; - RECT 124800.0 337200.0 126000.0 339150.0 ; - RECT 117600.0 347850.0 118800.0 349050.0 ; - RECT 120000.0 347850.0 121200.0 349050.0 ; - RECT 120000.0 347850.0 121200.0 349050.0 ; - RECT 117600.0 347850.0 118800.0 349050.0 ; - RECT 120000.0 347850.0 121200.0 349050.0 ; - RECT 122400.0 347850.0 123600.0 349050.0 ; - RECT 122400.0 347850.0 123600.0 349050.0 ; - RECT 120000.0 347850.0 121200.0 349050.0 ; - RECT 117600.0 339150.0 118800.0 340350.0 ; - RECT 120000.0 339150.0 121200.0 340350.0 ; - RECT 120000.0 339150.0 121200.0 340350.0 ; - RECT 117600.0 339150.0 118800.0 340350.0 ; - RECT 120000.0 339150.0 121200.0 340350.0 ; - RECT 122400.0 339150.0 123600.0 340350.0 ; - RECT 122400.0 339150.0 123600.0 340350.0 ; - RECT 120000.0 339150.0 121200.0 340350.0 ; - RECT 124800.0 348450.0 126000.0 349650.0 ; - RECT 124800.0 338550.0 126000.0 339750.0 ; - RECT 122400.0 341700.0 121200.0 342900.0 ; - RECT 119400.0 344400.0 118200.0 345600.0 ; - RECT 120000.0 347850.0 121200.0 349050.0 ; - RECT 122400.0 339150.0 123600.0 340350.0 ; - RECT 123600.0 344400.0 122400.0 345600.0 ; - RECT 118200.0 344400.0 119400.0 345600.0 ; - RECT 121200.0 341700.0 122400.0 342900.0 ; - RECT 122400.0 344400.0 123600.0 345600.0 ; - RECT 115800.0 350550.0 130200.0 351450.0 ; - RECT 115800.0 336750.0 130200.0 337650.0 ; - RECT 136800.0 349050.0 138000.0 351000.0 ; - RECT 136800.0 337200.0 138000.0 339150.0 ; - RECT 132000.0 338550.0 133200.0 336750.0 ; - RECT 132000.0 347850.0 133200.0 351450.0 ; - RECT 134700.0 338550.0 135600.0 347850.0 ; - RECT 132000.0 347850.0 133200.0 349050.0 ; - RECT 134400.0 347850.0 135600.0 349050.0 ; - RECT 134400.0 347850.0 135600.0 349050.0 ; - RECT 132000.0 347850.0 133200.0 349050.0 ; - RECT 132000.0 338550.0 133200.0 339750.0 ; - RECT 134400.0 338550.0 135600.0 339750.0 ; - RECT 134400.0 338550.0 135600.0 339750.0 ; - RECT 132000.0 338550.0 133200.0 339750.0 ; - RECT 136800.0 348450.0 138000.0 349650.0 ; - RECT 136800.0 338550.0 138000.0 339750.0 ; - RECT 132600.0 343200.0 133800.0 344400.0 ; - RECT 132600.0 343200.0 133800.0 344400.0 ; - RECT 135150.0 343350.0 136050.0 344250.0 ; - RECT 130200.0 350550.0 139800.0 351450.0 ; - RECT 130200.0 336750.0 139800.0 337650.0 ; - RECT 102450.0 343200.0 103650.0 344400.0 ; - RECT 104400.0 340800.0 105600.0 342000.0 ; - RECT 121200.0 341700.0 120000.0 342900.0 ; - RECT 112800.0 352950.0 114000.0 351000.0 ; - RECT 112800.0 364800.0 114000.0 362850.0 ; - RECT 108000.0 363450.0 109200.0 365250.0 ; - RECT 108000.0 354150.0 109200.0 350550.0 ; - RECT 110700.0 363450.0 111600.0 354150.0 ; - RECT 108000.0 354150.0 109200.0 352950.0 ; - RECT 110400.0 354150.0 111600.0 352950.0 ; - RECT 110400.0 354150.0 111600.0 352950.0 ; - RECT 108000.0 354150.0 109200.0 352950.0 ; - RECT 108000.0 363450.0 109200.0 362250.0 ; - RECT 110400.0 363450.0 111600.0 362250.0 ; - RECT 110400.0 363450.0 111600.0 362250.0 ; - RECT 108000.0 363450.0 109200.0 362250.0 ; - RECT 112800.0 353550.0 114000.0 352350.0 ; - RECT 112800.0 363450.0 114000.0 362250.0 ; - RECT 108600.0 358800.0 109800.0 357600.0 ; - RECT 108600.0 358800.0 109800.0 357600.0 ; - RECT 111150.0 358650.0 112050.0 357750.0 ; - RECT 106200.0 351450.0 115800.0 350550.0 ; - RECT 106200.0 365250.0 115800.0 364350.0 ; - RECT 117600.0 362850.0 118800.0 365250.0 ; - RECT 117600.0 354150.0 118800.0 350550.0 ; - RECT 122400.0 354150.0 123600.0 350550.0 ; - RECT 124800.0 352950.0 126000.0 351000.0 ; - RECT 124800.0 364800.0 126000.0 362850.0 ; - RECT 117600.0 354150.0 118800.0 352950.0 ; - RECT 120000.0 354150.0 121200.0 352950.0 ; - RECT 120000.0 354150.0 121200.0 352950.0 ; - RECT 117600.0 354150.0 118800.0 352950.0 ; - RECT 120000.0 354150.0 121200.0 352950.0 ; - RECT 122400.0 354150.0 123600.0 352950.0 ; - RECT 122400.0 354150.0 123600.0 352950.0 ; - RECT 120000.0 354150.0 121200.0 352950.0 ; - RECT 117600.0 362850.0 118800.0 361650.0 ; - RECT 120000.0 362850.0 121200.0 361650.0 ; - RECT 120000.0 362850.0 121200.0 361650.0 ; - RECT 117600.0 362850.0 118800.0 361650.0 ; - RECT 120000.0 362850.0 121200.0 361650.0 ; - RECT 122400.0 362850.0 123600.0 361650.0 ; - RECT 122400.0 362850.0 123600.0 361650.0 ; - RECT 120000.0 362850.0 121200.0 361650.0 ; - RECT 124800.0 353550.0 126000.0 352350.0 ; - RECT 124800.0 363450.0 126000.0 362250.0 ; - RECT 122400.0 360300.0 121200.0 359100.0 ; - RECT 119400.0 357600.0 118200.0 356400.0 ; - RECT 120000.0 354150.0 121200.0 352950.0 ; - RECT 122400.0 362850.0 123600.0 361650.0 ; - RECT 123600.0 357600.0 122400.0 356400.0 ; - RECT 118200.0 357600.0 119400.0 356400.0 ; - RECT 121200.0 360300.0 122400.0 359100.0 ; - RECT 122400.0 357600.0 123600.0 356400.0 ; - RECT 115800.0 351450.0 130200.0 350550.0 ; - RECT 115800.0 365250.0 130200.0 364350.0 ; - RECT 136800.0 352950.0 138000.0 351000.0 ; - RECT 136800.0 364800.0 138000.0 362850.0 ; - RECT 132000.0 363450.0 133200.0 365250.0 ; - RECT 132000.0 354150.0 133200.0 350550.0 ; - RECT 134700.0 363450.0 135600.0 354150.0 ; - RECT 132000.0 354150.0 133200.0 352950.0 ; - RECT 134400.0 354150.0 135600.0 352950.0 ; - RECT 134400.0 354150.0 135600.0 352950.0 ; - RECT 132000.0 354150.0 133200.0 352950.0 ; - RECT 132000.0 363450.0 133200.0 362250.0 ; - RECT 134400.0 363450.0 135600.0 362250.0 ; - RECT 134400.0 363450.0 135600.0 362250.0 ; - RECT 132000.0 363450.0 133200.0 362250.0 ; - RECT 136800.0 353550.0 138000.0 352350.0 ; - RECT 136800.0 363450.0 138000.0 362250.0 ; - RECT 132600.0 358800.0 133800.0 357600.0 ; - RECT 132600.0 358800.0 133800.0 357600.0 ; - RECT 135150.0 358650.0 136050.0 357750.0 ; - RECT 130200.0 351450.0 139800.0 350550.0 ; - RECT 130200.0 365250.0 139800.0 364350.0 ; - RECT 102450.0 357600.0 103650.0 358800.0 ; - RECT 104400.0 360000.0 105600.0 361200.0 ; - RECT 121200.0 359100.0 120000.0 360300.0 ; - RECT 112800.0 376650.0 114000.0 378600.0 ; - RECT 112800.0 364800.0 114000.0 366750.0 ; - RECT 108000.0 366150.0 109200.0 364350.0 ; - RECT 108000.0 375450.0 109200.0 379050.0 ; - RECT 110700.0 366150.0 111600.0 375450.0 ; - RECT 108000.0 375450.0 109200.0 376650.0 ; - RECT 110400.0 375450.0 111600.0 376650.0 ; - RECT 110400.0 375450.0 111600.0 376650.0 ; - RECT 108000.0 375450.0 109200.0 376650.0 ; - RECT 108000.0 366150.0 109200.0 367350.0 ; - RECT 110400.0 366150.0 111600.0 367350.0 ; - RECT 110400.0 366150.0 111600.0 367350.0 ; - RECT 108000.0 366150.0 109200.0 367350.0 ; - RECT 112800.0 376050.0 114000.0 377250.0 ; - RECT 112800.0 366150.0 114000.0 367350.0 ; - RECT 108600.0 370800.0 109800.0 372000.0 ; - RECT 108600.0 370800.0 109800.0 372000.0 ; - RECT 111150.0 370950.0 112050.0 371850.0 ; - RECT 106200.0 378150.0 115800.0 379050.0 ; - RECT 106200.0 364350.0 115800.0 365250.0 ; - RECT 117600.0 366750.0 118800.0 364350.0 ; - RECT 117600.0 375450.0 118800.0 379050.0 ; - RECT 122400.0 375450.0 123600.0 379050.0 ; - RECT 124800.0 376650.0 126000.0 378600.0 ; - RECT 124800.0 364800.0 126000.0 366750.0 ; - RECT 117600.0 375450.0 118800.0 376650.0 ; - RECT 120000.0 375450.0 121200.0 376650.0 ; - RECT 120000.0 375450.0 121200.0 376650.0 ; - RECT 117600.0 375450.0 118800.0 376650.0 ; - RECT 120000.0 375450.0 121200.0 376650.0 ; - RECT 122400.0 375450.0 123600.0 376650.0 ; - RECT 122400.0 375450.0 123600.0 376650.0 ; - RECT 120000.0 375450.0 121200.0 376650.0 ; - RECT 117600.0 366750.0 118800.0 367950.0 ; - RECT 120000.0 366750.0 121200.0 367950.0 ; - RECT 120000.0 366750.0 121200.0 367950.0 ; - RECT 117600.0 366750.0 118800.0 367950.0 ; - RECT 120000.0 366750.0 121200.0 367950.0 ; - RECT 122400.0 366750.0 123600.0 367950.0 ; - RECT 122400.0 366750.0 123600.0 367950.0 ; - RECT 120000.0 366750.0 121200.0 367950.0 ; - RECT 124800.0 376050.0 126000.0 377250.0 ; - RECT 124800.0 366150.0 126000.0 367350.0 ; - RECT 122400.0 369300.0 121200.0 370500.0 ; - RECT 119400.0 372000.0 118200.0 373200.0 ; - RECT 120000.0 375450.0 121200.0 376650.0 ; - RECT 122400.0 366750.0 123600.0 367950.0 ; - RECT 123600.0 372000.0 122400.0 373200.0 ; - RECT 118200.0 372000.0 119400.0 373200.0 ; - RECT 121200.0 369300.0 122400.0 370500.0 ; - RECT 122400.0 372000.0 123600.0 373200.0 ; - RECT 115800.0 378150.0 130200.0 379050.0 ; - RECT 115800.0 364350.0 130200.0 365250.0 ; - RECT 136800.0 376650.0 138000.0 378600.0 ; - RECT 136800.0 364800.0 138000.0 366750.0 ; - RECT 132000.0 366150.0 133200.0 364350.0 ; - RECT 132000.0 375450.0 133200.0 379050.0 ; - RECT 134700.0 366150.0 135600.0 375450.0 ; - RECT 132000.0 375450.0 133200.0 376650.0 ; - RECT 134400.0 375450.0 135600.0 376650.0 ; - RECT 134400.0 375450.0 135600.0 376650.0 ; - RECT 132000.0 375450.0 133200.0 376650.0 ; - RECT 132000.0 366150.0 133200.0 367350.0 ; - RECT 134400.0 366150.0 135600.0 367350.0 ; - RECT 134400.0 366150.0 135600.0 367350.0 ; - RECT 132000.0 366150.0 133200.0 367350.0 ; - RECT 136800.0 376050.0 138000.0 377250.0 ; - RECT 136800.0 366150.0 138000.0 367350.0 ; - RECT 132600.0 370800.0 133800.0 372000.0 ; - RECT 132600.0 370800.0 133800.0 372000.0 ; - RECT 135150.0 370950.0 136050.0 371850.0 ; - RECT 130200.0 378150.0 139800.0 379050.0 ; - RECT 130200.0 364350.0 139800.0 365250.0 ; - RECT 102450.0 370800.0 103650.0 372000.0 ; - RECT 104400.0 368400.0 105600.0 369600.0 ; - RECT 121200.0 369300.0 120000.0 370500.0 ; - RECT 112800.0 380550.0 114000.0 378600.0 ; - RECT 112800.0 392400.0 114000.0 390450.0 ; - RECT 108000.0 391050.0 109200.0 392850.0 ; - RECT 108000.0 381750.0 109200.0 378150.0 ; - RECT 110700.0 391050.0 111600.0 381750.0 ; - RECT 108000.0 381750.0 109200.0 380550.0 ; - RECT 110400.0 381750.0 111600.0 380550.0 ; - RECT 110400.0 381750.0 111600.0 380550.0 ; - RECT 108000.0 381750.0 109200.0 380550.0 ; - RECT 108000.0 391050.0 109200.0 389850.0 ; - RECT 110400.0 391050.0 111600.0 389850.0 ; - RECT 110400.0 391050.0 111600.0 389850.0 ; - RECT 108000.0 391050.0 109200.0 389850.0 ; - RECT 112800.0 381150.0 114000.0 379950.0 ; - RECT 112800.0 391050.0 114000.0 389850.0 ; - RECT 108600.0 386400.0 109800.0 385200.0 ; - RECT 108600.0 386400.0 109800.0 385200.0 ; - RECT 111150.0 386250.0 112050.0 385350.0 ; - RECT 106200.0 379050.0 115800.0 378150.0 ; - RECT 106200.0 392850.0 115800.0 391950.0 ; - RECT 117600.0 390450.0 118800.0 392850.0 ; - RECT 117600.0 381750.0 118800.0 378150.0 ; - RECT 122400.0 381750.0 123600.0 378150.0 ; - RECT 124800.0 380550.0 126000.0 378600.0 ; - RECT 124800.0 392400.0 126000.0 390450.0 ; - RECT 117600.0 381750.0 118800.0 380550.0 ; - RECT 120000.0 381750.0 121200.0 380550.0 ; - RECT 120000.0 381750.0 121200.0 380550.0 ; - RECT 117600.0 381750.0 118800.0 380550.0 ; - RECT 120000.0 381750.0 121200.0 380550.0 ; - RECT 122400.0 381750.0 123600.0 380550.0 ; - RECT 122400.0 381750.0 123600.0 380550.0 ; - RECT 120000.0 381750.0 121200.0 380550.0 ; - RECT 117600.0 390450.0 118800.0 389250.0 ; - RECT 120000.0 390450.0 121200.0 389250.0 ; - RECT 120000.0 390450.0 121200.0 389250.0 ; - RECT 117600.0 390450.0 118800.0 389250.0 ; - RECT 120000.0 390450.0 121200.0 389250.0 ; - RECT 122400.0 390450.0 123600.0 389250.0 ; - RECT 122400.0 390450.0 123600.0 389250.0 ; - RECT 120000.0 390450.0 121200.0 389250.0 ; - RECT 124800.0 381150.0 126000.0 379950.0 ; - RECT 124800.0 391050.0 126000.0 389850.0 ; - RECT 122400.0 387900.0 121200.0 386700.0 ; - RECT 119400.0 385200.0 118200.0 384000.0 ; - RECT 120000.0 381750.0 121200.0 380550.0 ; - RECT 122400.0 390450.0 123600.0 389250.0 ; - RECT 123600.0 385200.0 122400.0 384000.0 ; - RECT 118200.0 385200.0 119400.0 384000.0 ; - RECT 121200.0 387900.0 122400.0 386700.0 ; - RECT 122400.0 385200.0 123600.0 384000.0 ; - RECT 115800.0 379050.0 130200.0 378150.0 ; - RECT 115800.0 392850.0 130200.0 391950.0 ; - RECT 136800.0 380550.0 138000.0 378600.0 ; - RECT 136800.0 392400.0 138000.0 390450.0 ; - RECT 132000.0 391050.0 133200.0 392850.0 ; - RECT 132000.0 381750.0 133200.0 378150.0 ; - RECT 134700.0 391050.0 135600.0 381750.0 ; - RECT 132000.0 381750.0 133200.0 380550.0 ; - RECT 134400.0 381750.0 135600.0 380550.0 ; - RECT 134400.0 381750.0 135600.0 380550.0 ; - RECT 132000.0 381750.0 133200.0 380550.0 ; - RECT 132000.0 391050.0 133200.0 389850.0 ; - RECT 134400.0 391050.0 135600.0 389850.0 ; - RECT 134400.0 391050.0 135600.0 389850.0 ; - RECT 132000.0 391050.0 133200.0 389850.0 ; - RECT 136800.0 381150.0 138000.0 379950.0 ; - RECT 136800.0 391050.0 138000.0 389850.0 ; - RECT 132600.0 386400.0 133800.0 385200.0 ; - RECT 132600.0 386400.0 133800.0 385200.0 ; - RECT 135150.0 386250.0 136050.0 385350.0 ; - RECT 130200.0 379050.0 139800.0 378150.0 ; - RECT 130200.0 392850.0 139800.0 391950.0 ; - RECT 102450.0 385200.0 103650.0 386400.0 ; - RECT 104400.0 387600.0 105600.0 388800.0 ; - RECT 121200.0 386700.0 120000.0 387900.0 ; - RECT 112800.0 404250.0 114000.0 406200.0 ; - RECT 112800.0 392400.0 114000.0 394350.0 ; - RECT 108000.0 393750.0 109200.0 391950.0 ; - RECT 108000.0 403050.0 109200.0 406650.0 ; - RECT 110700.0 393750.0 111600.0 403050.0 ; - RECT 108000.0 403050.0 109200.0 404250.0 ; - RECT 110400.0 403050.0 111600.0 404250.0 ; - RECT 110400.0 403050.0 111600.0 404250.0 ; - RECT 108000.0 403050.0 109200.0 404250.0 ; - RECT 108000.0 393750.0 109200.0 394950.0 ; - RECT 110400.0 393750.0 111600.0 394950.0 ; - RECT 110400.0 393750.0 111600.0 394950.0 ; - RECT 108000.0 393750.0 109200.0 394950.0 ; - RECT 112800.0 403650.0 114000.0 404850.0 ; - RECT 112800.0 393750.0 114000.0 394950.0 ; - RECT 108600.0 398400.0 109800.0 399600.0 ; - RECT 108600.0 398400.0 109800.0 399600.0 ; - RECT 111150.0 398550.0 112050.0 399450.0 ; - RECT 106200.0 405750.0 115800.0 406650.0 ; - RECT 106200.0 391950.0 115800.0 392850.0 ; - RECT 117600.0 394350.0 118800.0 391950.0 ; - RECT 117600.0 403050.0 118800.0 406650.0 ; - RECT 122400.0 403050.0 123600.0 406650.0 ; - RECT 124800.0 404250.0 126000.0 406200.0 ; - RECT 124800.0 392400.0 126000.0 394350.0 ; - RECT 117600.0 403050.0 118800.0 404250.0 ; - RECT 120000.0 403050.0 121200.0 404250.0 ; - RECT 120000.0 403050.0 121200.0 404250.0 ; - RECT 117600.0 403050.0 118800.0 404250.0 ; - RECT 120000.0 403050.0 121200.0 404250.0 ; - RECT 122400.0 403050.0 123600.0 404250.0 ; - RECT 122400.0 403050.0 123600.0 404250.0 ; - RECT 120000.0 403050.0 121200.0 404250.0 ; - RECT 117600.0 394350.0 118800.0 395550.0 ; - RECT 120000.0 394350.0 121200.0 395550.0 ; - RECT 120000.0 394350.0 121200.0 395550.0 ; - RECT 117600.0 394350.0 118800.0 395550.0 ; - RECT 120000.0 394350.0 121200.0 395550.0 ; - RECT 122400.0 394350.0 123600.0 395550.0 ; - RECT 122400.0 394350.0 123600.0 395550.0 ; - RECT 120000.0 394350.0 121200.0 395550.0 ; - RECT 124800.0 403650.0 126000.0 404850.0 ; - RECT 124800.0 393750.0 126000.0 394950.0 ; - RECT 122400.0 396900.0 121200.0 398100.0 ; - RECT 119400.0 399600.0 118200.0 400800.0 ; - RECT 120000.0 403050.0 121200.0 404250.0 ; - RECT 122400.0 394350.0 123600.0 395550.0 ; - RECT 123600.0 399600.0 122400.0 400800.0 ; - RECT 118200.0 399600.0 119400.0 400800.0 ; - RECT 121200.0 396900.0 122400.0 398100.0 ; - RECT 122400.0 399600.0 123600.0 400800.0 ; - RECT 115800.0 405750.0 130200.0 406650.0 ; - RECT 115800.0 391950.0 130200.0 392850.0 ; - RECT 136800.0 404250.0 138000.0 406200.0 ; - RECT 136800.0 392400.0 138000.0 394350.0 ; - RECT 132000.0 393750.0 133200.0 391950.0 ; - RECT 132000.0 403050.0 133200.0 406650.0 ; - RECT 134700.0 393750.0 135600.0 403050.0 ; - RECT 132000.0 403050.0 133200.0 404250.0 ; - RECT 134400.0 403050.0 135600.0 404250.0 ; - RECT 134400.0 403050.0 135600.0 404250.0 ; - RECT 132000.0 403050.0 133200.0 404250.0 ; - RECT 132000.0 393750.0 133200.0 394950.0 ; - RECT 134400.0 393750.0 135600.0 394950.0 ; - RECT 134400.0 393750.0 135600.0 394950.0 ; - RECT 132000.0 393750.0 133200.0 394950.0 ; - RECT 136800.0 403650.0 138000.0 404850.0 ; - RECT 136800.0 393750.0 138000.0 394950.0 ; - RECT 132600.0 398400.0 133800.0 399600.0 ; - RECT 132600.0 398400.0 133800.0 399600.0 ; - RECT 135150.0 398550.0 136050.0 399450.0 ; - RECT 130200.0 405750.0 139800.0 406650.0 ; - RECT 130200.0 391950.0 139800.0 392850.0 ; - RECT 102450.0 398400.0 103650.0 399600.0 ; - RECT 104400.0 396000.0 105600.0 397200.0 ; - RECT 121200.0 396900.0 120000.0 398100.0 ; - RECT 112800.0 408150.0 114000.0 406200.0 ; - RECT 112800.0 420000.0 114000.0 418050.0 ; - RECT 108000.0 418650.0 109200.0 420450.0 ; - RECT 108000.0 409350.0 109200.0 405750.0 ; - RECT 110700.0 418650.0 111600.0 409350.0 ; - RECT 108000.0 409350.0 109200.0 408150.0 ; - RECT 110400.0 409350.0 111600.0 408150.0 ; - RECT 110400.0 409350.0 111600.0 408150.0 ; - RECT 108000.0 409350.0 109200.0 408150.0 ; - RECT 108000.0 418650.0 109200.0 417450.0 ; - RECT 110400.0 418650.0 111600.0 417450.0 ; - RECT 110400.0 418650.0 111600.0 417450.0 ; - RECT 108000.0 418650.0 109200.0 417450.0 ; - RECT 112800.0 408750.0 114000.0 407550.0 ; - RECT 112800.0 418650.0 114000.0 417450.0 ; - RECT 108600.0 414000.0 109800.0 412800.0 ; - RECT 108600.0 414000.0 109800.0 412800.0 ; - RECT 111150.0 413850.0 112050.0 412950.0 ; - RECT 106200.0 406650.0 115800.0 405750.0 ; - RECT 106200.0 420450.0 115800.0 419550.0 ; - RECT 117600.0 418050.0 118800.0 420450.0 ; - RECT 117600.0 409350.0 118800.0 405750.0 ; - RECT 122400.0 409350.0 123600.0 405750.0 ; - RECT 124800.0 408150.0 126000.0 406200.0 ; - RECT 124800.0 420000.0 126000.0 418050.0 ; - RECT 117600.0 409350.0 118800.0 408150.0 ; - RECT 120000.0 409350.0 121200.0 408150.0 ; - RECT 120000.0 409350.0 121200.0 408150.0 ; - RECT 117600.0 409350.0 118800.0 408150.0 ; - RECT 120000.0 409350.0 121200.0 408150.0 ; - RECT 122400.0 409350.0 123600.0 408150.0 ; - RECT 122400.0 409350.0 123600.0 408150.0 ; - RECT 120000.0 409350.0 121200.0 408150.0 ; - RECT 117600.0 418050.0 118800.0 416850.0 ; - RECT 120000.0 418050.0 121200.0 416850.0 ; - RECT 120000.0 418050.0 121200.0 416850.0 ; - RECT 117600.0 418050.0 118800.0 416850.0 ; - RECT 120000.0 418050.0 121200.0 416850.0 ; - RECT 122400.0 418050.0 123600.0 416850.0 ; - RECT 122400.0 418050.0 123600.0 416850.0 ; - RECT 120000.0 418050.0 121200.0 416850.0 ; - RECT 124800.0 408750.0 126000.0 407550.0 ; - RECT 124800.0 418650.0 126000.0 417450.0 ; - RECT 122400.0 415500.0 121200.0 414300.0 ; - RECT 119400.0 412800.0 118200.0 411600.0 ; - RECT 120000.0 409350.0 121200.0 408150.0 ; - RECT 122400.0 418050.0 123600.0 416850.0 ; - RECT 123600.0 412800.0 122400.0 411600.0 ; - RECT 118200.0 412800.0 119400.0 411600.0 ; - RECT 121200.0 415500.0 122400.0 414300.0 ; - RECT 122400.0 412800.0 123600.0 411600.0 ; - RECT 115800.0 406650.0 130200.0 405750.0 ; - RECT 115800.0 420450.0 130200.0 419550.0 ; - RECT 136800.0 408150.0 138000.0 406200.0 ; - RECT 136800.0 420000.0 138000.0 418050.0 ; - RECT 132000.0 418650.0 133200.0 420450.0 ; - RECT 132000.0 409350.0 133200.0 405750.0 ; - RECT 134700.0 418650.0 135600.0 409350.0 ; - RECT 132000.0 409350.0 133200.0 408150.0 ; - RECT 134400.0 409350.0 135600.0 408150.0 ; - RECT 134400.0 409350.0 135600.0 408150.0 ; - RECT 132000.0 409350.0 133200.0 408150.0 ; - RECT 132000.0 418650.0 133200.0 417450.0 ; - RECT 134400.0 418650.0 135600.0 417450.0 ; - RECT 134400.0 418650.0 135600.0 417450.0 ; - RECT 132000.0 418650.0 133200.0 417450.0 ; - RECT 136800.0 408750.0 138000.0 407550.0 ; - RECT 136800.0 418650.0 138000.0 417450.0 ; - RECT 132600.0 414000.0 133800.0 412800.0 ; - RECT 132600.0 414000.0 133800.0 412800.0 ; - RECT 135150.0 413850.0 136050.0 412950.0 ; - RECT 130200.0 406650.0 139800.0 405750.0 ; - RECT 130200.0 420450.0 139800.0 419550.0 ; - RECT 102450.0 412800.0 103650.0 414000.0 ; - RECT 104400.0 415200.0 105600.0 416400.0 ; - RECT 121200.0 414300.0 120000.0 415500.0 ; - RECT 99900.0 202950.0 105000.0 203850.0 ; - RECT 99900.0 222150.0 105000.0 223050.0 ; - RECT 99900.0 230550.0 105000.0 231450.0 ; - RECT 99900.0 249750.0 105000.0 250650.0 ; - RECT 99900.0 258150.0 105000.0 259050.0 ; - RECT 99900.0 277350.0 105000.0 278250.0 ; - RECT 99900.0 285750.0 105000.0 286650.0 ; - RECT 99900.0 304950.0 105000.0 305850.0 ; - RECT 99900.0 313350.0 105000.0 314250.0 ; - RECT 99900.0 332550.0 105000.0 333450.0 ; - RECT 99900.0 340950.0 105000.0 341850.0 ; - RECT 99900.0 360150.0 105000.0 361050.0 ; - RECT 99900.0 368550.0 105000.0 369450.0 ; - RECT 99900.0 387750.0 105000.0 388650.0 ; - RECT 99900.0 396150.0 105000.0 397050.0 ; - RECT 99900.0 415350.0 105000.0 416250.0 ; - RECT 135150.0 205350.0 136050.0 206250.0 ; - RECT 135150.0 219750.0 136050.0 220650.0 ; - RECT 135150.0 232950.0 136050.0 233850.0 ; - RECT 135150.0 247350.0 136050.0 248250.0 ; - RECT 135150.0 260550.0 136050.0 261450.0 ; - RECT 135150.0 274950.0 136050.0 275850.0 ; - RECT 135150.0 288150.0 136050.0 289050.0 ; - RECT 135150.0 302550.0 136050.0 303450.0 ; - RECT 135150.0 315750.0 136050.0 316650.0 ; - RECT 135150.0 330150.0 136050.0 331050.0 ; - RECT 135150.0 343350.0 136050.0 344250.0 ; - RECT 135150.0 357750.0 136050.0 358650.0 ; - RECT 135150.0 370950.0 136050.0 371850.0 ; - RECT 135150.0 385350.0 136050.0 386250.0 ; - RECT 135150.0 398550.0 136050.0 399450.0 ; - RECT 135150.0 412950.0 136050.0 413850.0 ; - RECT 99900.0 212550.0 106200.0 213450.0 ; - RECT 99900.0 240150.0 106200.0 241050.0 ; - RECT 99900.0 267750.0 106200.0 268650.0 ; - RECT 99900.0 295350.0 106200.0 296250.0 ; - RECT 99900.0 322950.0 106200.0 323850.0 ; - RECT 99900.0 350550.0 106200.0 351450.0 ; - RECT 99900.0 378150.0 106200.0 379050.0 ; - RECT 99900.0 405750.0 106200.0 406650.0 ; - RECT 99900.0 198750.0 106200.0 199650.0 ; - RECT 99900.0 226350.0 106200.0 227250.0 ; - RECT 99900.0 253950.0 106200.0 254850.0 ; - RECT 99900.0 281550.0 106200.0 282450.0 ; - RECT 99900.0 309150.0 106200.0 310050.0 ; - RECT 99900.0 336750.0 106200.0 337650.0 ; - RECT 99900.0 364350.0 106200.0 365250.0 ; - RECT 99900.0 391950.0 106200.0 392850.0 ; - RECT 99900.0 419550.0 106200.0 420450.0 ; - RECT 59100.0 83400.0 119100.0 73200.0 ; - RECT 59100.0 63000.0 119100.0 73200.0 ; - RECT 59100.0 63000.0 119100.0 52800.0 ; - RECT 59100.0 42600.0 119100.0 52800.0 ; - RECT 61500.0 83400.0 62400.0 42600.0 ; - RECT 115500.0 83400.0 116400.0 42600.0 ; - RECT 148050.0 199800.0 149250.0 198600.0 ; - RECT 148050.0 227400.0 149250.0 226200.0 ; - RECT 148050.0 255000.0 149250.0 253800.0 ; - RECT 148050.0 282600.0 149250.0 281400.0 ; - RECT 148050.0 310200.0 149250.0 309000.0 ; - RECT 148050.0 337800.0 149250.0 336600.0 ; - RECT 148050.0 365400.0 149250.0 364200.0 ; - RECT 148050.0 393000.0 149250.0 391800.0 ; - RECT 148050.0 420600.0 149250.0 419400.0 ; - RECT 130500.0 91050.0 129300.0 92250.0 ; - RECT 135600.0 90900.0 134400.0 92100.0 ; - RECT 127500.0 104850.0 126300.0 106050.0 ; - RECT 138300.0 104700.0 137100.0 105900.0 ; - RECT 130500.0 146250.0 129300.0 147450.0 ; - RECT 141000.0 146100.0 139800.0 147300.0 ; - RECT 127500.0 160050.0 126300.0 161250.0 ; - RECT 143700.0 159900.0 142500.0 161100.0 ; - RECT 132600.0 88200.0 131400.0 89400.0 ; - RECT 132600.0 115800.0 131400.0 117000.0 ; - RECT 132600.0 143400.0 131400.0 144600.0 ; - RECT 132600.0 171000.0 131400.0 172200.0 ; - RECT 118500.0 76950.0 117300.0 78150.0 ; - RECT 135600.0 76950.0 134400.0 78150.0 ; - RECT 118500.0 68250.0 117300.0 69450.0 ; - RECT 138300.0 68250.0 137100.0 69450.0 ; - RECT 118500.0 56550.0 117300.0 57750.0 ; - RECT 141000.0 56550.0 139800.0 57750.0 ; - RECT 118500.0 47850.0 117300.0 49050.0 ; - RECT 143700.0 47850.0 142500.0 49050.0 ; - RECT 120300.0 72600.0 119100.0 73800.0 ; - RECT 149250.0 72750.0 148050.0 73950.0 ; - RECT 120300.0 52200.0 119100.0 53400.0 ; - RECT 149250.0 52350.0 148050.0 53550.0 ; + RECT 87750.0 206850.0 88650.0 207750.0 ; + RECT 87750.0 205650.0 88650.0 206550.0 ; + RECT 83700.0 206850.0 88200.0 207750.0 ; + RECT 87750.0 206100.0 88650.0 207300.0 ; + RECT 88200.0 205650.0 92700.0 206550.0 ; + RECT 87750.0 218850.0 88650.0 219750.0 ; + RECT 87750.0 220050.0 88650.0 220950.0 ; + RECT 83700.0 218850.0 88200.0 219750.0 ; + RECT 87750.0 219300.0 88650.0 220500.0 ; + RECT 88200.0 220050.0 92700.0 220950.0 ; + RECT 87750.0 234450.0 88650.0 235350.0 ; + RECT 87750.0 233250.0 88650.0 234150.0 ; + RECT 83700.0 234450.0 88200.0 235350.0 ; + RECT 87750.0 233700.0 88650.0 234900.0 ; + RECT 88200.0 233250.0 92700.0 234150.0 ; + RECT 87750.0 246450.0 88650.0 247350.0 ; + RECT 87750.0 247650.0 88650.0 248550.0 ; + RECT 83700.0 246450.0 88200.0 247350.0 ; + RECT 87750.0 246900.0 88650.0 248100.0 ; + RECT 88200.0 247650.0 92700.0 248550.0 ; + RECT 87750.0 262050.0 88650.0 262950.0 ; + RECT 87750.0 260850.0 88650.0 261750.0 ; + RECT 83700.0 262050.0 88200.0 262950.0 ; + RECT 87750.0 261300.0 88650.0 262500.0 ; + RECT 88200.0 260850.0 92700.0 261750.0 ; + RECT 87750.0 274050.0 88650.0 274950.0 ; + RECT 87750.0 275250.0 88650.0 276150.0 ; + RECT 83700.0 274050.0 88200.0 274950.0 ; + RECT 87750.0 274500.0 88650.0 275700.0 ; + RECT 88200.0 275250.0 92700.0 276150.0 ; + RECT 87750.0 289650.0 88650.0 290550.0 ; + RECT 87750.0 288450.0 88650.0 289350.0 ; + RECT 83700.0 289650.0 88200.0 290550.0 ; + RECT 87750.0 288900.0 88650.0 290100.0 ; + RECT 88200.0 288450.0 92700.0 289350.0 ; + RECT 87750.0 301650.0 88650.0 302550.0 ; + RECT 87750.0 302850.0 88650.0 303750.0 ; + RECT 83700.0 301650.0 88200.0 302550.0 ; + RECT 87750.0 302100.0 88650.0 303300.0 ; + RECT 88200.0 302850.0 92700.0 303750.0 ; + RECT 87750.0 317250.0 88650.0 318150.0 ; + RECT 87750.0 316050.0 88650.0 316950.0 ; + RECT 83700.0 317250.0 88200.0 318150.0 ; + RECT 87750.0 316500.0 88650.0 317700.0 ; + RECT 88200.0 316050.0 92700.0 316950.0 ; + RECT 87750.0 329250.0 88650.0 330150.0 ; + RECT 87750.0 330450.0 88650.0 331350.0 ; + RECT 83700.0 329250.0 88200.0 330150.0 ; + RECT 87750.0 329700.0 88650.0 330900.0 ; + RECT 88200.0 330450.0 92700.0 331350.0 ; + RECT 87750.0 344850.0 88650.0 345750.0 ; + RECT 87750.0 343650.0 88650.0 344550.0 ; + RECT 83700.0 344850.0 88200.0 345750.0 ; + RECT 87750.0 344100.0 88650.0 345300.0 ; + RECT 88200.0 343650.0 92700.0 344550.0 ; + RECT 87750.0 356850.0 88650.0 357750.0 ; + RECT 87750.0 358050.0 88650.0 358950.0 ; + RECT 83700.0 356850.0 88200.0 357750.0 ; + RECT 87750.0 357300.0 88650.0 358500.0 ; + RECT 88200.0 358050.0 92700.0 358950.0 ; + RECT 87750.0 372450.0 88650.0 373350.0 ; + RECT 87750.0 371250.0 88650.0 372150.0 ; + RECT 83700.0 372450.0 88200.0 373350.0 ; + RECT 87750.0 371700.0 88650.0 372900.0 ; + RECT 88200.0 371250.0 92700.0 372150.0 ; + RECT 87750.0 384450.0 88650.0 385350.0 ; + RECT 87750.0 385650.0 88650.0 386550.0 ; + RECT 83700.0 384450.0 88200.0 385350.0 ; + RECT 87750.0 384900.0 88650.0 386100.0 ; + RECT 88200.0 385650.0 92700.0 386550.0 ; + RECT 87750.0 400050.0 88650.0 400950.0 ; + RECT 87750.0 398850.0 88650.0 399750.0 ; + RECT 83700.0 400050.0 88200.0 400950.0 ; + RECT 87750.0 399300.0 88650.0 400500.0 ; + RECT 88200.0 398850.0 92700.0 399750.0 ; + RECT 87750.0 412050.0 88650.0 412950.0 ; + RECT 87750.0 413250.0 88650.0 414150.0 ; + RECT 83700.0 412050.0 88200.0 412950.0 ; + RECT 87750.0 412500.0 88650.0 413700.0 ; + RECT 88200.0 413250.0 92700.0 414150.0 ; + RECT 59550.0 95250.0 75900.0 96150.0 ; + RECT 61650.0 109650.0 75900.0 110550.0 ; + RECT 63750.0 122850.0 75900.0 123750.0 ; + RECT 65850.0 137250.0 75900.0 138150.0 ; + RECT 67950.0 150450.0 75900.0 151350.0 ; + RECT 70050.0 164850.0 75900.0 165750.0 ; + RECT 72150.0 178050.0 75900.0 178950.0 ; + RECT 74250.0 192450.0 75900.0 193350.0 ; + RECT 59550.0 206850.0 78300.0 207750.0 ; + RECT 67950.0 204150.0 81300.0 205050.0 ; + RECT 59550.0 218850.0 78300.0 219750.0 ; + RECT 70050.0 221550.0 81300.0 222450.0 ; + RECT 59550.0 234450.0 78300.0 235350.0 ; + RECT 72150.0 231750.0 81300.0 232650.0 ; + RECT 59550.0 246450.0 78300.0 247350.0 ; + RECT 74250.0 249150.0 81300.0 250050.0 ; + RECT 61650.0 262050.0 78300.0 262950.0 ; + RECT 67950.0 259350.0 81300.0 260250.0 ; + RECT 61650.0 274050.0 78300.0 274950.0 ; + RECT 70050.0 276750.0 81300.0 277650.0 ; + RECT 61650.0 289650.0 78300.0 290550.0 ; + RECT 72150.0 286950.0 81300.0 287850.0 ; + RECT 61650.0 301650.0 78300.0 302550.0 ; + RECT 74250.0 304350.0 81300.0 305250.0 ; + RECT 63750.0 317250.0 78300.0 318150.0 ; + RECT 67950.0 314550.0 81300.0 315450.0 ; + RECT 63750.0 329250.0 78300.0 330150.0 ; + RECT 70050.0 331950.0 81300.0 332850.0 ; + RECT 63750.0 344850.0 78300.0 345750.0 ; + RECT 72150.0 342150.0 81300.0 343050.0 ; + RECT 63750.0 356850.0 78300.0 357750.0 ; + RECT 74250.0 359550.0 81300.0 360450.0 ; + RECT 65850.0 372450.0 78300.0 373350.0 ; + RECT 67950.0 369750.0 81300.0 370650.0 ; + RECT 65850.0 384450.0 78300.0 385350.0 ; + RECT 70050.0 387150.0 81300.0 388050.0 ; + RECT 65850.0 400050.0 78300.0 400950.0 ; + RECT 72150.0 397350.0 81300.0 398250.0 ; + RECT 65850.0 412050.0 78300.0 412950.0 ; + RECT 74250.0 414750.0 81300.0 415650.0 ; + RECT 114450.0 95250.0 113550.0 96150.0 ; + RECT 114450.0 99750.0 113550.0 100650.0 ; + RECT 118650.0 95250.0 114000.0 96150.0 ; + RECT 114450.0 95700.0 113550.0 100200.0 ; + RECT 114000.0 99750.0 111450.0 100650.0 ; + RECT 130050.0 95250.0 122100.0 96150.0 ; + RECT 114450.0 109650.0 113550.0 110550.0 ; + RECT 114450.0 113550.0 113550.0 114450.0 ; + RECT 118650.0 109650.0 114000.0 110550.0 ; + RECT 114450.0 110100.0 113550.0 114000.0 ; + RECT 114000.0 113550.0 108450.0 114450.0 ; + RECT 127050.0 109650.0 122100.0 110550.0 ; + RECT 130050.0 118350.0 105450.0 119250.0 ; + RECT 127050.0 132150.0 102450.0 133050.0 ; + RECT 111450.0 93750.0 94500.0 94650.0 ; + RECT 108450.0 96450.0 97500.0 97350.0 ; + RECT 105450.0 111150.0 94500.0 112050.0 ; + RECT 108450.0 108450.0 97500.0 109350.0 ; + RECT 111450.0 121350.0 94500.0 122250.0 ; + RECT 102450.0 124050.0 97500.0 124950.0 ; + RECT 105450.0 138750.0 94500.0 139650.0 ; + RECT 102450.0 136050.0 97500.0 136950.0 ; + RECT 88050.0 96450.0 87150.0 97350.0 ; + RECT 88050.0 95250.0 87150.0 96150.0 ; + RECT 92100.0 96450.0 87600.0 97350.0 ; + RECT 88050.0 95700.0 87150.0 96900.0 ; + RECT 87600.0 95250.0 83100.0 96150.0 ; + RECT 88050.0 108450.0 87150.0 109350.0 ; + RECT 88050.0 109650.0 87150.0 110550.0 ; + RECT 92100.0 108450.0 87600.0 109350.0 ; + RECT 88050.0 108900.0 87150.0 110100.0 ; + RECT 87600.0 109650.0 83100.0 110550.0 ; + RECT 88050.0 124050.0 87150.0 124950.0 ; + RECT 88050.0 122850.0 87150.0 123750.0 ; + RECT 92100.0 124050.0 87600.0 124950.0 ; + RECT 88050.0 123300.0 87150.0 124500.0 ; + RECT 87600.0 122850.0 83100.0 123750.0 ; + RECT 88050.0 136050.0 87150.0 136950.0 ; + RECT 88050.0 137250.0 87150.0 138150.0 ; + RECT 92100.0 136050.0 87600.0 136950.0 ; + RECT 88050.0 136500.0 87150.0 137700.0 ; + RECT 87600.0 137250.0 83100.0 138150.0 ; + RECT 117900.0 100950.0 116700.0 102900.0 ; + RECT 117900.0 89100.0 116700.0 91050.0 ; + RECT 122700.0 90450.0 121500.0 88650.0 ; + RECT 122700.0 99750.0 121500.0 103350.0 ; + RECT 120000.0 90450.0 119100.0 99750.0 ; + RECT 122700.0 99750.0 121500.0 100950.0 ; + RECT 120300.0 99750.0 119100.0 100950.0 ; + RECT 120300.0 99750.0 119100.0 100950.0 ; + RECT 122700.0 99750.0 121500.0 100950.0 ; + RECT 122700.0 90450.0 121500.0 91650.0 ; + RECT 120300.0 90450.0 119100.0 91650.0 ; + RECT 120300.0 90450.0 119100.0 91650.0 ; + RECT 122700.0 90450.0 121500.0 91650.0 ; + RECT 117900.0 100350.0 116700.0 101550.0 ; + RECT 117900.0 90450.0 116700.0 91650.0 ; + RECT 122100.0 95100.0 120900.0 96300.0 ; + RECT 122100.0 95100.0 120900.0 96300.0 ; + RECT 119550.0 95250.0 118650.0 96150.0 ; + RECT 124500.0 102450.0 114900.0 103350.0 ; + RECT 124500.0 88650.0 114900.0 89550.0 ; + RECT 117900.0 104850.0 116700.0 102900.0 ; + RECT 117900.0 116700.0 116700.0 114750.0 ; + RECT 122700.0 115350.0 121500.0 117150.0 ; + RECT 122700.0 106050.0 121500.0 102450.0 ; + RECT 120000.0 115350.0 119100.0 106050.0 ; + RECT 122700.0 106050.0 121500.0 104850.0 ; + RECT 120300.0 106050.0 119100.0 104850.0 ; + RECT 120300.0 106050.0 119100.0 104850.0 ; + RECT 122700.0 106050.0 121500.0 104850.0 ; + RECT 122700.0 115350.0 121500.0 114150.0 ; + RECT 120300.0 115350.0 119100.0 114150.0 ; + RECT 120300.0 115350.0 119100.0 114150.0 ; + RECT 122700.0 115350.0 121500.0 114150.0 ; + RECT 117900.0 105450.0 116700.0 104250.0 ; + RECT 117900.0 115350.0 116700.0 114150.0 ; + RECT 122100.0 110700.0 120900.0 109500.0 ; + RECT 122100.0 110700.0 120900.0 109500.0 ; + RECT 119550.0 110550.0 118650.0 109650.0 ; + RECT 124500.0 103350.0 114900.0 102450.0 ; + RECT 124500.0 117150.0 114900.0 116250.0 ; + RECT 78900.0 100950.0 77700.0 102900.0 ; + RECT 78900.0 89100.0 77700.0 91050.0 ; + RECT 83700.0 90450.0 82500.0 88650.0 ; + RECT 83700.0 99750.0 82500.0 103350.0 ; + RECT 81000.0 90450.0 80100.0 99750.0 ; + RECT 83700.0 99750.0 82500.0 100950.0 ; + RECT 81300.0 99750.0 80100.0 100950.0 ; + RECT 81300.0 99750.0 80100.0 100950.0 ; + RECT 83700.0 99750.0 82500.0 100950.0 ; + RECT 83700.0 90450.0 82500.0 91650.0 ; + RECT 81300.0 90450.0 80100.0 91650.0 ; + RECT 81300.0 90450.0 80100.0 91650.0 ; + RECT 83700.0 90450.0 82500.0 91650.0 ; + RECT 78900.0 100350.0 77700.0 101550.0 ; + RECT 78900.0 90450.0 77700.0 91650.0 ; + RECT 83100.0 95100.0 81900.0 96300.0 ; + RECT 83100.0 95100.0 81900.0 96300.0 ; + RECT 80550.0 95250.0 79650.0 96150.0 ; + RECT 85500.0 102450.0 75900.0 103350.0 ; + RECT 85500.0 88650.0 75900.0 89550.0 ; + RECT 78900.0 104850.0 77700.0 102900.0 ; + RECT 78900.0 116700.0 77700.0 114750.0 ; + RECT 83700.0 115350.0 82500.0 117150.0 ; + RECT 83700.0 106050.0 82500.0 102450.0 ; + RECT 81000.0 115350.0 80100.0 106050.0 ; + RECT 83700.0 106050.0 82500.0 104850.0 ; + RECT 81300.0 106050.0 80100.0 104850.0 ; + RECT 81300.0 106050.0 80100.0 104850.0 ; + RECT 83700.0 106050.0 82500.0 104850.0 ; + RECT 83700.0 115350.0 82500.0 114150.0 ; + RECT 81300.0 115350.0 80100.0 114150.0 ; + RECT 81300.0 115350.0 80100.0 114150.0 ; + RECT 83700.0 115350.0 82500.0 114150.0 ; + RECT 78900.0 105450.0 77700.0 104250.0 ; + RECT 78900.0 115350.0 77700.0 114150.0 ; + RECT 83100.0 110700.0 81900.0 109500.0 ; + RECT 83100.0 110700.0 81900.0 109500.0 ; + RECT 80550.0 110550.0 79650.0 109650.0 ; + RECT 85500.0 103350.0 75900.0 102450.0 ; + RECT 85500.0 117150.0 75900.0 116250.0 ; + RECT 78900.0 128550.0 77700.0 130500.0 ; + RECT 78900.0 116700.0 77700.0 118650.0 ; + RECT 83700.0 118050.0 82500.0 116250.0 ; + RECT 83700.0 127350.0 82500.0 130950.0 ; + RECT 81000.0 118050.0 80100.0 127350.0 ; + RECT 83700.0 127350.0 82500.0 128550.0 ; + RECT 81300.0 127350.0 80100.0 128550.0 ; + RECT 81300.0 127350.0 80100.0 128550.0 ; + RECT 83700.0 127350.0 82500.0 128550.0 ; + RECT 83700.0 118050.0 82500.0 119250.0 ; + RECT 81300.0 118050.0 80100.0 119250.0 ; + RECT 81300.0 118050.0 80100.0 119250.0 ; + RECT 83700.0 118050.0 82500.0 119250.0 ; + RECT 78900.0 127950.0 77700.0 129150.0 ; + RECT 78900.0 118050.0 77700.0 119250.0 ; + RECT 83100.0 122700.0 81900.0 123900.0 ; + RECT 83100.0 122700.0 81900.0 123900.0 ; + RECT 80550.0 122850.0 79650.0 123750.0 ; + RECT 85500.0 130050.0 75900.0 130950.0 ; + RECT 85500.0 116250.0 75900.0 117150.0 ; + RECT 78900.0 132450.0 77700.0 130500.0 ; + RECT 78900.0 144300.0 77700.0 142350.0 ; + RECT 83700.0 142950.0 82500.0 144750.0 ; + RECT 83700.0 133650.0 82500.0 130050.0 ; + RECT 81000.0 142950.0 80100.0 133650.0 ; + RECT 83700.0 133650.0 82500.0 132450.0 ; + RECT 81300.0 133650.0 80100.0 132450.0 ; + RECT 81300.0 133650.0 80100.0 132450.0 ; + RECT 83700.0 133650.0 82500.0 132450.0 ; + RECT 83700.0 142950.0 82500.0 141750.0 ; + RECT 81300.0 142950.0 80100.0 141750.0 ; + RECT 81300.0 142950.0 80100.0 141750.0 ; + RECT 83700.0 142950.0 82500.0 141750.0 ; + RECT 78900.0 133050.0 77700.0 131850.0 ; + RECT 78900.0 142950.0 77700.0 141750.0 ; + RECT 83100.0 138300.0 81900.0 137100.0 ; + RECT 83100.0 138300.0 81900.0 137100.0 ; + RECT 80550.0 138150.0 79650.0 137250.0 ; + RECT 85500.0 130950.0 75900.0 130050.0 ; + RECT 85500.0 144750.0 75900.0 143850.0 ; + RECT 98100.0 91050.0 96900.0 88650.0 ; + RECT 98100.0 99750.0 96900.0 103350.0 ; + RECT 93300.0 99750.0 92100.0 103350.0 ; + RECT 90900.0 100950.0 89700.0 102900.0 ; + RECT 90900.0 89100.0 89700.0 91050.0 ; + RECT 98100.0 99750.0 96900.0 100950.0 ; + RECT 95700.0 99750.0 94500.0 100950.0 ; + RECT 95700.0 99750.0 94500.0 100950.0 ; + RECT 98100.0 99750.0 96900.0 100950.0 ; + RECT 95700.0 99750.0 94500.0 100950.0 ; + RECT 93300.0 99750.0 92100.0 100950.0 ; + RECT 93300.0 99750.0 92100.0 100950.0 ; + RECT 95700.0 99750.0 94500.0 100950.0 ; + RECT 98100.0 91050.0 96900.0 92250.0 ; + RECT 95700.0 91050.0 94500.0 92250.0 ; + RECT 95700.0 91050.0 94500.0 92250.0 ; + RECT 98100.0 91050.0 96900.0 92250.0 ; + RECT 95700.0 91050.0 94500.0 92250.0 ; + RECT 93300.0 91050.0 92100.0 92250.0 ; + RECT 93300.0 91050.0 92100.0 92250.0 ; + RECT 95700.0 91050.0 94500.0 92250.0 ; + RECT 90900.0 100350.0 89700.0 101550.0 ; + RECT 90900.0 90450.0 89700.0 91650.0 ; + RECT 93300.0 93600.0 94500.0 94800.0 ; + RECT 96300.0 96300.0 97500.0 97500.0 ; + RECT 95700.0 99750.0 94500.0 100950.0 ; + RECT 93300.0 91050.0 92100.0 92250.0 ; + RECT 92100.0 96300.0 93300.0 97500.0 ; + RECT 97500.0 96300.0 96300.0 97500.0 ; + RECT 94500.0 93600.0 93300.0 94800.0 ; + RECT 93300.0 96300.0 92100.0 97500.0 ; + RECT 99900.0 102450.0 85500.0 103350.0 ; + RECT 99900.0 88650.0 85500.0 89550.0 ; + RECT 98100.0 114750.0 96900.0 117150.0 ; + RECT 98100.0 106050.0 96900.0 102450.0 ; + RECT 93300.0 106050.0 92100.0 102450.0 ; + RECT 90900.0 104850.0 89700.0 102900.0 ; + RECT 90900.0 116700.0 89700.0 114750.0 ; + RECT 98100.0 106050.0 96900.0 104850.0 ; + RECT 95700.0 106050.0 94500.0 104850.0 ; + RECT 95700.0 106050.0 94500.0 104850.0 ; + RECT 98100.0 106050.0 96900.0 104850.0 ; + RECT 95700.0 106050.0 94500.0 104850.0 ; + RECT 93300.0 106050.0 92100.0 104850.0 ; + RECT 93300.0 106050.0 92100.0 104850.0 ; + RECT 95700.0 106050.0 94500.0 104850.0 ; + RECT 98100.0 114750.0 96900.0 113550.0 ; + RECT 95700.0 114750.0 94500.0 113550.0 ; + RECT 95700.0 114750.0 94500.0 113550.0 ; + RECT 98100.0 114750.0 96900.0 113550.0 ; + RECT 95700.0 114750.0 94500.0 113550.0 ; + RECT 93300.0 114750.0 92100.0 113550.0 ; + RECT 93300.0 114750.0 92100.0 113550.0 ; + RECT 95700.0 114750.0 94500.0 113550.0 ; + RECT 90900.0 105450.0 89700.0 104250.0 ; + RECT 90900.0 115350.0 89700.0 114150.0 ; + RECT 93300.0 112200.0 94500.0 111000.0 ; + RECT 96300.0 109500.0 97500.0 108300.0 ; + RECT 95700.0 106050.0 94500.0 104850.0 ; + RECT 93300.0 114750.0 92100.0 113550.0 ; + RECT 92100.0 109500.0 93300.0 108300.0 ; + RECT 97500.0 109500.0 96300.0 108300.0 ; + RECT 94500.0 112200.0 93300.0 111000.0 ; + RECT 93300.0 109500.0 92100.0 108300.0 ; + RECT 99900.0 103350.0 85500.0 102450.0 ; + RECT 99900.0 117150.0 85500.0 116250.0 ; + RECT 98100.0 118650.0 96900.0 116250.0 ; + RECT 98100.0 127350.0 96900.0 130950.0 ; + RECT 93300.0 127350.0 92100.0 130950.0 ; + RECT 90900.0 128550.0 89700.0 130500.0 ; + RECT 90900.0 116700.0 89700.0 118650.0 ; + RECT 98100.0 127350.0 96900.0 128550.0 ; + RECT 95700.0 127350.0 94500.0 128550.0 ; + RECT 95700.0 127350.0 94500.0 128550.0 ; + RECT 98100.0 127350.0 96900.0 128550.0 ; + RECT 95700.0 127350.0 94500.0 128550.0 ; + RECT 93300.0 127350.0 92100.0 128550.0 ; + RECT 93300.0 127350.0 92100.0 128550.0 ; + RECT 95700.0 127350.0 94500.0 128550.0 ; + RECT 98100.0 118650.0 96900.0 119850.0 ; + RECT 95700.0 118650.0 94500.0 119850.0 ; + RECT 95700.0 118650.0 94500.0 119850.0 ; + RECT 98100.0 118650.0 96900.0 119850.0 ; + RECT 95700.0 118650.0 94500.0 119850.0 ; + RECT 93300.0 118650.0 92100.0 119850.0 ; + RECT 93300.0 118650.0 92100.0 119850.0 ; + RECT 95700.0 118650.0 94500.0 119850.0 ; + RECT 90900.0 127950.0 89700.0 129150.0 ; + RECT 90900.0 118050.0 89700.0 119250.0 ; + RECT 93300.0 121200.0 94500.0 122400.0 ; + RECT 96300.0 123900.0 97500.0 125100.0 ; + RECT 95700.0 127350.0 94500.0 128550.0 ; + RECT 93300.0 118650.0 92100.0 119850.0 ; + RECT 92100.0 123900.0 93300.0 125100.0 ; + RECT 97500.0 123900.0 96300.0 125100.0 ; + RECT 94500.0 121200.0 93300.0 122400.0 ; + RECT 93300.0 123900.0 92100.0 125100.0 ; + RECT 99900.0 130050.0 85500.0 130950.0 ; + RECT 99900.0 116250.0 85500.0 117150.0 ; + RECT 98100.0 142350.0 96900.0 144750.0 ; + RECT 98100.0 133650.0 96900.0 130050.0 ; + RECT 93300.0 133650.0 92100.0 130050.0 ; + RECT 90900.0 132450.0 89700.0 130500.0 ; + RECT 90900.0 144300.0 89700.0 142350.0 ; + RECT 98100.0 133650.0 96900.0 132450.0 ; + RECT 95700.0 133650.0 94500.0 132450.0 ; + RECT 95700.0 133650.0 94500.0 132450.0 ; + RECT 98100.0 133650.0 96900.0 132450.0 ; + RECT 95700.0 133650.0 94500.0 132450.0 ; + RECT 93300.0 133650.0 92100.0 132450.0 ; + RECT 93300.0 133650.0 92100.0 132450.0 ; + RECT 95700.0 133650.0 94500.0 132450.0 ; + RECT 98100.0 142350.0 96900.0 141150.0 ; + RECT 95700.0 142350.0 94500.0 141150.0 ; + RECT 95700.0 142350.0 94500.0 141150.0 ; + RECT 98100.0 142350.0 96900.0 141150.0 ; + RECT 95700.0 142350.0 94500.0 141150.0 ; + RECT 93300.0 142350.0 92100.0 141150.0 ; + RECT 93300.0 142350.0 92100.0 141150.0 ; + RECT 95700.0 142350.0 94500.0 141150.0 ; + RECT 90900.0 133050.0 89700.0 131850.0 ; + RECT 90900.0 142950.0 89700.0 141750.0 ; + RECT 93300.0 139800.0 94500.0 138600.0 ; + RECT 96300.0 137100.0 97500.0 135900.0 ; + RECT 95700.0 133650.0 94500.0 132450.0 ; + RECT 93300.0 142350.0 92100.0 141150.0 ; + RECT 92100.0 137100.0 93300.0 135900.0 ; + RECT 97500.0 137100.0 96300.0 135900.0 ; + RECT 94500.0 139800.0 93300.0 138600.0 ; + RECT 93300.0 137100.0 92100.0 135900.0 ; + RECT 99900.0 130950.0 85500.0 130050.0 ; + RECT 99900.0 144750.0 85500.0 143850.0 ; + RECT 110850.0 99600.0 112050.0 100800.0 ; + RECT 129450.0 95100.0 130650.0 96300.0 ; + RECT 107850.0 113400.0 109050.0 114600.0 ; + RECT 126450.0 109500.0 127650.0 110700.0 ; + RECT 129450.0 118200.0 130650.0 119400.0 ; + RECT 104850.0 118200.0 106050.0 119400.0 ; + RECT 126450.0 132000.0 127650.0 133200.0 ; + RECT 101850.0 132000.0 103050.0 133200.0 ; + RECT 110850.0 93600.0 112050.0 94800.0 ; + RECT 107850.0 96300.0 109050.0 97500.0 ; + RECT 104850.0 111000.0 106050.0 112200.0 ; + RECT 107850.0 108300.0 109050.0 109500.0 ; + RECT 110850.0 121200.0 112050.0 122400.0 ; + RECT 101850.0 123900.0 103050.0 125100.0 ; + RECT 104850.0 138600.0 106050.0 139800.0 ; + RECT 101850.0 135900.0 103050.0 137100.0 ; + RECT 79650.0 95250.0 75900.0 96150.0 ; + RECT 79650.0 109650.0 75900.0 110550.0 ; + RECT 79650.0 122850.0 75900.0 123750.0 ; + RECT 79650.0 137250.0 75900.0 138150.0 ; + RECT 130500.0 102450.0 75900.0 103350.0 ; + RECT 130500.0 130050.0 75900.0 130950.0 ; + RECT 130500.0 88650.0 75900.0 89550.0 ; + RECT 130500.0 116250.0 75900.0 117150.0 ; + RECT 130500.0 143850.0 75900.0 144750.0 ; + RECT 114450.0 150450.0 113550.0 151350.0 ; + RECT 114450.0 154950.0 113550.0 155850.0 ; + RECT 118650.0 150450.0 114000.0 151350.0 ; + RECT 114450.0 150900.0 113550.0 155400.0 ; + RECT 114000.0 154950.0 111450.0 155850.0 ; + RECT 130050.0 150450.0 122100.0 151350.0 ; + RECT 114450.0 164850.0 113550.0 165750.0 ; + RECT 114450.0 168750.0 113550.0 169650.0 ; + RECT 118650.0 164850.0 114000.0 165750.0 ; + RECT 114450.0 165300.0 113550.0 169200.0 ; + RECT 114000.0 168750.0 108450.0 169650.0 ; + RECT 127050.0 164850.0 122100.0 165750.0 ; + RECT 130050.0 173550.0 105450.0 174450.0 ; + RECT 127050.0 187350.0 102450.0 188250.0 ; + RECT 111450.0 148950.0 94500.0 149850.0 ; + RECT 108450.0 151650.0 97500.0 152550.0 ; + RECT 105450.0 166350.0 94500.0 167250.0 ; + RECT 108450.0 163650.0 97500.0 164550.0 ; + RECT 111450.0 176550.0 94500.0 177450.0 ; + RECT 102450.0 179250.0 97500.0 180150.0 ; + RECT 105450.0 193950.0 94500.0 194850.0 ; + RECT 102450.0 191250.0 97500.0 192150.0 ; + RECT 88050.0 151650.0 87150.0 152550.0 ; + RECT 88050.0 150450.0 87150.0 151350.0 ; + RECT 92100.0 151650.0 87600.0 152550.0 ; + RECT 88050.0 150900.0 87150.0 152100.0 ; + RECT 87600.0 150450.0 83100.0 151350.0 ; + RECT 88050.0 163650.0 87150.0 164550.0 ; + RECT 88050.0 164850.0 87150.0 165750.0 ; + RECT 92100.0 163650.0 87600.0 164550.0 ; + RECT 88050.0 164100.0 87150.0 165300.0 ; + RECT 87600.0 164850.0 83100.0 165750.0 ; + RECT 88050.0 179250.0 87150.0 180150.0 ; + RECT 88050.0 178050.0 87150.0 178950.0 ; + RECT 92100.0 179250.0 87600.0 180150.0 ; + RECT 88050.0 178500.0 87150.0 179700.0 ; + RECT 87600.0 178050.0 83100.0 178950.0 ; + RECT 88050.0 191250.0 87150.0 192150.0 ; + RECT 88050.0 192450.0 87150.0 193350.0 ; + RECT 92100.0 191250.0 87600.0 192150.0 ; + RECT 88050.0 191700.0 87150.0 192900.0 ; + RECT 87600.0 192450.0 83100.0 193350.0 ; + RECT 117900.0 156150.0 116700.0 158100.0 ; + RECT 117900.0 144300.0 116700.0 146250.0 ; + RECT 122700.0 145650.0 121500.0 143850.0 ; + RECT 122700.0 154950.0 121500.0 158550.0 ; + RECT 120000.0 145650.0 119100.0 154950.0 ; + RECT 122700.0 154950.0 121500.0 156150.0 ; + RECT 120300.0 154950.0 119100.0 156150.0 ; + RECT 120300.0 154950.0 119100.0 156150.0 ; + RECT 122700.0 154950.0 121500.0 156150.0 ; + RECT 122700.0 145650.0 121500.0 146850.0 ; + RECT 120300.0 145650.0 119100.0 146850.0 ; + RECT 120300.0 145650.0 119100.0 146850.0 ; + RECT 122700.0 145650.0 121500.0 146850.0 ; + RECT 117900.0 155550.0 116700.0 156750.0 ; + RECT 117900.0 145650.0 116700.0 146850.0 ; + RECT 122100.0 150300.0 120900.0 151500.0 ; + RECT 122100.0 150300.0 120900.0 151500.0 ; + RECT 119550.0 150450.0 118650.0 151350.0 ; + RECT 124500.0 157650.0 114900.0 158550.0 ; + RECT 124500.0 143850.0 114900.0 144750.0 ; + RECT 117900.0 160050.0 116700.0 158100.0 ; + RECT 117900.0 171900.0 116700.0 169950.0 ; + RECT 122700.0 170550.0 121500.0 172350.0 ; + RECT 122700.0 161250.0 121500.0 157650.0 ; + RECT 120000.0 170550.0 119100.0 161250.0 ; + RECT 122700.0 161250.0 121500.0 160050.0 ; + RECT 120300.0 161250.0 119100.0 160050.0 ; + RECT 120300.0 161250.0 119100.0 160050.0 ; + RECT 122700.0 161250.0 121500.0 160050.0 ; + RECT 122700.0 170550.0 121500.0 169350.0 ; + RECT 120300.0 170550.0 119100.0 169350.0 ; + RECT 120300.0 170550.0 119100.0 169350.0 ; + RECT 122700.0 170550.0 121500.0 169350.0 ; + RECT 117900.0 160650.0 116700.0 159450.0 ; + RECT 117900.0 170550.0 116700.0 169350.0 ; + RECT 122100.0 165900.0 120900.0 164700.0 ; + RECT 122100.0 165900.0 120900.0 164700.0 ; + RECT 119550.0 165750.0 118650.0 164850.0 ; + RECT 124500.0 158550.0 114900.0 157650.0 ; + RECT 124500.0 172350.0 114900.0 171450.0 ; + RECT 78900.0 156150.0 77700.0 158100.0 ; + RECT 78900.0 144300.0 77700.0 146250.0 ; + RECT 83700.0 145650.0 82500.0 143850.0 ; + RECT 83700.0 154950.0 82500.0 158550.0 ; + RECT 81000.0 145650.0 80100.0 154950.0 ; + RECT 83700.0 154950.0 82500.0 156150.0 ; + RECT 81300.0 154950.0 80100.0 156150.0 ; + RECT 81300.0 154950.0 80100.0 156150.0 ; + RECT 83700.0 154950.0 82500.0 156150.0 ; + RECT 83700.0 145650.0 82500.0 146850.0 ; + RECT 81300.0 145650.0 80100.0 146850.0 ; + RECT 81300.0 145650.0 80100.0 146850.0 ; + RECT 83700.0 145650.0 82500.0 146850.0 ; + RECT 78900.0 155550.0 77700.0 156750.0 ; + RECT 78900.0 145650.0 77700.0 146850.0 ; + RECT 83100.0 150300.0 81900.0 151500.0 ; + RECT 83100.0 150300.0 81900.0 151500.0 ; + RECT 80550.0 150450.0 79650.0 151350.0 ; + RECT 85500.0 157650.0 75900.0 158550.0 ; + RECT 85500.0 143850.0 75900.0 144750.0 ; + RECT 78900.0 160050.0 77700.0 158100.0 ; + RECT 78900.0 171900.0 77700.0 169950.0 ; + RECT 83700.0 170550.0 82500.0 172350.0 ; + RECT 83700.0 161250.0 82500.0 157650.0 ; + RECT 81000.0 170550.0 80100.0 161250.0 ; + RECT 83700.0 161250.0 82500.0 160050.0 ; + RECT 81300.0 161250.0 80100.0 160050.0 ; + RECT 81300.0 161250.0 80100.0 160050.0 ; + RECT 83700.0 161250.0 82500.0 160050.0 ; + RECT 83700.0 170550.0 82500.0 169350.0 ; + RECT 81300.0 170550.0 80100.0 169350.0 ; + RECT 81300.0 170550.0 80100.0 169350.0 ; + RECT 83700.0 170550.0 82500.0 169350.0 ; + RECT 78900.0 160650.0 77700.0 159450.0 ; + RECT 78900.0 170550.0 77700.0 169350.0 ; + RECT 83100.0 165900.0 81900.0 164700.0 ; + RECT 83100.0 165900.0 81900.0 164700.0 ; + RECT 80550.0 165750.0 79650.0 164850.0 ; + RECT 85500.0 158550.0 75900.0 157650.0 ; + RECT 85500.0 172350.0 75900.0 171450.0 ; + RECT 78900.0 183750.0 77700.0 185700.0 ; + RECT 78900.0 171900.0 77700.0 173850.0 ; + RECT 83700.0 173250.0 82500.0 171450.0 ; + RECT 83700.0 182550.0 82500.0 186150.0 ; + RECT 81000.0 173250.0 80100.0 182550.0 ; + RECT 83700.0 182550.0 82500.0 183750.0 ; + RECT 81300.0 182550.0 80100.0 183750.0 ; + RECT 81300.0 182550.0 80100.0 183750.0 ; + RECT 83700.0 182550.0 82500.0 183750.0 ; + RECT 83700.0 173250.0 82500.0 174450.0 ; + RECT 81300.0 173250.0 80100.0 174450.0 ; + RECT 81300.0 173250.0 80100.0 174450.0 ; + RECT 83700.0 173250.0 82500.0 174450.0 ; + RECT 78900.0 183150.0 77700.0 184350.0 ; + RECT 78900.0 173250.0 77700.0 174450.0 ; + RECT 83100.0 177900.0 81900.0 179100.0 ; + RECT 83100.0 177900.0 81900.0 179100.0 ; + RECT 80550.0 178050.0 79650.0 178950.0 ; + RECT 85500.0 185250.0 75900.0 186150.0 ; + RECT 85500.0 171450.0 75900.0 172350.0 ; + RECT 78900.0 187650.0 77700.0 185700.0 ; + RECT 78900.0 199500.0 77700.0 197550.0 ; + RECT 83700.0 198150.0 82500.0 199950.0 ; + RECT 83700.0 188850.0 82500.0 185250.0 ; + RECT 81000.0 198150.0 80100.0 188850.0 ; + RECT 83700.0 188850.0 82500.0 187650.0 ; + RECT 81300.0 188850.0 80100.0 187650.0 ; + RECT 81300.0 188850.0 80100.0 187650.0 ; + RECT 83700.0 188850.0 82500.0 187650.0 ; + RECT 83700.0 198150.0 82500.0 196950.0 ; + RECT 81300.0 198150.0 80100.0 196950.0 ; + RECT 81300.0 198150.0 80100.0 196950.0 ; + RECT 83700.0 198150.0 82500.0 196950.0 ; + RECT 78900.0 188250.0 77700.0 187050.0 ; + RECT 78900.0 198150.0 77700.0 196950.0 ; + RECT 83100.0 193500.0 81900.0 192300.0 ; + RECT 83100.0 193500.0 81900.0 192300.0 ; + RECT 80550.0 193350.0 79650.0 192450.0 ; + RECT 85500.0 186150.0 75900.0 185250.0 ; + RECT 85500.0 199950.0 75900.0 199050.0 ; + RECT 98100.0 146250.0 96900.0 143850.0 ; + RECT 98100.0 154950.0 96900.0 158550.0 ; + RECT 93300.0 154950.0 92100.0 158550.0 ; + RECT 90900.0 156150.0 89700.0 158100.0 ; + RECT 90900.0 144300.0 89700.0 146250.0 ; + RECT 98100.0 154950.0 96900.0 156150.0 ; + RECT 95700.0 154950.0 94500.0 156150.0 ; + RECT 95700.0 154950.0 94500.0 156150.0 ; + RECT 98100.0 154950.0 96900.0 156150.0 ; + RECT 95700.0 154950.0 94500.0 156150.0 ; + RECT 93300.0 154950.0 92100.0 156150.0 ; + RECT 93300.0 154950.0 92100.0 156150.0 ; + RECT 95700.0 154950.0 94500.0 156150.0 ; + RECT 98100.0 146250.0 96900.0 147450.0 ; + RECT 95700.0 146250.0 94500.0 147450.0 ; + RECT 95700.0 146250.0 94500.0 147450.0 ; + RECT 98100.0 146250.0 96900.0 147450.0 ; + RECT 95700.0 146250.0 94500.0 147450.0 ; + RECT 93300.0 146250.0 92100.0 147450.0 ; + RECT 93300.0 146250.0 92100.0 147450.0 ; + RECT 95700.0 146250.0 94500.0 147450.0 ; + RECT 90900.0 155550.0 89700.0 156750.0 ; + RECT 90900.0 145650.0 89700.0 146850.0 ; + RECT 93300.0 148800.0 94500.0 150000.0 ; + RECT 96300.0 151500.0 97500.0 152700.0 ; + RECT 95700.0 154950.0 94500.0 156150.0 ; + RECT 93300.0 146250.0 92100.0 147450.0 ; + RECT 92100.0 151500.0 93300.0 152700.0 ; + RECT 97500.0 151500.0 96300.0 152700.0 ; + RECT 94500.0 148800.0 93300.0 150000.0 ; + RECT 93300.0 151500.0 92100.0 152700.0 ; + RECT 99900.0 157650.0 85500.0 158550.0 ; + RECT 99900.0 143850.0 85500.0 144750.0 ; + RECT 98100.0 169950.0 96900.0 172350.0 ; + RECT 98100.0 161250.0 96900.0 157650.0 ; + RECT 93300.0 161250.0 92100.0 157650.0 ; + RECT 90900.0 160050.0 89700.0 158100.0 ; + RECT 90900.0 171900.0 89700.0 169950.0 ; + RECT 98100.0 161250.0 96900.0 160050.0 ; + RECT 95700.0 161250.0 94500.0 160050.0 ; + RECT 95700.0 161250.0 94500.0 160050.0 ; + RECT 98100.0 161250.0 96900.0 160050.0 ; + RECT 95700.0 161250.0 94500.0 160050.0 ; + RECT 93300.0 161250.0 92100.0 160050.0 ; + RECT 93300.0 161250.0 92100.0 160050.0 ; + RECT 95700.0 161250.0 94500.0 160050.0 ; + RECT 98100.0 169950.0 96900.0 168750.0 ; + RECT 95700.0 169950.0 94500.0 168750.0 ; + RECT 95700.0 169950.0 94500.0 168750.0 ; + RECT 98100.0 169950.0 96900.0 168750.0 ; + RECT 95700.0 169950.0 94500.0 168750.0 ; + RECT 93300.0 169950.0 92100.0 168750.0 ; + RECT 93300.0 169950.0 92100.0 168750.0 ; + RECT 95700.0 169950.0 94500.0 168750.0 ; + RECT 90900.0 160650.0 89700.0 159450.0 ; + RECT 90900.0 170550.0 89700.0 169350.0 ; + RECT 93300.0 167400.0 94500.0 166200.0 ; + RECT 96300.0 164700.0 97500.0 163500.0 ; + RECT 95700.0 161250.0 94500.0 160050.0 ; + RECT 93300.0 169950.0 92100.0 168750.0 ; + RECT 92100.0 164700.0 93300.0 163500.0 ; + RECT 97500.0 164700.0 96300.0 163500.0 ; + RECT 94500.0 167400.0 93300.0 166200.0 ; + RECT 93300.0 164700.0 92100.0 163500.0 ; + RECT 99900.0 158550.0 85500.0 157650.0 ; + RECT 99900.0 172350.0 85500.0 171450.0 ; + RECT 98100.0 173850.0 96900.0 171450.0 ; + RECT 98100.0 182550.0 96900.0 186150.0 ; + RECT 93300.0 182550.0 92100.0 186150.0 ; + RECT 90900.0 183750.0 89700.0 185700.0 ; + RECT 90900.0 171900.0 89700.0 173850.0 ; + RECT 98100.0 182550.0 96900.0 183750.0 ; + RECT 95700.0 182550.0 94500.0 183750.0 ; + RECT 95700.0 182550.0 94500.0 183750.0 ; + RECT 98100.0 182550.0 96900.0 183750.0 ; + RECT 95700.0 182550.0 94500.0 183750.0 ; + RECT 93300.0 182550.0 92100.0 183750.0 ; + RECT 93300.0 182550.0 92100.0 183750.0 ; + RECT 95700.0 182550.0 94500.0 183750.0 ; + RECT 98100.0 173850.0 96900.0 175050.0 ; + RECT 95700.0 173850.0 94500.0 175050.0 ; + RECT 95700.0 173850.0 94500.0 175050.0 ; + RECT 98100.0 173850.0 96900.0 175050.0 ; + RECT 95700.0 173850.0 94500.0 175050.0 ; + RECT 93300.0 173850.0 92100.0 175050.0 ; + RECT 93300.0 173850.0 92100.0 175050.0 ; + RECT 95700.0 173850.0 94500.0 175050.0 ; + RECT 90900.0 183150.0 89700.0 184350.0 ; + RECT 90900.0 173250.0 89700.0 174450.0 ; + RECT 93300.0 176400.0 94500.0 177600.0 ; + RECT 96300.0 179100.0 97500.0 180300.0 ; + RECT 95700.0 182550.0 94500.0 183750.0 ; + RECT 93300.0 173850.0 92100.0 175050.0 ; + RECT 92100.0 179100.0 93300.0 180300.0 ; + RECT 97500.0 179100.0 96300.0 180300.0 ; + RECT 94500.0 176400.0 93300.0 177600.0 ; + RECT 93300.0 179100.0 92100.0 180300.0 ; + RECT 99900.0 185250.0 85500.0 186150.0 ; + RECT 99900.0 171450.0 85500.0 172350.0 ; + RECT 98100.0 197550.0 96900.0 199950.0 ; + RECT 98100.0 188850.0 96900.0 185250.0 ; + RECT 93300.0 188850.0 92100.0 185250.0 ; + RECT 90900.0 187650.0 89700.0 185700.0 ; + RECT 90900.0 199500.0 89700.0 197550.0 ; + RECT 98100.0 188850.0 96900.0 187650.0 ; + RECT 95700.0 188850.0 94500.0 187650.0 ; + RECT 95700.0 188850.0 94500.0 187650.0 ; + RECT 98100.0 188850.0 96900.0 187650.0 ; + RECT 95700.0 188850.0 94500.0 187650.0 ; + RECT 93300.0 188850.0 92100.0 187650.0 ; + RECT 93300.0 188850.0 92100.0 187650.0 ; + RECT 95700.0 188850.0 94500.0 187650.0 ; + RECT 98100.0 197550.0 96900.0 196350.0 ; + RECT 95700.0 197550.0 94500.0 196350.0 ; + RECT 95700.0 197550.0 94500.0 196350.0 ; + RECT 98100.0 197550.0 96900.0 196350.0 ; + RECT 95700.0 197550.0 94500.0 196350.0 ; + RECT 93300.0 197550.0 92100.0 196350.0 ; + RECT 93300.0 197550.0 92100.0 196350.0 ; + RECT 95700.0 197550.0 94500.0 196350.0 ; + RECT 90900.0 188250.0 89700.0 187050.0 ; + RECT 90900.0 198150.0 89700.0 196950.0 ; + RECT 93300.0 195000.0 94500.0 193800.0 ; + RECT 96300.0 192300.0 97500.0 191100.0 ; + RECT 95700.0 188850.0 94500.0 187650.0 ; + RECT 93300.0 197550.0 92100.0 196350.0 ; + RECT 92100.0 192300.0 93300.0 191100.0 ; + RECT 97500.0 192300.0 96300.0 191100.0 ; + RECT 94500.0 195000.0 93300.0 193800.0 ; + RECT 93300.0 192300.0 92100.0 191100.0 ; + RECT 99900.0 186150.0 85500.0 185250.0 ; + RECT 99900.0 199950.0 85500.0 199050.0 ; + RECT 110850.0 154800.0 112050.0 156000.0 ; + RECT 129450.0 150300.0 130650.0 151500.0 ; + RECT 107850.0 168600.0 109050.0 169800.0 ; + RECT 126450.0 164700.0 127650.0 165900.0 ; + RECT 129450.0 173400.0 130650.0 174600.0 ; + RECT 104850.0 173400.0 106050.0 174600.0 ; + RECT 126450.0 187200.0 127650.0 188400.0 ; + RECT 101850.0 187200.0 103050.0 188400.0 ; + RECT 110850.0 148800.0 112050.0 150000.0 ; + RECT 107850.0 151500.0 109050.0 152700.0 ; + RECT 104850.0 166200.0 106050.0 167400.0 ; + RECT 107850.0 163500.0 109050.0 164700.0 ; + RECT 110850.0 176400.0 112050.0 177600.0 ; + RECT 101850.0 179100.0 103050.0 180300.0 ; + RECT 104850.0 193800.0 106050.0 195000.0 ; + RECT 101850.0 191100.0 103050.0 192300.0 ; + RECT 79650.0 150450.0 75900.0 151350.0 ; + RECT 79650.0 164850.0 75900.0 165750.0 ; + RECT 79650.0 178050.0 75900.0 178950.0 ; + RECT 79650.0 192450.0 75900.0 193350.0 ; + RECT 130500.0 157650.0 75900.0 158550.0 ; + RECT 130500.0 185250.0 75900.0 186150.0 ; + RECT 130500.0 143850.0 75900.0 144750.0 ; + RECT 130500.0 171450.0 75900.0 172350.0 ; + RECT 130500.0 199050.0 75900.0 199950.0 ; + RECT 77700.0 201450.0 78900.0 199050.0 ; + RECT 77700.0 210150.0 78900.0 213750.0 ; + RECT 82500.0 210150.0 83700.0 213750.0 ; + RECT 84900.0 211350.0 86100.0 213300.0 ; + RECT 84900.0 199500.0 86100.0 201450.0 ; + RECT 77700.0 210150.0 78900.0 211350.0 ; + RECT 80100.0 210150.0 81300.0 211350.0 ; + RECT 80100.0 210150.0 81300.0 211350.0 ; + RECT 77700.0 210150.0 78900.0 211350.0 ; + RECT 80100.0 210150.0 81300.0 211350.0 ; + RECT 82500.0 210150.0 83700.0 211350.0 ; + RECT 82500.0 210150.0 83700.0 211350.0 ; + RECT 80100.0 210150.0 81300.0 211350.0 ; + RECT 77700.0 201450.0 78900.0 202650.0 ; + RECT 80100.0 201450.0 81300.0 202650.0 ; + RECT 80100.0 201450.0 81300.0 202650.0 ; + RECT 77700.0 201450.0 78900.0 202650.0 ; + RECT 80100.0 201450.0 81300.0 202650.0 ; + RECT 82500.0 201450.0 83700.0 202650.0 ; + RECT 82500.0 201450.0 83700.0 202650.0 ; + RECT 80100.0 201450.0 81300.0 202650.0 ; + RECT 84900.0 210750.0 86100.0 211950.0 ; + RECT 84900.0 200850.0 86100.0 202050.0 ; + RECT 82500.0 204000.0 81300.0 205200.0 ; + RECT 79500.0 206700.0 78300.0 207900.0 ; + RECT 80100.0 210150.0 81300.0 211350.0 ; + RECT 82500.0 201450.0 83700.0 202650.0 ; + RECT 83700.0 206700.0 82500.0 207900.0 ; + RECT 78300.0 206700.0 79500.0 207900.0 ; + RECT 81300.0 204000.0 82500.0 205200.0 ; + RECT 82500.0 206700.0 83700.0 207900.0 ; + RECT 75900.0 212850.0 90300.0 213750.0 ; + RECT 75900.0 199050.0 90300.0 199950.0 ; + RECT 77700.0 225150.0 78900.0 227550.0 ; + RECT 77700.0 216450.0 78900.0 212850.0 ; + RECT 82500.0 216450.0 83700.0 212850.0 ; + RECT 84900.0 215250.0 86100.0 213300.0 ; + RECT 84900.0 227100.0 86100.0 225150.0 ; + RECT 77700.0 216450.0 78900.0 215250.0 ; + RECT 80100.0 216450.0 81300.0 215250.0 ; + RECT 80100.0 216450.0 81300.0 215250.0 ; + RECT 77700.0 216450.0 78900.0 215250.0 ; + RECT 80100.0 216450.0 81300.0 215250.0 ; + RECT 82500.0 216450.0 83700.0 215250.0 ; + RECT 82500.0 216450.0 83700.0 215250.0 ; + RECT 80100.0 216450.0 81300.0 215250.0 ; + RECT 77700.0 225150.0 78900.0 223950.0 ; + RECT 80100.0 225150.0 81300.0 223950.0 ; + RECT 80100.0 225150.0 81300.0 223950.0 ; + RECT 77700.0 225150.0 78900.0 223950.0 ; + RECT 80100.0 225150.0 81300.0 223950.0 ; + RECT 82500.0 225150.0 83700.0 223950.0 ; + RECT 82500.0 225150.0 83700.0 223950.0 ; + RECT 80100.0 225150.0 81300.0 223950.0 ; + RECT 84900.0 215850.0 86100.0 214650.0 ; + RECT 84900.0 225750.0 86100.0 224550.0 ; + RECT 82500.0 222600.0 81300.0 221400.0 ; + RECT 79500.0 219900.0 78300.0 218700.0 ; + RECT 80100.0 216450.0 81300.0 215250.0 ; + RECT 82500.0 225150.0 83700.0 223950.0 ; + RECT 83700.0 219900.0 82500.0 218700.0 ; + RECT 78300.0 219900.0 79500.0 218700.0 ; + RECT 81300.0 222600.0 82500.0 221400.0 ; + RECT 82500.0 219900.0 83700.0 218700.0 ; + RECT 75900.0 213750.0 90300.0 212850.0 ; + RECT 75900.0 227550.0 90300.0 226650.0 ; + RECT 77700.0 229050.0 78900.0 226650.0 ; + RECT 77700.0 237750.0 78900.0 241350.0 ; + RECT 82500.0 237750.0 83700.0 241350.0 ; + RECT 84900.0 238950.0 86100.0 240900.0 ; + RECT 84900.0 227100.0 86100.0 229050.0 ; + RECT 77700.0 237750.0 78900.0 238950.0 ; + RECT 80100.0 237750.0 81300.0 238950.0 ; + RECT 80100.0 237750.0 81300.0 238950.0 ; + RECT 77700.0 237750.0 78900.0 238950.0 ; + RECT 80100.0 237750.0 81300.0 238950.0 ; + RECT 82500.0 237750.0 83700.0 238950.0 ; + RECT 82500.0 237750.0 83700.0 238950.0 ; + RECT 80100.0 237750.0 81300.0 238950.0 ; + RECT 77700.0 229050.0 78900.0 230250.0 ; + RECT 80100.0 229050.0 81300.0 230250.0 ; + RECT 80100.0 229050.0 81300.0 230250.0 ; + RECT 77700.0 229050.0 78900.0 230250.0 ; + RECT 80100.0 229050.0 81300.0 230250.0 ; + RECT 82500.0 229050.0 83700.0 230250.0 ; + RECT 82500.0 229050.0 83700.0 230250.0 ; + RECT 80100.0 229050.0 81300.0 230250.0 ; + RECT 84900.0 238350.0 86100.0 239550.0 ; + RECT 84900.0 228450.0 86100.0 229650.0 ; + RECT 82500.0 231600.0 81300.0 232800.0 ; + RECT 79500.0 234300.0 78300.0 235500.0 ; + RECT 80100.0 237750.0 81300.0 238950.0 ; + RECT 82500.0 229050.0 83700.0 230250.0 ; + RECT 83700.0 234300.0 82500.0 235500.0 ; + RECT 78300.0 234300.0 79500.0 235500.0 ; + RECT 81300.0 231600.0 82500.0 232800.0 ; + RECT 82500.0 234300.0 83700.0 235500.0 ; + RECT 75900.0 240450.0 90300.0 241350.0 ; + RECT 75900.0 226650.0 90300.0 227550.0 ; + RECT 77700.0 252750.0 78900.0 255150.0 ; + RECT 77700.0 244050.0 78900.0 240450.0 ; + RECT 82500.0 244050.0 83700.0 240450.0 ; + RECT 84900.0 242850.0 86100.0 240900.0 ; + RECT 84900.0 254700.0 86100.0 252750.0 ; + RECT 77700.0 244050.0 78900.0 242850.0 ; + RECT 80100.0 244050.0 81300.0 242850.0 ; + RECT 80100.0 244050.0 81300.0 242850.0 ; + RECT 77700.0 244050.0 78900.0 242850.0 ; + RECT 80100.0 244050.0 81300.0 242850.0 ; + RECT 82500.0 244050.0 83700.0 242850.0 ; + RECT 82500.0 244050.0 83700.0 242850.0 ; + RECT 80100.0 244050.0 81300.0 242850.0 ; + RECT 77700.0 252750.0 78900.0 251550.0 ; + RECT 80100.0 252750.0 81300.0 251550.0 ; + RECT 80100.0 252750.0 81300.0 251550.0 ; + RECT 77700.0 252750.0 78900.0 251550.0 ; + RECT 80100.0 252750.0 81300.0 251550.0 ; + RECT 82500.0 252750.0 83700.0 251550.0 ; + RECT 82500.0 252750.0 83700.0 251550.0 ; + RECT 80100.0 252750.0 81300.0 251550.0 ; + RECT 84900.0 243450.0 86100.0 242250.0 ; + RECT 84900.0 253350.0 86100.0 252150.0 ; + RECT 82500.0 250200.0 81300.0 249000.0 ; + RECT 79500.0 247500.0 78300.0 246300.0 ; + RECT 80100.0 244050.0 81300.0 242850.0 ; + RECT 82500.0 252750.0 83700.0 251550.0 ; + RECT 83700.0 247500.0 82500.0 246300.0 ; + RECT 78300.0 247500.0 79500.0 246300.0 ; + RECT 81300.0 250200.0 82500.0 249000.0 ; + RECT 82500.0 247500.0 83700.0 246300.0 ; + RECT 75900.0 241350.0 90300.0 240450.0 ; + RECT 75900.0 255150.0 90300.0 254250.0 ; + RECT 77700.0 256650.0 78900.0 254250.0 ; + RECT 77700.0 265350.0 78900.0 268950.0 ; + RECT 82500.0 265350.0 83700.0 268950.0 ; + RECT 84900.0 266550.0 86100.0 268500.0 ; + RECT 84900.0 254700.0 86100.0 256650.0 ; + RECT 77700.0 265350.0 78900.0 266550.0 ; + RECT 80100.0 265350.0 81300.0 266550.0 ; + RECT 80100.0 265350.0 81300.0 266550.0 ; + RECT 77700.0 265350.0 78900.0 266550.0 ; + RECT 80100.0 265350.0 81300.0 266550.0 ; + RECT 82500.0 265350.0 83700.0 266550.0 ; + RECT 82500.0 265350.0 83700.0 266550.0 ; + RECT 80100.0 265350.0 81300.0 266550.0 ; + RECT 77700.0 256650.0 78900.0 257850.0 ; + RECT 80100.0 256650.0 81300.0 257850.0 ; + RECT 80100.0 256650.0 81300.0 257850.0 ; + RECT 77700.0 256650.0 78900.0 257850.0 ; + RECT 80100.0 256650.0 81300.0 257850.0 ; + RECT 82500.0 256650.0 83700.0 257850.0 ; + RECT 82500.0 256650.0 83700.0 257850.0 ; + RECT 80100.0 256650.0 81300.0 257850.0 ; + RECT 84900.0 265950.0 86100.0 267150.0 ; + RECT 84900.0 256050.0 86100.0 257250.0 ; + RECT 82500.0 259200.0 81300.0 260400.0 ; + RECT 79500.0 261900.0 78300.0 263100.0 ; + RECT 80100.0 265350.0 81300.0 266550.0 ; + RECT 82500.0 256650.0 83700.0 257850.0 ; + RECT 83700.0 261900.0 82500.0 263100.0 ; + RECT 78300.0 261900.0 79500.0 263100.0 ; + RECT 81300.0 259200.0 82500.0 260400.0 ; + RECT 82500.0 261900.0 83700.0 263100.0 ; + RECT 75900.0 268050.0 90300.0 268950.0 ; + RECT 75900.0 254250.0 90300.0 255150.0 ; + RECT 77700.0 280350.0 78900.0 282750.0 ; + RECT 77700.0 271650.0 78900.0 268050.0 ; + RECT 82500.0 271650.0 83700.0 268050.0 ; + RECT 84900.0 270450.0 86100.0 268500.0 ; + RECT 84900.0 282300.0 86100.0 280350.0 ; + RECT 77700.0 271650.0 78900.0 270450.0 ; + RECT 80100.0 271650.0 81300.0 270450.0 ; + RECT 80100.0 271650.0 81300.0 270450.0 ; + RECT 77700.0 271650.0 78900.0 270450.0 ; + RECT 80100.0 271650.0 81300.0 270450.0 ; + RECT 82500.0 271650.0 83700.0 270450.0 ; + RECT 82500.0 271650.0 83700.0 270450.0 ; + RECT 80100.0 271650.0 81300.0 270450.0 ; + RECT 77700.0 280350.0 78900.0 279150.0 ; + RECT 80100.0 280350.0 81300.0 279150.0 ; + RECT 80100.0 280350.0 81300.0 279150.0 ; + RECT 77700.0 280350.0 78900.0 279150.0 ; + RECT 80100.0 280350.0 81300.0 279150.0 ; + RECT 82500.0 280350.0 83700.0 279150.0 ; + RECT 82500.0 280350.0 83700.0 279150.0 ; + RECT 80100.0 280350.0 81300.0 279150.0 ; + RECT 84900.0 271050.0 86100.0 269850.0 ; + RECT 84900.0 280950.0 86100.0 279750.0 ; + RECT 82500.0 277800.0 81300.0 276600.0 ; + RECT 79500.0 275100.0 78300.0 273900.0 ; + RECT 80100.0 271650.0 81300.0 270450.0 ; + RECT 82500.0 280350.0 83700.0 279150.0 ; + RECT 83700.0 275100.0 82500.0 273900.0 ; + RECT 78300.0 275100.0 79500.0 273900.0 ; + RECT 81300.0 277800.0 82500.0 276600.0 ; + RECT 82500.0 275100.0 83700.0 273900.0 ; + RECT 75900.0 268950.0 90300.0 268050.0 ; + RECT 75900.0 282750.0 90300.0 281850.0 ; + RECT 77700.0 284250.0 78900.0 281850.0 ; + RECT 77700.0 292950.0 78900.0 296550.0 ; + RECT 82500.0 292950.0 83700.0 296550.0 ; + RECT 84900.0 294150.0 86100.0 296100.0 ; + RECT 84900.0 282300.0 86100.0 284250.0 ; + RECT 77700.0 292950.0 78900.0 294150.0 ; + RECT 80100.0 292950.0 81300.0 294150.0 ; + RECT 80100.0 292950.0 81300.0 294150.0 ; + RECT 77700.0 292950.0 78900.0 294150.0 ; + RECT 80100.0 292950.0 81300.0 294150.0 ; + RECT 82500.0 292950.0 83700.0 294150.0 ; + RECT 82500.0 292950.0 83700.0 294150.0 ; + RECT 80100.0 292950.0 81300.0 294150.0 ; + RECT 77700.0 284250.0 78900.0 285450.0 ; + RECT 80100.0 284250.0 81300.0 285450.0 ; + RECT 80100.0 284250.0 81300.0 285450.0 ; + RECT 77700.0 284250.0 78900.0 285450.0 ; + RECT 80100.0 284250.0 81300.0 285450.0 ; + RECT 82500.0 284250.0 83700.0 285450.0 ; + RECT 82500.0 284250.0 83700.0 285450.0 ; + RECT 80100.0 284250.0 81300.0 285450.0 ; + RECT 84900.0 293550.0 86100.0 294750.0 ; + RECT 84900.0 283650.0 86100.0 284850.0 ; + RECT 82500.0 286800.0 81300.0 288000.0 ; + RECT 79500.0 289500.0 78300.0 290700.0 ; + RECT 80100.0 292950.0 81300.0 294150.0 ; + RECT 82500.0 284250.0 83700.0 285450.0 ; + RECT 83700.0 289500.0 82500.0 290700.0 ; + RECT 78300.0 289500.0 79500.0 290700.0 ; + RECT 81300.0 286800.0 82500.0 288000.0 ; + RECT 82500.0 289500.0 83700.0 290700.0 ; + RECT 75900.0 295650.0 90300.0 296550.0 ; + RECT 75900.0 281850.0 90300.0 282750.0 ; + RECT 77700.0 307950.0 78900.0 310350.0 ; + RECT 77700.0 299250.0 78900.0 295650.0 ; + RECT 82500.0 299250.0 83700.0 295650.0 ; + RECT 84900.0 298050.0 86100.0 296100.0 ; + RECT 84900.0 309900.0 86100.0 307950.0 ; + RECT 77700.0 299250.0 78900.0 298050.0 ; + RECT 80100.0 299250.0 81300.0 298050.0 ; + RECT 80100.0 299250.0 81300.0 298050.0 ; + RECT 77700.0 299250.0 78900.0 298050.0 ; + RECT 80100.0 299250.0 81300.0 298050.0 ; + RECT 82500.0 299250.0 83700.0 298050.0 ; + RECT 82500.0 299250.0 83700.0 298050.0 ; + RECT 80100.0 299250.0 81300.0 298050.0 ; + RECT 77700.0 307950.0 78900.0 306750.0 ; + RECT 80100.0 307950.0 81300.0 306750.0 ; + RECT 80100.0 307950.0 81300.0 306750.0 ; + RECT 77700.0 307950.0 78900.0 306750.0 ; + RECT 80100.0 307950.0 81300.0 306750.0 ; + RECT 82500.0 307950.0 83700.0 306750.0 ; + RECT 82500.0 307950.0 83700.0 306750.0 ; + RECT 80100.0 307950.0 81300.0 306750.0 ; + RECT 84900.0 298650.0 86100.0 297450.0 ; + RECT 84900.0 308550.0 86100.0 307350.0 ; + RECT 82500.0 305400.0 81300.0 304200.0 ; + RECT 79500.0 302700.0 78300.0 301500.0 ; + RECT 80100.0 299250.0 81300.0 298050.0 ; + RECT 82500.0 307950.0 83700.0 306750.0 ; + RECT 83700.0 302700.0 82500.0 301500.0 ; + RECT 78300.0 302700.0 79500.0 301500.0 ; + RECT 81300.0 305400.0 82500.0 304200.0 ; + RECT 82500.0 302700.0 83700.0 301500.0 ; + RECT 75900.0 296550.0 90300.0 295650.0 ; + RECT 75900.0 310350.0 90300.0 309450.0 ; + RECT 77700.0 311850.0 78900.0 309450.0 ; + RECT 77700.0 320550.0 78900.0 324150.0 ; + RECT 82500.0 320550.0 83700.0 324150.0 ; + RECT 84900.0 321750.0 86100.0 323700.0 ; + RECT 84900.0 309900.0 86100.0 311850.0 ; + RECT 77700.0 320550.0 78900.0 321750.0 ; + RECT 80100.0 320550.0 81300.0 321750.0 ; + RECT 80100.0 320550.0 81300.0 321750.0 ; + RECT 77700.0 320550.0 78900.0 321750.0 ; + RECT 80100.0 320550.0 81300.0 321750.0 ; + RECT 82500.0 320550.0 83700.0 321750.0 ; + RECT 82500.0 320550.0 83700.0 321750.0 ; + RECT 80100.0 320550.0 81300.0 321750.0 ; + RECT 77700.0 311850.0 78900.0 313050.0 ; + RECT 80100.0 311850.0 81300.0 313050.0 ; + RECT 80100.0 311850.0 81300.0 313050.0 ; + RECT 77700.0 311850.0 78900.0 313050.0 ; + RECT 80100.0 311850.0 81300.0 313050.0 ; + RECT 82500.0 311850.0 83700.0 313050.0 ; + RECT 82500.0 311850.0 83700.0 313050.0 ; + RECT 80100.0 311850.0 81300.0 313050.0 ; + RECT 84900.0 321150.0 86100.0 322350.0 ; + RECT 84900.0 311250.0 86100.0 312450.0 ; + RECT 82500.0 314400.0 81300.0 315600.0 ; + RECT 79500.0 317100.0 78300.0 318300.0 ; + RECT 80100.0 320550.0 81300.0 321750.0 ; + RECT 82500.0 311850.0 83700.0 313050.0 ; + RECT 83700.0 317100.0 82500.0 318300.0 ; + RECT 78300.0 317100.0 79500.0 318300.0 ; + RECT 81300.0 314400.0 82500.0 315600.0 ; + RECT 82500.0 317100.0 83700.0 318300.0 ; + RECT 75900.0 323250.0 90300.0 324150.0 ; + RECT 75900.0 309450.0 90300.0 310350.0 ; + RECT 77700.0 335550.0 78900.0 337950.0 ; + RECT 77700.0 326850.0 78900.0 323250.0 ; + RECT 82500.0 326850.0 83700.0 323250.0 ; + RECT 84900.0 325650.0 86100.0 323700.0 ; + RECT 84900.0 337500.0 86100.0 335550.0 ; + RECT 77700.0 326850.0 78900.0 325650.0 ; + RECT 80100.0 326850.0 81300.0 325650.0 ; + RECT 80100.0 326850.0 81300.0 325650.0 ; + RECT 77700.0 326850.0 78900.0 325650.0 ; + RECT 80100.0 326850.0 81300.0 325650.0 ; + RECT 82500.0 326850.0 83700.0 325650.0 ; + RECT 82500.0 326850.0 83700.0 325650.0 ; + RECT 80100.0 326850.0 81300.0 325650.0 ; + RECT 77700.0 335550.0 78900.0 334350.0 ; + RECT 80100.0 335550.0 81300.0 334350.0 ; + RECT 80100.0 335550.0 81300.0 334350.0 ; + RECT 77700.0 335550.0 78900.0 334350.0 ; + RECT 80100.0 335550.0 81300.0 334350.0 ; + RECT 82500.0 335550.0 83700.0 334350.0 ; + RECT 82500.0 335550.0 83700.0 334350.0 ; + RECT 80100.0 335550.0 81300.0 334350.0 ; + RECT 84900.0 326250.0 86100.0 325050.0 ; + RECT 84900.0 336150.0 86100.0 334950.0 ; + RECT 82500.0 333000.0 81300.0 331800.0 ; + RECT 79500.0 330300.0 78300.0 329100.0 ; + RECT 80100.0 326850.0 81300.0 325650.0 ; + RECT 82500.0 335550.0 83700.0 334350.0 ; + RECT 83700.0 330300.0 82500.0 329100.0 ; + RECT 78300.0 330300.0 79500.0 329100.0 ; + RECT 81300.0 333000.0 82500.0 331800.0 ; + RECT 82500.0 330300.0 83700.0 329100.0 ; + RECT 75900.0 324150.0 90300.0 323250.0 ; + RECT 75900.0 337950.0 90300.0 337050.0 ; + RECT 77700.0 339450.0 78900.0 337050.0 ; + RECT 77700.0 348150.0 78900.0 351750.0 ; + RECT 82500.0 348150.0 83700.0 351750.0 ; + RECT 84900.0 349350.0 86100.0 351300.0 ; + RECT 84900.0 337500.0 86100.0 339450.0 ; + RECT 77700.0 348150.0 78900.0 349350.0 ; + RECT 80100.0 348150.0 81300.0 349350.0 ; + RECT 80100.0 348150.0 81300.0 349350.0 ; + RECT 77700.0 348150.0 78900.0 349350.0 ; + RECT 80100.0 348150.0 81300.0 349350.0 ; + RECT 82500.0 348150.0 83700.0 349350.0 ; + RECT 82500.0 348150.0 83700.0 349350.0 ; + RECT 80100.0 348150.0 81300.0 349350.0 ; + RECT 77700.0 339450.0 78900.0 340650.0 ; + RECT 80100.0 339450.0 81300.0 340650.0 ; + RECT 80100.0 339450.0 81300.0 340650.0 ; + RECT 77700.0 339450.0 78900.0 340650.0 ; + RECT 80100.0 339450.0 81300.0 340650.0 ; + RECT 82500.0 339450.0 83700.0 340650.0 ; + RECT 82500.0 339450.0 83700.0 340650.0 ; + RECT 80100.0 339450.0 81300.0 340650.0 ; + RECT 84900.0 348750.0 86100.0 349950.0 ; + RECT 84900.0 338850.0 86100.0 340050.0 ; + RECT 82500.0 342000.0 81300.0 343200.0 ; + RECT 79500.0 344700.0 78300.0 345900.0 ; + RECT 80100.0 348150.0 81300.0 349350.0 ; + RECT 82500.0 339450.0 83700.0 340650.0 ; + RECT 83700.0 344700.0 82500.0 345900.0 ; + RECT 78300.0 344700.0 79500.0 345900.0 ; + RECT 81300.0 342000.0 82500.0 343200.0 ; + RECT 82500.0 344700.0 83700.0 345900.0 ; + RECT 75900.0 350850.0 90300.0 351750.0 ; + RECT 75900.0 337050.0 90300.0 337950.0 ; + RECT 77700.0 363150.0 78900.0 365550.0 ; + RECT 77700.0 354450.0 78900.0 350850.0 ; + RECT 82500.0 354450.0 83700.0 350850.0 ; + RECT 84900.0 353250.0 86100.0 351300.0 ; + RECT 84900.0 365100.0 86100.0 363150.0 ; + RECT 77700.0 354450.0 78900.0 353250.0 ; + RECT 80100.0 354450.0 81300.0 353250.0 ; + RECT 80100.0 354450.0 81300.0 353250.0 ; + RECT 77700.0 354450.0 78900.0 353250.0 ; + RECT 80100.0 354450.0 81300.0 353250.0 ; + RECT 82500.0 354450.0 83700.0 353250.0 ; + RECT 82500.0 354450.0 83700.0 353250.0 ; + RECT 80100.0 354450.0 81300.0 353250.0 ; + RECT 77700.0 363150.0 78900.0 361950.0 ; + RECT 80100.0 363150.0 81300.0 361950.0 ; + RECT 80100.0 363150.0 81300.0 361950.0 ; + RECT 77700.0 363150.0 78900.0 361950.0 ; + RECT 80100.0 363150.0 81300.0 361950.0 ; + RECT 82500.0 363150.0 83700.0 361950.0 ; + RECT 82500.0 363150.0 83700.0 361950.0 ; + RECT 80100.0 363150.0 81300.0 361950.0 ; + RECT 84900.0 353850.0 86100.0 352650.0 ; + RECT 84900.0 363750.0 86100.0 362550.0 ; + RECT 82500.0 360600.0 81300.0 359400.0 ; + RECT 79500.0 357900.0 78300.0 356700.0 ; + RECT 80100.0 354450.0 81300.0 353250.0 ; + RECT 82500.0 363150.0 83700.0 361950.0 ; + RECT 83700.0 357900.0 82500.0 356700.0 ; + RECT 78300.0 357900.0 79500.0 356700.0 ; + RECT 81300.0 360600.0 82500.0 359400.0 ; + RECT 82500.0 357900.0 83700.0 356700.0 ; + RECT 75900.0 351750.0 90300.0 350850.0 ; + RECT 75900.0 365550.0 90300.0 364650.0 ; + RECT 77700.0 367050.0 78900.0 364650.0 ; + RECT 77700.0 375750.0 78900.0 379350.0 ; + RECT 82500.0 375750.0 83700.0 379350.0 ; + RECT 84900.0 376950.0 86100.0 378900.0 ; + RECT 84900.0 365100.0 86100.0 367050.0 ; + RECT 77700.0 375750.0 78900.0 376950.0 ; + RECT 80100.0 375750.0 81300.0 376950.0 ; + RECT 80100.0 375750.0 81300.0 376950.0 ; + RECT 77700.0 375750.0 78900.0 376950.0 ; + RECT 80100.0 375750.0 81300.0 376950.0 ; + RECT 82500.0 375750.0 83700.0 376950.0 ; + RECT 82500.0 375750.0 83700.0 376950.0 ; + RECT 80100.0 375750.0 81300.0 376950.0 ; + RECT 77700.0 367050.0 78900.0 368250.0 ; + RECT 80100.0 367050.0 81300.0 368250.0 ; + RECT 80100.0 367050.0 81300.0 368250.0 ; + RECT 77700.0 367050.0 78900.0 368250.0 ; + RECT 80100.0 367050.0 81300.0 368250.0 ; + RECT 82500.0 367050.0 83700.0 368250.0 ; + RECT 82500.0 367050.0 83700.0 368250.0 ; + RECT 80100.0 367050.0 81300.0 368250.0 ; + RECT 84900.0 376350.0 86100.0 377550.0 ; + RECT 84900.0 366450.0 86100.0 367650.0 ; + RECT 82500.0 369600.0 81300.0 370800.0 ; + RECT 79500.0 372300.0 78300.0 373500.0 ; + RECT 80100.0 375750.0 81300.0 376950.0 ; + RECT 82500.0 367050.0 83700.0 368250.0 ; + RECT 83700.0 372300.0 82500.0 373500.0 ; + RECT 78300.0 372300.0 79500.0 373500.0 ; + RECT 81300.0 369600.0 82500.0 370800.0 ; + RECT 82500.0 372300.0 83700.0 373500.0 ; + RECT 75900.0 378450.0 90300.0 379350.0 ; + RECT 75900.0 364650.0 90300.0 365550.0 ; + RECT 77700.0 390750.0 78900.0 393150.0 ; + RECT 77700.0 382050.0 78900.0 378450.0 ; + RECT 82500.0 382050.0 83700.0 378450.0 ; + RECT 84900.0 380850.0 86100.0 378900.0 ; + RECT 84900.0 392700.0 86100.0 390750.0 ; + RECT 77700.0 382050.0 78900.0 380850.0 ; + RECT 80100.0 382050.0 81300.0 380850.0 ; + RECT 80100.0 382050.0 81300.0 380850.0 ; + RECT 77700.0 382050.0 78900.0 380850.0 ; + RECT 80100.0 382050.0 81300.0 380850.0 ; + RECT 82500.0 382050.0 83700.0 380850.0 ; + RECT 82500.0 382050.0 83700.0 380850.0 ; + RECT 80100.0 382050.0 81300.0 380850.0 ; + RECT 77700.0 390750.0 78900.0 389550.0 ; + RECT 80100.0 390750.0 81300.0 389550.0 ; + RECT 80100.0 390750.0 81300.0 389550.0 ; + RECT 77700.0 390750.0 78900.0 389550.0 ; + RECT 80100.0 390750.0 81300.0 389550.0 ; + RECT 82500.0 390750.0 83700.0 389550.0 ; + RECT 82500.0 390750.0 83700.0 389550.0 ; + RECT 80100.0 390750.0 81300.0 389550.0 ; + RECT 84900.0 381450.0 86100.0 380250.0 ; + RECT 84900.0 391350.0 86100.0 390150.0 ; + RECT 82500.0 388200.0 81300.0 387000.0 ; + RECT 79500.0 385500.0 78300.0 384300.0 ; + RECT 80100.0 382050.0 81300.0 380850.0 ; + RECT 82500.0 390750.0 83700.0 389550.0 ; + RECT 83700.0 385500.0 82500.0 384300.0 ; + RECT 78300.0 385500.0 79500.0 384300.0 ; + RECT 81300.0 388200.0 82500.0 387000.0 ; + RECT 82500.0 385500.0 83700.0 384300.0 ; + RECT 75900.0 379350.0 90300.0 378450.0 ; + RECT 75900.0 393150.0 90300.0 392250.0 ; + RECT 77700.0 394650.0 78900.0 392250.0 ; + RECT 77700.0 403350.0 78900.0 406950.0 ; + RECT 82500.0 403350.0 83700.0 406950.0 ; + RECT 84900.0 404550.0 86100.0 406500.0 ; + RECT 84900.0 392700.0 86100.0 394650.0 ; + RECT 77700.0 403350.0 78900.0 404550.0 ; + RECT 80100.0 403350.0 81300.0 404550.0 ; + RECT 80100.0 403350.0 81300.0 404550.0 ; + RECT 77700.0 403350.0 78900.0 404550.0 ; + RECT 80100.0 403350.0 81300.0 404550.0 ; + RECT 82500.0 403350.0 83700.0 404550.0 ; + RECT 82500.0 403350.0 83700.0 404550.0 ; + RECT 80100.0 403350.0 81300.0 404550.0 ; + RECT 77700.0 394650.0 78900.0 395850.0 ; + RECT 80100.0 394650.0 81300.0 395850.0 ; + RECT 80100.0 394650.0 81300.0 395850.0 ; + RECT 77700.0 394650.0 78900.0 395850.0 ; + RECT 80100.0 394650.0 81300.0 395850.0 ; + RECT 82500.0 394650.0 83700.0 395850.0 ; + RECT 82500.0 394650.0 83700.0 395850.0 ; + RECT 80100.0 394650.0 81300.0 395850.0 ; + RECT 84900.0 403950.0 86100.0 405150.0 ; + RECT 84900.0 394050.0 86100.0 395250.0 ; + RECT 82500.0 397200.0 81300.0 398400.0 ; + RECT 79500.0 399900.0 78300.0 401100.0 ; + RECT 80100.0 403350.0 81300.0 404550.0 ; + RECT 82500.0 394650.0 83700.0 395850.0 ; + RECT 83700.0 399900.0 82500.0 401100.0 ; + RECT 78300.0 399900.0 79500.0 401100.0 ; + RECT 81300.0 397200.0 82500.0 398400.0 ; + RECT 82500.0 399900.0 83700.0 401100.0 ; + RECT 75900.0 406050.0 90300.0 406950.0 ; + RECT 75900.0 392250.0 90300.0 393150.0 ; + RECT 77700.0 418350.0 78900.0 420750.0 ; + RECT 77700.0 409650.0 78900.0 406050.0 ; + RECT 82500.0 409650.0 83700.0 406050.0 ; + RECT 84900.0 408450.0 86100.0 406500.0 ; + RECT 84900.0 420300.0 86100.0 418350.0 ; + RECT 77700.0 409650.0 78900.0 408450.0 ; + RECT 80100.0 409650.0 81300.0 408450.0 ; + RECT 80100.0 409650.0 81300.0 408450.0 ; + RECT 77700.0 409650.0 78900.0 408450.0 ; + RECT 80100.0 409650.0 81300.0 408450.0 ; + RECT 82500.0 409650.0 83700.0 408450.0 ; + RECT 82500.0 409650.0 83700.0 408450.0 ; + RECT 80100.0 409650.0 81300.0 408450.0 ; + RECT 77700.0 418350.0 78900.0 417150.0 ; + RECT 80100.0 418350.0 81300.0 417150.0 ; + RECT 80100.0 418350.0 81300.0 417150.0 ; + RECT 77700.0 418350.0 78900.0 417150.0 ; + RECT 80100.0 418350.0 81300.0 417150.0 ; + RECT 82500.0 418350.0 83700.0 417150.0 ; + RECT 82500.0 418350.0 83700.0 417150.0 ; + RECT 80100.0 418350.0 81300.0 417150.0 ; + RECT 84900.0 409050.0 86100.0 407850.0 ; + RECT 84900.0 418950.0 86100.0 417750.0 ; + RECT 82500.0 415800.0 81300.0 414600.0 ; + RECT 79500.0 413100.0 78300.0 411900.0 ; + RECT 80100.0 409650.0 81300.0 408450.0 ; + RECT 82500.0 418350.0 83700.0 417150.0 ; + RECT 83700.0 413100.0 82500.0 411900.0 ; + RECT 78300.0 413100.0 79500.0 411900.0 ; + RECT 81300.0 415800.0 82500.0 414600.0 ; + RECT 82500.0 413100.0 83700.0 411900.0 ; + RECT 75900.0 406950.0 90300.0 406050.0 ; + RECT 75900.0 420750.0 90300.0 419850.0 ; + RECT 96900.0 211350.0 98100.0 213300.0 ; + RECT 96900.0 199500.0 98100.0 201450.0 ; + RECT 92100.0 200850.0 93300.0 199050.0 ; + RECT 92100.0 210150.0 93300.0 213750.0 ; + RECT 94800.0 200850.0 95700.0 210150.0 ; + RECT 92100.0 210150.0 93300.0 211350.0 ; + RECT 94500.0 210150.0 95700.0 211350.0 ; + RECT 94500.0 210150.0 95700.0 211350.0 ; + RECT 92100.0 210150.0 93300.0 211350.0 ; + RECT 92100.0 200850.0 93300.0 202050.0 ; + RECT 94500.0 200850.0 95700.0 202050.0 ; + RECT 94500.0 200850.0 95700.0 202050.0 ; + RECT 92100.0 200850.0 93300.0 202050.0 ; + RECT 96900.0 210750.0 98100.0 211950.0 ; + RECT 96900.0 200850.0 98100.0 202050.0 ; + RECT 92700.0 205500.0 93900.0 206700.0 ; + RECT 92700.0 205500.0 93900.0 206700.0 ; + RECT 95250.0 205650.0 96150.0 206550.0 ; + RECT 90300.0 212850.0 99900.0 213750.0 ; + RECT 90300.0 199050.0 99900.0 199950.0 ; + RECT 96900.0 215250.0 98100.0 213300.0 ; + RECT 96900.0 227100.0 98100.0 225150.0 ; + RECT 92100.0 225750.0 93300.0 227550.0 ; + RECT 92100.0 216450.0 93300.0 212850.0 ; + RECT 94800.0 225750.0 95700.0 216450.0 ; + RECT 92100.0 216450.0 93300.0 215250.0 ; + RECT 94500.0 216450.0 95700.0 215250.0 ; + RECT 94500.0 216450.0 95700.0 215250.0 ; + RECT 92100.0 216450.0 93300.0 215250.0 ; + RECT 92100.0 225750.0 93300.0 224550.0 ; + RECT 94500.0 225750.0 95700.0 224550.0 ; + RECT 94500.0 225750.0 95700.0 224550.0 ; + RECT 92100.0 225750.0 93300.0 224550.0 ; + RECT 96900.0 215850.0 98100.0 214650.0 ; + RECT 96900.0 225750.0 98100.0 224550.0 ; + RECT 92700.0 221100.0 93900.0 219900.0 ; + RECT 92700.0 221100.0 93900.0 219900.0 ; + RECT 95250.0 220950.0 96150.0 220050.0 ; + RECT 90300.0 213750.0 99900.0 212850.0 ; + RECT 90300.0 227550.0 99900.0 226650.0 ; + RECT 96900.0 238950.0 98100.0 240900.0 ; + RECT 96900.0 227100.0 98100.0 229050.0 ; + RECT 92100.0 228450.0 93300.0 226650.0 ; + RECT 92100.0 237750.0 93300.0 241350.0 ; + RECT 94800.0 228450.0 95700.0 237750.0 ; + RECT 92100.0 237750.0 93300.0 238950.0 ; + RECT 94500.0 237750.0 95700.0 238950.0 ; + RECT 94500.0 237750.0 95700.0 238950.0 ; + RECT 92100.0 237750.0 93300.0 238950.0 ; + RECT 92100.0 228450.0 93300.0 229650.0 ; + RECT 94500.0 228450.0 95700.0 229650.0 ; + RECT 94500.0 228450.0 95700.0 229650.0 ; + RECT 92100.0 228450.0 93300.0 229650.0 ; + RECT 96900.0 238350.0 98100.0 239550.0 ; + RECT 96900.0 228450.0 98100.0 229650.0 ; + RECT 92700.0 233100.0 93900.0 234300.0 ; + RECT 92700.0 233100.0 93900.0 234300.0 ; + RECT 95250.0 233250.0 96150.0 234150.0 ; + RECT 90300.0 240450.0 99900.0 241350.0 ; + RECT 90300.0 226650.0 99900.0 227550.0 ; + RECT 96900.0 242850.0 98100.0 240900.0 ; + RECT 96900.0 254700.0 98100.0 252750.0 ; + RECT 92100.0 253350.0 93300.0 255150.0 ; + RECT 92100.0 244050.0 93300.0 240450.0 ; + RECT 94800.0 253350.0 95700.0 244050.0 ; + RECT 92100.0 244050.0 93300.0 242850.0 ; + RECT 94500.0 244050.0 95700.0 242850.0 ; + RECT 94500.0 244050.0 95700.0 242850.0 ; + RECT 92100.0 244050.0 93300.0 242850.0 ; + RECT 92100.0 253350.0 93300.0 252150.0 ; + RECT 94500.0 253350.0 95700.0 252150.0 ; + RECT 94500.0 253350.0 95700.0 252150.0 ; + RECT 92100.0 253350.0 93300.0 252150.0 ; + RECT 96900.0 243450.0 98100.0 242250.0 ; + RECT 96900.0 253350.0 98100.0 252150.0 ; + RECT 92700.0 248700.0 93900.0 247500.0 ; + RECT 92700.0 248700.0 93900.0 247500.0 ; + RECT 95250.0 248550.0 96150.0 247650.0 ; + RECT 90300.0 241350.0 99900.0 240450.0 ; + RECT 90300.0 255150.0 99900.0 254250.0 ; + RECT 96900.0 266550.0 98100.0 268500.0 ; + RECT 96900.0 254700.0 98100.0 256650.0 ; + RECT 92100.0 256050.0 93300.0 254250.0 ; + RECT 92100.0 265350.0 93300.0 268950.0 ; + RECT 94800.0 256050.0 95700.0 265350.0 ; + RECT 92100.0 265350.0 93300.0 266550.0 ; + RECT 94500.0 265350.0 95700.0 266550.0 ; + RECT 94500.0 265350.0 95700.0 266550.0 ; + RECT 92100.0 265350.0 93300.0 266550.0 ; + RECT 92100.0 256050.0 93300.0 257250.0 ; + RECT 94500.0 256050.0 95700.0 257250.0 ; + RECT 94500.0 256050.0 95700.0 257250.0 ; + RECT 92100.0 256050.0 93300.0 257250.0 ; + RECT 96900.0 265950.0 98100.0 267150.0 ; + RECT 96900.0 256050.0 98100.0 257250.0 ; + RECT 92700.0 260700.0 93900.0 261900.0 ; + RECT 92700.0 260700.0 93900.0 261900.0 ; + RECT 95250.0 260850.0 96150.0 261750.0 ; + RECT 90300.0 268050.0 99900.0 268950.0 ; + RECT 90300.0 254250.0 99900.0 255150.0 ; + RECT 96900.0 270450.0 98100.0 268500.0 ; + RECT 96900.0 282300.0 98100.0 280350.0 ; + RECT 92100.0 280950.0 93300.0 282750.0 ; + RECT 92100.0 271650.0 93300.0 268050.0 ; + RECT 94800.0 280950.0 95700.0 271650.0 ; + RECT 92100.0 271650.0 93300.0 270450.0 ; + RECT 94500.0 271650.0 95700.0 270450.0 ; + RECT 94500.0 271650.0 95700.0 270450.0 ; + RECT 92100.0 271650.0 93300.0 270450.0 ; + RECT 92100.0 280950.0 93300.0 279750.0 ; + RECT 94500.0 280950.0 95700.0 279750.0 ; + RECT 94500.0 280950.0 95700.0 279750.0 ; + RECT 92100.0 280950.0 93300.0 279750.0 ; + RECT 96900.0 271050.0 98100.0 269850.0 ; + RECT 96900.0 280950.0 98100.0 279750.0 ; + RECT 92700.0 276300.0 93900.0 275100.0 ; + RECT 92700.0 276300.0 93900.0 275100.0 ; + RECT 95250.0 276150.0 96150.0 275250.0 ; + RECT 90300.0 268950.0 99900.0 268050.0 ; + RECT 90300.0 282750.0 99900.0 281850.0 ; + RECT 96900.0 294150.0 98100.0 296100.0 ; + RECT 96900.0 282300.0 98100.0 284250.0 ; + RECT 92100.0 283650.0 93300.0 281850.0 ; + RECT 92100.0 292950.0 93300.0 296550.0 ; + RECT 94800.0 283650.0 95700.0 292950.0 ; + RECT 92100.0 292950.0 93300.0 294150.0 ; + RECT 94500.0 292950.0 95700.0 294150.0 ; + RECT 94500.0 292950.0 95700.0 294150.0 ; + RECT 92100.0 292950.0 93300.0 294150.0 ; + RECT 92100.0 283650.0 93300.0 284850.0 ; + RECT 94500.0 283650.0 95700.0 284850.0 ; + RECT 94500.0 283650.0 95700.0 284850.0 ; + RECT 92100.0 283650.0 93300.0 284850.0 ; + RECT 96900.0 293550.0 98100.0 294750.0 ; + RECT 96900.0 283650.0 98100.0 284850.0 ; + RECT 92700.0 288300.0 93900.0 289500.0 ; + RECT 92700.0 288300.0 93900.0 289500.0 ; + RECT 95250.0 288450.0 96150.0 289350.0 ; + RECT 90300.0 295650.0 99900.0 296550.0 ; + RECT 90300.0 281850.0 99900.0 282750.0 ; + RECT 96900.0 298050.0 98100.0 296100.0 ; + RECT 96900.0 309900.0 98100.0 307950.0 ; + RECT 92100.0 308550.0 93300.0 310350.0 ; + RECT 92100.0 299250.0 93300.0 295650.0 ; + RECT 94800.0 308550.0 95700.0 299250.0 ; + RECT 92100.0 299250.0 93300.0 298050.0 ; + RECT 94500.0 299250.0 95700.0 298050.0 ; + RECT 94500.0 299250.0 95700.0 298050.0 ; + RECT 92100.0 299250.0 93300.0 298050.0 ; + RECT 92100.0 308550.0 93300.0 307350.0 ; + RECT 94500.0 308550.0 95700.0 307350.0 ; + RECT 94500.0 308550.0 95700.0 307350.0 ; + RECT 92100.0 308550.0 93300.0 307350.0 ; + RECT 96900.0 298650.0 98100.0 297450.0 ; + RECT 96900.0 308550.0 98100.0 307350.0 ; + RECT 92700.0 303900.0 93900.0 302700.0 ; + RECT 92700.0 303900.0 93900.0 302700.0 ; + RECT 95250.0 303750.0 96150.0 302850.0 ; + RECT 90300.0 296550.0 99900.0 295650.0 ; + RECT 90300.0 310350.0 99900.0 309450.0 ; + RECT 96900.0 321750.0 98100.0 323700.0 ; + RECT 96900.0 309900.0 98100.0 311850.0 ; + RECT 92100.0 311250.0 93300.0 309450.0 ; + RECT 92100.0 320550.0 93300.0 324150.0 ; + RECT 94800.0 311250.0 95700.0 320550.0 ; + RECT 92100.0 320550.0 93300.0 321750.0 ; + RECT 94500.0 320550.0 95700.0 321750.0 ; + RECT 94500.0 320550.0 95700.0 321750.0 ; + RECT 92100.0 320550.0 93300.0 321750.0 ; + RECT 92100.0 311250.0 93300.0 312450.0 ; + RECT 94500.0 311250.0 95700.0 312450.0 ; + RECT 94500.0 311250.0 95700.0 312450.0 ; + RECT 92100.0 311250.0 93300.0 312450.0 ; + RECT 96900.0 321150.0 98100.0 322350.0 ; + RECT 96900.0 311250.0 98100.0 312450.0 ; + RECT 92700.0 315900.0 93900.0 317100.0 ; + RECT 92700.0 315900.0 93900.0 317100.0 ; + RECT 95250.0 316050.0 96150.0 316950.0 ; + RECT 90300.0 323250.0 99900.0 324150.0 ; + RECT 90300.0 309450.0 99900.0 310350.0 ; + RECT 96900.0 325650.0 98100.0 323700.0 ; + RECT 96900.0 337500.0 98100.0 335550.0 ; + RECT 92100.0 336150.0 93300.0 337950.0 ; + RECT 92100.0 326850.0 93300.0 323250.0 ; + RECT 94800.0 336150.0 95700.0 326850.0 ; + RECT 92100.0 326850.0 93300.0 325650.0 ; + RECT 94500.0 326850.0 95700.0 325650.0 ; + RECT 94500.0 326850.0 95700.0 325650.0 ; + RECT 92100.0 326850.0 93300.0 325650.0 ; + RECT 92100.0 336150.0 93300.0 334950.0 ; + RECT 94500.0 336150.0 95700.0 334950.0 ; + RECT 94500.0 336150.0 95700.0 334950.0 ; + RECT 92100.0 336150.0 93300.0 334950.0 ; + RECT 96900.0 326250.0 98100.0 325050.0 ; + RECT 96900.0 336150.0 98100.0 334950.0 ; + RECT 92700.0 331500.0 93900.0 330300.0 ; + RECT 92700.0 331500.0 93900.0 330300.0 ; + RECT 95250.0 331350.0 96150.0 330450.0 ; + RECT 90300.0 324150.0 99900.0 323250.0 ; + RECT 90300.0 337950.0 99900.0 337050.0 ; + RECT 96900.0 349350.0 98100.0 351300.0 ; + RECT 96900.0 337500.0 98100.0 339450.0 ; + RECT 92100.0 338850.0 93300.0 337050.0 ; + RECT 92100.0 348150.0 93300.0 351750.0 ; + RECT 94800.0 338850.0 95700.0 348150.0 ; + RECT 92100.0 348150.0 93300.0 349350.0 ; + RECT 94500.0 348150.0 95700.0 349350.0 ; + RECT 94500.0 348150.0 95700.0 349350.0 ; + RECT 92100.0 348150.0 93300.0 349350.0 ; + RECT 92100.0 338850.0 93300.0 340050.0 ; + RECT 94500.0 338850.0 95700.0 340050.0 ; + RECT 94500.0 338850.0 95700.0 340050.0 ; + RECT 92100.0 338850.0 93300.0 340050.0 ; + RECT 96900.0 348750.0 98100.0 349950.0 ; + RECT 96900.0 338850.0 98100.0 340050.0 ; + RECT 92700.0 343500.0 93900.0 344700.0 ; + RECT 92700.0 343500.0 93900.0 344700.0 ; + RECT 95250.0 343650.0 96150.0 344550.0 ; + RECT 90300.0 350850.0 99900.0 351750.0 ; + RECT 90300.0 337050.0 99900.0 337950.0 ; + RECT 96900.0 353250.0 98100.0 351300.0 ; + RECT 96900.0 365100.0 98100.0 363150.0 ; + RECT 92100.0 363750.0 93300.0 365550.0 ; + RECT 92100.0 354450.0 93300.0 350850.0 ; + RECT 94800.0 363750.0 95700.0 354450.0 ; + RECT 92100.0 354450.0 93300.0 353250.0 ; + RECT 94500.0 354450.0 95700.0 353250.0 ; + RECT 94500.0 354450.0 95700.0 353250.0 ; + RECT 92100.0 354450.0 93300.0 353250.0 ; + RECT 92100.0 363750.0 93300.0 362550.0 ; + RECT 94500.0 363750.0 95700.0 362550.0 ; + RECT 94500.0 363750.0 95700.0 362550.0 ; + RECT 92100.0 363750.0 93300.0 362550.0 ; + RECT 96900.0 353850.0 98100.0 352650.0 ; + RECT 96900.0 363750.0 98100.0 362550.0 ; + RECT 92700.0 359100.0 93900.0 357900.0 ; + RECT 92700.0 359100.0 93900.0 357900.0 ; + RECT 95250.0 358950.0 96150.0 358050.0 ; + RECT 90300.0 351750.0 99900.0 350850.0 ; + RECT 90300.0 365550.0 99900.0 364650.0 ; + RECT 96900.0 376950.0 98100.0 378900.0 ; + RECT 96900.0 365100.0 98100.0 367050.0 ; + RECT 92100.0 366450.0 93300.0 364650.0 ; + RECT 92100.0 375750.0 93300.0 379350.0 ; + RECT 94800.0 366450.0 95700.0 375750.0 ; + RECT 92100.0 375750.0 93300.0 376950.0 ; + RECT 94500.0 375750.0 95700.0 376950.0 ; + RECT 94500.0 375750.0 95700.0 376950.0 ; + RECT 92100.0 375750.0 93300.0 376950.0 ; + RECT 92100.0 366450.0 93300.0 367650.0 ; + RECT 94500.0 366450.0 95700.0 367650.0 ; + RECT 94500.0 366450.0 95700.0 367650.0 ; + RECT 92100.0 366450.0 93300.0 367650.0 ; + RECT 96900.0 376350.0 98100.0 377550.0 ; + RECT 96900.0 366450.0 98100.0 367650.0 ; + RECT 92700.0 371100.0 93900.0 372300.0 ; + RECT 92700.0 371100.0 93900.0 372300.0 ; + RECT 95250.0 371250.0 96150.0 372150.0 ; + RECT 90300.0 378450.0 99900.0 379350.0 ; + RECT 90300.0 364650.0 99900.0 365550.0 ; + RECT 96900.0 380850.0 98100.0 378900.0 ; + RECT 96900.0 392700.0 98100.0 390750.0 ; + RECT 92100.0 391350.0 93300.0 393150.0 ; + RECT 92100.0 382050.0 93300.0 378450.0 ; + RECT 94800.0 391350.0 95700.0 382050.0 ; + RECT 92100.0 382050.0 93300.0 380850.0 ; + RECT 94500.0 382050.0 95700.0 380850.0 ; + RECT 94500.0 382050.0 95700.0 380850.0 ; + RECT 92100.0 382050.0 93300.0 380850.0 ; + RECT 92100.0 391350.0 93300.0 390150.0 ; + RECT 94500.0 391350.0 95700.0 390150.0 ; + RECT 94500.0 391350.0 95700.0 390150.0 ; + RECT 92100.0 391350.0 93300.0 390150.0 ; + RECT 96900.0 381450.0 98100.0 380250.0 ; + RECT 96900.0 391350.0 98100.0 390150.0 ; + RECT 92700.0 386700.0 93900.0 385500.0 ; + RECT 92700.0 386700.0 93900.0 385500.0 ; + RECT 95250.0 386550.0 96150.0 385650.0 ; + RECT 90300.0 379350.0 99900.0 378450.0 ; + RECT 90300.0 393150.0 99900.0 392250.0 ; + RECT 96900.0 404550.0 98100.0 406500.0 ; + RECT 96900.0 392700.0 98100.0 394650.0 ; + RECT 92100.0 394050.0 93300.0 392250.0 ; + RECT 92100.0 403350.0 93300.0 406950.0 ; + RECT 94800.0 394050.0 95700.0 403350.0 ; + RECT 92100.0 403350.0 93300.0 404550.0 ; + RECT 94500.0 403350.0 95700.0 404550.0 ; + RECT 94500.0 403350.0 95700.0 404550.0 ; + RECT 92100.0 403350.0 93300.0 404550.0 ; + RECT 92100.0 394050.0 93300.0 395250.0 ; + RECT 94500.0 394050.0 95700.0 395250.0 ; + RECT 94500.0 394050.0 95700.0 395250.0 ; + RECT 92100.0 394050.0 93300.0 395250.0 ; + RECT 96900.0 403950.0 98100.0 405150.0 ; + RECT 96900.0 394050.0 98100.0 395250.0 ; + RECT 92700.0 398700.0 93900.0 399900.0 ; + RECT 92700.0 398700.0 93900.0 399900.0 ; + RECT 95250.0 398850.0 96150.0 399750.0 ; + RECT 90300.0 406050.0 99900.0 406950.0 ; + RECT 90300.0 392250.0 99900.0 393150.0 ; + RECT 96900.0 408450.0 98100.0 406500.0 ; + RECT 96900.0 420300.0 98100.0 418350.0 ; + RECT 92100.0 418950.0 93300.0 420750.0 ; + RECT 92100.0 409650.0 93300.0 406050.0 ; + RECT 94800.0 418950.0 95700.0 409650.0 ; + RECT 92100.0 409650.0 93300.0 408450.0 ; + RECT 94500.0 409650.0 95700.0 408450.0 ; + RECT 94500.0 409650.0 95700.0 408450.0 ; + RECT 92100.0 409650.0 93300.0 408450.0 ; + RECT 92100.0 418950.0 93300.0 417750.0 ; + RECT 94500.0 418950.0 95700.0 417750.0 ; + RECT 94500.0 418950.0 95700.0 417750.0 ; + RECT 92100.0 418950.0 93300.0 417750.0 ; + RECT 96900.0 409050.0 98100.0 407850.0 ; + RECT 96900.0 418950.0 98100.0 417750.0 ; + RECT 92700.0 414300.0 93900.0 413100.0 ; + RECT 92700.0 414300.0 93900.0 413100.0 ; + RECT 95250.0 414150.0 96150.0 413250.0 ; + RECT 90300.0 406950.0 99900.0 406050.0 ; + RECT 90300.0 420750.0 99900.0 419850.0 ; + RECT 60150.0 95100.0 58950.0 96300.0 ; + RECT 62250.0 109500.0 61050.0 110700.0 ; + RECT 64350.0 122700.0 63150.0 123900.0 ; + RECT 66450.0 137100.0 65250.0 138300.0 ; + RECT 68550.0 150300.0 67350.0 151500.0 ; + RECT 70650.0 164700.0 69450.0 165900.0 ; + RECT 72750.0 177900.0 71550.0 179100.0 ; + RECT 74850.0 192300.0 73650.0 193500.0 ; + RECT 60150.0 206700.0 58950.0 207900.0 ; + RECT 68550.0 204000.0 67350.0 205200.0 ; + RECT 60150.0 218700.0 58950.0 219900.0 ; + RECT 70650.0 221400.0 69450.0 222600.0 ; + RECT 60150.0 234300.0 58950.0 235500.0 ; + RECT 72750.0 231600.0 71550.0 232800.0 ; + RECT 60150.0 246300.0 58950.0 247500.0 ; + RECT 74850.0 249000.0 73650.0 250200.0 ; + RECT 62250.0 261900.0 61050.0 263100.0 ; + RECT 68550.0 259200.0 67350.0 260400.0 ; + RECT 62250.0 273900.0 61050.0 275100.0 ; + RECT 70650.0 276600.0 69450.0 277800.0 ; + RECT 62250.0 289500.0 61050.0 290700.0 ; + RECT 72750.0 286800.0 71550.0 288000.0 ; + RECT 62250.0 301500.0 61050.0 302700.0 ; + RECT 74850.0 304200.0 73650.0 305400.0 ; + RECT 64350.0 317100.0 63150.0 318300.0 ; + RECT 68550.0 314400.0 67350.0 315600.0 ; + RECT 64350.0 329100.0 63150.0 330300.0 ; + RECT 70650.0 331800.0 69450.0 333000.0 ; + RECT 64350.0 344700.0 63150.0 345900.0 ; + RECT 72750.0 342000.0 71550.0 343200.0 ; + RECT 64350.0 356700.0 63150.0 357900.0 ; + RECT 74850.0 359400.0 73650.0 360600.0 ; + RECT 66450.0 372300.0 65250.0 373500.0 ; + RECT 68550.0 369600.0 67350.0 370800.0 ; + RECT 66450.0 384300.0 65250.0 385500.0 ; + RECT 70650.0 387000.0 69450.0 388200.0 ; + RECT 66450.0 399900.0 65250.0 401100.0 ; + RECT 72750.0 397200.0 71550.0 398400.0 ; + RECT 66450.0 411900.0 65250.0 413100.0 ; + RECT 74850.0 414600.0 73650.0 415800.0 ; + RECT 95250.0 205650.0 96150.0 206550.0 ; + RECT 95250.0 220050.0 96150.0 220950.0 ; + RECT 95250.0 233250.0 96150.0 234150.0 ; + RECT 95250.0 247650.0 96150.0 248550.0 ; + RECT 95250.0 260850.0 96150.0 261750.0 ; + RECT 95250.0 275250.0 96150.0 276150.0 ; + RECT 95250.0 288450.0 96150.0 289350.0 ; + RECT 95250.0 302850.0 96150.0 303750.0 ; + RECT 95250.0 316050.0 96150.0 316950.0 ; + RECT 95250.0 330450.0 96150.0 331350.0 ; + RECT 95250.0 343650.0 96150.0 344550.0 ; + RECT 95250.0 358050.0 96150.0 358950.0 ; + RECT 95250.0 371250.0 96150.0 372150.0 ; + RECT 95250.0 385650.0 96150.0 386550.0 ; + RECT 95250.0 398850.0 96150.0 399750.0 ; + RECT 95250.0 413250.0 96150.0 414150.0 ; + RECT 59100.0 102450.0 130500.0 103350.0 ; + RECT 59100.0 130050.0 130500.0 130950.0 ; + RECT 59100.0 157650.0 130500.0 158550.0 ; + RECT 59100.0 185250.0 130500.0 186150.0 ; + RECT 59100.0 212850.0 130500.0 213750.0 ; + RECT 59100.0 240450.0 130500.0 241350.0 ; + RECT 59100.0 268050.0 130500.0 268950.0 ; + RECT 59100.0 295650.0 130500.0 296550.0 ; + RECT 59100.0 323250.0 130500.0 324150.0 ; + RECT 59100.0 350850.0 130500.0 351750.0 ; + RECT 59100.0 378450.0 130500.0 379350.0 ; + RECT 59100.0 406050.0 130500.0 406950.0 ; + RECT 59100.0 88650.0 130500.0 89550.0 ; + RECT 59100.0 116250.0 130500.0 117150.0 ; + RECT 59100.0 143850.0 130500.0 144750.0 ; + RECT 59100.0 171450.0 130500.0 172350.0 ; + RECT 59100.0 199050.0 130500.0 199950.0 ; + RECT 59100.0 226650.0 130500.0 227550.0 ; + RECT 59100.0 254250.0 130500.0 255150.0 ; + RECT 59100.0 281850.0 130500.0 282750.0 ; + RECT 59100.0 309450.0 130500.0 310350.0 ; + RECT 59100.0 337050.0 130500.0 337950.0 ; + RECT 59100.0 364650.0 130500.0 365550.0 ; + RECT 59100.0 392250.0 130500.0 393150.0 ; + RECT 59100.0 419850.0 130500.0 420750.0 ; + RECT 103050.0 205650.0 108600.0 206550.0 ; + RECT 111150.0 206850.0 112050.0 207750.0 ; + RECT 111150.0 205650.0 112050.0 206550.0 ; + RECT 111150.0 206550.0 112050.0 207300.0 ; + RECT 111600.0 206850.0 118200.0 207750.0 ; + RECT 118200.0 206850.0 119400.0 207750.0 ; + RECT 127650.0 206850.0 128550.0 207750.0 ; + RECT 127650.0 205650.0 128550.0 206550.0 ; + RECT 123600.0 206850.0 128100.0 207750.0 ; + RECT 127650.0 206100.0 128550.0 207300.0 ; + RECT 128100.0 205650.0 132600.0 206550.0 ; + RECT 103050.0 220050.0 108600.0 220950.0 ; + RECT 111150.0 218850.0 112050.0 219750.0 ; + RECT 111150.0 220050.0 112050.0 220950.0 ; + RECT 111150.0 219300.0 112050.0 220950.0 ; + RECT 111600.0 218850.0 118200.0 219750.0 ; + RECT 118200.0 218850.0 119400.0 219750.0 ; + RECT 127650.0 218850.0 128550.0 219750.0 ; + RECT 127650.0 220050.0 128550.0 220950.0 ; + RECT 123600.0 218850.0 128100.0 219750.0 ; + RECT 127650.0 219300.0 128550.0 220500.0 ; + RECT 128100.0 220050.0 132600.0 220950.0 ; + RECT 103050.0 233250.0 108600.0 234150.0 ; + RECT 111150.0 234450.0 112050.0 235350.0 ; + RECT 111150.0 233250.0 112050.0 234150.0 ; + RECT 111150.0 234150.0 112050.0 234900.0 ; + RECT 111600.0 234450.0 118200.0 235350.0 ; + RECT 118200.0 234450.0 119400.0 235350.0 ; + RECT 127650.0 234450.0 128550.0 235350.0 ; + RECT 127650.0 233250.0 128550.0 234150.0 ; + RECT 123600.0 234450.0 128100.0 235350.0 ; + RECT 127650.0 233700.0 128550.0 234900.0 ; + RECT 128100.0 233250.0 132600.0 234150.0 ; + RECT 103050.0 247650.0 108600.0 248550.0 ; + RECT 111150.0 246450.0 112050.0 247350.0 ; + RECT 111150.0 247650.0 112050.0 248550.0 ; + RECT 111150.0 246900.0 112050.0 248550.0 ; + RECT 111600.0 246450.0 118200.0 247350.0 ; + RECT 118200.0 246450.0 119400.0 247350.0 ; + RECT 127650.0 246450.0 128550.0 247350.0 ; + RECT 127650.0 247650.0 128550.0 248550.0 ; + RECT 123600.0 246450.0 128100.0 247350.0 ; + RECT 127650.0 246900.0 128550.0 248100.0 ; + RECT 128100.0 247650.0 132600.0 248550.0 ; + RECT 103050.0 260850.0 108600.0 261750.0 ; + RECT 111150.0 262050.0 112050.0 262950.0 ; + RECT 111150.0 260850.0 112050.0 261750.0 ; + RECT 111150.0 261750.0 112050.0 262500.0 ; + RECT 111600.0 262050.0 118200.0 262950.0 ; + RECT 118200.0 262050.0 119400.0 262950.0 ; + RECT 127650.0 262050.0 128550.0 262950.0 ; + RECT 127650.0 260850.0 128550.0 261750.0 ; + RECT 123600.0 262050.0 128100.0 262950.0 ; + RECT 127650.0 261300.0 128550.0 262500.0 ; + RECT 128100.0 260850.0 132600.0 261750.0 ; + RECT 103050.0 275250.0 108600.0 276150.0 ; + RECT 111150.0 274050.0 112050.0 274950.0 ; + RECT 111150.0 275250.0 112050.0 276150.0 ; + RECT 111150.0 274500.0 112050.0 276150.0 ; + RECT 111600.0 274050.0 118200.0 274950.0 ; + RECT 118200.0 274050.0 119400.0 274950.0 ; + RECT 127650.0 274050.0 128550.0 274950.0 ; + RECT 127650.0 275250.0 128550.0 276150.0 ; + RECT 123600.0 274050.0 128100.0 274950.0 ; + RECT 127650.0 274500.0 128550.0 275700.0 ; + RECT 128100.0 275250.0 132600.0 276150.0 ; + RECT 103050.0 288450.0 108600.0 289350.0 ; + RECT 111150.0 289650.0 112050.0 290550.0 ; + RECT 111150.0 288450.0 112050.0 289350.0 ; + RECT 111150.0 289350.0 112050.0 290100.0 ; + RECT 111600.0 289650.0 118200.0 290550.0 ; + RECT 118200.0 289650.0 119400.0 290550.0 ; + RECT 127650.0 289650.0 128550.0 290550.0 ; + RECT 127650.0 288450.0 128550.0 289350.0 ; + RECT 123600.0 289650.0 128100.0 290550.0 ; + RECT 127650.0 288900.0 128550.0 290100.0 ; + RECT 128100.0 288450.0 132600.0 289350.0 ; + RECT 103050.0 302850.0 108600.0 303750.0 ; + RECT 111150.0 301650.0 112050.0 302550.0 ; + RECT 111150.0 302850.0 112050.0 303750.0 ; + RECT 111150.0 302100.0 112050.0 303750.0 ; + RECT 111600.0 301650.0 118200.0 302550.0 ; + RECT 118200.0 301650.0 119400.0 302550.0 ; + RECT 127650.0 301650.0 128550.0 302550.0 ; + RECT 127650.0 302850.0 128550.0 303750.0 ; + RECT 123600.0 301650.0 128100.0 302550.0 ; + RECT 127650.0 302100.0 128550.0 303300.0 ; + RECT 128100.0 302850.0 132600.0 303750.0 ; + RECT 103050.0 316050.0 108600.0 316950.0 ; + RECT 111150.0 317250.0 112050.0 318150.0 ; + RECT 111150.0 316050.0 112050.0 316950.0 ; + RECT 111150.0 316950.0 112050.0 317700.0 ; + RECT 111600.0 317250.0 118200.0 318150.0 ; + RECT 118200.0 317250.0 119400.0 318150.0 ; + RECT 127650.0 317250.0 128550.0 318150.0 ; + RECT 127650.0 316050.0 128550.0 316950.0 ; + RECT 123600.0 317250.0 128100.0 318150.0 ; + RECT 127650.0 316500.0 128550.0 317700.0 ; + RECT 128100.0 316050.0 132600.0 316950.0 ; + RECT 103050.0 330450.0 108600.0 331350.0 ; + RECT 111150.0 329250.0 112050.0 330150.0 ; + RECT 111150.0 330450.0 112050.0 331350.0 ; + RECT 111150.0 329700.0 112050.0 331350.0 ; + RECT 111600.0 329250.0 118200.0 330150.0 ; + RECT 118200.0 329250.0 119400.0 330150.0 ; + RECT 127650.0 329250.0 128550.0 330150.0 ; + RECT 127650.0 330450.0 128550.0 331350.0 ; + RECT 123600.0 329250.0 128100.0 330150.0 ; + RECT 127650.0 329700.0 128550.0 330900.0 ; + RECT 128100.0 330450.0 132600.0 331350.0 ; + RECT 103050.0 343650.0 108600.0 344550.0 ; + RECT 111150.0 344850.0 112050.0 345750.0 ; + RECT 111150.0 343650.0 112050.0 344550.0 ; + RECT 111150.0 344550.0 112050.0 345300.0 ; + RECT 111600.0 344850.0 118200.0 345750.0 ; + RECT 118200.0 344850.0 119400.0 345750.0 ; + RECT 127650.0 344850.0 128550.0 345750.0 ; + RECT 127650.0 343650.0 128550.0 344550.0 ; + RECT 123600.0 344850.0 128100.0 345750.0 ; + RECT 127650.0 344100.0 128550.0 345300.0 ; + RECT 128100.0 343650.0 132600.0 344550.0 ; + RECT 103050.0 358050.0 108600.0 358950.0 ; + RECT 111150.0 356850.0 112050.0 357750.0 ; + RECT 111150.0 358050.0 112050.0 358950.0 ; + RECT 111150.0 357300.0 112050.0 358950.0 ; + RECT 111600.0 356850.0 118200.0 357750.0 ; + RECT 118200.0 356850.0 119400.0 357750.0 ; + RECT 127650.0 356850.0 128550.0 357750.0 ; + RECT 127650.0 358050.0 128550.0 358950.0 ; + RECT 123600.0 356850.0 128100.0 357750.0 ; + RECT 127650.0 357300.0 128550.0 358500.0 ; + RECT 128100.0 358050.0 132600.0 358950.0 ; + RECT 103050.0 371250.0 108600.0 372150.0 ; + RECT 111150.0 372450.0 112050.0 373350.0 ; + RECT 111150.0 371250.0 112050.0 372150.0 ; + RECT 111150.0 372150.0 112050.0 372900.0 ; + RECT 111600.0 372450.0 118200.0 373350.0 ; + RECT 118200.0 372450.0 119400.0 373350.0 ; + RECT 127650.0 372450.0 128550.0 373350.0 ; + RECT 127650.0 371250.0 128550.0 372150.0 ; + RECT 123600.0 372450.0 128100.0 373350.0 ; + RECT 127650.0 371700.0 128550.0 372900.0 ; + RECT 128100.0 371250.0 132600.0 372150.0 ; + RECT 103050.0 385650.0 108600.0 386550.0 ; + RECT 111150.0 384450.0 112050.0 385350.0 ; + RECT 111150.0 385650.0 112050.0 386550.0 ; + RECT 111150.0 384900.0 112050.0 386550.0 ; + RECT 111600.0 384450.0 118200.0 385350.0 ; + RECT 118200.0 384450.0 119400.0 385350.0 ; + RECT 127650.0 384450.0 128550.0 385350.0 ; + RECT 127650.0 385650.0 128550.0 386550.0 ; + RECT 123600.0 384450.0 128100.0 385350.0 ; + RECT 127650.0 384900.0 128550.0 386100.0 ; + RECT 128100.0 385650.0 132600.0 386550.0 ; + RECT 103050.0 398850.0 108600.0 399750.0 ; + RECT 111150.0 400050.0 112050.0 400950.0 ; + RECT 111150.0 398850.0 112050.0 399750.0 ; + RECT 111150.0 399750.0 112050.0 400500.0 ; + RECT 111600.0 400050.0 118200.0 400950.0 ; + RECT 118200.0 400050.0 119400.0 400950.0 ; + RECT 127650.0 400050.0 128550.0 400950.0 ; + RECT 127650.0 398850.0 128550.0 399750.0 ; + RECT 123600.0 400050.0 128100.0 400950.0 ; + RECT 127650.0 399300.0 128550.0 400500.0 ; + RECT 128100.0 398850.0 132600.0 399750.0 ; + RECT 103050.0 413250.0 108600.0 414150.0 ; + RECT 111150.0 412050.0 112050.0 412950.0 ; + RECT 111150.0 413250.0 112050.0 414150.0 ; + RECT 111150.0 412500.0 112050.0 414150.0 ; + RECT 111600.0 412050.0 118200.0 412950.0 ; + RECT 118200.0 412050.0 119400.0 412950.0 ; + RECT 127650.0 412050.0 128550.0 412950.0 ; + RECT 127650.0 413250.0 128550.0 414150.0 ; + RECT 123600.0 412050.0 128100.0 412950.0 ; + RECT 127650.0 412500.0 128550.0 413700.0 ; + RECT 128100.0 413250.0 132600.0 414150.0 ; + RECT 112800.0 211350.0 114000.0 213300.0 ; + RECT 112800.0 199500.0 114000.0 201450.0 ; + RECT 108000.0 200850.0 109200.0 199050.0 ; + RECT 108000.0 210150.0 109200.0 213750.0 ; + RECT 110700.0 200850.0 111600.0 210150.0 ; + RECT 108000.0 210150.0 109200.0 211350.0 ; + RECT 110400.0 210150.0 111600.0 211350.0 ; + RECT 110400.0 210150.0 111600.0 211350.0 ; + RECT 108000.0 210150.0 109200.0 211350.0 ; + RECT 108000.0 200850.0 109200.0 202050.0 ; + RECT 110400.0 200850.0 111600.0 202050.0 ; + RECT 110400.0 200850.0 111600.0 202050.0 ; + RECT 108000.0 200850.0 109200.0 202050.0 ; + RECT 112800.0 210750.0 114000.0 211950.0 ; + RECT 112800.0 200850.0 114000.0 202050.0 ; + RECT 108600.0 205500.0 109800.0 206700.0 ; + RECT 108600.0 205500.0 109800.0 206700.0 ; + RECT 111150.0 205650.0 112050.0 206550.0 ; + RECT 106200.0 212850.0 115800.0 213750.0 ; + RECT 106200.0 199050.0 115800.0 199950.0 ; + RECT 117600.0 201450.0 118800.0 199050.0 ; + RECT 117600.0 210150.0 118800.0 213750.0 ; + RECT 122400.0 210150.0 123600.0 213750.0 ; + RECT 124800.0 211350.0 126000.0 213300.0 ; + RECT 124800.0 199500.0 126000.0 201450.0 ; + RECT 117600.0 210150.0 118800.0 211350.0 ; + RECT 120000.0 210150.0 121200.0 211350.0 ; + RECT 120000.0 210150.0 121200.0 211350.0 ; + RECT 117600.0 210150.0 118800.0 211350.0 ; + RECT 120000.0 210150.0 121200.0 211350.0 ; + RECT 122400.0 210150.0 123600.0 211350.0 ; + RECT 122400.0 210150.0 123600.0 211350.0 ; + RECT 120000.0 210150.0 121200.0 211350.0 ; + RECT 117600.0 201450.0 118800.0 202650.0 ; + RECT 120000.0 201450.0 121200.0 202650.0 ; + RECT 120000.0 201450.0 121200.0 202650.0 ; + RECT 117600.0 201450.0 118800.0 202650.0 ; + RECT 120000.0 201450.0 121200.0 202650.0 ; + RECT 122400.0 201450.0 123600.0 202650.0 ; + RECT 122400.0 201450.0 123600.0 202650.0 ; + RECT 120000.0 201450.0 121200.0 202650.0 ; + RECT 124800.0 210750.0 126000.0 211950.0 ; + RECT 124800.0 200850.0 126000.0 202050.0 ; + RECT 122400.0 204000.0 121200.0 205200.0 ; + RECT 119400.0 206700.0 118200.0 207900.0 ; + RECT 120000.0 210150.0 121200.0 211350.0 ; + RECT 122400.0 201450.0 123600.0 202650.0 ; + RECT 123600.0 206700.0 122400.0 207900.0 ; + RECT 118200.0 206700.0 119400.0 207900.0 ; + RECT 121200.0 204000.0 122400.0 205200.0 ; + RECT 122400.0 206700.0 123600.0 207900.0 ; + RECT 115800.0 212850.0 130200.0 213750.0 ; + RECT 115800.0 199050.0 130200.0 199950.0 ; + RECT 136800.0 211350.0 138000.0 213300.0 ; + RECT 136800.0 199500.0 138000.0 201450.0 ; + RECT 132000.0 200850.0 133200.0 199050.0 ; + RECT 132000.0 210150.0 133200.0 213750.0 ; + RECT 134700.0 200850.0 135600.0 210150.0 ; + RECT 132000.0 210150.0 133200.0 211350.0 ; + RECT 134400.0 210150.0 135600.0 211350.0 ; + RECT 134400.0 210150.0 135600.0 211350.0 ; + RECT 132000.0 210150.0 133200.0 211350.0 ; + RECT 132000.0 200850.0 133200.0 202050.0 ; + RECT 134400.0 200850.0 135600.0 202050.0 ; + RECT 134400.0 200850.0 135600.0 202050.0 ; + RECT 132000.0 200850.0 133200.0 202050.0 ; + RECT 136800.0 210750.0 138000.0 211950.0 ; + RECT 136800.0 200850.0 138000.0 202050.0 ; + RECT 132600.0 205500.0 133800.0 206700.0 ; + RECT 132600.0 205500.0 133800.0 206700.0 ; + RECT 135150.0 205650.0 136050.0 206550.0 ; + RECT 130200.0 212850.0 139800.0 213750.0 ; + RECT 130200.0 199050.0 139800.0 199950.0 ; + RECT 102450.0 205500.0 103650.0 206700.0 ; + RECT 104400.0 203100.0 105600.0 204300.0 ; + RECT 121200.0 204000.0 120000.0 205200.0 ; + RECT 112800.0 215250.0 114000.0 213300.0 ; + RECT 112800.0 227100.0 114000.0 225150.0 ; + RECT 108000.0 225750.0 109200.0 227550.0 ; + RECT 108000.0 216450.0 109200.0 212850.0 ; + RECT 110700.0 225750.0 111600.0 216450.0 ; + RECT 108000.0 216450.0 109200.0 215250.0 ; + RECT 110400.0 216450.0 111600.0 215250.0 ; + RECT 110400.0 216450.0 111600.0 215250.0 ; + RECT 108000.0 216450.0 109200.0 215250.0 ; + RECT 108000.0 225750.0 109200.0 224550.0 ; + RECT 110400.0 225750.0 111600.0 224550.0 ; + RECT 110400.0 225750.0 111600.0 224550.0 ; + RECT 108000.0 225750.0 109200.0 224550.0 ; + RECT 112800.0 215850.0 114000.0 214650.0 ; + RECT 112800.0 225750.0 114000.0 224550.0 ; + RECT 108600.0 221100.0 109800.0 219900.0 ; + RECT 108600.0 221100.0 109800.0 219900.0 ; + RECT 111150.0 220950.0 112050.0 220050.0 ; + RECT 106200.0 213750.0 115800.0 212850.0 ; + RECT 106200.0 227550.0 115800.0 226650.0 ; + RECT 117600.0 225150.0 118800.0 227550.0 ; + RECT 117600.0 216450.0 118800.0 212850.0 ; + RECT 122400.0 216450.0 123600.0 212850.0 ; + RECT 124800.0 215250.0 126000.0 213300.0 ; + RECT 124800.0 227100.0 126000.0 225150.0 ; + RECT 117600.0 216450.0 118800.0 215250.0 ; + RECT 120000.0 216450.0 121200.0 215250.0 ; + RECT 120000.0 216450.0 121200.0 215250.0 ; + RECT 117600.0 216450.0 118800.0 215250.0 ; + RECT 120000.0 216450.0 121200.0 215250.0 ; + RECT 122400.0 216450.0 123600.0 215250.0 ; + RECT 122400.0 216450.0 123600.0 215250.0 ; + RECT 120000.0 216450.0 121200.0 215250.0 ; + RECT 117600.0 225150.0 118800.0 223950.0 ; + RECT 120000.0 225150.0 121200.0 223950.0 ; + RECT 120000.0 225150.0 121200.0 223950.0 ; + RECT 117600.0 225150.0 118800.0 223950.0 ; + RECT 120000.0 225150.0 121200.0 223950.0 ; + RECT 122400.0 225150.0 123600.0 223950.0 ; + RECT 122400.0 225150.0 123600.0 223950.0 ; + RECT 120000.0 225150.0 121200.0 223950.0 ; + RECT 124800.0 215850.0 126000.0 214650.0 ; + RECT 124800.0 225750.0 126000.0 224550.0 ; + RECT 122400.0 222600.0 121200.0 221400.0 ; + RECT 119400.0 219900.0 118200.0 218700.0 ; + RECT 120000.0 216450.0 121200.0 215250.0 ; + RECT 122400.0 225150.0 123600.0 223950.0 ; + RECT 123600.0 219900.0 122400.0 218700.0 ; + RECT 118200.0 219900.0 119400.0 218700.0 ; + RECT 121200.0 222600.0 122400.0 221400.0 ; + RECT 122400.0 219900.0 123600.0 218700.0 ; + RECT 115800.0 213750.0 130200.0 212850.0 ; + RECT 115800.0 227550.0 130200.0 226650.0 ; + RECT 136800.0 215250.0 138000.0 213300.0 ; + RECT 136800.0 227100.0 138000.0 225150.0 ; + RECT 132000.0 225750.0 133200.0 227550.0 ; + RECT 132000.0 216450.0 133200.0 212850.0 ; + RECT 134700.0 225750.0 135600.0 216450.0 ; + RECT 132000.0 216450.0 133200.0 215250.0 ; + RECT 134400.0 216450.0 135600.0 215250.0 ; + RECT 134400.0 216450.0 135600.0 215250.0 ; + RECT 132000.0 216450.0 133200.0 215250.0 ; + RECT 132000.0 225750.0 133200.0 224550.0 ; + RECT 134400.0 225750.0 135600.0 224550.0 ; + RECT 134400.0 225750.0 135600.0 224550.0 ; + RECT 132000.0 225750.0 133200.0 224550.0 ; + RECT 136800.0 215850.0 138000.0 214650.0 ; + RECT 136800.0 225750.0 138000.0 224550.0 ; + RECT 132600.0 221100.0 133800.0 219900.0 ; + RECT 132600.0 221100.0 133800.0 219900.0 ; + RECT 135150.0 220950.0 136050.0 220050.0 ; + RECT 130200.0 213750.0 139800.0 212850.0 ; + RECT 130200.0 227550.0 139800.0 226650.0 ; + RECT 102450.0 219900.0 103650.0 221100.0 ; + RECT 104400.0 222300.0 105600.0 223500.0 ; + RECT 121200.0 221400.0 120000.0 222600.0 ; + RECT 112800.0 238950.0 114000.0 240900.0 ; + RECT 112800.0 227100.0 114000.0 229050.0 ; + RECT 108000.0 228450.0 109200.0 226650.0 ; + RECT 108000.0 237750.0 109200.0 241350.0 ; + RECT 110700.0 228450.0 111600.0 237750.0 ; + RECT 108000.0 237750.0 109200.0 238950.0 ; + RECT 110400.0 237750.0 111600.0 238950.0 ; + RECT 110400.0 237750.0 111600.0 238950.0 ; + RECT 108000.0 237750.0 109200.0 238950.0 ; + RECT 108000.0 228450.0 109200.0 229650.0 ; + RECT 110400.0 228450.0 111600.0 229650.0 ; + RECT 110400.0 228450.0 111600.0 229650.0 ; + RECT 108000.0 228450.0 109200.0 229650.0 ; + RECT 112800.0 238350.0 114000.0 239550.0 ; + RECT 112800.0 228450.0 114000.0 229650.0 ; + RECT 108600.0 233100.0 109800.0 234300.0 ; + RECT 108600.0 233100.0 109800.0 234300.0 ; + RECT 111150.0 233250.0 112050.0 234150.0 ; + RECT 106200.0 240450.0 115800.0 241350.0 ; + RECT 106200.0 226650.0 115800.0 227550.0 ; + RECT 117600.0 229050.0 118800.0 226650.0 ; + RECT 117600.0 237750.0 118800.0 241350.0 ; + RECT 122400.0 237750.0 123600.0 241350.0 ; + RECT 124800.0 238950.0 126000.0 240900.0 ; + RECT 124800.0 227100.0 126000.0 229050.0 ; + RECT 117600.0 237750.0 118800.0 238950.0 ; + RECT 120000.0 237750.0 121200.0 238950.0 ; + RECT 120000.0 237750.0 121200.0 238950.0 ; + RECT 117600.0 237750.0 118800.0 238950.0 ; + RECT 120000.0 237750.0 121200.0 238950.0 ; + RECT 122400.0 237750.0 123600.0 238950.0 ; + RECT 122400.0 237750.0 123600.0 238950.0 ; + RECT 120000.0 237750.0 121200.0 238950.0 ; + RECT 117600.0 229050.0 118800.0 230250.0 ; + RECT 120000.0 229050.0 121200.0 230250.0 ; + RECT 120000.0 229050.0 121200.0 230250.0 ; + RECT 117600.0 229050.0 118800.0 230250.0 ; + RECT 120000.0 229050.0 121200.0 230250.0 ; + RECT 122400.0 229050.0 123600.0 230250.0 ; + RECT 122400.0 229050.0 123600.0 230250.0 ; + RECT 120000.0 229050.0 121200.0 230250.0 ; + RECT 124800.0 238350.0 126000.0 239550.0 ; + RECT 124800.0 228450.0 126000.0 229650.0 ; + RECT 122400.0 231600.0 121200.0 232800.0 ; + RECT 119400.0 234300.0 118200.0 235500.0 ; + RECT 120000.0 237750.0 121200.0 238950.0 ; + RECT 122400.0 229050.0 123600.0 230250.0 ; + RECT 123600.0 234300.0 122400.0 235500.0 ; + RECT 118200.0 234300.0 119400.0 235500.0 ; + RECT 121200.0 231600.0 122400.0 232800.0 ; + RECT 122400.0 234300.0 123600.0 235500.0 ; + RECT 115800.0 240450.0 130200.0 241350.0 ; + RECT 115800.0 226650.0 130200.0 227550.0 ; + RECT 136800.0 238950.0 138000.0 240900.0 ; + RECT 136800.0 227100.0 138000.0 229050.0 ; + RECT 132000.0 228450.0 133200.0 226650.0 ; + RECT 132000.0 237750.0 133200.0 241350.0 ; + RECT 134700.0 228450.0 135600.0 237750.0 ; + RECT 132000.0 237750.0 133200.0 238950.0 ; + RECT 134400.0 237750.0 135600.0 238950.0 ; + RECT 134400.0 237750.0 135600.0 238950.0 ; + RECT 132000.0 237750.0 133200.0 238950.0 ; + RECT 132000.0 228450.0 133200.0 229650.0 ; + RECT 134400.0 228450.0 135600.0 229650.0 ; + RECT 134400.0 228450.0 135600.0 229650.0 ; + RECT 132000.0 228450.0 133200.0 229650.0 ; + RECT 136800.0 238350.0 138000.0 239550.0 ; + RECT 136800.0 228450.0 138000.0 229650.0 ; + RECT 132600.0 233100.0 133800.0 234300.0 ; + RECT 132600.0 233100.0 133800.0 234300.0 ; + RECT 135150.0 233250.0 136050.0 234150.0 ; + RECT 130200.0 240450.0 139800.0 241350.0 ; + RECT 130200.0 226650.0 139800.0 227550.0 ; + RECT 102450.0 233100.0 103650.0 234300.0 ; + RECT 104400.0 230700.0 105600.0 231900.0 ; + RECT 121200.0 231600.0 120000.0 232800.0 ; + RECT 112800.0 242850.0 114000.0 240900.0 ; + RECT 112800.0 254700.0 114000.0 252750.0 ; + RECT 108000.0 253350.0 109200.0 255150.0 ; + RECT 108000.0 244050.0 109200.0 240450.0 ; + RECT 110700.0 253350.0 111600.0 244050.0 ; + RECT 108000.0 244050.0 109200.0 242850.0 ; + RECT 110400.0 244050.0 111600.0 242850.0 ; + RECT 110400.0 244050.0 111600.0 242850.0 ; + RECT 108000.0 244050.0 109200.0 242850.0 ; + RECT 108000.0 253350.0 109200.0 252150.0 ; + RECT 110400.0 253350.0 111600.0 252150.0 ; + RECT 110400.0 253350.0 111600.0 252150.0 ; + RECT 108000.0 253350.0 109200.0 252150.0 ; + RECT 112800.0 243450.0 114000.0 242250.0 ; + RECT 112800.0 253350.0 114000.0 252150.0 ; + RECT 108600.0 248700.0 109800.0 247500.0 ; + RECT 108600.0 248700.0 109800.0 247500.0 ; + RECT 111150.0 248550.0 112050.0 247650.0 ; + RECT 106200.0 241350.0 115800.0 240450.0 ; + RECT 106200.0 255150.0 115800.0 254250.0 ; + RECT 117600.0 252750.0 118800.0 255150.0 ; + RECT 117600.0 244050.0 118800.0 240450.0 ; + RECT 122400.0 244050.0 123600.0 240450.0 ; + RECT 124800.0 242850.0 126000.0 240900.0 ; + RECT 124800.0 254700.0 126000.0 252750.0 ; + RECT 117600.0 244050.0 118800.0 242850.0 ; + RECT 120000.0 244050.0 121200.0 242850.0 ; + RECT 120000.0 244050.0 121200.0 242850.0 ; + RECT 117600.0 244050.0 118800.0 242850.0 ; + RECT 120000.0 244050.0 121200.0 242850.0 ; + RECT 122400.0 244050.0 123600.0 242850.0 ; + RECT 122400.0 244050.0 123600.0 242850.0 ; + RECT 120000.0 244050.0 121200.0 242850.0 ; + RECT 117600.0 252750.0 118800.0 251550.0 ; + RECT 120000.0 252750.0 121200.0 251550.0 ; + RECT 120000.0 252750.0 121200.0 251550.0 ; + RECT 117600.0 252750.0 118800.0 251550.0 ; + RECT 120000.0 252750.0 121200.0 251550.0 ; + RECT 122400.0 252750.0 123600.0 251550.0 ; + RECT 122400.0 252750.0 123600.0 251550.0 ; + RECT 120000.0 252750.0 121200.0 251550.0 ; + RECT 124800.0 243450.0 126000.0 242250.0 ; + RECT 124800.0 253350.0 126000.0 252150.0 ; + RECT 122400.0 250200.0 121200.0 249000.0 ; + RECT 119400.0 247500.0 118200.0 246300.0 ; + RECT 120000.0 244050.0 121200.0 242850.0 ; + RECT 122400.0 252750.0 123600.0 251550.0 ; + RECT 123600.0 247500.0 122400.0 246300.0 ; + RECT 118200.0 247500.0 119400.0 246300.0 ; + RECT 121200.0 250200.0 122400.0 249000.0 ; + RECT 122400.0 247500.0 123600.0 246300.0 ; + RECT 115800.0 241350.0 130200.0 240450.0 ; + RECT 115800.0 255150.0 130200.0 254250.0 ; + RECT 136800.0 242850.0 138000.0 240900.0 ; + RECT 136800.0 254700.0 138000.0 252750.0 ; + RECT 132000.0 253350.0 133200.0 255150.0 ; + RECT 132000.0 244050.0 133200.0 240450.0 ; + RECT 134700.0 253350.0 135600.0 244050.0 ; + RECT 132000.0 244050.0 133200.0 242850.0 ; + RECT 134400.0 244050.0 135600.0 242850.0 ; + RECT 134400.0 244050.0 135600.0 242850.0 ; + RECT 132000.0 244050.0 133200.0 242850.0 ; + RECT 132000.0 253350.0 133200.0 252150.0 ; + RECT 134400.0 253350.0 135600.0 252150.0 ; + RECT 134400.0 253350.0 135600.0 252150.0 ; + RECT 132000.0 253350.0 133200.0 252150.0 ; + RECT 136800.0 243450.0 138000.0 242250.0 ; + RECT 136800.0 253350.0 138000.0 252150.0 ; + RECT 132600.0 248700.0 133800.0 247500.0 ; + RECT 132600.0 248700.0 133800.0 247500.0 ; + RECT 135150.0 248550.0 136050.0 247650.0 ; + RECT 130200.0 241350.0 139800.0 240450.0 ; + RECT 130200.0 255150.0 139800.0 254250.0 ; + RECT 102450.0 247500.0 103650.0 248700.0 ; + RECT 104400.0 249900.0 105600.0 251100.0 ; + RECT 121200.0 249000.0 120000.0 250200.0 ; + RECT 112800.0 266550.0 114000.0 268500.0 ; + RECT 112800.0 254700.0 114000.0 256650.0 ; + RECT 108000.0 256050.0 109200.0 254250.0 ; + RECT 108000.0 265350.0 109200.0 268950.0 ; + RECT 110700.0 256050.0 111600.0 265350.0 ; + RECT 108000.0 265350.0 109200.0 266550.0 ; + RECT 110400.0 265350.0 111600.0 266550.0 ; + RECT 110400.0 265350.0 111600.0 266550.0 ; + RECT 108000.0 265350.0 109200.0 266550.0 ; + RECT 108000.0 256050.0 109200.0 257250.0 ; + RECT 110400.0 256050.0 111600.0 257250.0 ; + RECT 110400.0 256050.0 111600.0 257250.0 ; + RECT 108000.0 256050.0 109200.0 257250.0 ; + RECT 112800.0 265950.0 114000.0 267150.0 ; + RECT 112800.0 256050.0 114000.0 257250.0 ; + RECT 108600.0 260700.0 109800.0 261900.0 ; + RECT 108600.0 260700.0 109800.0 261900.0 ; + RECT 111150.0 260850.0 112050.0 261750.0 ; + RECT 106200.0 268050.0 115800.0 268950.0 ; + RECT 106200.0 254250.0 115800.0 255150.0 ; + RECT 117600.0 256650.0 118800.0 254250.0 ; + RECT 117600.0 265350.0 118800.0 268950.0 ; + RECT 122400.0 265350.0 123600.0 268950.0 ; + RECT 124800.0 266550.0 126000.0 268500.0 ; + RECT 124800.0 254700.0 126000.0 256650.0 ; + RECT 117600.0 265350.0 118800.0 266550.0 ; + RECT 120000.0 265350.0 121200.0 266550.0 ; + RECT 120000.0 265350.0 121200.0 266550.0 ; + RECT 117600.0 265350.0 118800.0 266550.0 ; + RECT 120000.0 265350.0 121200.0 266550.0 ; + RECT 122400.0 265350.0 123600.0 266550.0 ; + RECT 122400.0 265350.0 123600.0 266550.0 ; + RECT 120000.0 265350.0 121200.0 266550.0 ; + RECT 117600.0 256650.0 118800.0 257850.0 ; + RECT 120000.0 256650.0 121200.0 257850.0 ; + RECT 120000.0 256650.0 121200.0 257850.0 ; + RECT 117600.0 256650.0 118800.0 257850.0 ; + RECT 120000.0 256650.0 121200.0 257850.0 ; + RECT 122400.0 256650.0 123600.0 257850.0 ; + RECT 122400.0 256650.0 123600.0 257850.0 ; + RECT 120000.0 256650.0 121200.0 257850.0 ; + RECT 124800.0 265950.0 126000.0 267150.0 ; + RECT 124800.0 256050.0 126000.0 257250.0 ; + RECT 122400.0 259200.0 121200.0 260400.0 ; + RECT 119400.0 261900.0 118200.0 263100.0 ; + RECT 120000.0 265350.0 121200.0 266550.0 ; + RECT 122400.0 256650.0 123600.0 257850.0 ; + RECT 123600.0 261900.0 122400.0 263100.0 ; + RECT 118200.0 261900.0 119400.0 263100.0 ; + RECT 121200.0 259200.0 122400.0 260400.0 ; + RECT 122400.0 261900.0 123600.0 263100.0 ; + RECT 115800.0 268050.0 130200.0 268950.0 ; + RECT 115800.0 254250.0 130200.0 255150.0 ; + RECT 136800.0 266550.0 138000.0 268500.0 ; + RECT 136800.0 254700.0 138000.0 256650.0 ; + RECT 132000.0 256050.0 133200.0 254250.0 ; + RECT 132000.0 265350.0 133200.0 268950.0 ; + RECT 134700.0 256050.0 135600.0 265350.0 ; + RECT 132000.0 265350.0 133200.0 266550.0 ; + RECT 134400.0 265350.0 135600.0 266550.0 ; + RECT 134400.0 265350.0 135600.0 266550.0 ; + RECT 132000.0 265350.0 133200.0 266550.0 ; + RECT 132000.0 256050.0 133200.0 257250.0 ; + RECT 134400.0 256050.0 135600.0 257250.0 ; + RECT 134400.0 256050.0 135600.0 257250.0 ; + RECT 132000.0 256050.0 133200.0 257250.0 ; + RECT 136800.0 265950.0 138000.0 267150.0 ; + RECT 136800.0 256050.0 138000.0 257250.0 ; + RECT 132600.0 260700.0 133800.0 261900.0 ; + RECT 132600.0 260700.0 133800.0 261900.0 ; + RECT 135150.0 260850.0 136050.0 261750.0 ; + RECT 130200.0 268050.0 139800.0 268950.0 ; + RECT 130200.0 254250.0 139800.0 255150.0 ; + RECT 102450.0 260700.0 103650.0 261900.0 ; + RECT 104400.0 258300.0 105600.0 259500.0 ; + RECT 121200.0 259200.0 120000.0 260400.0 ; + RECT 112800.0 270450.0 114000.0 268500.0 ; + RECT 112800.0 282300.0 114000.0 280350.0 ; + RECT 108000.0 280950.0 109200.0 282750.0 ; + RECT 108000.0 271650.0 109200.0 268050.0 ; + RECT 110700.0 280950.0 111600.0 271650.0 ; + RECT 108000.0 271650.0 109200.0 270450.0 ; + RECT 110400.0 271650.0 111600.0 270450.0 ; + RECT 110400.0 271650.0 111600.0 270450.0 ; + RECT 108000.0 271650.0 109200.0 270450.0 ; + RECT 108000.0 280950.0 109200.0 279750.0 ; + RECT 110400.0 280950.0 111600.0 279750.0 ; + RECT 110400.0 280950.0 111600.0 279750.0 ; + RECT 108000.0 280950.0 109200.0 279750.0 ; + RECT 112800.0 271050.0 114000.0 269850.0 ; + RECT 112800.0 280950.0 114000.0 279750.0 ; + RECT 108600.0 276300.0 109800.0 275100.0 ; + RECT 108600.0 276300.0 109800.0 275100.0 ; + RECT 111150.0 276150.0 112050.0 275250.0 ; + RECT 106200.0 268950.0 115800.0 268050.0 ; + RECT 106200.0 282750.0 115800.0 281850.0 ; + RECT 117600.0 280350.0 118800.0 282750.0 ; + RECT 117600.0 271650.0 118800.0 268050.0 ; + RECT 122400.0 271650.0 123600.0 268050.0 ; + RECT 124800.0 270450.0 126000.0 268500.0 ; + RECT 124800.0 282300.0 126000.0 280350.0 ; + RECT 117600.0 271650.0 118800.0 270450.0 ; + RECT 120000.0 271650.0 121200.0 270450.0 ; + RECT 120000.0 271650.0 121200.0 270450.0 ; + RECT 117600.0 271650.0 118800.0 270450.0 ; + RECT 120000.0 271650.0 121200.0 270450.0 ; + RECT 122400.0 271650.0 123600.0 270450.0 ; + RECT 122400.0 271650.0 123600.0 270450.0 ; + RECT 120000.0 271650.0 121200.0 270450.0 ; + RECT 117600.0 280350.0 118800.0 279150.0 ; + RECT 120000.0 280350.0 121200.0 279150.0 ; + RECT 120000.0 280350.0 121200.0 279150.0 ; + RECT 117600.0 280350.0 118800.0 279150.0 ; + RECT 120000.0 280350.0 121200.0 279150.0 ; + RECT 122400.0 280350.0 123600.0 279150.0 ; + RECT 122400.0 280350.0 123600.0 279150.0 ; + RECT 120000.0 280350.0 121200.0 279150.0 ; + RECT 124800.0 271050.0 126000.0 269850.0 ; + RECT 124800.0 280950.0 126000.0 279750.0 ; + RECT 122400.0 277800.0 121200.0 276600.0 ; + RECT 119400.0 275100.0 118200.0 273900.0 ; + RECT 120000.0 271650.0 121200.0 270450.0 ; + RECT 122400.0 280350.0 123600.0 279150.0 ; + RECT 123600.0 275100.0 122400.0 273900.0 ; + RECT 118200.0 275100.0 119400.0 273900.0 ; + RECT 121200.0 277800.0 122400.0 276600.0 ; + RECT 122400.0 275100.0 123600.0 273900.0 ; + RECT 115800.0 268950.0 130200.0 268050.0 ; + RECT 115800.0 282750.0 130200.0 281850.0 ; + RECT 136800.0 270450.0 138000.0 268500.0 ; + RECT 136800.0 282300.0 138000.0 280350.0 ; + RECT 132000.0 280950.0 133200.0 282750.0 ; + RECT 132000.0 271650.0 133200.0 268050.0 ; + RECT 134700.0 280950.0 135600.0 271650.0 ; + RECT 132000.0 271650.0 133200.0 270450.0 ; + RECT 134400.0 271650.0 135600.0 270450.0 ; + RECT 134400.0 271650.0 135600.0 270450.0 ; + RECT 132000.0 271650.0 133200.0 270450.0 ; + RECT 132000.0 280950.0 133200.0 279750.0 ; + RECT 134400.0 280950.0 135600.0 279750.0 ; + RECT 134400.0 280950.0 135600.0 279750.0 ; + RECT 132000.0 280950.0 133200.0 279750.0 ; + RECT 136800.0 271050.0 138000.0 269850.0 ; + RECT 136800.0 280950.0 138000.0 279750.0 ; + RECT 132600.0 276300.0 133800.0 275100.0 ; + RECT 132600.0 276300.0 133800.0 275100.0 ; + RECT 135150.0 276150.0 136050.0 275250.0 ; + RECT 130200.0 268950.0 139800.0 268050.0 ; + RECT 130200.0 282750.0 139800.0 281850.0 ; + RECT 102450.0 275100.0 103650.0 276300.0 ; + RECT 104400.0 277500.0 105600.0 278700.0 ; + RECT 121200.0 276600.0 120000.0 277800.0 ; + RECT 112800.0 294150.0 114000.0 296100.0 ; + RECT 112800.0 282300.0 114000.0 284250.0 ; + RECT 108000.0 283650.0 109200.0 281850.0 ; + RECT 108000.0 292950.0 109200.0 296550.0 ; + RECT 110700.0 283650.0 111600.0 292950.0 ; + RECT 108000.0 292950.0 109200.0 294150.0 ; + RECT 110400.0 292950.0 111600.0 294150.0 ; + RECT 110400.0 292950.0 111600.0 294150.0 ; + RECT 108000.0 292950.0 109200.0 294150.0 ; + RECT 108000.0 283650.0 109200.0 284850.0 ; + RECT 110400.0 283650.0 111600.0 284850.0 ; + RECT 110400.0 283650.0 111600.0 284850.0 ; + RECT 108000.0 283650.0 109200.0 284850.0 ; + RECT 112800.0 293550.0 114000.0 294750.0 ; + RECT 112800.0 283650.0 114000.0 284850.0 ; + RECT 108600.0 288300.0 109800.0 289500.0 ; + RECT 108600.0 288300.0 109800.0 289500.0 ; + RECT 111150.0 288450.0 112050.0 289350.0 ; + RECT 106200.0 295650.0 115800.0 296550.0 ; + RECT 106200.0 281850.0 115800.0 282750.0 ; + RECT 117600.0 284250.0 118800.0 281850.0 ; + RECT 117600.0 292950.0 118800.0 296550.0 ; + RECT 122400.0 292950.0 123600.0 296550.0 ; + RECT 124800.0 294150.0 126000.0 296100.0 ; + RECT 124800.0 282300.0 126000.0 284250.0 ; + RECT 117600.0 292950.0 118800.0 294150.0 ; + RECT 120000.0 292950.0 121200.0 294150.0 ; + RECT 120000.0 292950.0 121200.0 294150.0 ; + RECT 117600.0 292950.0 118800.0 294150.0 ; + RECT 120000.0 292950.0 121200.0 294150.0 ; + RECT 122400.0 292950.0 123600.0 294150.0 ; + RECT 122400.0 292950.0 123600.0 294150.0 ; + RECT 120000.0 292950.0 121200.0 294150.0 ; + RECT 117600.0 284250.0 118800.0 285450.0 ; + RECT 120000.0 284250.0 121200.0 285450.0 ; + RECT 120000.0 284250.0 121200.0 285450.0 ; + RECT 117600.0 284250.0 118800.0 285450.0 ; + RECT 120000.0 284250.0 121200.0 285450.0 ; + RECT 122400.0 284250.0 123600.0 285450.0 ; + RECT 122400.0 284250.0 123600.0 285450.0 ; + RECT 120000.0 284250.0 121200.0 285450.0 ; + RECT 124800.0 293550.0 126000.0 294750.0 ; + RECT 124800.0 283650.0 126000.0 284850.0 ; + RECT 122400.0 286800.0 121200.0 288000.0 ; + RECT 119400.0 289500.0 118200.0 290700.0 ; + RECT 120000.0 292950.0 121200.0 294150.0 ; + RECT 122400.0 284250.0 123600.0 285450.0 ; + RECT 123600.0 289500.0 122400.0 290700.0 ; + RECT 118200.0 289500.0 119400.0 290700.0 ; + RECT 121200.0 286800.0 122400.0 288000.0 ; + RECT 122400.0 289500.0 123600.0 290700.0 ; + RECT 115800.0 295650.0 130200.0 296550.0 ; + RECT 115800.0 281850.0 130200.0 282750.0 ; + RECT 136800.0 294150.0 138000.0 296100.0 ; + RECT 136800.0 282300.0 138000.0 284250.0 ; + RECT 132000.0 283650.0 133200.0 281850.0 ; + RECT 132000.0 292950.0 133200.0 296550.0 ; + RECT 134700.0 283650.0 135600.0 292950.0 ; + RECT 132000.0 292950.0 133200.0 294150.0 ; + RECT 134400.0 292950.0 135600.0 294150.0 ; + RECT 134400.0 292950.0 135600.0 294150.0 ; + RECT 132000.0 292950.0 133200.0 294150.0 ; + RECT 132000.0 283650.0 133200.0 284850.0 ; + RECT 134400.0 283650.0 135600.0 284850.0 ; + RECT 134400.0 283650.0 135600.0 284850.0 ; + RECT 132000.0 283650.0 133200.0 284850.0 ; + RECT 136800.0 293550.0 138000.0 294750.0 ; + RECT 136800.0 283650.0 138000.0 284850.0 ; + RECT 132600.0 288300.0 133800.0 289500.0 ; + RECT 132600.0 288300.0 133800.0 289500.0 ; + RECT 135150.0 288450.0 136050.0 289350.0 ; + RECT 130200.0 295650.0 139800.0 296550.0 ; + RECT 130200.0 281850.0 139800.0 282750.0 ; + RECT 102450.0 288300.0 103650.0 289500.0 ; + RECT 104400.0 285900.0 105600.0 287100.0 ; + RECT 121200.0 286800.0 120000.0 288000.0 ; + RECT 112800.0 298050.0 114000.0 296100.0 ; + RECT 112800.0 309900.0 114000.0 307950.0 ; + RECT 108000.0 308550.0 109200.0 310350.0 ; + RECT 108000.0 299250.0 109200.0 295650.0 ; + RECT 110700.0 308550.0 111600.0 299250.0 ; + RECT 108000.0 299250.0 109200.0 298050.0 ; + RECT 110400.0 299250.0 111600.0 298050.0 ; + RECT 110400.0 299250.0 111600.0 298050.0 ; + RECT 108000.0 299250.0 109200.0 298050.0 ; + RECT 108000.0 308550.0 109200.0 307350.0 ; + RECT 110400.0 308550.0 111600.0 307350.0 ; + RECT 110400.0 308550.0 111600.0 307350.0 ; + RECT 108000.0 308550.0 109200.0 307350.0 ; + RECT 112800.0 298650.0 114000.0 297450.0 ; + RECT 112800.0 308550.0 114000.0 307350.0 ; + RECT 108600.0 303900.0 109800.0 302700.0 ; + RECT 108600.0 303900.0 109800.0 302700.0 ; + RECT 111150.0 303750.0 112050.0 302850.0 ; + RECT 106200.0 296550.0 115800.0 295650.0 ; + RECT 106200.0 310350.0 115800.0 309450.0 ; + RECT 117600.0 307950.0 118800.0 310350.0 ; + RECT 117600.0 299250.0 118800.0 295650.0 ; + RECT 122400.0 299250.0 123600.0 295650.0 ; + RECT 124800.0 298050.0 126000.0 296100.0 ; + RECT 124800.0 309900.0 126000.0 307950.0 ; + RECT 117600.0 299250.0 118800.0 298050.0 ; + RECT 120000.0 299250.0 121200.0 298050.0 ; + RECT 120000.0 299250.0 121200.0 298050.0 ; + RECT 117600.0 299250.0 118800.0 298050.0 ; + RECT 120000.0 299250.0 121200.0 298050.0 ; + RECT 122400.0 299250.0 123600.0 298050.0 ; + RECT 122400.0 299250.0 123600.0 298050.0 ; + RECT 120000.0 299250.0 121200.0 298050.0 ; + RECT 117600.0 307950.0 118800.0 306750.0 ; + RECT 120000.0 307950.0 121200.0 306750.0 ; + RECT 120000.0 307950.0 121200.0 306750.0 ; + RECT 117600.0 307950.0 118800.0 306750.0 ; + RECT 120000.0 307950.0 121200.0 306750.0 ; + RECT 122400.0 307950.0 123600.0 306750.0 ; + RECT 122400.0 307950.0 123600.0 306750.0 ; + RECT 120000.0 307950.0 121200.0 306750.0 ; + RECT 124800.0 298650.0 126000.0 297450.0 ; + RECT 124800.0 308550.0 126000.0 307350.0 ; + RECT 122400.0 305400.0 121200.0 304200.0 ; + RECT 119400.0 302700.0 118200.0 301500.0 ; + RECT 120000.0 299250.0 121200.0 298050.0 ; + RECT 122400.0 307950.0 123600.0 306750.0 ; + RECT 123600.0 302700.0 122400.0 301500.0 ; + RECT 118200.0 302700.0 119400.0 301500.0 ; + RECT 121200.0 305400.0 122400.0 304200.0 ; + RECT 122400.0 302700.0 123600.0 301500.0 ; + RECT 115800.0 296550.0 130200.0 295650.0 ; + RECT 115800.0 310350.0 130200.0 309450.0 ; + RECT 136800.0 298050.0 138000.0 296100.0 ; + RECT 136800.0 309900.0 138000.0 307950.0 ; + RECT 132000.0 308550.0 133200.0 310350.0 ; + RECT 132000.0 299250.0 133200.0 295650.0 ; + RECT 134700.0 308550.0 135600.0 299250.0 ; + RECT 132000.0 299250.0 133200.0 298050.0 ; + RECT 134400.0 299250.0 135600.0 298050.0 ; + RECT 134400.0 299250.0 135600.0 298050.0 ; + RECT 132000.0 299250.0 133200.0 298050.0 ; + RECT 132000.0 308550.0 133200.0 307350.0 ; + RECT 134400.0 308550.0 135600.0 307350.0 ; + RECT 134400.0 308550.0 135600.0 307350.0 ; + RECT 132000.0 308550.0 133200.0 307350.0 ; + RECT 136800.0 298650.0 138000.0 297450.0 ; + RECT 136800.0 308550.0 138000.0 307350.0 ; + RECT 132600.0 303900.0 133800.0 302700.0 ; + RECT 132600.0 303900.0 133800.0 302700.0 ; + RECT 135150.0 303750.0 136050.0 302850.0 ; + RECT 130200.0 296550.0 139800.0 295650.0 ; + RECT 130200.0 310350.0 139800.0 309450.0 ; + RECT 102450.0 302700.0 103650.0 303900.0 ; + RECT 104400.0 305100.0 105600.0 306300.0 ; + RECT 121200.0 304200.0 120000.0 305400.0 ; + RECT 112800.0 321750.0 114000.0 323700.0 ; + RECT 112800.0 309900.0 114000.0 311850.0 ; + RECT 108000.0 311250.0 109200.0 309450.0 ; + RECT 108000.0 320550.0 109200.0 324150.0 ; + RECT 110700.0 311250.0 111600.0 320550.0 ; + RECT 108000.0 320550.0 109200.0 321750.0 ; + RECT 110400.0 320550.0 111600.0 321750.0 ; + RECT 110400.0 320550.0 111600.0 321750.0 ; + RECT 108000.0 320550.0 109200.0 321750.0 ; + RECT 108000.0 311250.0 109200.0 312450.0 ; + RECT 110400.0 311250.0 111600.0 312450.0 ; + RECT 110400.0 311250.0 111600.0 312450.0 ; + RECT 108000.0 311250.0 109200.0 312450.0 ; + RECT 112800.0 321150.0 114000.0 322350.0 ; + RECT 112800.0 311250.0 114000.0 312450.0 ; + RECT 108600.0 315900.0 109800.0 317100.0 ; + RECT 108600.0 315900.0 109800.0 317100.0 ; + RECT 111150.0 316050.0 112050.0 316950.0 ; + RECT 106200.0 323250.0 115800.0 324150.0 ; + RECT 106200.0 309450.0 115800.0 310350.0 ; + RECT 117600.0 311850.0 118800.0 309450.0 ; + RECT 117600.0 320550.0 118800.0 324150.0 ; + RECT 122400.0 320550.0 123600.0 324150.0 ; + RECT 124800.0 321750.0 126000.0 323700.0 ; + RECT 124800.0 309900.0 126000.0 311850.0 ; + RECT 117600.0 320550.0 118800.0 321750.0 ; + RECT 120000.0 320550.0 121200.0 321750.0 ; + RECT 120000.0 320550.0 121200.0 321750.0 ; + RECT 117600.0 320550.0 118800.0 321750.0 ; + RECT 120000.0 320550.0 121200.0 321750.0 ; + RECT 122400.0 320550.0 123600.0 321750.0 ; + RECT 122400.0 320550.0 123600.0 321750.0 ; + RECT 120000.0 320550.0 121200.0 321750.0 ; + RECT 117600.0 311850.0 118800.0 313050.0 ; + RECT 120000.0 311850.0 121200.0 313050.0 ; + RECT 120000.0 311850.0 121200.0 313050.0 ; + RECT 117600.0 311850.0 118800.0 313050.0 ; + RECT 120000.0 311850.0 121200.0 313050.0 ; + RECT 122400.0 311850.0 123600.0 313050.0 ; + RECT 122400.0 311850.0 123600.0 313050.0 ; + RECT 120000.0 311850.0 121200.0 313050.0 ; + RECT 124800.0 321150.0 126000.0 322350.0 ; + RECT 124800.0 311250.0 126000.0 312450.0 ; + RECT 122400.0 314400.0 121200.0 315600.0 ; + RECT 119400.0 317100.0 118200.0 318300.0 ; + RECT 120000.0 320550.0 121200.0 321750.0 ; + RECT 122400.0 311850.0 123600.0 313050.0 ; + RECT 123600.0 317100.0 122400.0 318300.0 ; + RECT 118200.0 317100.0 119400.0 318300.0 ; + RECT 121200.0 314400.0 122400.0 315600.0 ; + RECT 122400.0 317100.0 123600.0 318300.0 ; + RECT 115800.0 323250.0 130200.0 324150.0 ; + RECT 115800.0 309450.0 130200.0 310350.0 ; + RECT 136800.0 321750.0 138000.0 323700.0 ; + RECT 136800.0 309900.0 138000.0 311850.0 ; + RECT 132000.0 311250.0 133200.0 309450.0 ; + RECT 132000.0 320550.0 133200.0 324150.0 ; + RECT 134700.0 311250.0 135600.0 320550.0 ; + RECT 132000.0 320550.0 133200.0 321750.0 ; + RECT 134400.0 320550.0 135600.0 321750.0 ; + RECT 134400.0 320550.0 135600.0 321750.0 ; + RECT 132000.0 320550.0 133200.0 321750.0 ; + RECT 132000.0 311250.0 133200.0 312450.0 ; + RECT 134400.0 311250.0 135600.0 312450.0 ; + RECT 134400.0 311250.0 135600.0 312450.0 ; + RECT 132000.0 311250.0 133200.0 312450.0 ; + RECT 136800.0 321150.0 138000.0 322350.0 ; + RECT 136800.0 311250.0 138000.0 312450.0 ; + RECT 132600.0 315900.0 133800.0 317100.0 ; + RECT 132600.0 315900.0 133800.0 317100.0 ; + RECT 135150.0 316050.0 136050.0 316950.0 ; + RECT 130200.0 323250.0 139800.0 324150.0 ; + RECT 130200.0 309450.0 139800.0 310350.0 ; + RECT 102450.0 315900.0 103650.0 317100.0 ; + RECT 104400.0 313500.0 105600.0 314700.0 ; + RECT 121200.0 314400.0 120000.0 315600.0 ; + RECT 112800.0 325650.0 114000.0 323700.0 ; + RECT 112800.0 337500.0 114000.0 335550.0 ; + RECT 108000.0 336150.0 109200.0 337950.0 ; + RECT 108000.0 326850.0 109200.0 323250.0 ; + RECT 110700.0 336150.0 111600.0 326850.0 ; + RECT 108000.0 326850.0 109200.0 325650.0 ; + RECT 110400.0 326850.0 111600.0 325650.0 ; + RECT 110400.0 326850.0 111600.0 325650.0 ; + RECT 108000.0 326850.0 109200.0 325650.0 ; + RECT 108000.0 336150.0 109200.0 334950.0 ; + RECT 110400.0 336150.0 111600.0 334950.0 ; + RECT 110400.0 336150.0 111600.0 334950.0 ; + RECT 108000.0 336150.0 109200.0 334950.0 ; + RECT 112800.0 326250.0 114000.0 325050.0 ; + RECT 112800.0 336150.0 114000.0 334950.0 ; + RECT 108600.0 331500.0 109800.0 330300.0 ; + RECT 108600.0 331500.0 109800.0 330300.0 ; + RECT 111150.0 331350.0 112050.0 330450.0 ; + RECT 106200.0 324150.0 115800.0 323250.0 ; + RECT 106200.0 337950.0 115800.0 337050.0 ; + RECT 117600.0 335550.0 118800.0 337950.0 ; + RECT 117600.0 326850.0 118800.0 323250.0 ; + RECT 122400.0 326850.0 123600.0 323250.0 ; + RECT 124800.0 325650.0 126000.0 323700.0 ; + RECT 124800.0 337500.0 126000.0 335550.0 ; + RECT 117600.0 326850.0 118800.0 325650.0 ; + RECT 120000.0 326850.0 121200.0 325650.0 ; + RECT 120000.0 326850.0 121200.0 325650.0 ; + RECT 117600.0 326850.0 118800.0 325650.0 ; + RECT 120000.0 326850.0 121200.0 325650.0 ; + RECT 122400.0 326850.0 123600.0 325650.0 ; + RECT 122400.0 326850.0 123600.0 325650.0 ; + RECT 120000.0 326850.0 121200.0 325650.0 ; + RECT 117600.0 335550.0 118800.0 334350.0 ; + RECT 120000.0 335550.0 121200.0 334350.0 ; + RECT 120000.0 335550.0 121200.0 334350.0 ; + RECT 117600.0 335550.0 118800.0 334350.0 ; + RECT 120000.0 335550.0 121200.0 334350.0 ; + RECT 122400.0 335550.0 123600.0 334350.0 ; + RECT 122400.0 335550.0 123600.0 334350.0 ; + RECT 120000.0 335550.0 121200.0 334350.0 ; + RECT 124800.0 326250.0 126000.0 325050.0 ; + RECT 124800.0 336150.0 126000.0 334950.0 ; + RECT 122400.0 333000.0 121200.0 331800.0 ; + RECT 119400.0 330300.0 118200.0 329100.0 ; + RECT 120000.0 326850.0 121200.0 325650.0 ; + RECT 122400.0 335550.0 123600.0 334350.0 ; + RECT 123600.0 330300.0 122400.0 329100.0 ; + RECT 118200.0 330300.0 119400.0 329100.0 ; + RECT 121200.0 333000.0 122400.0 331800.0 ; + RECT 122400.0 330300.0 123600.0 329100.0 ; + RECT 115800.0 324150.0 130200.0 323250.0 ; + RECT 115800.0 337950.0 130200.0 337050.0 ; + RECT 136800.0 325650.0 138000.0 323700.0 ; + RECT 136800.0 337500.0 138000.0 335550.0 ; + RECT 132000.0 336150.0 133200.0 337950.0 ; + RECT 132000.0 326850.0 133200.0 323250.0 ; + RECT 134700.0 336150.0 135600.0 326850.0 ; + RECT 132000.0 326850.0 133200.0 325650.0 ; + RECT 134400.0 326850.0 135600.0 325650.0 ; + RECT 134400.0 326850.0 135600.0 325650.0 ; + RECT 132000.0 326850.0 133200.0 325650.0 ; + RECT 132000.0 336150.0 133200.0 334950.0 ; + RECT 134400.0 336150.0 135600.0 334950.0 ; + RECT 134400.0 336150.0 135600.0 334950.0 ; + RECT 132000.0 336150.0 133200.0 334950.0 ; + RECT 136800.0 326250.0 138000.0 325050.0 ; + RECT 136800.0 336150.0 138000.0 334950.0 ; + RECT 132600.0 331500.0 133800.0 330300.0 ; + RECT 132600.0 331500.0 133800.0 330300.0 ; + RECT 135150.0 331350.0 136050.0 330450.0 ; + RECT 130200.0 324150.0 139800.0 323250.0 ; + RECT 130200.0 337950.0 139800.0 337050.0 ; + RECT 102450.0 330300.0 103650.0 331500.0 ; + RECT 104400.0 332700.0 105600.0 333900.0 ; + RECT 121200.0 331800.0 120000.0 333000.0 ; + RECT 112800.0 349350.0 114000.0 351300.0 ; + RECT 112800.0 337500.0 114000.0 339450.0 ; + RECT 108000.0 338850.0 109200.0 337050.0 ; + RECT 108000.0 348150.0 109200.0 351750.0 ; + RECT 110700.0 338850.0 111600.0 348150.0 ; + RECT 108000.0 348150.0 109200.0 349350.0 ; + RECT 110400.0 348150.0 111600.0 349350.0 ; + RECT 110400.0 348150.0 111600.0 349350.0 ; + RECT 108000.0 348150.0 109200.0 349350.0 ; + RECT 108000.0 338850.0 109200.0 340050.0 ; + RECT 110400.0 338850.0 111600.0 340050.0 ; + RECT 110400.0 338850.0 111600.0 340050.0 ; + RECT 108000.0 338850.0 109200.0 340050.0 ; + RECT 112800.0 348750.0 114000.0 349950.0 ; + RECT 112800.0 338850.0 114000.0 340050.0 ; + RECT 108600.0 343500.0 109800.0 344700.0 ; + RECT 108600.0 343500.0 109800.0 344700.0 ; + RECT 111150.0 343650.0 112050.0 344550.0 ; + RECT 106200.0 350850.0 115800.0 351750.0 ; + RECT 106200.0 337050.0 115800.0 337950.0 ; + RECT 117600.0 339450.0 118800.0 337050.0 ; + RECT 117600.0 348150.0 118800.0 351750.0 ; + RECT 122400.0 348150.0 123600.0 351750.0 ; + RECT 124800.0 349350.0 126000.0 351300.0 ; + RECT 124800.0 337500.0 126000.0 339450.0 ; + RECT 117600.0 348150.0 118800.0 349350.0 ; + RECT 120000.0 348150.0 121200.0 349350.0 ; + RECT 120000.0 348150.0 121200.0 349350.0 ; + RECT 117600.0 348150.0 118800.0 349350.0 ; + RECT 120000.0 348150.0 121200.0 349350.0 ; + RECT 122400.0 348150.0 123600.0 349350.0 ; + RECT 122400.0 348150.0 123600.0 349350.0 ; + RECT 120000.0 348150.0 121200.0 349350.0 ; + RECT 117600.0 339450.0 118800.0 340650.0 ; + RECT 120000.0 339450.0 121200.0 340650.0 ; + RECT 120000.0 339450.0 121200.0 340650.0 ; + RECT 117600.0 339450.0 118800.0 340650.0 ; + RECT 120000.0 339450.0 121200.0 340650.0 ; + RECT 122400.0 339450.0 123600.0 340650.0 ; + RECT 122400.0 339450.0 123600.0 340650.0 ; + RECT 120000.0 339450.0 121200.0 340650.0 ; + RECT 124800.0 348750.0 126000.0 349950.0 ; + RECT 124800.0 338850.0 126000.0 340050.0 ; + RECT 122400.0 342000.0 121200.0 343200.0 ; + RECT 119400.0 344700.0 118200.0 345900.0 ; + RECT 120000.0 348150.0 121200.0 349350.0 ; + RECT 122400.0 339450.0 123600.0 340650.0 ; + RECT 123600.0 344700.0 122400.0 345900.0 ; + RECT 118200.0 344700.0 119400.0 345900.0 ; + RECT 121200.0 342000.0 122400.0 343200.0 ; + RECT 122400.0 344700.0 123600.0 345900.0 ; + RECT 115800.0 350850.0 130200.0 351750.0 ; + RECT 115800.0 337050.0 130200.0 337950.0 ; + RECT 136800.0 349350.0 138000.0 351300.0 ; + RECT 136800.0 337500.0 138000.0 339450.0 ; + RECT 132000.0 338850.0 133200.0 337050.0 ; + RECT 132000.0 348150.0 133200.0 351750.0 ; + RECT 134700.0 338850.0 135600.0 348150.0 ; + RECT 132000.0 348150.0 133200.0 349350.0 ; + RECT 134400.0 348150.0 135600.0 349350.0 ; + RECT 134400.0 348150.0 135600.0 349350.0 ; + RECT 132000.0 348150.0 133200.0 349350.0 ; + RECT 132000.0 338850.0 133200.0 340050.0 ; + RECT 134400.0 338850.0 135600.0 340050.0 ; + RECT 134400.0 338850.0 135600.0 340050.0 ; + RECT 132000.0 338850.0 133200.0 340050.0 ; + RECT 136800.0 348750.0 138000.0 349950.0 ; + RECT 136800.0 338850.0 138000.0 340050.0 ; + RECT 132600.0 343500.0 133800.0 344700.0 ; + RECT 132600.0 343500.0 133800.0 344700.0 ; + RECT 135150.0 343650.0 136050.0 344550.0 ; + RECT 130200.0 350850.0 139800.0 351750.0 ; + RECT 130200.0 337050.0 139800.0 337950.0 ; + RECT 102450.0 343500.0 103650.0 344700.0 ; + RECT 104400.0 341100.0 105600.0 342300.0 ; + RECT 121200.0 342000.0 120000.0 343200.0 ; + RECT 112800.0 353250.0 114000.0 351300.0 ; + RECT 112800.0 365100.0 114000.0 363150.0 ; + RECT 108000.0 363750.0 109200.0 365550.0 ; + RECT 108000.0 354450.0 109200.0 350850.0 ; + RECT 110700.0 363750.0 111600.0 354450.0 ; + RECT 108000.0 354450.0 109200.0 353250.0 ; + RECT 110400.0 354450.0 111600.0 353250.0 ; + RECT 110400.0 354450.0 111600.0 353250.0 ; + RECT 108000.0 354450.0 109200.0 353250.0 ; + RECT 108000.0 363750.0 109200.0 362550.0 ; + RECT 110400.0 363750.0 111600.0 362550.0 ; + RECT 110400.0 363750.0 111600.0 362550.0 ; + RECT 108000.0 363750.0 109200.0 362550.0 ; + RECT 112800.0 353850.0 114000.0 352650.0 ; + RECT 112800.0 363750.0 114000.0 362550.0 ; + RECT 108600.0 359100.0 109800.0 357900.0 ; + RECT 108600.0 359100.0 109800.0 357900.0 ; + RECT 111150.0 358950.0 112050.0 358050.0 ; + RECT 106200.0 351750.0 115800.0 350850.0 ; + RECT 106200.0 365550.0 115800.0 364650.0 ; + RECT 117600.0 363150.0 118800.0 365550.0 ; + RECT 117600.0 354450.0 118800.0 350850.0 ; + RECT 122400.0 354450.0 123600.0 350850.0 ; + RECT 124800.0 353250.0 126000.0 351300.0 ; + RECT 124800.0 365100.0 126000.0 363150.0 ; + RECT 117600.0 354450.0 118800.0 353250.0 ; + RECT 120000.0 354450.0 121200.0 353250.0 ; + RECT 120000.0 354450.0 121200.0 353250.0 ; + RECT 117600.0 354450.0 118800.0 353250.0 ; + RECT 120000.0 354450.0 121200.0 353250.0 ; + RECT 122400.0 354450.0 123600.0 353250.0 ; + RECT 122400.0 354450.0 123600.0 353250.0 ; + RECT 120000.0 354450.0 121200.0 353250.0 ; + RECT 117600.0 363150.0 118800.0 361950.0 ; + RECT 120000.0 363150.0 121200.0 361950.0 ; + RECT 120000.0 363150.0 121200.0 361950.0 ; + RECT 117600.0 363150.0 118800.0 361950.0 ; + RECT 120000.0 363150.0 121200.0 361950.0 ; + RECT 122400.0 363150.0 123600.0 361950.0 ; + RECT 122400.0 363150.0 123600.0 361950.0 ; + RECT 120000.0 363150.0 121200.0 361950.0 ; + RECT 124800.0 353850.0 126000.0 352650.0 ; + RECT 124800.0 363750.0 126000.0 362550.0 ; + RECT 122400.0 360600.0 121200.0 359400.0 ; + RECT 119400.0 357900.0 118200.0 356700.0 ; + RECT 120000.0 354450.0 121200.0 353250.0 ; + RECT 122400.0 363150.0 123600.0 361950.0 ; + RECT 123600.0 357900.0 122400.0 356700.0 ; + RECT 118200.0 357900.0 119400.0 356700.0 ; + RECT 121200.0 360600.0 122400.0 359400.0 ; + RECT 122400.0 357900.0 123600.0 356700.0 ; + RECT 115800.0 351750.0 130200.0 350850.0 ; + RECT 115800.0 365550.0 130200.0 364650.0 ; + RECT 136800.0 353250.0 138000.0 351300.0 ; + RECT 136800.0 365100.0 138000.0 363150.0 ; + RECT 132000.0 363750.0 133200.0 365550.0 ; + RECT 132000.0 354450.0 133200.0 350850.0 ; + RECT 134700.0 363750.0 135600.0 354450.0 ; + RECT 132000.0 354450.0 133200.0 353250.0 ; + RECT 134400.0 354450.0 135600.0 353250.0 ; + RECT 134400.0 354450.0 135600.0 353250.0 ; + RECT 132000.0 354450.0 133200.0 353250.0 ; + RECT 132000.0 363750.0 133200.0 362550.0 ; + RECT 134400.0 363750.0 135600.0 362550.0 ; + RECT 134400.0 363750.0 135600.0 362550.0 ; + RECT 132000.0 363750.0 133200.0 362550.0 ; + RECT 136800.0 353850.0 138000.0 352650.0 ; + RECT 136800.0 363750.0 138000.0 362550.0 ; + RECT 132600.0 359100.0 133800.0 357900.0 ; + RECT 132600.0 359100.0 133800.0 357900.0 ; + RECT 135150.0 358950.0 136050.0 358050.0 ; + RECT 130200.0 351750.0 139800.0 350850.0 ; + RECT 130200.0 365550.0 139800.0 364650.0 ; + RECT 102450.0 357900.0 103650.0 359100.0 ; + RECT 104400.0 360300.0 105600.0 361500.0 ; + RECT 121200.0 359400.0 120000.0 360600.0 ; + RECT 112800.0 376950.0 114000.0 378900.0 ; + RECT 112800.0 365100.0 114000.0 367050.0 ; + RECT 108000.0 366450.0 109200.0 364650.0 ; + RECT 108000.0 375750.0 109200.0 379350.0 ; + RECT 110700.0 366450.0 111600.0 375750.0 ; + RECT 108000.0 375750.0 109200.0 376950.0 ; + RECT 110400.0 375750.0 111600.0 376950.0 ; + RECT 110400.0 375750.0 111600.0 376950.0 ; + RECT 108000.0 375750.0 109200.0 376950.0 ; + RECT 108000.0 366450.0 109200.0 367650.0 ; + RECT 110400.0 366450.0 111600.0 367650.0 ; + RECT 110400.0 366450.0 111600.0 367650.0 ; + RECT 108000.0 366450.0 109200.0 367650.0 ; + RECT 112800.0 376350.0 114000.0 377550.0 ; + RECT 112800.0 366450.0 114000.0 367650.0 ; + RECT 108600.0 371100.0 109800.0 372300.0 ; + RECT 108600.0 371100.0 109800.0 372300.0 ; + RECT 111150.0 371250.0 112050.0 372150.0 ; + RECT 106200.0 378450.0 115800.0 379350.0 ; + RECT 106200.0 364650.0 115800.0 365550.0 ; + RECT 117600.0 367050.0 118800.0 364650.0 ; + RECT 117600.0 375750.0 118800.0 379350.0 ; + RECT 122400.0 375750.0 123600.0 379350.0 ; + RECT 124800.0 376950.0 126000.0 378900.0 ; + RECT 124800.0 365100.0 126000.0 367050.0 ; + RECT 117600.0 375750.0 118800.0 376950.0 ; + RECT 120000.0 375750.0 121200.0 376950.0 ; + RECT 120000.0 375750.0 121200.0 376950.0 ; + RECT 117600.0 375750.0 118800.0 376950.0 ; + RECT 120000.0 375750.0 121200.0 376950.0 ; + RECT 122400.0 375750.0 123600.0 376950.0 ; + RECT 122400.0 375750.0 123600.0 376950.0 ; + RECT 120000.0 375750.0 121200.0 376950.0 ; + RECT 117600.0 367050.0 118800.0 368250.0 ; + RECT 120000.0 367050.0 121200.0 368250.0 ; + RECT 120000.0 367050.0 121200.0 368250.0 ; + RECT 117600.0 367050.0 118800.0 368250.0 ; + RECT 120000.0 367050.0 121200.0 368250.0 ; + RECT 122400.0 367050.0 123600.0 368250.0 ; + RECT 122400.0 367050.0 123600.0 368250.0 ; + RECT 120000.0 367050.0 121200.0 368250.0 ; + RECT 124800.0 376350.0 126000.0 377550.0 ; + RECT 124800.0 366450.0 126000.0 367650.0 ; + RECT 122400.0 369600.0 121200.0 370800.0 ; + RECT 119400.0 372300.0 118200.0 373500.0 ; + RECT 120000.0 375750.0 121200.0 376950.0 ; + RECT 122400.0 367050.0 123600.0 368250.0 ; + RECT 123600.0 372300.0 122400.0 373500.0 ; + RECT 118200.0 372300.0 119400.0 373500.0 ; + RECT 121200.0 369600.0 122400.0 370800.0 ; + RECT 122400.0 372300.0 123600.0 373500.0 ; + RECT 115800.0 378450.0 130200.0 379350.0 ; + RECT 115800.0 364650.0 130200.0 365550.0 ; + RECT 136800.0 376950.0 138000.0 378900.0 ; + RECT 136800.0 365100.0 138000.0 367050.0 ; + RECT 132000.0 366450.0 133200.0 364650.0 ; + RECT 132000.0 375750.0 133200.0 379350.0 ; + RECT 134700.0 366450.0 135600.0 375750.0 ; + RECT 132000.0 375750.0 133200.0 376950.0 ; + RECT 134400.0 375750.0 135600.0 376950.0 ; + RECT 134400.0 375750.0 135600.0 376950.0 ; + RECT 132000.0 375750.0 133200.0 376950.0 ; + RECT 132000.0 366450.0 133200.0 367650.0 ; + RECT 134400.0 366450.0 135600.0 367650.0 ; + RECT 134400.0 366450.0 135600.0 367650.0 ; + RECT 132000.0 366450.0 133200.0 367650.0 ; + RECT 136800.0 376350.0 138000.0 377550.0 ; + RECT 136800.0 366450.0 138000.0 367650.0 ; + RECT 132600.0 371100.0 133800.0 372300.0 ; + RECT 132600.0 371100.0 133800.0 372300.0 ; + RECT 135150.0 371250.0 136050.0 372150.0 ; + RECT 130200.0 378450.0 139800.0 379350.0 ; + RECT 130200.0 364650.0 139800.0 365550.0 ; + RECT 102450.0 371100.0 103650.0 372300.0 ; + RECT 104400.0 368700.0 105600.0 369900.0 ; + RECT 121200.0 369600.0 120000.0 370800.0 ; + RECT 112800.0 380850.0 114000.0 378900.0 ; + RECT 112800.0 392700.0 114000.0 390750.0 ; + RECT 108000.0 391350.0 109200.0 393150.0 ; + RECT 108000.0 382050.0 109200.0 378450.0 ; + RECT 110700.0 391350.0 111600.0 382050.0 ; + RECT 108000.0 382050.0 109200.0 380850.0 ; + RECT 110400.0 382050.0 111600.0 380850.0 ; + RECT 110400.0 382050.0 111600.0 380850.0 ; + RECT 108000.0 382050.0 109200.0 380850.0 ; + RECT 108000.0 391350.0 109200.0 390150.0 ; + RECT 110400.0 391350.0 111600.0 390150.0 ; + RECT 110400.0 391350.0 111600.0 390150.0 ; + RECT 108000.0 391350.0 109200.0 390150.0 ; + RECT 112800.0 381450.0 114000.0 380250.0 ; + RECT 112800.0 391350.0 114000.0 390150.0 ; + RECT 108600.0 386700.0 109800.0 385500.0 ; + RECT 108600.0 386700.0 109800.0 385500.0 ; + RECT 111150.0 386550.0 112050.0 385650.0 ; + RECT 106200.0 379350.0 115800.0 378450.0 ; + RECT 106200.0 393150.0 115800.0 392250.0 ; + RECT 117600.0 390750.0 118800.0 393150.0 ; + RECT 117600.0 382050.0 118800.0 378450.0 ; + RECT 122400.0 382050.0 123600.0 378450.0 ; + RECT 124800.0 380850.0 126000.0 378900.0 ; + RECT 124800.0 392700.0 126000.0 390750.0 ; + RECT 117600.0 382050.0 118800.0 380850.0 ; + RECT 120000.0 382050.0 121200.0 380850.0 ; + RECT 120000.0 382050.0 121200.0 380850.0 ; + RECT 117600.0 382050.0 118800.0 380850.0 ; + RECT 120000.0 382050.0 121200.0 380850.0 ; + RECT 122400.0 382050.0 123600.0 380850.0 ; + RECT 122400.0 382050.0 123600.0 380850.0 ; + RECT 120000.0 382050.0 121200.0 380850.0 ; + RECT 117600.0 390750.0 118800.0 389550.0 ; + RECT 120000.0 390750.0 121200.0 389550.0 ; + RECT 120000.0 390750.0 121200.0 389550.0 ; + RECT 117600.0 390750.0 118800.0 389550.0 ; + RECT 120000.0 390750.0 121200.0 389550.0 ; + RECT 122400.0 390750.0 123600.0 389550.0 ; + RECT 122400.0 390750.0 123600.0 389550.0 ; + RECT 120000.0 390750.0 121200.0 389550.0 ; + RECT 124800.0 381450.0 126000.0 380250.0 ; + RECT 124800.0 391350.0 126000.0 390150.0 ; + RECT 122400.0 388200.0 121200.0 387000.0 ; + RECT 119400.0 385500.0 118200.0 384300.0 ; + RECT 120000.0 382050.0 121200.0 380850.0 ; + RECT 122400.0 390750.0 123600.0 389550.0 ; + RECT 123600.0 385500.0 122400.0 384300.0 ; + RECT 118200.0 385500.0 119400.0 384300.0 ; + RECT 121200.0 388200.0 122400.0 387000.0 ; + RECT 122400.0 385500.0 123600.0 384300.0 ; + RECT 115800.0 379350.0 130200.0 378450.0 ; + RECT 115800.0 393150.0 130200.0 392250.0 ; + RECT 136800.0 380850.0 138000.0 378900.0 ; + RECT 136800.0 392700.0 138000.0 390750.0 ; + RECT 132000.0 391350.0 133200.0 393150.0 ; + RECT 132000.0 382050.0 133200.0 378450.0 ; + RECT 134700.0 391350.0 135600.0 382050.0 ; + RECT 132000.0 382050.0 133200.0 380850.0 ; + RECT 134400.0 382050.0 135600.0 380850.0 ; + RECT 134400.0 382050.0 135600.0 380850.0 ; + RECT 132000.0 382050.0 133200.0 380850.0 ; + RECT 132000.0 391350.0 133200.0 390150.0 ; + RECT 134400.0 391350.0 135600.0 390150.0 ; + RECT 134400.0 391350.0 135600.0 390150.0 ; + RECT 132000.0 391350.0 133200.0 390150.0 ; + RECT 136800.0 381450.0 138000.0 380250.0 ; + RECT 136800.0 391350.0 138000.0 390150.0 ; + RECT 132600.0 386700.0 133800.0 385500.0 ; + RECT 132600.0 386700.0 133800.0 385500.0 ; + RECT 135150.0 386550.0 136050.0 385650.0 ; + RECT 130200.0 379350.0 139800.0 378450.0 ; + RECT 130200.0 393150.0 139800.0 392250.0 ; + RECT 102450.0 385500.0 103650.0 386700.0 ; + RECT 104400.0 387900.0 105600.0 389100.0 ; + RECT 121200.0 387000.0 120000.0 388200.0 ; + RECT 112800.0 404550.0 114000.0 406500.0 ; + RECT 112800.0 392700.0 114000.0 394650.0 ; + RECT 108000.0 394050.0 109200.0 392250.0 ; + RECT 108000.0 403350.0 109200.0 406950.0 ; + RECT 110700.0 394050.0 111600.0 403350.0 ; + RECT 108000.0 403350.0 109200.0 404550.0 ; + RECT 110400.0 403350.0 111600.0 404550.0 ; + RECT 110400.0 403350.0 111600.0 404550.0 ; + RECT 108000.0 403350.0 109200.0 404550.0 ; + RECT 108000.0 394050.0 109200.0 395250.0 ; + RECT 110400.0 394050.0 111600.0 395250.0 ; + RECT 110400.0 394050.0 111600.0 395250.0 ; + RECT 108000.0 394050.0 109200.0 395250.0 ; + RECT 112800.0 403950.0 114000.0 405150.0 ; + RECT 112800.0 394050.0 114000.0 395250.0 ; + RECT 108600.0 398700.0 109800.0 399900.0 ; + RECT 108600.0 398700.0 109800.0 399900.0 ; + RECT 111150.0 398850.0 112050.0 399750.0 ; + RECT 106200.0 406050.0 115800.0 406950.0 ; + RECT 106200.0 392250.0 115800.0 393150.0 ; + RECT 117600.0 394650.0 118800.0 392250.0 ; + RECT 117600.0 403350.0 118800.0 406950.0 ; + RECT 122400.0 403350.0 123600.0 406950.0 ; + RECT 124800.0 404550.0 126000.0 406500.0 ; + RECT 124800.0 392700.0 126000.0 394650.0 ; + RECT 117600.0 403350.0 118800.0 404550.0 ; + RECT 120000.0 403350.0 121200.0 404550.0 ; + RECT 120000.0 403350.0 121200.0 404550.0 ; + RECT 117600.0 403350.0 118800.0 404550.0 ; + RECT 120000.0 403350.0 121200.0 404550.0 ; + RECT 122400.0 403350.0 123600.0 404550.0 ; + RECT 122400.0 403350.0 123600.0 404550.0 ; + RECT 120000.0 403350.0 121200.0 404550.0 ; + RECT 117600.0 394650.0 118800.0 395850.0 ; + RECT 120000.0 394650.0 121200.0 395850.0 ; + RECT 120000.0 394650.0 121200.0 395850.0 ; + RECT 117600.0 394650.0 118800.0 395850.0 ; + RECT 120000.0 394650.0 121200.0 395850.0 ; + RECT 122400.0 394650.0 123600.0 395850.0 ; + RECT 122400.0 394650.0 123600.0 395850.0 ; + RECT 120000.0 394650.0 121200.0 395850.0 ; + RECT 124800.0 403950.0 126000.0 405150.0 ; + RECT 124800.0 394050.0 126000.0 395250.0 ; + RECT 122400.0 397200.0 121200.0 398400.0 ; + RECT 119400.0 399900.0 118200.0 401100.0 ; + RECT 120000.0 403350.0 121200.0 404550.0 ; + RECT 122400.0 394650.0 123600.0 395850.0 ; + RECT 123600.0 399900.0 122400.0 401100.0 ; + RECT 118200.0 399900.0 119400.0 401100.0 ; + RECT 121200.0 397200.0 122400.0 398400.0 ; + RECT 122400.0 399900.0 123600.0 401100.0 ; + RECT 115800.0 406050.0 130200.0 406950.0 ; + RECT 115800.0 392250.0 130200.0 393150.0 ; + RECT 136800.0 404550.0 138000.0 406500.0 ; + RECT 136800.0 392700.0 138000.0 394650.0 ; + RECT 132000.0 394050.0 133200.0 392250.0 ; + RECT 132000.0 403350.0 133200.0 406950.0 ; + RECT 134700.0 394050.0 135600.0 403350.0 ; + RECT 132000.0 403350.0 133200.0 404550.0 ; + RECT 134400.0 403350.0 135600.0 404550.0 ; + RECT 134400.0 403350.0 135600.0 404550.0 ; + RECT 132000.0 403350.0 133200.0 404550.0 ; + RECT 132000.0 394050.0 133200.0 395250.0 ; + RECT 134400.0 394050.0 135600.0 395250.0 ; + RECT 134400.0 394050.0 135600.0 395250.0 ; + RECT 132000.0 394050.0 133200.0 395250.0 ; + RECT 136800.0 403950.0 138000.0 405150.0 ; + RECT 136800.0 394050.0 138000.0 395250.0 ; + RECT 132600.0 398700.0 133800.0 399900.0 ; + RECT 132600.0 398700.0 133800.0 399900.0 ; + RECT 135150.0 398850.0 136050.0 399750.0 ; + RECT 130200.0 406050.0 139800.0 406950.0 ; + RECT 130200.0 392250.0 139800.0 393150.0 ; + RECT 102450.0 398700.0 103650.0 399900.0 ; + RECT 104400.0 396300.0 105600.0 397500.0 ; + RECT 121200.0 397200.0 120000.0 398400.0 ; + RECT 112800.0 408450.0 114000.0 406500.0 ; + RECT 112800.0 420300.0 114000.0 418350.0 ; + RECT 108000.0 418950.0 109200.0 420750.0 ; + RECT 108000.0 409650.0 109200.0 406050.0 ; + RECT 110700.0 418950.0 111600.0 409650.0 ; + RECT 108000.0 409650.0 109200.0 408450.0 ; + RECT 110400.0 409650.0 111600.0 408450.0 ; + RECT 110400.0 409650.0 111600.0 408450.0 ; + RECT 108000.0 409650.0 109200.0 408450.0 ; + RECT 108000.0 418950.0 109200.0 417750.0 ; + RECT 110400.0 418950.0 111600.0 417750.0 ; + RECT 110400.0 418950.0 111600.0 417750.0 ; + RECT 108000.0 418950.0 109200.0 417750.0 ; + RECT 112800.0 409050.0 114000.0 407850.0 ; + RECT 112800.0 418950.0 114000.0 417750.0 ; + RECT 108600.0 414300.0 109800.0 413100.0 ; + RECT 108600.0 414300.0 109800.0 413100.0 ; + RECT 111150.0 414150.0 112050.0 413250.0 ; + RECT 106200.0 406950.0 115800.0 406050.0 ; + RECT 106200.0 420750.0 115800.0 419850.0 ; + RECT 117600.0 418350.0 118800.0 420750.0 ; + RECT 117600.0 409650.0 118800.0 406050.0 ; + RECT 122400.0 409650.0 123600.0 406050.0 ; + RECT 124800.0 408450.0 126000.0 406500.0 ; + RECT 124800.0 420300.0 126000.0 418350.0 ; + RECT 117600.0 409650.0 118800.0 408450.0 ; + RECT 120000.0 409650.0 121200.0 408450.0 ; + RECT 120000.0 409650.0 121200.0 408450.0 ; + RECT 117600.0 409650.0 118800.0 408450.0 ; + RECT 120000.0 409650.0 121200.0 408450.0 ; + RECT 122400.0 409650.0 123600.0 408450.0 ; + RECT 122400.0 409650.0 123600.0 408450.0 ; + RECT 120000.0 409650.0 121200.0 408450.0 ; + RECT 117600.0 418350.0 118800.0 417150.0 ; + RECT 120000.0 418350.0 121200.0 417150.0 ; + RECT 120000.0 418350.0 121200.0 417150.0 ; + RECT 117600.0 418350.0 118800.0 417150.0 ; + RECT 120000.0 418350.0 121200.0 417150.0 ; + RECT 122400.0 418350.0 123600.0 417150.0 ; + RECT 122400.0 418350.0 123600.0 417150.0 ; + RECT 120000.0 418350.0 121200.0 417150.0 ; + RECT 124800.0 409050.0 126000.0 407850.0 ; + RECT 124800.0 418950.0 126000.0 417750.0 ; + RECT 122400.0 415800.0 121200.0 414600.0 ; + RECT 119400.0 413100.0 118200.0 411900.0 ; + RECT 120000.0 409650.0 121200.0 408450.0 ; + RECT 122400.0 418350.0 123600.0 417150.0 ; + RECT 123600.0 413100.0 122400.0 411900.0 ; + RECT 118200.0 413100.0 119400.0 411900.0 ; + RECT 121200.0 415800.0 122400.0 414600.0 ; + RECT 122400.0 413100.0 123600.0 411900.0 ; + RECT 115800.0 406950.0 130200.0 406050.0 ; + RECT 115800.0 420750.0 130200.0 419850.0 ; + RECT 136800.0 408450.0 138000.0 406500.0 ; + RECT 136800.0 420300.0 138000.0 418350.0 ; + RECT 132000.0 418950.0 133200.0 420750.0 ; + RECT 132000.0 409650.0 133200.0 406050.0 ; + RECT 134700.0 418950.0 135600.0 409650.0 ; + RECT 132000.0 409650.0 133200.0 408450.0 ; + RECT 134400.0 409650.0 135600.0 408450.0 ; + RECT 134400.0 409650.0 135600.0 408450.0 ; + RECT 132000.0 409650.0 133200.0 408450.0 ; + RECT 132000.0 418950.0 133200.0 417750.0 ; + RECT 134400.0 418950.0 135600.0 417750.0 ; + RECT 134400.0 418950.0 135600.0 417750.0 ; + RECT 132000.0 418950.0 133200.0 417750.0 ; + RECT 136800.0 409050.0 138000.0 407850.0 ; + RECT 136800.0 418950.0 138000.0 417750.0 ; + RECT 132600.0 414300.0 133800.0 413100.0 ; + RECT 132600.0 414300.0 133800.0 413100.0 ; + RECT 135150.0 414150.0 136050.0 413250.0 ; + RECT 130200.0 406950.0 139800.0 406050.0 ; + RECT 130200.0 420750.0 139800.0 419850.0 ; + RECT 102450.0 413100.0 103650.0 414300.0 ; + RECT 104400.0 415500.0 105600.0 416700.0 ; + RECT 121200.0 414600.0 120000.0 415800.0 ; + RECT 99900.0 203250.0 105000.0 204150.0 ; + RECT 99900.0 222450.0 105000.0 223350.0 ; + RECT 99900.0 230850.0 105000.0 231750.0 ; + RECT 99900.0 250050.0 105000.0 250950.0 ; + RECT 99900.0 258450.0 105000.0 259350.0 ; + RECT 99900.0 277650.0 105000.0 278550.0 ; + RECT 99900.0 286050.0 105000.0 286950.0 ; + RECT 99900.0 305250.0 105000.0 306150.0 ; + RECT 99900.0 313650.0 105000.0 314550.0 ; + RECT 99900.0 332850.0 105000.0 333750.0 ; + RECT 99900.0 341250.0 105000.0 342150.0 ; + RECT 99900.0 360450.0 105000.0 361350.0 ; + RECT 99900.0 368850.0 105000.0 369750.0 ; + RECT 99900.0 388050.0 105000.0 388950.0 ; + RECT 99900.0 396450.0 105000.0 397350.0 ; + RECT 99900.0 415650.0 105000.0 416550.0 ; + RECT 135150.0 205650.0 136050.0 206550.0 ; + RECT 135150.0 220050.0 136050.0 220950.0 ; + RECT 135150.0 233250.0 136050.0 234150.0 ; + RECT 135150.0 247650.0 136050.0 248550.0 ; + RECT 135150.0 260850.0 136050.0 261750.0 ; + RECT 135150.0 275250.0 136050.0 276150.0 ; + RECT 135150.0 288450.0 136050.0 289350.0 ; + RECT 135150.0 302850.0 136050.0 303750.0 ; + RECT 135150.0 316050.0 136050.0 316950.0 ; + RECT 135150.0 330450.0 136050.0 331350.0 ; + RECT 135150.0 343650.0 136050.0 344550.0 ; + RECT 135150.0 358050.0 136050.0 358950.0 ; + RECT 135150.0 371250.0 136050.0 372150.0 ; + RECT 135150.0 385650.0 136050.0 386550.0 ; + RECT 135150.0 398850.0 136050.0 399750.0 ; + RECT 135150.0 413250.0 136050.0 414150.0 ; + RECT 99900.0 212850.0 106200.0 213750.0 ; + RECT 99900.0 240450.0 106200.0 241350.0 ; + RECT 99900.0 268050.0 106200.0 268950.0 ; + RECT 99900.0 295650.0 106200.0 296550.0 ; + RECT 99900.0 323250.0 106200.0 324150.0 ; + RECT 99900.0 350850.0 106200.0 351750.0 ; + RECT 99900.0 378450.0 106200.0 379350.0 ; + RECT 99900.0 406050.0 106200.0 406950.0 ; + RECT 99900.0 199050.0 106200.0 199950.0 ; + RECT 99900.0 226650.0 106200.0 227550.0 ; + RECT 99900.0 254250.0 106200.0 255150.0 ; + RECT 99900.0 281850.0 106200.0 282750.0 ; + RECT 99900.0 309450.0 106200.0 310350.0 ; + RECT 99900.0 337050.0 106200.0 337950.0 ; + RECT 99900.0 364650.0 106200.0 365550.0 ; + RECT 99900.0 392250.0 106200.0 393150.0 ; + RECT 99900.0 419850.0 106200.0 420750.0 ; + RECT 59100.0 83700.0 119100.0 73500.0 ; + RECT 59100.0 63300.0 119100.0 73500.0 ; + RECT 59100.0 63300.0 119100.0 53100.0 ; + RECT 59100.0 42900.0 119100.0 53100.0 ; + RECT 61500.0 83700.0 62400.0 42900.0 ; + RECT 115500.0 83700.0 116400.0 42900.0 ; + RECT 148050.0 200100.0 149250.0 198900.0 ; + RECT 148050.0 227700.0 149250.0 226500.0 ; + RECT 148050.0 255300.0 149250.0 254100.0 ; + RECT 148050.0 282900.0 149250.0 281700.0 ; + RECT 148050.0 310500.0 149250.0 309300.0 ; + RECT 148050.0 338100.0 149250.0 336900.0 ; + RECT 148050.0 365700.0 149250.0 364500.0 ; + RECT 148050.0 393300.0 149250.0 392100.0 ; + RECT 148050.0 420900.0 149250.0 419700.0 ; + RECT 130500.0 91350.0 129300.0 92550.0 ; + RECT 135600.0 91200.0 134400.0 92400.0 ; + RECT 127500.0 105150.0 126300.0 106350.0 ; + RECT 138300.0 105000.0 137100.0 106200.0 ; + RECT 130500.0 146550.0 129300.0 147750.0 ; + RECT 141000.0 146400.0 139800.0 147600.0 ; + RECT 127500.0 160350.0 126300.0 161550.0 ; + RECT 143700.0 160200.0 142500.0 161400.0 ; + RECT 132600.0 88500.0 131400.0 89700.0 ; + RECT 132600.0 116100.0 131400.0 117300.0 ; + RECT 132600.0 143700.0 131400.0 144900.0 ; + RECT 132600.0 171300.0 131400.0 172500.0 ; + RECT 118500.0 77250.0 117300.0 78450.0 ; + RECT 135600.0 77250.0 134400.0 78450.0 ; + RECT 118500.0 68550.0 117300.0 69750.0 ; + RECT 138300.0 68550.0 137100.0 69750.0 ; + RECT 118500.0 56850.0 117300.0 58050.0 ; + RECT 141000.0 56850.0 139800.0 58050.0 ; + RECT 118500.0 48150.0 117300.0 49350.0 ; + RECT 143700.0 48150.0 142500.0 49350.0 ; + RECT 120300.0 72900.0 119100.0 74100.0 ; + RECT 149250.0 73050.0 148050.0 74250.0 ; + RECT 120300.0 52500.0 119100.0 53700.0 ; + RECT 149250.0 52650.0 148050.0 53850.0 ; RECT 164400.0 32250.0 163200.0 33450.0 ; RECT 159000.0 27750.0 157800.0 28950.0 ; RECT 161700.0 25350.0 160500.0 26550.0 ; - RECT 164400.0 424650.0 163200.0 425850.0 ; - RECT 167100.0 96750.0 165900.0 97950.0 ; - RECT 169800.0 194850.0 168600.0 196050.0 ; - RECT 156300.0 84900.0 155100.0 86100.0 ; - RECT 103650.0 421500.0 102450.0 422700.0 ; - RECT 156300.0 421500.0 155100.0 422700.0 ; + RECT 164400.0 428550.0 163200.0 429750.0 ; + RECT 167100.0 97050.0 165900.0 98250.0 ; + RECT 169800.0 195150.0 168600.0 196350.0 ; + RECT 156300.0 85200.0 155100.0 86400.0 ; + RECT 103650.0 421800.0 102450.0 423000.0 ; + RECT 156300.0 421800.0 155100.0 423000.0 ; RECT 152550.0 23400.0 151350.0 24600.0 ; - RECT 152550.0 192900.0 151350.0 194100.0 ; - RECT 152550.0 94800.0 151350.0 96000.0 ; - RECT 198600.0 0.0 203100.0 436800.0 ; - RECT 52800.0 0.0 57300.0 436800.0 ; - RECT 43650.0 207600.0 42750.0 217200.0 ; - RECT 43800.0 223800.0 42900.0 224700.0 ; - RECT 43350.0 223800.0 43200.0 224700.0 ; - RECT 43800.0 224250.0 42900.0 231600.0 ; - RECT 43800.0 243450.0 42900.0 250800.0 ; - RECT 35550.0 258600.0 30600.0 259500.0 ; - RECT 43650.0 207150.0 42750.0 208050.0 ; - RECT 43650.0 223800.0 42750.0 224700.0 ; - RECT 29250.0 362100.0 28350.0 375450.0 ; - RECT 43800.0 272700.0 42900.0 284850.0 ; - RECT 33300.0 204600.0 30600.0 205500.0 ; - RECT 29700.0 284850.0 28800.0 311700.0 ; - RECT 27000.0 290250.0 26100.0 314700.0 ; - RECT 41700.0 303750.0 40800.0 312300.0 ; - RECT 43650.0 301050.0 42750.0 314700.0 ; - RECT 45600.0 292950.0 44700.0 317100.0 ; - RECT 41700.0 326850.0 40800.0 327750.0 ; - RECT 41700.0 318300.0 40800.0 327300.0 ; - RECT 43200.0 326850.0 41250.0 327750.0 ; - RECT 43800.0 329250.0 42900.0 330150.0 ; - RECT 43350.0 329250.0 43200.0 330150.0 ; - RECT 43800.0 329700.0 42900.0 387300.0 ; - RECT 14100.0 303750.0 13200.0 321900.0 ; - RECT 16050.0 292950.0 15150.0 324300.0 ; - RECT 18000.0 295650.0 17100.0 326700.0 ; - RECT 14100.0 336450.0 13200.0 337350.0 ; - RECT 14100.0 327900.0 13200.0 336900.0 ; - RECT 15600.0 336450.0 13650.0 337350.0 ; - RECT 16050.0 339300.0 15150.0 346500.0 ; - RECT 16050.0 348900.0 15150.0 356100.0 ; - RECT 29250.0 361650.0 28350.0 362550.0 ; - RECT 28800.0 361650.0 28350.0 362550.0 ; - RECT 29250.0 359700.0 28350.0 362100.0 ; - RECT 29250.0 349500.0 28350.0 356700.0 ; - RECT 29700.0 316800.0 28800.0 323100.0 ; - RECT 30450.0 333000.0 29550.0 340200.0 ; - RECT 16050.0 358500.0 15150.0 362700.0 ; - RECT 29250.0 342900.0 28350.0 347100.0 ; - RECT 50250.0 202200.0 49350.0 362100.0 ; - RECT 50250.0 287550.0 49350.0 308700.0 ; - RECT 36450.0 202200.0 35550.0 362100.0 ; - RECT 36450.0 298350.0 35550.0 308700.0 ; - RECT 22650.0 308700.0 21750.0 362100.0 ; - RECT 22650.0 287550.0 21750.0 308700.0 ; - RECT 8850.0 308700.0 7950.0 362100.0 ; - RECT 8850.0 298350.0 7950.0 308700.0 ; - RECT 8850.0 361650.0 7950.0 362550.0 ; - RECT 8850.0 360000.0 7950.0 362100.0 ; - RECT 8400.0 361650.0 3600.0 362550.0 ; - RECT 7.1054273576e-12 202200.0 10200.0 262200.0 ; - RECT 20400.0 202200.0 10200.0 262200.0 ; - RECT 20400.0 202200.0 30600.0 262200.0 ; - RECT 7.1054273576e-12 204600.0 30600.0 205500.0 ; - RECT 1.42108547152e-11 258600.0 30600.0 259500.0 ; - RECT 37950.0 211200.0 36000.0 212400.0 ; - RECT 49800.0 211200.0 47850.0 212400.0 ; - RECT 48450.0 206700.0 39150.0 207600.0 ; - RECT 38550.0 204150.0 36600.0 205050.0 ; - RECT 38550.0 208950.0 36600.0 209850.0 ; - RECT 39150.0 204000.0 37950.0 205200.0 ; - RECT 39150.0 208800.0 37950.0 210000.0 ; - RECT 39150.0 206400.0 37950.0 207600.0 ; - RECT 39150.0 206400.0 37950.0 207600.0 ; - RECT 37050.0 204150.0 36150.0 209850.0 ; - RECT 49800.0 204150.0 47850.0 205050.0 ; - RECT 49800.0 208950.0 47850.0 209850.0 ; - RECT 48450.0 204000.0 47250.0 205200.0 ; - RECT 48450.0 208800.0 47250.0 210000.0 ; - RECT 48450.0 206400.0 47250.0 207600.0 ; - RECT 48450.0 206400.0 47250.0 207600.0 ; - RECT 50250.0 204150.0 49350.0 209850.0 ; - RECT 38550.0 211200.0 37350.0 212400.0 ; - RECT 48450.0 211200.0 47250.0 212400.0 ; - RECT 43800.0 204600.0 42600.0 205800.0 ; - RECT 43800.0 204600.0 42600.0 205800.0 ; - RECT 43650.0 207150.0 42750.0 208050.0 ; - RECT 36450.0 202200.0 35550.0 214200.0 ; - RECT 50250.0 202200.0 49350.0 214200.0 ; - RECT 37950.0 225600.0 36000.0 226800.0 ; - RECT 49800.0 225600.0 47850.0 226800.0 ; - RECT 37350.0 216150.0 35550.0 221850.0 ; - RECT 46050.0 223350.0 41250.0 224250.0 ; - RECT 38850.0 216150.0 36900.0 217050.0 ; - RECT 38850.0 220950.0 36900.0 221850.0 ; - RECT 40800.0 218550.0 38850.0 219450.0 ; - RECT 40800.0 223350.0 38850.0 224250.0 ; - RECT 39450.0 216000.0 38250.0 217200.0 ; - RECT 39450.0 220800.0 38250.0 222000.0 ; - RECT 39450.0 218400.0 38250.0 219600.0 ; - RECT 39450.0 223200.0 38250.0 224400.0 ; - RECT 41250.0 218550.0 40350.0 224250.0 ; - RECT 37350.0 216150.0 36450.0 221850.0 ; - RECT 49500.0 216150.0 47550.0 217050.0 ; - RECT 49500.0 220950.0 47550.0 221850.0 ; - RECT 47550.0 218550.0 45600.0 219450.0 ; - RECT 47550.0 223350.0 45600.0 224250.0 ; - RECT 48150.0 216000.0 46950.0 217200.0 ; - RECT 48150.0 220800.0 46950.0 222000.0 ; - RECT 48150.0 218400.0 46950.0 219600.0 ; - RECT 48150.0 223200.0 46950.0 224400.0 ; - RECT 46050.0 218550.0 45150.0 224250.0 ; - RECT 49950.0 216150.0 49050.0 221850.0 ; - RECT 38550.0 225600.0 37350.0 226800.0 ; - RECT 48450.0 225600.0 47250.0 226800.0 ; - RECT 43800.0 216600.0 42600.0 217800.0 ; - RECT 43800.0 216600.0 42600.0 217800.0 ; - RECT 43650.0 223800.0 42750.0 224700.0 ; - RECT 36450.0 214200.0 35550.0 228600.0 ; - RECT 50250.0 214200.0 49350.0 228600.0 ; - RECT 37950.0 244800.0 36000.0 246000.0 ; - RECT 49800.0 244800.0 47850.0 246000.0 ; - RECT 37800.0 230550.0 35550.0 241050.0 ; - RECT 45900.0 242550.0 41700.0 243450.0 ; - RECT 39300.0 230550.0 37350.0 231450.0 ; - RECT 39300.0 235350.0 37350.0 236250.0 ; - RECT 39300.0 240150.0 37350.0 241050.0 ; - RECT 41250.0 232950.0 39300.0 233850.0 ; - RECT 41250.0 237750.0 39300.0 238650.0 ; - RECT 41250.0 242550.0 39300.0 243450.0 ; - RECT 39900.0 230400.0 38700.0 231600.0 ; - RECT 39900.0 235200.0 38700.0 236400.0 ; - RECT 39900.0 240000.0 38700.0 241200.0 ; - RECT 39900.0 232800.0 38700.0 234000.0 ; - RECT 39900.0 237600.0 38700.0 238800.0 ; - RECT 39900.0 242400.0 38700.0 243600.0 ; - RECT 41700.0 232950.0 40800.0 243450.0 ; - RECT 37800.0 230550.0 36900.0 241050.0 ; - RECT 49350.0 230550.0 47400.0 231450.0 ; - RECT 49350.0 235350.0 47400.0 236250.0 ; - RECT 49350.0 240150.0 47400.0 241050.0 ; - RECT 47400.0 232950.0 45450.0 233850.0 ; - RECT 47400.0 237750.0 45450.0 238650.0 ; - RECT 47400.0 242550.0 45450.0 243450.0 ; - RECT 48000.0 230400.0 46800.0 231600.0 ; - RECT 48000.0 235200.0 46800.0 236400.0 ; - RECT 48000.0 240000.0 46800.0 241200.0 ; - RECT 48000.0 232800.0 46800.0 234000.0 ; - RECT 48000.0 237600.0 46800.0 238800.0 ; - RECT 48000.0 242400.0 46800.0 243600.0 ; - RECT 45900.0 232950.0 45000.0 243450.0 ; - RECT 49800.0 230550.0 48900.0 241050.0 ; - RECT 38550.0 244800.0 37350.0 246000.0 ; - RECT 48450.0 244800.0 47250.0 246000.0 ; - RECT 43950.0 231000.0 42750.0 232200.0 ; - RECT 43950.0 231000.0 42750.0 232200.0 ; - RECT 43800.0 243000.0 42900.0 243900.0 ; - RECT 36450.0 228600.0 35550.0 247800.0 ; - RECT 50250.0 228600.0 49350.0 247800.0 ; - RECT 37950.0 276000.0 36000.0 277200.0 ; - RECT 49800.0 276000.0 47850.0 277200.0 ; - RECT 37800.0 249750.0 35550.0 274650.0 ; - RECT 45900.0 271350.0 41700.0 272250.0 ; - RECT 39300.0 249750.0 37350.0 250650.0 ; - RECT 39300.0 254550.0 37350.0 255450.0 ; - RECT 39300.0 259350.0 37350.0 260250.0 ; - RECT 39300.0 264150.0 37350.0 265050.0 ; - RECT 39300.0 268950.0 37350.0 269850.0 ; - RECT 39300.0 273750.0 37350.0 274650.0 ; - RECT 41250.0 252150.0 39300.0 253050.0 ; - RECT 41250.0 256950.0 39300.0 257850.0 ; - RECT 41250.0 261750.0 39300.0 262650.0 ; - RECT 41250.0 266550.0 39300.0 267450.0 ; - RECT 41250.0 271350.0 39300.0 272250.0 ; - RECT 39900.0 249600.0 38700.0 250800.0 ; - RECT 39900.0 254400.0 38700.0 255600.0 ; - RECT 39900.0 259200.0 38700.0 260400.0 ; - RECT 39900.0 264000.0 38700.0 265200.0 ; - RECT 39900.0 268800.0 38700.0 270000.0 ; - RECT 39900.0 273600.0 38700.0 274800.0 ; - RECT 39900.0 252000.0 38700.0 253200.0 ; - RECT 39900.0 256800.0 38700.0 258000.0 ; - RECT 39900.0 261600.0 38700.0 262800.0 ; - RECT 39900.0 266400.0 38700.0 267600.0 ; - RECT 39900.0 271200.0 38700.0 272400.0 ; - RECT 41700.0 252150.0 40800.0 272250.0 ; - RECT 37800.0 249750.0 36900.0 274650.0 ; - RECT 49350.0 249750.0 47400.0 250650.0 ; - RECT 49350.0 254550.0 47400.0 255450.0 ; - RECT 49350.0 259350.0 47400.0 260250.0 ; - RECT 49350.0 264150.0 47400.0 265050.0 ; - RECT 49350.0 268950.0 47400.0 269850.0 ; - RECT 49350.0 273750.0 47400.0 274650.0 ; - RECT 47400.0 252150.0 45450.0 253050.0 ; - RECT 47400.0 256950.0 45450.0 257850.0 ; - RECT 47400.0 261750.0 45450.0 262650.0 ; - RECT 47400.0 266550.0 45450.0 267450.0 ; - RECT 47400.0 271350.0 45450.0 272250.0 ; - RECT 48000.0 249600.0 46800.0 250800.0 ; - RECT 48000.0 254400.0 46800.0 255600.0 ; - RECT 48000.0 259200.0 46800.0 260400.0 ; - RECT 48000.0 264000.0 46800.0 265200.0 ; - RECT 48000.0 268800.0 46800.0 270000.0 ; - RECT 48000.0 273600.0 46800.0 274800.0 ; - RECT 48000.0 252000.0 46800.0 253200.0 ; - RECT 48000.0 256800.0 46800.0 258000.0 ; - RECT 48000.0 261600.0 46800.0 262800.0 ; - RECT 48000.0 266400.0 46800.0 267600.0 ; - RECT 48000.0 271200.0 46800.0 272400.0 ; - RECT 45900.0 252150.0 45000.0 272250.0 ; - RECT 49800.0 249750.0 48900.0 274650.0 ; - RECT 38550.0 276000.0 37350.0 277200.0 ; - RECT 48450.0 276000.0 47250.0 277200.0 ; - RECT 43950.0 250200.0 42750.0 251400.0 ; - RECT 43950.0 250200.0 42750.0 251400.0 ; - RECT 43800.0 271800.0 42900.0 272700.0 ; - RECT 36450.0 247800.0 35550.0 279000.0 ; - RECT 50250.0 247800.0 49350.0 279000.0 ; - RECT 47850.0 310500.0 50250.0 311700.0 ; - RECT 39150.0 310500.0 35550.0 311700.0 ; - RECT 39150.0 315300.0 35550.0 316500.0 ; - RECT 37950.0 320100.0 36000.0 321300.0 ; - RECT 49800.0 320100.0 47850.0 321300.0 ; - RECT 39150.0 310500.0 37950.0 311700.0 ; - RECT 39150.0 312900.0 37950.0 314100.0 ; - RECT 39150.0 312900.0 37950.0 314100.0 ; - RECT 39150.0 310500.0 37950.0 311700.0 ; - RECT 39150.0 312900.0 37950.0 314100.0 ; - RECT 39150.0 315300.0 37950.0 316500.0 ; - RECT 39150.0 315300.0 37950.0 316500.0 ; - RECT 39150.0 312900.0 37950.0 314100.0 ; - RECT 39150.0 315300.0 37950.0 316500.0 ; - RECT 39150.0 317700.0 37950.0 318900.0 ; - RECT 39150.0 317700.0 37950.0 318900.0 ; - RECT 39150.0 315300.0 37950.0 316500.0 ; - RECT 47850.0 310500.0 46650.0 311700.0 ; - RECT 47850.0 312900.0 46650.0 314100.0 ; - RECT 47850.0 312900.0 46650.0 314100.0 ; - RECT 47850.0 310500.0 46650.0 311700.0 ; - RECT 47850.0 312900.0 46650.0 314100.0 ; - RECT 47850.0 315300.0 46650.0 316500.0 ; - RECT 47850.0 315300.0 46650.0 316500.0 ; - RECT 47850.0 312900.0 46650.0 314100.0 ; - RECT 47850.0 315300.0 46650.0 316500.0 ; - RECT 47850.0 317700.0 46650.0 318900.0 ; - RECT 47850.0 317700.0 46650.0 318900.0 ; - RECT 47850.0 315300.0 46650.0 316500.0 ; - RECT 38550.0 320100.0 37350.0 321300.0 ; - RECT 48450.0 320100.0 47250.0 321300.0 ; - RECT 45750.0 317700.0 44550.0 316500.0 ; - RECT 43800.0 315300.0 42600.0 314100.0 ; - RECT 41850.0 312900.0 40650.0 311700.0 ; - RECT 39150.0 312900.0 37950.0 314100.0 ; - RECT 39150.0 317700.0 37950.0 318900.0 ; - RECT 47850.0 317700.0 46650.0 318900.0 ; - RECT 41850.0 317700.0 40650.0 318900.0 ; - RECT 41850.0 311700.0 40650.0 312900.0 ; - RECT 43800.0 314100.0 42600.0 315300.0 ; - RECT 45750.0 316500.0 44550.0 317700.0 ; - RECT 41850.0 317700.0 40650.0 318900.0 ; - RECT 36450.0 308700.0 35550.0 324300.0 ; - RECT 50250.0 308700.0 49350.0 324300.0 ; - RECT 37950.0 330900.0 36000.0 332100.0 ; - RECT 49800.0 330900.0 47850.0 332100.0 ; - RECT 48450.0 326100.0 50250.0 327300.0 ; - RECT 39150.0 326100.0 35550.0 327300.0 ; - RECT 48450.0 328800.0 39150.0 329700.0 ; - RECT 39150.0 326100.0 37950.0 327300.0 ; - RECT 39150.0 328500.0 37950.0 329700.0 ; - RECT 39150.0 328500.0 37950.0 329700.0 ; - RECT 39150.0 326100.0 37950.0 327300.0 ; - RECT 48450.0 326100.0 47250.0 327300.0 ; - RECT 48450.0 328500.0 47250.0 329700.0 ; - RECT 48450.0 328500.0 47250.0 329700.0 ; - RECT 48450.0 326100.0 47250.0 327300.0 ; - RECT 38550.0 330900.0 37350.0 332100.0 ; - RECT 48450.0 330900.0 47250.0 332100.0 ; - RECT 43800.0 326700.0 42600.0 327900.0 ; - RECT 43800.0 326700.0 42600.0 327900.0 ; - RECT 43650.0 329250.0 42750.0 330150.0 ; - RECT 36450.0 324300.0 35550.0 333900.0 ; - RECT 50250.0 324300.0 49350.0 333900.0 ; - RECT 23550.0 310500.0 21750.0 311700.0 ; - RECT 23550.0 315300.0 21750.0 316500.0 ; - RECT 32250.0 310500.0 36450.0 311700.0 ; - RECT 34050.0 317700.0 36000.0 318900.0 ; - RECT 22200.0 317700.0 24150.0 318900.0 ; - RECT 32250.0 310500.0 33450.0 311700.0 ; - RECT 32250.0 312900.0 33450.0 314100.0 ; - RECT 32250.0 312900.0 33450.0 314100.0 ; - RECT 32250.0 310500.0 33450.0 311700.0 ; - RECT 32250.0 312900.0 33450.0 314100.0 ; - RECT 32250.0 315300.0 33450.0 316500.0 ; - RECT 32250.0 315300.0 33450.0 316500.0 ; - RECT 32250.0 312900.0 33450.0 314100.0 ; - RECT 23550.0 310500.0 24750.0 311700.0 ; - RECT 23550.0 312900.0 24750.0 314100.0 ; - RECT 23550.0 312900.0 24750.0 314100.0 ; - RECT 23550.0 310500.0 24750.0 311700.0 ; - RECT 23550.0 312900.0 24750.0 314100.0 ; - RECT 23550.0 315300.0 24750.0 316500.0 ; - RECT 23550.0 315300.0 24750.0 316500.0 ; - RECT 23550.0 312900.0 24750.0 314100.0 ; - RECT 33450.0 317700.0 34650.0 318900.0 ; - RECT 23550.0 317700.0 24750.0 318900.0 ; - RECT 25950.0 315300.0 27150.0 314100.0 ; - RECT 28650.0 312300.0 29850.0 311100.0 ; - RECT 32250.0 315300.0 33450.0 316500.0 ; - RECT 23550.0 314100.0 24750.0 312900.0 ; - RECT 28650.0 317400.0 29850.0 316200.0 ; - RECT 28650.0 311100.0 29850.0 312300.0 ; - RECT 25950.0 314100.0 27150.0 315300.0 ; - RECT 28650.0 316200.0 29850.0 317400.0 ; - RECT 35550.0 308700.0 36450.0 323100.0 ; - RECT 21750.0 308700.0 22650.0 323100.0 ; - RECT 24150.0 327600.0 21750.0 328800.0 ; - RECT 32850.0 327600.0 36450.0 328800.0 ; - RECT 32850.0 332400.0 36450.0 333600.0 ; - RECT 34050.0 334800.0 36000.0 336000.0 ; - RECT 22200.0 334800.0 24150.0 336000.0 ; - RECT 32850.0 327600.0 34050.0 328800.0 ; - RECT 32850.0 330000.0 34050.0 331200.0 ; - RECT 32850.0 330000.0 34050.0 331200.0 ; - RECT 32850.0 327600.0 34050.0 328800.0 ; - RECT 32850.0 330000.0 34050.0 331200.0 ; - RECT 32850.0 332400.0 34050.0 333600.0 ; - RECT 32850.0 332400.0 34050.0 333600.0 ; - RECT 32850.0 330000.0 34050.0 331200.0 ; - RECT 24150.0 327600.0 25350.0 328800.0 ; - RECT 24150.0 330000.0 25350.0 331200.0 ; - RECT 24150.0 330000.0 25350.0 331200.0 ; - RECT 24150.0 327600.0 25350.0 328800.0 ; - RECT 24150.0 330000.0 25350.0 331200.0 ; - RECT 24150.0 332400.0 25350.0 333600.0 ; - RECT 24150.0 332400.0 25350.0 333600.0 ; - RECT 24150.0 330000.0 25350.0 331200.0 ; - RECT 33450.0 334800.0 34650.0 336000.0 ; - RECT 23550.0 334800.0 24750.0 336000.0 ; - RECT 26700.0 332400.0 27900.0 331200.0 ; - RECT 29400.0 329400.0 30600.0 328200.0 ; - RECT 32850.0 330000.0 34050.0 331200.0 ; - RECT 24150.0 332400.0 25350.0 333600.0 ; - RECT 29400.0 333600.0 30600.0 332400.0 ; - RECT 29400.0 328200.0 30600.0 329400.0 ; - RECT 26700.0 331200.0 27900.0 332400.0 ; - RECT 29400.0 332400.0 30600.0 333600.0 ; - RECT 35550.0 325800.0 36450.0 340200.0 ; - RECT 21750.0 325800.0 22650.0 340200.0 ; - RECT 34050.0 345900.0 36000.0 344700.0 ; - RECT 22200.0 345900.0 24150.0 344700.0 ; - RECT 23550.0 350700.0 21750.0 349500.0 ; - RECT 32850.0 350700.0 36450.0 349500.0 ; - RECT 23550.0 348000.0 32850.0 347100.0 ; - RECT 32850.0 350700.0 34050.0 349500.0 ; - RECT 32850.0 348300.0 34050.0 347100.0 ; - RECT 32850.0 348300.0 34050.0 347100.0 ; - RECT 32850.0 350700.0 34050.0 349500.0 ; - RECT 23550.0 350700.0 24750.0 349500.0 ; - RECT 23550.0 348300.0 24750.0 347100.0 ; - RECT 23550.0 348300.0 24750.0 347100.0 ; - RECT 23550.0 350700.0 24750.0 349500.0 ; - RECT 33450.0 345900.0 34650.0 344700.0 ; - RECT 23550.0 345900.0 24750.0 344700.0 ; - RECT 28200.0 350100.0 29400.0 348900.0 ; - RECT 28200.0 350100.0 29400.0 348900.0 ; - RECT 28350.0 347550.0 29250.0 346650.0 ; - RECT 35550.0 352500.0 36450.0 342900.0 ; - RECT 21750.0 352500.0 22650.0 342900.0 ; - RECT 34050.0 355500.0 36000.0 354300.0 ; - RECT 22200.0 355500.0 24150.0 354300.0 ; - RECT 23550.0 360300.0 21750.0 359100.0 ; - RECT 32850.0 360300.0 36450.0 359100.0 ; - RECT 23550.0 357600.0 32850.0 356700.0 ; - RECT 32850.0 360300.0 34050.0 359100.0 ; - RECT 32850.0 357900.0 34050.0 356700.0 ; - RECT 32850.0 357900.0 34050.0 356700.0 ; - RECT 32850.0 360300.0 34050.0 359100.0 ; - RECT 23550.0 360300.0 24750.0 359100.0 ; - RECT 23550.0 357900.0 24750.0 356700.0 ; - RECT 23550.0 357900.0 24750.0 356700.0 ; - RECT 23550.0 360300.0 24750.0 359100.0 ; - RECT 33450.0 355500.0 34650.0 354300.0 ; - RECT 23550.0 355500.0 24750.0 354300.0 ; - RECT 28200.0 359700.0 29400.0 358500.0 ; - RECT 28200.0 359700.0 29400.0 358500.0 ; - RECT 28350.0 357150.0 29250.0 356250.0 ; - RECT 35550.0 362100.0 36450.0 352500.0 ; - RECT 21750.0 362100.0 22650.0 352500.0 ; - RECT 20250.0 320100.0 22650.0 321300.0 ; - RECT 11550.0 320100.0 7950.0 321300.0 ; - RECT 11550.0 324900.0 7950.0 326100.0 ; - RECT 10350.0 329700.0 8400.0 330900.0 ; - RECT 22200.0 329700.0 20250.0 330900.0 ; - RECT 11550.0 320100.0 10350.0 321300.0 ; - RECT 11550.0 322500.0 10350.0 323700.0 ; - RECT 11550.0 322500.0 10350.0 323700.0 ; - RECT 11550.0 320100.0 10350.0 321300.0 ; - RECT 11550.0 322500.0 10350.0 323700.0 ; - RECT 11550.0 324900.0 10350.0 326100.0 ; - RECT 11550.0 324900.0 10350.0 326100.0 ; - RECT 11550.0 322500.0 10350.0 323700.0 ; - RECT 11550.0 324900.0 10350.0 326100.0 ; - RECT 11550.0 327300.0 10350.0 328500.0 ; - RECT 11550.0 327300.0 10350.0 328500.0 ; - RECT 11550.0 324900.0 10350.0 326100.0 ; - RECT 20250.0 320100.0 19050.0 321300.0 ; - RECT 20250.0 322500.0 19050.0 323700.0 ; - RECT 20250.0 322500.0 19050.0 323700.0 ; - RECT 20250.0 320100.0 19050.0 321300.0 ; - RECT 20250.0 322500.0 19050.0 323700.0 ; - RECT 20250.0 324900.0 19050.0 326100.0 ; - RECT 20250.0 324900.0 19050.0 326100.0 ; - RECT 20250.0 322500.0 19050.0 323700.0 ; - RECT 20250.0 324900.0 19050.0 326100.0 ; - RECT 20250.0 327300.0 19050.0 328500.0 ; - RECT 20250.0 327300.0 19050.0 328500.0 ; - RECT 20250.0 324900.0 19050.0 326100.0 ; - RECT 10950.0 329700.0 9750.0 330900.0 ; - RECT 20850.0 329700.0 19650.0 330900.0 ; - RECT 18150.0 327300.0 16950.0 326100.0 ; - RECT 16200.0 324900.0 15000.0 323700.0 ; - RECT 14250.0 322500.0 13050.0 321300.0 ; - RECT 11550.0 322500.0 10350.0 323700.0 ; - RECT 11550.0 327300.0 10350.0 328500.0 ; - RECT 20250.0 327300.0 19050.0 328500.0 ; - RECT 14250.0 327300.0 13050.0 328500.0 ; - RECT 14250.0 321300.0 13050.0 322500.0 ; - RECT 16200.0 323700.0 15000.0 324900.0 ; - RECT 18150.0 326100.0 16950.0 327300.0 ; - RECT 14250.0 327300.0 13050.0 328500.0 ; - RECT 8850.0 318300.0 7950.0 333900.0 ; - RECT 22650.0 318300.0 21750.0 333900.0 ; - RECT 10350.0 340500.0 8400.0 341700.0 ; - RECT 22200.0 340500.0 20250.0 341700.0 ; - RECT 20850.0 335700.0 22650.0 336900.0 ; - RECT 11550.0 335700.0 7950.0 336900.0 ; - RECT 20850.0 338400.0 11550.0 339300.0 ; - RECT 11550.0 335700.0 10350.0 336900.0 ; - RECT 11550.0 338100.0 10350.0 339300.0 ; - RECT 11550.0 338100.0 10350.0 339300.0 ; - RECT 11550.0 335700.0 10350.0 336900.0 ; - RECT 20850.0 335700.0 19650.0 336900.0 ; - RECT 20850.0 338100.0 19650.0 339300.0 ; - RECT 20850.0 338100.0 19650.0 339300.0 ; - RECT 20850.0 335700.0 19650.0 336900.0 ; - RECT 10950.0 340500.0 9750.0 341700.0 ; - RECT 20850.0 340500.0 19650.0 341700.0 ; - RECT 16200.0 336300.0 15000.0 337500.0 ; - RECT 16200.0 336300.0 15000.0 337500.0 ; - RECT 16050.0 338850.0 15150.0 339750.0 ; - RECT 8850.0 333900.0 7950.0 343500.0 ; - RECT 22650.0 333900.0 21750.0 343500.0 ; - RECT 10350.0 350100.0 8400.0 351300.0 ; - RECT 22200.0 350100.0 20250.0 351300.0 ; - RECT 20850.0 345300.0 22650.0 346500.0 ; - RECT 11550.0 345300.0 7950.0 346500.0 ; - RECT 20850.0 348000.0 11550.0 348900.0 ; - RECT 11550.0 345300.0 10350.0 346500.0 ; - RECT 11550.0 347700.0 10350.0 348900.0 ; - RECT 11550.0 347700.0 10350.0 348900.0 ; - RECT 11550.0 345300.0 10350.0 346500.0 ; - RECT 20850.0 345300.0 19650.0 346500.0 ; - RECT 20850.0 347700.0 19650.0 348900.0 ; - RECT 20850.0 347700.0 19650.0 348900.0 ; - RECT 20850.0 345300.0 19650.0 346500.0 ; - RECT 10950.0 350100.0 9750.0 351300.0 ; - RECT 20850.0 350100.0 19650.0 351300.0 ; - RECT 16200.0 345900.0 15000.0 347100.0 ; - RECT 16200.0 345900.0 15000.0 347100.0 ; - RECT 16050.0 348450.0 15150.0 349350.0 ; - RECT 8850.0 343500.0 7950.0 353100.0 ; - RECT 22650.0 343500.0 21750.0 353100.0 ; - RECT 10350.0 359700.0 8400.0 360900.0 ; - RECT 22200.0 359700.0 20250.0 360900.0 ; - RECT 20850.0 354900.0 22650.0 356100.0 ; - RECT 11550.0 354900.0 7950.0 356100.0 ; - RECT 20850.0 357600.0 11550.0 358500.0 ; - RECT 11550.0 354900.0 10350.0 356100.0 ; - RECT 11550.0 357300.0 10350.0 358500.0 ; - RECT 11550.0 357300.0 10350.0 358500.0 ; - RECT 11550.0 354900.0 10350.0 356100.0 ; - RECT 20850.0 354900.0 19650.0 356100.0 ; - RECT 20850.0 357300.0 19650.0 358500.0 ; - RECT 20850.0 357300.0 19650.0 358500.0 ; - RECT 20850.0 354900.0 19650.0 356100.0 ; - RECT 10950.0 359700.0 9750.0 360900.0 ; - RECT 20850.0 359700.0 19650.0 360900.0 ; - RECT 16200.0 355500.0 15000.0 356700.0 ; - RECT 16200.0 355500.0 15000.0 356700.0 ; - RECT 16050.0 358050.0 15150.0 358950.0 ; - RECT 8850.0 353100.0 7950.0 362700.0 ; - RECT 22650.0 353100.0 21750.0 362700.0 ; - RECT 22650.0 396600.0 16800.0 397500.0 ; - RECT 22650.0 420000.0 16800.0 420900.0 ; - RECT 22200.0 425550.0 16800.0 426450.0 ; - RECT 4500.0 408300.0 16800.0 409200.0 ; - RECT 4500.0 380700.0 16800.0 381600.0 ; - RECT 29250.0 397500.0 28350.0 410100.0 ; - RECT 29250.0 392550.0 28350.0 393450.0 ; - RECT 29250.0 393000.0 28350.0 397500.0 ; - RECT 28800.0 392550.0 17400.0 393450.0 ; - RECT 36000.0 398250.0 33750.0 399150.0 ; - RECT 33600.0 383550.0 32700.0 384450.0 ; - RECT 29250.0 383550.0 28350.0 384450.0 ; - RECT 33600.0 384000.0 32700.0 395700.0 ; - RECT 33150.0 383550.0 28800.0 384450.0 ; - RECT 29250.0 378900.0 28350.0 384000.0 ; - RECT 28800.0 383550.0 19950.0 384450.0 ; - RECT 19950.0 375450.0 13200.0 376350.0 ; - RECT 29400.0 377700.0 28200.0 378900.0 ; - RECT 29250.0 410100.0 28350.0 413850.0 ; - RECT 34050.0 374700.0 36000.0 373500.0 ; - RECT 22200.0 374700.0 24150.0 373500.0 ; - RECT 23550.0 379500.0 21750.0 378300.0 ; - RECT 32850.0 379500.0 36450.0 378300.0 ; - RECT 23550.0 376800.0 32850.0 375900.0 ; - RECT 32850.0 379500.0 34050.0 378300.0 ; - RECT 32850.0 377100.0 34050.0 375900.0 ; - RECT 32850.0 377100.0 34050.0 375900.0 ; - RECT 32850.0 379500.0 34050.0 378300.0 ; - RECT 23550.0 379500.0 24750.0 378300.0 ; - RECT 23550.0 377100.0 24750.0 375900.0 ; - RECT 23550.0 377100.0 24750.0 375900.0 ; - RECT 23550.0 379500.0 24750.0 378300.0 ; - RECT 33450.0 374700.0 34650.0 373500.0 ; - RECT 23550.0 374700.0 24750.0 373500.0 ; - RECT 28200.0 378900.0 29400.0 377700.0 ; - RECT 28200.0 378900.0 29400.0 377700.0 ; - RECT 28350.0 376350.0 29250.0 375450.0 ; - RECT 35550.0 381300.0 36450.0 371700.0 ; - RECT 21750.0 381300.0 22650.0 371700.0 ; - RECT 32550.0 395700.0 33750.0 396900.0 ; - RECT 32550.0 398100.0 33750.0 399300.0 ; - RECT 32550.0 398100.0 33750.0 399300.0 ; - RECT 32550.0 395700.0 33750.0 396900.0 ; - RECT 21750.0 430650.0 22650.0 431550.0 ; - RECT 49350.0 430650.0 50250.0 431550.0 ; - RECT 21750.0 429300.0 22650.0 431100.0 ; - RECT 22200.0 430650.0 49800.0 431550.0 ; - RECT 49350.0 429300.0 50250.0 431100.0 ; - RECT 37950.0 416700.0 36000.0 417900.0 ; - RECT 49800.0 416700.0 47850.0 417900.0 ; - RECT 48450.0 411900.0 50250.0 413100.0 ; - RECT 39150.0 411900.0 35550.0 413100.0 ; - RECT 48450.0 414600.0 39150.0 415500.0 ; - RECT 39150.0 411900.0 37950.0 413100.0 ; - RECT 39150.0 414300.0 37950.0 415500.0 ; - RECT 39150.0 414300.0 37950.0 415500.0 ; - RECT 39150.0 411900.0 37950.0 413100.0 ; - RECT 48450.0 411900.0 47250.0 413100.0 ; - RECT 48450.0 414300.0 47250.0 415500.0 ; - RECT 48450.0 414300.0 47250.0 415500.0 ; - RECT 48450.0 411900.0 47250.0 413100.0 ; - RECT 38550.0 416700.0 37350.0 417900.0 ; - RECT 48450.0 416700.0 47250.0 417900.0 ; - RECT 43800.0 412500.0 42600.0 413700.0 ; - RECT 43800.0 412500.0 42600.0 413700.0 ; - RECT 43650.0 415050.0 42750.0 415950.0 ; - RECT 36450.0 410100.0 35550.0 419700.0 ; - RECT 50250.0 410100.0 49350.0 419700.0 ; - RECT 37950.0 426300.0 36000.0 427500.0 ; - RECT 49800.0 426300.0 47850.0 427500.0 ; - RECT 48450.0 421500.0 50250.0 422700.0 ; - RECT 39150.0 421500.0 35550.0 422700.0 ; - RECT 48450.0 424200.0 39150.0 425100.0 ; - RECT 39150.0 421500.0 37950.0 422700.0 ; - RECT 39150.0 423900.0 37950.0 425100.0 ; - RECT 39150.0 423900.0 37950.0 425100.0 ; - RECT 39150.0 421500.0 37950.0 422700.0 ; - RECT 48450.0 421500.0 47250.0 422700.0 ; - RECT 48450.0 423900.0 47250.0 425100.0 ; - RECT 48450.0 423900.0 47250.0 425100.0 ; - RECT 48450.0 421500.0 47250.0 422700.0 ; - RECT 38550.0 426300.0 37350.0 427500.0 ; - RECT 48450.0 426300.0 47250.0 427500.0 ; - RECT 43800.0 422100.0 42600.0 423300.0 ; - RECT 43800.0 422100.0 42600.0 423300.0 ; - RECT 43650.0 424650.0 42750.0 425550.0 ; - RECT 36450.0 419700.0 35550.0 429300.0 ; - RECT 50250.0 419700.0 49350.0 429300.0 ; - RECT 42600.0 422100.0 43800.0 423300.0 ; - RECT 34050.0 422700.0 36000.0 421500.0 ; - RECT 22200.0 422700.0 24150.0 421500.0 ; - RECT 23550.0 427500.0 21750.0 426300.0 ; - RECT 32850.0 427500.0 36450.0 426300.0 ; - RECT 23550.0 424800.0 32850.0 423900.0 ; - RECT 32850.0 427500.0 34050.0 426300.0 ; - RECT 32850.0 425100.0 34050.0 423900.0 ; - RECT 32850.0 425100.0 34050.0 423900.0 ; - RECT 32850.0 427500.0 34050.0 426300.0 ; - RECT 23550.0 427500.0 24750.0 426300.0 ; - RECT 23550.0 425100.0 24750.0 423900.0 ; - RECT 23550.0 425100.0 24750.0 423900.0 ; - RECT 23550.0 427500.0 24750.0 426300.0 ; - RECT 33450.0 422700.0 34650.0 421500.0 ; - RECT 23550.0 422700.0 24750.0 421500.0 ; - RECT 28200.0 426900.0 29400.0 425700.0 ; - RECT 28200.0 426900.0 29400.0 425700.0 ; - RECT 28350.0 424350.0 29250.0 423450.0 ; - RECT 35550.0 429300.0 36450.0 419700.0 ; - RECT 21750.0 429300.0 22650.0 419700.0 ; - RECT 28200.0 425700.0 29400.0 426900.0 ; - RECT 34050.0 413100.0 36000.0 411900.0 ; - RECT 22200.0 413100.0 24150.0 411900.0 ; - RECT 23550.0 417900.0 21750.0 416700.0 ; - RECT 32850.0 417900.0 36450.0 416700.0 ; - RECT 23550.0 415200.0 32850.0 414300.0 ; - RECT 32850.0 417900.0 34050.0 416700.0 ; - RECT 32850.0 415500.0 34050.0 414300.0 ; - RECT 32850.0 415500.0 34050.0 414300.0 ; - RECT 32850.0 417900.0 34050.0 416700.0 ; - RECT 23550.0 417900.0 24750.0 416700.0 ; - RECT 23550.0 415500.0 24750.0 414300.0 ; - RECT 23550.0 415500.0 24750.0 414300.0 ; - RECT 23550.0 417900.0 24750.0 416700.0 ; - RECT 33450.0 413100.0 34650.0 411900.0 ; - RECT 23550.0 413100.0 24750.0 411900.0 ; - RECT 28200.0 417300.0 29400.0 416100.0 ; - RECT 28200.0 417300.0 29400.0 416100.0 ; - RECT 28350.0 414750.0 29250.0 413850.0 ; - RECT 35550.0 419700.0 36450.0 410100.0 ; - RECT 21750.0 419700.0 22650.0 410100.0 ; - RECT 28200.0 416100.0 29400.0 417300.0 ; - RECT 42600.0 414900.0 43800.0 416100.0 ; - RECT 42600.0 424500.0 43800.0 425700.0 ; - RECT 28200.0 423300.0 29400.0 424500.0 ; - RECT 42600.0 412500.0 43800.0 413700.0 ; - RECT 28350.0 410100.0 29250.0 413850.0 ; - RECT 35550.0 410100.0 36450.0 429300.0 ; - RECT 21750.0 410100.0 22650.0 429300.0 ; - RECT 49350.0 410100.0 50250.0 429300.0 ; - RECT 16800.0 395100.0 6600.0 381300.0 ; - RECT 16800.0 395100.0 6600.0 408900.0 ; - RECT 16800.0 422700.0 6600.0 408900.0 ; - RECT 17400.0 396600.0 6000.0 397800.0 ; - RECT 17400.0 420000.0 6000.0 421200.0 ; - RECT 17400.0 408300.0 6000.0 409200.0 ; - RECT 22650.0 396600.0 21450.0 397800.0 ; - RECT 22650.0 420000.0 21450.0 421200.0 ; - RECT 22650.0 410100.0 21450.0 411300.0 ; - RECT 22650.0 370500.0 21450.0 371700.0 ; - RECT 21600.0 425400.0 22800.0 426600.0 ; - RECT 16200.0 425400.0 17400.0 426600.0 ; - RECT 29400.0 396900.0 28200.0 398100.0 ; - RECT 19350.0 383400.0 20550.0 384600.0 ; - RECT 19350.0 375300.0 20550.0 376500.0 ; - RECT 12600.0 375300.0 13800.0 376500.0 ; - RECT 43800.0 362100.0 42900.0 412500.0 ; - RECT 29250.0 362100.0 28350.0 375450.0 ; - RECT 4500.0 362100.0 3600.0 423150.0 ; - RECT 36450.0 362100.0 35550.0 410100.0 ; - RECT 22650.0 362100.0 21750.0 381300.0 ; - RECT 50250.0 362100.0 49350.0 410100.0 ; - RECT 43950.0 285450.0 42750.0 284250.0 ; - RECT 43950.0 244500.0 42750.0 243300.0 ; - RECT 33900.0 205650.0 32700.0 204450.0 ; - RECT 29850.0 285450.0 28650.0 284250.0 ; - RECT 27150.0 290850.0 25950.0 289650.0 ; - RECT 30600.0 328200.0 29400.0 327000.0 ; - RECT 27900.0 331200.0 26700.0 330000.0 ; - RECT 41850.0 304350.0 40650.0 303150.0 ; - RECT 43800.0 301650.0 42600.0 300450.0 ; - RECT 45750.0 293550.0 44550.0 292350.0 ; - RECT 14250.0 304350.0 13050.0 303150.0 ; - RECT 16200.0 293550.0 15000.0 292350.0 ; - RECT 18150.0 296250.0 16950.0 295050.0 ; - RECT 29850.0 322500.0 28650.0 323700.0 ; - RECT 30600.0 339600.0 29400.0 340800.0 ; - RECT 16200.0 362100.0 15000.0 363300.0 ; - RECT 29400.0 342300.0 28200.0 343500.0 ; - RECT 50400.0 288150.0 49200.0 286950.0 ; - RECT 36600.0 298950.0 35400.0 297750.0 ; - RECT 22800.0 288150.0 21600.0 286950.0 ; - RECT 9000.0 298950.0 7800.0 297750.0 ; - RECT 43800.0 202200.0 42600.0 205800.0 ; - RECT 36450.0 202200.0 35550.0 203100.0 ; - RECT 50250.0 202200.0 49350.0 203100.0 ; - RECT 55650.0 297750.0 54450.0 298950.0 ; + RECT 152550.0 193200.0 151350.0 194400.0 ; + RECT 152550.0 95100.0 151350.0 96300.0 ; + RECT 198600.0 0.0 203100.0 440700.0 ; + RECT 52800.0 0.0 57300.0 440700.0 ; + RECT 43650.0 207900.0 42750.0 217500.0 ; + RECT 43800.0 224100.0 42900.0 225000.0 ; + RECT 43350.0 224100.0 43200.0 225000.0 ; + RECT 43800.0 224550.0 42900.0 231900.0 ; + RECT 43800.0 243750.0 42900.0 251100.0 ; + RECT 35550.0 258900.0 30600.0 259800.0 ; + RECT 43650.0 207450.0 42750.0 208350.0 ; + RECT 43650.0 224100.0 42750.0 225000.0 ; + RECT 29250.0 362400.0 28350.0 375750.0 ; + RECT 43800.0 273000.0 42900.0 285150.0 ; + RECT 33300.0 204900.0 30600.0 205800.0 ; + RECT 29700.0 285150.0 28800.0 312000.0 ; + RECT 27000.0 290550.0 26100.0 315000.0 ; + RECT 41700.0 304050.0 40800.0 312600.0 ; + RECT 43650.0 301350.0 42750.0 315000.0 ; + RECT 45600.0 293250.0 44700.0 317400.0 ; + RECT 41700.0 327150.0 40800.0 328050.0 ; + RECT 41700.0 318600.0 40800.0 327600.0 ; + RECT 43200.0 327150.0 41250.0 328050.0 ; + RECT 43800.0 329550.0 42900.0 330450.0 ; + RECT 43350.0 329550.0 43200.0 330450.0 ; + RECT 43800.0 330000.0 42900.0 387600.0 ; + RECT 14100.0 304050.0 13200.0 322200.0 ; + RECT 16050.0 293250.0 15150.0 324600.0 ; + RECT 18000.0 295950.0 17100.0 327000.0 ; + RECT 14100.0 336750.0 13200.0 337650.0 ; + RECT 14100.0 328200.0 13200.0 337200.0 ; + RECT 15600.0 336750.0 13650.0 337650.0 ; + RECT 16050.0 339600.0 15150.0 346800.0 ; + RECT 16050.0 349200.0 15150.0 356400.0 ; + RECT 29250.0 361950.0 28350.0 362850.0 ; + RECT 28800.0 361950.0 28350.0 362850.0 ; + RECT 29250.0 360000.0 28350.0 362400.0 ; + RECT 29250.0 349800.0 28350.0 357000.0 ; + RECT 29700.0 317100.0 28800.0 323400.0 ; + RECT 30450.0 333300.0 29550.0 340500.0 ; + RECT 16050.0 358800.0 15150.0 363000.0 ; + RECT 29250.0 343200.0 28350.0 347400.0 ; + RECT 50250.0 202500.0 49350.0 362400.0 ; + RECT 50250.0 287850.0 49350.0 309000.0 ; + RECT 36450.0 202500.0 35550.0 362400.0 ; + RECT 36450.0 298650.0 35550.0 309000.0 ; + RECT 22650.0 309000.0 21750.0 362400.0 ; + RECT 22650.0 287850.0 21750.0 309000.0 ; + RECT 8850.0 309000.0 7950.0 362400.0 ; + RECT 8850.0 298650.0 7950.0 309000.0 ; + RECT 8850.0 361950.0 7950.0 362850.0 ; + RECT 8850.0 360300.0 7950.0 362400.0 ; + RECT 8400.0 361950.0 3600.0 362850.0 ; + RECT 7.1054273576e-12 202500.0 10200.0 262500.0 ; + RECT 20400.0 202500.0 10200.0 262500.0 ; + RECT 20400.0 202500.0 30600.0 262500.0 ; + RECT 7.1054273576e-12 204900.0 30600.0 205800.0 ; + RECT 1.42108547152e-11 258900.0 30600.0 259800.0 ; + RECT 37950.0 211500.0 36000.0 212700.0 ; + RECT 49800.0 211500.0 47850.0 212700.0 ; + RECT 48450.0 207000.0 39150.0 207900.0 ; + RECT 38550.0 204450.0 36600.0 205350.0 ; + RECT 38550.0 209250.0 36600.0 210150.0 ; + RECT 39150.0 204300.0 37950.0 205500.0 ; + RECT 39150.0 209100.0 37950.0 210300.0 ; + RECT 39150.0 206700.0 37950.0 207900.0 ; + RECT 39150.0 206700.0 37950.0 207900.0 ; + RECT 37050.0 204450.0 36150.0 210150.0 ; + RECT 49800.0 204450.0 47850.0 205350.0 ; + RECT 49800.0 209250.0 47850.0 210150.0 ; + RECT 48450.0 204300.0 47250.0 205500.0 ; + RECT 48450.0 209100.0 47250.0 210300.0 ; + RECT 48450.0 206700.0 47250.0 207900.0 ; + RECT 48450.0 206700.0 47250.0 207900.0 ; + RECT 50250.0 204450.0 49350.0 210150.0 ; + RECT 38550.0 211500.0 37350.0 212700.0 ; + RECT 48450.0 211500.0 47250.0 212700.0 ; + RECT 43800.0 204900.0 42600.0 206100.0 ; + RECT 43800.0 204900.0 42600.0 206100.0 ; + RECT 43650.0 207450.0 42750.0 208350.0 ; + RECT 36450.0 202500.0 35550.0 214500.0 ; + RECT 50250.0 202500.0 49350.0 214500.0 ; + RECT 37950.0 225900.0 36000.0 227100.0 ; + RECT 49800.0 225900.0 47850.0 227100.0 ; + RECT 37350.0 216450.0 35550.0 222150.0 ; + RECT 46050.0 223650.0 41250.0 224550.0 ; + RECT 38850.0 216450.0 36900.0 217350.0 ; + RECT 38850.0 221250.0 36900.0 222150.0 ; + RECT 40800.0 218850.0 38850.0 219750.0 ; + RECT 40800.0 223650.0 38850.0 224550.0 ; + RECT 39450.0 216300.0 38250.0 217500.0 ; + RECT 39450.0 221100.0 38250.0 222300.0 ; + RECT 39450.0 218700.0 38250.0 219900.0 ; + RECT 39450.0 223500.0 38250.0 224700.0 ; + RECT 41250.0 218850.0 40350.0 224550.0 ; + RECT 37350.0 216450.0 36450.0 222150.0 ; + RECT 49500.0 216450.0 47550.0 217350.0 ; + RECT 49500.0 221250.0 47550.0 222150.0 ; + RECT 47550.0 218850.0 45600.0 219750.0 ; + RECT 47550.0 223650.0 45600.0 224550.0 ; + RECT 48150.0 216300.0 46950.0 217500.0 ; + RECT 48150.0 221100.0 46950.0 222300.0 ; + RECT 48150.0 218700.0 46950.0 219900.0 ; + RECT 48150.0 223500.0 46950.0 224700.0 ; + RECT 46050.0 218850.0 45150.0 224550.0 ; + RECT 49950.0 216450.0 49050.0 222150.0 ; + RECT 38550.0 225900.0 37350.0 227100.0 ; + RECT 48450.0 225900.0 47250.0 227100.0 ; + RECT 43800.0 216900.0 42600.0 218100.0 ; + RECT 43800.0 216900.0 42600.0 218100.0 ; + RECT 43650.0 224100.0 42750.0 225000.0 ; + RECT 36450.0 214500.0 35550.0 228900.0 ; + RECT 50250.0 214500.0 49350.0 228900.0 ; + RECT 37950.0 245100.0 36000.0 246300.0 ; + RECT 49800.0 245100.0 47850.0 246300.0 ; + RECT 37800.0 230850.0 35550.0 241350.0 ; + RECT 45900.0 242850.0 41700.0 243750.0 ; + RECT 39300.0 230850.0 37350.0 231750.0 ; + RECT 39300.0 235650.0 37350.0 236550.0 ; + RECT 39300.0 240450.0 37350.0 241350.0 ; + RECT 41250.0 233250.0 39300.0 234150.0 ; + RECT 41250.0 238050.0 39300.0 238950.0 ; + RECT 41250.0 242850.0 39300.0 243750.0 ; + RECT 39900.0 230700.0 38700.0 231900.0 ; + RECT 39900.0 235500.0 38700.0 236700.0 ; + RECT 39900.0 240300.0 38700.0 241500.0 ; + RECT 39900.0 233100.0 38700.0 234300.0 ; + RECT 39900.0 237900.0 38700.0 239100.0 ; + RECT 39900.0 242700.0 38700.0 243900.0 ; + RECT 41700.0 233250.0 40800.0 243750.0 ; + RECT 37800.0 230850.0 36900.0 241350.0 ; + RECT 49350.0 230850.0 47400.0 231750.0 ; + RECT 49350.0 235650.0 47400.0 236550.0 ; + RECT 49350.0 240450.0 47400.0 241350.0 ; + RECT 47400.0 233250.0 45450.0 234150.0 ; + RECT 47400.0 238050.0 45450.0 238950.0 ; + RECT 47400.0 242850.0 45450.0 243750.0 ; + RECT 48000.0 230700.0 46800.0 231900.0 ; + RECT 48000.0 235500.0 46800.0 236700.0 ; + RECT 48000.0 240300.0 46800.0 241500.0 ; + RECT 48000.0 233100.0 46800.0 234300.0 ; + RECT 48000.0 237900.0 46800.0 239100.0 ; + RECT 48000.0 242700.0 46800.0 243900.0 ; + RECT 45900.0 233250.0 45000.0 243750.0 ; + RECT 49800.0 230850.0 48900.0 241350.0 ; + RECT 38550.0 245100.0 37350.0 246300.0 ; + RECT 48450.0 245100.0 47250.0 246300.0 ; + RECT 43950.0 231300.0 42750.0 232500.0 ; + RECT 43950.0 231300.0 42750.0 232500.0 ; + RECT 43800.0 243300.0 42900.0 244200.0 ; + RECT 36450.0 228900.0 35550.0 248100.0 ; + RECT 50250.0 228900.0 49350.0 248100.0 ; + RECT 37950.0 276300.0 36000.0 277500.0 ; + RECT 49800.0 276300.0 47850.0 277500.0 ; + RECT 37800.0 250050.0 35550.0 274950.0 ; + RECT 45900.0 271650.0 41700.0 272550.0 ; + RECT 39300.0 250050.0 37350.0 250950.0 ; + RECT 39300.0 254850.0 37350.0 255750.0 ; + RECT 39300.0 259650.0 37350.0 260550.0 ; + RECT 39300.0 264450.0 37350.0 265350.0 ; + RECT 39300.0 269250.0 37350.0 270150.0 ; + RECT 39300.0 274050.0 37350.0 274950.0 ; + RECT 41250.0 252450.0 39300.0 253350.0 ; + RECT 41250.0 257250.0 39300.0 258150.0 ; + RECT 41250.0 262050.0 39300.0 262950.0 ; + RECT 41250.0 266850.0 39300.0 267750.0 ; + RECT 41250.0 271650.0 39300.0 272550.0 ; + RECT 39900.0 249900.0 38700.0 251100.0 ; + RECT 39900.0 254700.0 38700.0 255900.0 ; + RECT 39900.0 259500.0 38700.0 260700.0 ; + RECT 39900.0 264300.0 38700.0 265500.0 ; + RECT 39900.0 269100.0 38700.0 270300.0 ; + RECT 39900.0 273900.0 38700.0 275100.0 ; + RECT 39900.0 252300.0 38700.0 253500.0 ; + RECT 39900.0 257100.0 38700.0 258300.0 ; + RECT 39900.0 261900.0 38700.0 263100.0 ; + RECT 39900.0 266700.0 38700.0 267900.0 ; + RECT 39900.0 271500.0 38700.0 272700.0 ; + RECT 41700.0 252450.0 40800.0 272550.0 ; + RECT 37800.0 250050.0 36900.0 274950.0 ; + RECT 49350.0 250050.0 47400.0 250950.0 ; + RECT 49350.0 254850.0 47400.0 255750.0 ; + RECT 49350.0 259650.0 47400.0 260550.0 ; + RECT 49350.0 264450.0 47400.0 265350.0 ; + RECT 49350.0 269250.0 47400.0 270150.0 ; + RECT 49350.0 274050.0 47400.0 274950.0 ; + RECT 47400.0 252450.0 45450.0 253350.0 ; + RECT 47400.0 257250.0 45450.0 258150.0 ; + RECT 47400.0 262050.0 45450.0 262950.0 ; + RECT 47400.0 266850.0 45450.0 267750.0 ; + RECT 47400.0 271650.0 45450.0 272550.0 ; + RECT 48000.0 249900.0 46800.0 251100.0 ; + RECT 48000.0 254700.0 46800.0 255900.0 ; + RECT 48000.0 259500.0 46800.0 260700.0 ; + RECT 48000.0 264300.0 46800.0 265500.0 ; + RECT 48000.0 269100.0 46800.0 270300.0 ; + RECT 48000.0 273900.0 46800.0 275100.0 ; + RECT 48000.0 252300.0 46800.0 253500.0 ; + RECT 48000.0 257100.0 46800.0 258300.0 ; + RECT 48000.0 261900.0 46800.0 263100.0 ; + RECT 48000.0 266700.0 46800.0 267900.0 ; + RECT 48000.0 271500.0 46800.0 272700.0 ; + RECT 45900.0 252450.0 45000.0 272550.0 ; + RECT 49800.0 250050.0 48900.0 274950.0 ; + RECT 38550.0 276300.0 37350.0 277500.0 ; + RECT 48450.0 276300.0 47250.0 277500.0 ; + RECT 43950.0 250500.0 42750.0 251700.0 ; + RECT 43950.0 250500.0 42750.0 251700.0 ; + RECT 43800.0 272100.0 42900.0 273000.0 ; + RECT 36450.0 248100.0 35550.0 279300.0 ; + RECT 50250.0 248100.0 49350.0 279300.0 ; + RECT 47850.0 310800.0 50250.0 312000.0 ; + RECT 39150.0 310800.0 35550.0 312000.0 ; + RECT 39150.0 315600.0 35550.0 316800.0 ; + RECT 37950.0 320400.0 36000.0 321600.0 ; + RECT 49800.0 320400.0 47850.0 321600.0 ; + RECT 39150.0 310800.0 37950.0 312000.0 ; + RECT 39150.0 313200.0 37950.0 314400.0 ; + RECT 39150.0 313200.0 37950.0 314400.0 ; + RECT 39150.0 310800.0 37950.0 312000.0 ; + RECT 39150.0 313200.0 37950.0 314400.0 ; + RECT 39150.0 315600.0 37950.0 316800.0 ; + RECT 39150.0 315600.0 37950.0 316800.0 ; + RECT 39150.0 313200.0 37950.0 314400.0 ; + RECT 39150.0 315600.0 37950.0 316800.0 ; + RECT 39150.0 318000.0 37950.0 319200.0 ; + RECT 39150.0 318000.0 37950.0 319200.0 ; + RECT 39150.0 315600.0 37950.0 316800.0 ; + RECT 47850.0 310800.0 46650.0 312000.0 ; + RECT 47850.0 313200.0 46650.0 314400.0 ; + RECT 47850.0 313200.0 46650.0 314400.0 ; + RECT 47850.0 310800.0 46650.0 312000.0 ; + RECT 47850.0 313200.0 46650.0 314400.0 ; + RECT 47850.0 315600.0 46650.0 316800.0 ; + RECT 47850.0 315600.0 46650.0 316800.0 ; + RECT 47850.0 313200.0 46650.0 314400.0 ; + RECT 47850.0 315600.0 46650.0 316800.0 ; + RECT 47850.0 318000.0 46650.0 319200.0 ; + RECT 47850.0 318000.0 46650.0 319200.0 ; + RECT 47850.0 315600.0 46650.0 316800.0 ; + RECT 38550.0 320400.0 37350.0 321600.0 ; + RECT 48450.0 320400.0 47250.0 321600.0 ; + RECT 45750.0 318000.0 44550.0 316800.0 ; + RECT 43800.0 315600.0 42600.0 314400.0 ; + RECT 41850.0 313200.0 40650.0 312000.0 ; + RECT 39150.0 313200.0 37950.0 314400.0 ; + RECT 39150.0 318000.0 37950.0 319200.0 ; + RECT 47850.0 318000.0 46650.0 319200.0 ; + RECT 41850.0 318000.0 40650.0 319200.0 ; + RECT 41850.0 312000.0 40650.0 313200.0 ; + RECT 43800.0 314400.0 42600.0 315600.0 ; + RECT 45750.0 316800.0 44550.0 318000.0 ; + RECT 41850.0 318000.0 40650.0 319200.0 ; + RECT 36450.0 309000.0 35550.0 324600.0 ; + RECT 50250.0 309000.0 49350.0 324600.0 ; + RECT 37950.0 331200.0 36000.0 332400.0 ; + RECT 49800.0 331200.0 47850.0 332400.0 ; + RECT 48450.0 326400.0 50250.0 327600.0 ; + RECT 39150.0 326400.0 35550.0 327600.0 ; + RECT 48450.0 329100.0 39150.0 330000.0 ; + RECT 39150.0 326400.0 37950.0 327600.0 ; + RECT 39150.0 328800.0 37950.0 330000.0 ; + RECT 39150.0 328800.0 37950.0 330000.0 ; + RECT 39150.0 326400.0 37950.0 327600.0 ; + RECT 48450.0 326400.0 47250.0 327600.0 ; + RECT 48450.0 328800.0 47250.0 330000.0 ; + RECT 48450.0 328800.0 47250.0 330000.0 ; + RECT 48450.0 326400.0 47250.0 327600.0 ; + RECT 38550.0 331200.0 37350.0 332400.0 ; + RECT 48450.0 331200.0 47250.0 332400.0 ; + RECT 43800.0 327000.0 42600.0 328200.0 ; + RECT 43800.0 327000.0 42600.0 328200.0 ; + RECT 43650.0 329550.0 42750.0 330450.0 ; + RECT 36450.0 324600.0 35550.0 334200.0 ; + RECT 50250.0 324600.0 49350.0 334200.0 ; + RECT 23550.0 310800.0 21750.0 312000.0 ; + RECT 23550.0 315600.0 21750.0 316800.0 ; + RECT 32250.0 310800.0 36450.0 312000.0 ; + RECT 34050.0 318000.0 36000.0 319200.0 ; + RECT 22200.0 318000.0 24150.0 319200.0 ; + RECT 32250.0 310800.0 33450.0 312000.0 ; + RECT 32250.0 313200.0 33450.0 314400.0 ; + RECT 32250.0 313200.0 33450.0 314400.0 ; + RECT 32250.0 310800.0 33450.0 312000.0 ; + RECT 32250.0 313200.0 33450.0 314400.0 ; + RECT 32250.0 315600.0 33450.0 316800.0 ; + RECT 32250.0 315600.0 33450.0 316800.0 ; + RECT 32250.0 313200.0 33450.0 314400.0 ; + RECT 23550.0 310800.0 24750.0 312000.0 ; + RECT 23550.0 313200.0 24750.0 314400.0 ; + RECT 23550.0 313200.0 24750.0 314400.0 ; + RECT 23550.0 310800.0 24750.0 312000.0 ; + RECT 23550.0 313200.0 24750.0 314400.0 ; + RECT 23550.0 315600.0 24750.0 316800.0 ; + RECT 23550.0 315600.0 24750.0 316800.0 ; + RECT 23550.0 313200.0 24750.0 314400.0 ; + RECT 33450.0 318000.0 34650.0 319200.0 ; + RECT 23550.0 318000.0 24750.0 319200.0 ; + RECT 25950.0 315600.0 27150.0 314400.0 ; + RECT 28650.0 312600.0 29850.0 311400.0 ; + RECT 32250.0 315600.0 33450.0 316800.0 ; + RECT 23550.0 314400.0 24750.0 313200.0 ; + RECT 28650.0 317700.0 29850.0 316500.0 ; + RECT 28650.0 311400.0 29850.0 312600.0 ; + RECT 25950.0 314400.0 27150.0 315600.0 ; + RECT 28650.0 316500.0 29850.0 317700.0 ; + RECT 35550.0 309000.0 36450.0 323400.0 ; + RECT 21750.0 309000.0 22650.0 323400.0 ; + RECT 24150.0 327900.0 21750.0 329100.0 ; + RECT 32850.0 327900.0 36450.0 329100.0 ; + RECT 32850.0 332700.0 36450.0 333900.0 ; + RECT 34050.0 335100.0 36000.0 336300.0 ; + RECT 22200.0 335100.0 24150.0 336300.0 ; + RECT 32850.0 327900.0 34050.0 329100.0 ; + RECT 32850.0 330300.0 34050.0 331500.0 ; + RECT 32850.0 330300.0 34050.0 331500.0 ; + RECT 32850.0 327900.0 34050.0 329100.0 ; + RECT 32850.0 330300.0 34050.0 331500.0 ; + RECT 32850.0 332700.0 34050.0 333900.0 ; + RECT 32850.0 332700.0 34050.0 333900.0 ; + RECT 32850.0 330300.0 34050.0 331500.0 ; + RECT 24150.0 327900.0 25350.0 329100.0 ; + RECT 24150.0 330300.0 25350.0 331500.0 ; + RECT 24150.0 330300.0 25350.0 331500.0 ; + RECT 24150.0 327900.0 25350.0 329100.0 ; + RECT 24150.0 330300.0 25350.0 331500.0 ; + RECT 24150.0 332700.0 25350.0 333900.0 ; + RECT 24150.0 332700.0 25350.0 333900.0 ; + RECT 24150.0 330300.0 25350.0 331500.0 ; + RECT 33450.0 335100.0 34650.0 336300.0 ; + RECT 23550.0 335100.0 24750.0 336300.0 ; + RECT 26700.0 332700.0 27900.0 331500.0 ; + RECT 29400.0 329700.0 30600.0 328500.0 ; + RECT 32850.0 330300.0 34050.0 331500.0 ; + RECT 24150.0 332700.0 25350.0 333900.0 ; + RECT 29400.0 333900.0 30600.0 332700.0 ; + RECT 29400.0 328500.0 30600.0 329700.0 ; + RECT 26700.0 331500.0 27900.0 332700.0 ; + RECT 29400.0 332700.0 30600.0 333900.0 ; + RECT 35550.0 326100.0 36450.0 340500.0 ; + RECT 21750.0 326100.0 22650.0 340500.0 ; + RECT 34050.0 346200.0 36000.0 345000.0 ; + RECT 22200.0 346200.0 24150.0 345000.0 ; + RECT 23550.0 351000.0 21750.0 349800.0 ; + RECT 32850.0 351000.0 36450.0 349800.0 ; + RECT 23550.0 348300.0 32850.0 347400.0 ; + RECT 32850.0 351000.0 34050.0 349800.0 ; + RECT 32850.0 348600.0 34050.0 347400.0 ; + RECT 32850.0 348600.0 34050.0 347400.0 ; + RECT 32850.0 351000.0 34050.0 349800.0 ; + RECT 23550.0 351000.0 24750.0 349800.0 ; + RECT 23550.0 348600.0 24750.0 347400.0 ; + RECT 23550.0 348600.0 24750.0 347400.0 ; + RECT 23550.0 351000.0 24750.0 349800.0 ; + RECT 33450.0 346200.0 34650.0 345000.0 ; + RECT 23550.0 346200.0 24750.0 345000.0 ; + RECT 28200.0 350400.0 29400.0 349200.0 ; + RECT 28200.0 350400.0 29400.0 349200.0 ; + RECT 28350.0 347850.0 29250.0 346950.0 ; + RECT 35550.0 352800.0 36450.0 343200.0 ; + RECT 21750.0 352800.0 22650.0 343200.0 ; + RECT 34050.0 355800.0 36000.0 354600.0 ; + RECT 22200.0 355800.0 24150.0 354600.0 ; + RECT 23550.0 360600.0 21750.0 359400.0 ; + RECT 32850.0 360600.0 36450.0 359400.0 ; + RECT 23550.0 357900.0 32850.0 357000.0 ; + RECT 32850.0 360600.0 34050.0 359400.0 ; + RECT 32850.0 358200.0 34050.0 357000.0 ; + RECT 32850.0 358200.0 34050.0 357000.0 ; + RECT 32850.0 360600.0 34050.0 359400.0 ; + RECT 23550.0 360600.0 24750.0 359400.0 ; + RECT 23550.0 358200.0 24750.0 357000.0 ; + RECT 23550.0 358200.0 24750.0 357000.0 ; + RECT 23550.0 360600.0 24750.0 359400.0 ; + RECT 33450.0 355800.0 34650.0 354600.0 ; + RECT 23550.0 355800.0 24750.0 354600.0 ; + RECT 28200.0 360000.0 29400.0 358800.0 ; + RECT 28200.0 360000.0 29400.0 358800.0 ; + RECT 28350.0 357450.0 29250.0 356550.0 ; + RECT 35550.0 362400.0 36450.0 352800.0 ; + RECT 21750.0 362400.0 22650.0 352800.0 ; + RECT 20250.0 320400.0 22650.0 321600.0 ; + RECT 11550.0 320400.0 7950.0 321600.0 ; + RECT 11550.0 325200.0 7950.0 326400.0 ; + RECT 10350.0 330000.0 8400.0 331200.0 ; + RECT 22200.0 330000.0 20250.0 331200.0 ; + RECT 11550.0 320400.0 10350.0 321600.0 ; + RECT 11550.0 322800.0 10350.0 324000.0 ; + RECT 11550.0 322800.0 10350.0 324000.0 ; + RECT 11550.0 320400.0 10350.0 321600.0 ; + RECT 11550.0 322800.0 10350.0 324000.0 ; + RECT 11550.0 325200.0 10350.0 326400.0 ; + RECT 11550.0 325200.0 10350.0 326400.0 ; + RECT 11550.0 322800.0 10350.0 324000.0 ; + RECT 11550.0 325200.0 10350.0 326400.0 ; + RECT 11550.0 327600.0 10350.0 328800.0 ; + RECT 11550.0 327600.0 10350.0 328800.0 ; + RECT 11550.0 325200.0 10350.0 326400.0 ; + RECT 20250.0 320400.0 19050.0 321600.0 ; + RECT 20250.0 322800.0 19050.0 324000.0 ; + RECT 20250.0 322800.0 19050.0 324000.0 ; + RECT 20250.0 320400.0 19050.0 321600.0 ; + RECT 20250.0 322800.0 19050.0 324000.0 ; + RECT 20250.0 325200.0 19050.0 326400.0 ; + RECT 20250.0 325200.0 19050.0 326400.0 ; + RECT 20250.0 322800.0 19050.0 324000.0 ; + RECT 20250.0 325200.0 19050.0 326400.0 ; + RECT 20250.0 327600.0 19050.0 328800.0 ; + RECT 20250.0 327600.0 19050.0 328800.0 ; + RECT 20250.0 325200.0 19050.0 326400.0 ; + RECT 10950.0 330000.0 9750.0 331200.0 ; + RECT 20850.0 330000.0 19650.0 331200.0 ; + RECT 18150.0 327600.0 16950.0 326400.0 ; + RECT 16200.0 325200.0 15000.0 324000.0 ; + RECT 14250.0 322800.0 13050.0 321600.0 ; + RECT 11550.0 322800.0 10350.0 324000.0 ; + RECT 11550.0 327600.0 10350.0 328800.0 ; + RECT 20250.0 327600.0 19050.0 328800.0 ; + RECT 14250.0 327600.0 13050.0 328800.0 ; + RECT 14250.0 321600.0 13050.0 322800.0 ; + RECT 16200.0 324000.0 15000.0 325200.0 ; + RECT 18150.0 326400.0 16950.0 327600.0 ; + RECT 14250.0 327600.0 13050.0 328800.0 ; + RECT 8850.0 318600.0 7950.0 334200.0 ; + RECT 22650.0 318600.0 21750.0 334200.0 ; + RECT 10350.0 340800.0 8400.0 342000.0 ; + RECT 22200.0 340800.0 20250.0 342000.0 ; + RECT 20850.0 336000.0 22650.0 337200.0 ; + RECT 11550.0 336000.0 7950.0 337200.0 ; + RECT 20850.0 338700.0 11550.0 339600.0 ; + RECT 11550.0 336000.0 10350.0 337200.0 ; + RECT 11550.0 338400.0 10350.0 339600.0 ; + RECT 11550.0 338400.0 10350.0 339600.0 ; + RECT 11550.0 336000.0 10350.0 337200.0 ; + RECT 20850.0 336000.0 19650.0 337200.0 ; + RECT 20850.0 338400.0 19650.0 339600.0 ; + RECT 20850.0 338400.0 19650.0 339600.0 ; + RECT 20850.0 336000.0 19650.0 337200.0 ; + RECT 10950.0 340800.0 9750.0 342000.0 ; + RECT 20850.0 340800.0 19650.0 342000.0 ; + RECT 16200.0 336600.0 15000.0 337800.0 ; + RECT 16200.0 336600.0 15000.0 337800.0 ; + RECT 16050.0 339150.0 15150.0 340050.0 ; + RECT 8850.0 334200.0 7950.0 343800.0 ; + RECT 22650.0 334200.0 21750.0 343800.0 ; + RECT 10350.0 350400.0 8400.0 351600.0 ; + RECT 22200.0 350400.0 20250.0 351600.0 ; + RECT 20850.0 345600.0 22650.0 346800.0 ; + RECT 11550.0 345600.0 7950.0 346800.0 ; + RECT 20850.0 348300.0 11550.0 349200.0 ; + RECT 11550.0 345600.0 10350.0 346800.0 ; + RECT 11550.0 348000.0 10350.0 349200.0 ; + RECT 11550.0 348000.0 10350.0 349200.0 ; + RECT 11550.0 345600.0 10350.0 346800.0 ; + RECT 20850.0 345600.0 19650.0 346800.0 ; + RECT 20850.0 348000.0 19650.0 349200.0 ; + RECT 20850.0 348000.0 19650.0 349200.0 ; + RECT 20850.0 345600.0 19650.0 346800.0 ; + RECT 10950.0 350400.0 9750.0 351600.0 ; + RECT 20850.0 350400.0 19650.0 351600.0 ; + RECT 16200.0 346200.0 15000.0 347400.0 ; + RECT 16200.0 346200.0 15000.0 347400.0 ; + RECT 16050.0 348750.0 15150.0 349650.0 ; + RECT 8850.0 343800.0 7950.0 353400.0 ; + RECT 22650.0 343800.0 21750.0 353400.0 ; + RECT 10350.0 360000.0 8400.0 361200.0 ; + RECT 22200.0 360000.0 20250.0 361200.0 ; + RECT 20850.0 355200.0 22650.0 356400.0 ; + RECT 11550.0 355200.0 7950.0 356400.0 ; + RECT 20850.0 357900.0 11550.0 358800.0 ; + RECT 11550.0 355200.0 10350.0 356400.0 ; + RECT 11550.0 357600.0 10350.0 358800.0 ; + RECT 11550.0 357600.0 10350.0 358800.0 ; + RECT 11550.0 355200.0 10350.0 356400.0 ; + RECT 20850.0 355200.0 19650.0 356400.0 ; + RECT 20850.0 357600.0 19650.0 358800.0 ; + RECT 20850.0 357600.0 19650.0 358800.0 ; + RECT 20850.0 355200.0 19650.0 356400.0 ; + RECT 10950.0 360000.0 9750.0 361200.0 ; + RECT 20850.0 360000.0 19650.0 361200.0 ; + RECT 16200.0 355800.0 15000.0 357000.0 ; + RECT 16200.0 355800.0 15000.0 357000.0 ; + RECT 16050.0 358350.0 15150.0 359250.0 ; + RECT 8850.0 353400.0 7950.0 363000.0 ; + RECT 22650.0 353400.0 21750.0 363000.0 ; + RECT 22650.0 396900.0 16800.0 397800.0 ; + RECT 22650.0 420300.0 16800.0 421200.0 ; + RECT 22200.0 427650.0 16800.0 428550.0 ; + RECT 4500.0 408600.0 16800.0 409500.0 ; + RECT 4500.0 381000.0 16800.0 381900.0 ; + RECT 29250.0 397800.0 28350.0 410400.0 ; + RECT 29250.0 392850.0 28350.0 393750.0 ; + RECT 29250.0 393300.0 28350.0 397800.0 ; + RECT 28800.0 392850.0 17400.0 393750.0 ; + RECT 36000.0 398550.0 33750.0 399450.0 ; + RECT 33600.0 383850.0 32700.0 384750.0 ; + RECT 29250.0 383850.0 28350.0 384750.0 ; + RECT 33600.0 384300.0 32700.0 396000.0 ; + RECT 33150.0 383850.0 28800.0 384750.0 ; + RECT 29250.0 379200.0 28350.0 384300.0 ; + RECT 28800.0 383850.0 19950.0 384750.0 ; + RECT 19950.0 375750.0 13200.0 376650.0 ; + RECT 29400.0 378000.0 28200.0 379200.0 ; + RECT 29250.0 410400.0 28350.0 414150.0 ; + RECT 34050.0 375000.0 36000.0 373800.0 ; + RECT 22200.0 375000.0 24150.0 373800.0 ; + RECT 23550.0 379800.0 21750.0 378600.0 ; + RECT 32850.0 379800.0 36450.0 378600.0 ; + RECT 23550.0 377100.0 32850.0 376200.0 ; + RECT 32850.0 379800.0 34050.0 378600.0 ; + RECT 32850.0 377400.0 34050.0 376200.0 ; + RECT 32850.0 377400.0 34050.0 376200.0 ; + RECT 32850.0 379800.0 34050.0 378600.0 ; + RECT 23550.0 379800.0 24750.0 378600.0 ; + RECT 23550.0 377400.0 24750.0 376200.0 ; + RECT 23550.0 377400.0 24750.0 376200.0 ; + RECT 23550.0 379800.0 24750.0 378600.0 ; + RECT 33450.0 375000.0 34650.0 373800.0 ; + RECT 23550.0 375000.0 24750.0 373800.0 ; + RECT 28200.0 379200.0 29400.0 378000.0 ; + RECT 28200.0 379200.0 29400.0 378000.0 ; + RECT 28350.0 376650.0 29250.0 375750.0 ; + RECT 35550.0 381600.0 36450.0 372000.0 ; + RECT 21750.0 381600.0 22650.0 372000.0 ; + RECT 32550.0 396000.0 33750.0 397200.0 ; + RECT 32550.0 398400.0 33750.0 399600.0 ; + RECT 32550.0 398400.0 33750.0 399600.0 ; + RECT 32550.0 396000.0 33750.0 397200.0 ; + RECT 21750.0 430950.0 22650.0 431850.0 ; + RECT 49350.0 430950.0 50250.0 431850.0 ; + RECT 21750.0 429600.0 22650.0 431400.0 ; + RECT 22200.0 430950.0 49800.0 431850.0 ; + RECT 49350.0 429600.0 50250.0 431400.0 ; + RECT 37950.0 417000.0 36000.0 418200.0 ; + RECT 49800.0 417000.0 47850.0 418200.0 ; + RECT 48450.0 412200.0 50250.0 413400.0 ; + RECT 39150.0 412200.0 35550.0 413400.0 ; + RECT 48450.0 414900.0 39150.0 415800.0 ; + RECT 39150.0 412200.0 37950.0 413400.0 ; + RECT 39150.0 414600.0 37950.0 415800.0 ; + RECT 39150.0 414600.0 37950.0 415800.0 ; + RECT 39150.0 412200.0 37950.0 413400.0 ; + RECT 48450.0 412200.0 47250.0 413400.0 ; + RECT 48450.0 414600.0 47250.0 415800.0 ; + RECT 48450.0 414600.0 47250.0 415800.0 ; + RECT 48450.0 412200.0 47250.0 413400.0 ; + RECT 38550.0 417000.0 37350.0 418200.0 ; + RECT 48450.0 417000.0 47250.0 418200.0 ; + RECT 43800.0 412800.0 42600.0 414000.0 ; + RECT 43800.0 412800.0 42600.0 414000.0 ; + RECT 43650.0 415350.0 42750.0 416250.0 ; + RECT 36450.0 410400.0 35550.0 420000.0 ; + RECT 50250.0 410400.0 49350.0 420000.0 ; + RECT 37950.0 426600.0 36000.0 427800.0 ; + RECT 49800.0 426600.0 47850.0 427800.0 ; + RECT 48450.0 421800.0 50250.0 423000.0 ; + RECT 39150.0 421800.0 35550.0 423000.0 ; + RECT 48450.0 424500.0 39150.0 425400.0 ; + RECT 39150.0 421800.0 37950.0 423000.0 ; + RECT 39150.0 424200.0 37950.0 425400.0 ; + RECT 39150.0 424200.0 37950.0 425400.0 ; + RECT 39150.0 421800.0 37950.0 423000.0 ; + RECT 48450.0 421800.0 47250.0 423000.0 ; + RECT 48450.0 424200.0 47250.0 425400.0 ; + RECT 48450.0 424200.0 47250.0 425400.0 ; + RECT 48450.0 421800.0 47250.0 423000.0 ; + RECT 38550.0 426600.0 37350.0 427800.0 ; + RECT 48450.0 426600.0 47250.0 427800.0 ; + RECT 43800.0 422400.0 42600.0 423600.0 ; + RECT 43800.0 422400.0 42600.0 423600.0 ; + RECT 43650.0 424950.0 42750.0 425850.0 ; + RECT 36450.0 420000.0 35550.0 429600.0 ; + RECT 50250.0 420000.0 49350.0 429600.0 ; + RECT 42600.0 422400.0 43800.0 423600.0 ; + RECT 34050.0 423000.0 36000.0 421800.0 ; + RECT 22200.0 423000.0 24150.0 421800.0 ; + RECT 23550.0 427800.0 21750.0 426600.0 ; + RECT 32850.0 427800.0 36450.0 426600.0 ; + RECT 23550.0 425100.0 32850.0 424200.0 ; + RECT 32850.0 427800.0 34050.0 426600.0 ; + RECT 32850.0 425400.0 34050.0 424200.0 ; + RECT 32850.0 425400.0 34050.0 424200.0 ; + RECT 32850.0 427800.0 34050.0 426600.0 ; + RECT 23550.0 427800.0 24750.0 426600.0 ; + RECT 23550.0 425400.0 24750.0 424200.0 ; + RECT 23550.0 425400.0 24750.0 424200.0 ; + RECT 23550.0 427800.0 24750.0 426600.0 ; + RECT 33450.0 423000.0 34650.0 421800.0 ; + RECT 23550.0 423000.0 24750.0 421800.0 ; + RECT 28200.0 427200.0 29400.0 426000.0 ; + RECT 28200.0 427200.0 29400.0 426000.0 ; + RECT 28350.0 424650.0 29250.0 423750.0 ; + RECT 35550.0 429600.0 36450.0 420000.0 ; + RECT 21750.0 429600.0 22650.0 420000.0 ; + RECT 28200.0 426000.0 29400.0 427200.0 ; + RECT 34050.0 413400.0 36000.0 412200.0 ; + RECT 22200.0 413400.0 24150.0 412200.0 ; + RECT 23550.0 418200.0 21750.0 417000.0 ; + RECT 32850.0 418200.0 36450.0 417000.0 ; + RECT 23550.0 415500.0 32850.0 414600.0 ; + RECT 32850.0 418200.0 34050.0 417000.0 ; + RECT 32850.0 415800.0 34050.0 414600.0 ; + RECT 32850.0 415800.0 34050.0 414600.0 ; + RECT 32850.0 418200.0 34050.0 417000.0 ; + RECT 23550.0 418200.0 24750.0 417000.0 ; + RECT 23550.0 415800.0 24750.0 414600.0 ; + RECT 23550.0 415800.0 24750.0 414600.0 ; + RECT 23550.0 418200.0 24750.0 417000.0 ; + RECT 33450.0 413400.0 34650.0 412200.0 ; + RECT 23550.0 413400.0 24750.0 412200.0 ; + RECT 28200.0 417600.0 29400.0 416400.0 ; + RECT 28200.0 417600.0 29400.0 416400.0 ; + RECT 28350.0 415050.0 29250.0 414150.0 ; + RECT 35550.0 420000.0 36450.0 410400.0 ; + RECT 21750.0 420000.0 22650.0 410400.0 ; + RECT 28200.0 416400.0 29400.0 417600.0 ; + RECT 42600.0 415200.0 43800.0 416400.0 ; + RECT 42600.0 424800.0 43800.0 426000.0 ; + RECT 28200.0 423600.0 29400.0 424800.0 ; + RECT 42600.0 412800.0 43800.0 414000.0 ; + RECT 28350.0 410400.0 29250.0 414150.0 ; + RECT 35550.0 410400.0 36450.0 429600.0 ; + RECT 21750.0 410400.0 22650.0 429600.0 ; + RECT 49350.0 410400.0 50250.0 429600.0 ; + RECT 16800.0 395400.0 6600.0 381600.0 ; + RECT 16800.0 395400.0 6600.0 409200.0 ; + RECT 16800.0 423000.0 6600.0 409200.0 ; + RECT 17400.0 396900.0 6000.0 398100.0 ; + RECT 17400.0 420300.0 6000.0 421500.0 ; + RECT 17400.0 408600.0 6000.0 409500.0 ; + RECT 22650.0 396900.0 21450.0 398100.0 ; + RECT 22650.0 420300.0 21450.0 421500.0 ; + RECT 22650.0 410400.0 21450.0 411600.0 ; + RECT 22650.0 370800.0 21450.0 372000.0 ; + RECT 21600.0 427500.0 22800.0 428700.0 ; + RECT 16200.0 427500.0 17400.0 428700.0 ; + RECT 29400.0 397200.0 28200.0 398400.0 ; + RECT 19350.0 383700.0 20550.0 384900.0 ; + RECT 19350.0 375600.0 20550.0 376800.0 ; + RECT 12600.0 375600.0 13800.0 376800.0 ; + RECT 43800.0 362400.0 42900.0 412800.0 ; + RECT 29250.0 362400.0 28350.0 375750.0 ; + RECT 4500.0 362400.0 3600.0 425250.0 ; + RECT 36450.0 362400.0 35550.0 410400.0 ; + RECT 22650.0 362400.0 21750.0 381600.0 ; + RECT 50250.0 362400.0 49350.0 410400.0 ; + RECT 43950.0 285750.0 42750.0 284550.0 ; + RECT 43950.0 244800.0 42750.0 243600.0 ; + RECT 33900.0 205950.0 32700.0 204750.0 ; + RECT 29850.0 285750.0 28650.0 284550.0 ; + RECT 27150.0 291150.0 25950.0 289950.0 ; + RECT 30600.0 328500.0 29400.0 327300.0 ; + RECT 27900.0 331500.0 26700.0 330300.0 ; + RECT 41850.0 304650.0 40650.0 303450.0 ; + RECT 43800.0 301950.0 42600.0 300750.0 ; + RECT 45750.0 293850.0 44550.0 292650.0 ; + RECT 14250.0 304650.0 13050.0 303450.0 ; + RECT 16200.0 293850.0 15000.0 292650.0 ; + RECT 18150.0 296550.0 16950.0 295350.0 ; + RECT 29850.0 322800.0 28650.0 324000.0 ; + RECT 30600.0 339900.0 29400.0 341100.0 ; + RECT 16200.0 362400.0 15000.0 363600.0 ; + RECT 29400.0 342600.0 28200.0 343800.0 ; + RECT 50400.0 288450.0 49200.0 287250.0 ; + RECT 36600.0 299250.0 35400.0 298050.0 ; + RECT 22800.0 288450.0 21600.0 287250.0 ; + RECT 9000.0 299250.0 7800.0 298050.0 ; + RECT 43800.0 202500.0 42600.0 206100.0 ; + RECT 36450.0 202500.0 35550.0 203400.0 ; + RECT 50250.0 202500.0 49350.0 203400.0 ; + RECT 55650.0 298050.0 54450.0 299250.0 ; LAYER metal2 ; - RECT 168750.0 340200.0 169650.0 342900.0 ; - RECT 166050.0 360000.0 166950.0 362700.0 ; - RECT 160650.0 320400.0 161550.0 323100.0 ; - RECT 157950.0 337500.0 158850.0 340200.0 ; - RECT 163350.0 301050.0 164250.0 303750.0 ; - RECT 155250.0 282150.0 156150.0 284850.0 ; - RECT 49800.0 297900.0 55050.0 298800.0 ; - RECT 149850.0 284850.0 150750.0 287550.0 ; - RECT 155250.0 0.0 156150.0 436800.0 ; - RECT 157950.0 0.0 158850.0 436800.0 ; - RECT 160650.0 0.0 161550.0 436800.0 ; - RECT 163350.0 0.0 164250.0 436800.0 ; - RECT 166050.0 0.0 166950.0 436800.0 ; - RECT 168750.0 0.0 169650.0 436800.0 ; - RECT 134550.0 37200.0 135450.0 199200.0 ; - RECT 137250.0 37200.0 138150.0 199200.0 ; - RECT 139950.0 37200.0 140850.0 199200.0 ; - RECT 142650.0 37200.0 143550.0 199200.0 ; - RECT 178650.0 420000.0 179550.0 421800.0 ; - RECT 181650.0 420000.0 182550.0 420600.0 ; - RECT 188850.0 420000.0 189750.0 421800.0 ; - RECT 191850.0 420000.0 192750.0 420600.0 ; + RECT 168750.0 340500.0 169650.0 343200.0 ; + RECT 166050.0 360300.0 166950.0 363000.0 ; + RECT 160650.0 320700.0 161550.0 323400.0 ; + RECT 157950.0 337800.0 158850.0 340500.0 ; + RECT 163350.0 301350.0 164250.0 304050.0 ; + RECT 155250.0 282450.0 156150.0 285150.0 ; + RECT 49800.0 298200.0 55050.0 299100.0 ; + RECT 149850.0 285150.0 150750.0 287850.0 ; + RECT 155250.0 0.0 156150.0 440700.0 ; + RECT 157950.0 0.0 158850.0 440700.0 ; + RECT 160650.0 0.0 161550.0 440700.0 ; + RECT 163350.0 0.0 164250.0 440700.0 ; + RECT 166050.0 0.0 166950.0 440700.0 ; + RECT 168750.0 0.0 169650.0 440700.0 ; + RECT 134550.0 37500.0 135450.0 199500.0 ; + RECT 137250.0 37500.0 138150.0 199500.0 ; + RECT 139950.0 37500.0 140850.0 199500.0 ; + RECT 142650.0 37500.0 143550.0 199500.0 ; + RECT 181650.0 422700.0 182550.0 423900.0 ; + RECT 191850.0 422700.0 192750.0 423900.0 ; RECT 180150.0 5850.0 181050.0 6750.0 ; RECT 177000.0 5850.0 180600.0 6750.0 ; RECT 180150.0 6300.0 181050.0 8100.0 ; RECT 190350.0 5850.0 191250.0 6750.0 ; RECT 187200.0 5850.0 190800.0 6750.0 ; RECT 190350.0 6300.0 191250.0 8100.0 ; - RECT 102600.0 420000.0 103500.0 422100.0 ; - RECT 175500.0 199200.0 185700.0 213000.0 ; - RECT 175500.0 226800.0 185700.0 213000.0 ; - RECT 175500.0 226800.0 185700.0 240600.0 ; - RECT 175500.0 254400.0 185700.0 240600.0 ; - RECT 175500.0 254400.0 185700.0 268200.0 ; - RECT 175500.0 282000.0 185700.0 268200.0 ; - RECT 175500.0 282000.0 185700.0 295800.0 ; - RECT 175500.0 309600.0 185700.0 295800.0 ; - RECT 175500.0 309600.0 185700.0 323400.0 ; - RECT 175500.0 337200.0 185700.0 323400.0 ; - RECT 175500.0 337200.0 185700.0 351000.0 ; - RECT 175500.0 364800.0 185700.0 351000.0 ; - RECT 175500.0 364800.0 185700.0 378600.0 ; - RECT 175500.0 392400.0 185700.0 378600.0 ; - RECT 175500.0 392400.0 185700.0 406200.0 ; - RECT 175500.0 420000.0 185700.0 406200.0 ; - RECT 185700.0 199200.0 195900.0 213000.0 ; - RECT 185700.0 226800.0 195900.0 213000.0 ; - RECT 185700.0 226800.0 195900.0 240600.0 ; - RECT 185700.0 254400.0 195900.0 240600.0 ; - RECT 185700.0 254400.0 195900.0 268200.0 ; - RECT 185700.0 282000.0 195900.0 268200.0 ; - RECT 185700.0 282000.0 195900.0 295800.0 ; - RECT 185700.0 309600.0 195900.0 295800.0 ; - RECT 185700.0 309600.0 195900.0 323400.0 ; - RECT 185700.0 337200.0 195900.0 323400.0 ; - RECT 185700.0 337200.0 195900.0 351000.0 ; - RECT 185700.0 364800.0 195900.0 351000.0 ; - RECT 185700.0 364800.0 195900.0 378600.0 ; - RECT 185700.0 392400.0 195900.0 378600.0 ; - RECT 185700.0 392400.0 195900.0 406200.0 ; - RECT 185700.0 420000.0 195900.0 406200.0 ; - RECT 178500.0 199800.0 179700.0 421800.0 ; - RECT 181500.0 198600.0 182700.0 420600.0 ; - RECT 188700.0 199800.0 189900.0 421800.0 ; - RECT 191700.0 198600.0 192900.0 420600.0 ; - RECT 174900.0 198600.0 176100.0 420600.0 ; - RECT 185100.0 198600.0 186300.0 420600.0 ; - RECT 195300.0 198600.0 196500.0 420600.0 ; - RECT 178500.0 422400.0 179700.0 423600.0 ; - RECT 180900.0 422400.0 182550.0 423600.0 ; - RECT 178500.0 429600.0 179700.0 430800.0 ; - RECT 181650.0 429600.0 184500.0 430800.0 ; - RECT 178500.0 422400.0 179700.0 423600.0 ; - RECT 180900.0 422400.0 182100.0 423600.0 ; - RECT 178500.0 429600.0 179700.0 430800.0 ; - RECT 183300.0 429600.0 184500.0 430800.0 ; - RECT 178650.0 420000.0 179550.0 436800.0 ; - RECT 181650.0 420000.0 182550.0 436800.0 ; - RECT 188700.0 422400.0 189900.0 423600.0 ; - RECT 191100.0 422400.0 192750.0 423600.0 ; - RECT 188700.0 429600.0 189900.0 430800.0 ; - RECT 191850.0 429600.0 194700.0 430800.0 ; - RECT 188700.0 422400.0 189900.0 423600.0 ; - RECT 191100.0 422400.0 192300.0 423600.0 ; - RECT 188700.0 429600.0 189900.0 430800.0 ; - RECT 193500.0 429600.0 194700.0 430800.0 ; - RECT 188850.0 420000.0 189750.0 436800.0 ; - RECT 191850.0 420000.0 192750.0 436800.0 ; - RECT 178650.0 420000.0 179550.0 436800.0 ; - RECT 181650.0 420000.0 182550.0 436800.0 ; - RECT 188850.0 420000.0 189750.0 436800.0 ; - RECT 191850.0 420000.0 192750.0 436800.0 ; - RECT 175500.0 150300.0 185700.0 199200.0 ; - RECT 185700.0 150300.0 195900.0 199200.0 ; - RECT 178500.0 150300.0 179700.0 163500.0 ; - RECT 181500.0 150300.0 182700.0 163500.0 ; - RECT 188700.0 150300.0 189900.0 163500.0 ; - RECT 191700.0 150300.0 192900.0 163500.0 ; - RECT 175500.0 90000.0 185700.0 150300.0 ; - RECT 185700.0 90000.0 195900.0 150300.0 ; - RECT 180000.0 90000.0 181200.0 92700.0 ; - RECT 190200.0 90000.0 191400.0 92700.0 ; - RECT 178500.0 148200.0 179700.0 150300.0 ; - RECT 181500.0 142800.0 182700.0 150300.0 ; - RECT 188700.0 148200.0 189900.0 150300.0 ; - RECT 191700.0 142800.0 192900.0 150300.0 ; + RECT 102600.0 420300.0 103500.0 422400.0 ; + RECT 175500.0 199500.0 185700.0 213300.0 ; + RECT 175500.0 227100.0 185700.0 213300.0 ; + RECT 175500.0 227100.0 185700.0 240900.0 ; + RECT 175500.0 254700.0 185700.0 240900.0 ; + RECT 175500.0 254700.0 185700.0 268500.0 ; + RECT 175500.0 282300.0 185700.0 268500.0 ; + RECT 175500.0 282300.0 185700.0 296100.0 ; + RECT 175500.0 309900.0 185700.0 296100.0 ; + RECT 175500.0 309900.0 185700.0 323700.0 ; + RECT 175500.0 337500.0 185700.0 323700.0 ; + RECT 175500.0 337500.0 185700.0 351300.0 ; + RECT 175500.0 365100.0 185700.0 351300.0 ; + RECT 175500.0 365100.0 185700.0 378900.0 ; + RECT 175500.0 392700.0 185700.0 378900.0 ; + RECT 175500.0 392700.0 185700.0 406500.0 ; + RECT 175500.0 420300.0 185700.0 406500.0 ; + RECT 185700.0 199500.0 195900.0 213300.0 ; + RECT 185700.0 227100.0 195900.0 213300.0 ; + RECT 185700.0 227100.0 195900.0 240900.0 ; + RECT 185700.0 254700.0 195900.0 240900.0 ; + RECT 185700.0 254700.0 195900.0 268500.0 ; + RECT 185700.0 282300.0 195900.0 268500.0 ; + RECT 185700.0 282300.0 195900.0 296100.0 ; + RECT 185700.0 309900.0 195900.0 296100.0 ; + RECT 185700.0 309900.0 195900.0 323700.0 ; + RECT 185700.0 337500.0 195900.0 323700.0 ; + RECT 185700.0 337500.0 195900.0 351300.0 ; + RECT 185700.0 365100.0 195900.0 351300.0 ; + RECT 185700.0 365100.0 195900.0 378900.0 ; + RECT 185700.0 392700.0 195900.0 378900.0 ; + RECT 185700.0 392700.0 195900.0 406500.0 ; + RECT 185700.0 420300.0 195900.0 406500.0 ; + RECT 178500.0 200100.0 179700.0 423900.0 ; + RECT 181500.0 198900.0 182700.0 422700.0 ; + RECT 188700.0 200100.0 189900.0 423900.0 ; + RECT 191700.0 198900.0 192900.0 422700.0 ; + RECT 174900.0 198900.0 176100.0 422700.0 ; + RECT 185100.0 198900.0 186300.0 422700.0 ; + RECT 195300.0 198900.0 196500.0 422700.0 ; + RECT 178500.0 426300.0 179700.0 427500.0 ; + RECT 180900.0 426300.0 182550.0 427500.0 ; + RECT 178500.0 433500.0 179700.0 434700.0 ; + RECT 181650.0 433500.0 184500.0 434700.0 ; + RECT 178500.0 426300.0 179700.0 427500.0 ; + RECT 180900.0 426300.0 182100.0 427500.0 ; + RECT 178500.0 433500.0 179700.0 434700.0 ; + RECT 183300.0 433500.0 184500.0 434700.0 ; + RECT 178650.0 423900.0 179550.0 440700.0 ; + RECT 181650.0 423900.0 182550.0 440700.0 ; + RECT 188700.0 426300.0 189900.0 427500.0 ; + RECT 191100.0 426300.0 192750.0 427500.0 ; + RECT 188700.0 433500.0 189900.0 434700.0 ; + RECT 191850.0 433500.0 194700.0 434700.0 ; + RECT 188700.0 426300.0 189900.0 427500.0 ; + RECT 191100.0 426300.0 192300.0 427500.0 ; + RECT 188700.0 433500.0 189900.0 434700.0 ; + RECT 193500.0 433500.0 194700.0 434700.0 ; + RECT 188850.0 423900.0 189750.0 440700.0 ; + RECT 191850.0 423900.0 192750.0 440700.0 ; + RECT 178650.0 423900.0 179550.0 440700.0 ; + RECT 181650.0 423900.0 182550.0 440700.0 ; + RECT 188850.0 423900.0 189750.0 440700.0 ; + RECT 191850.0 423900.0 192750.0 440700.0 ; + RECT 175500.0 150600.0 185700.0 199500.0 ; + RECT 185700.0 150600.0 195900.0 199500.0 ; + RECT 178500.0 150600.0 179700.0 163800.0 ; + RECT 181500.0 150600.0 182700.0 163800.0 ; + RECT 188700.0 150600.0 189900.0 163800.0 ; + RECT 191700.0 150600.0 192900.0 163800.0 ; + RECT 175500.0 90000.0 185700.0 150600.0 ; + RECT 185700.0 90000.0 195900.0 150600.0 ; + RECT 180000.0 90000.0 181200.0 93000.0 ; + RECT 190200.0 90000.0 191400.0 93000.0 ; + RECT 178500.0 148500.0 179700.0 150600.0 ; + RECT 181500.0 143100.0 182700.0 150600.0 ; + RECT 188700.0 148500.0 189900.0 150600.0 ; + RECT 191700.0 143100.0 192900.0 150600.0 ; RECT 175500.0 30000.0 185700.0 90000.0 ; RECT 195900.0 30000.0 185700.0 90000.0 ; RECT 180000.0 87600.0 182700.0 88800.0 ; @@ -4411,840 +4409,840 @@ MACRO sram_2_16_1_scn3me_subm RECT 190200.0 15000.0 191400.0 8100.0 ; RECT 180000.0 30000.0 181200.0 28500.0 ; RECT 190200.0 30000.0 191400.0 28500.0 ; - RECT 59100.0 88800.0 60000.0 420000.0 ; - RECT 61200.0 88800.0 62100.0 420000.0 ; - RECT 63300.0 88800.0 64200.0 420000.0 ; - RECT 65400.0 88800.0 66300.0 420000.0 ; - RECT 67500.0 88800.0 68400.0 420000.0 ; - RECT 69600.0 88800.0 70500.0 420000.0 ; - RECT 71700.0 88800.0 72600.0 420000.0 ; - RECT 73800.0 88800.0 74700.0 420000.0 ; - RECT 105900.0 88800.0 105000.0 142200.0 ; - RECT 102900.0 88800.0 102000.0 142200.0 ; - RECT 111900.0 88800.0 111000.0 142200.0 ; - RECT 108900.0 88800.0 108000.0 142200.0 ; - RECT 95550.0 96150.0 94650.0 97050.0 ; - RECT 93150.0 96150.0 92250.0 97050.0 ; - RECT 95550.0 96600.0 94650.0 99450.0 ; - RECT 95100.0 96150.0 92700.0 97050.0 ; - RECT 93150.0 91950.0 92250.0 96600.0 ; - RECT 95700.0 99450.0 94500.0 100650.0 ; - RECT 93300.0 90750.0 92100.0 91950.0 ; - RECT 92100.0 96000.0 93300.0 97200.0 ; - RECT 95550.0 109050.0 94650.0 108150.0 ; - RECT 93150.0 109050.0 92250.0 108150.0 ; - RECT 95550.0 108600.0 94650.0 105750.0 ; - RECT 95100.0 109050.0 92700.0 108150.0 ; - RECT 93150.0 113250.0 92250.0 108600.0 ; - RECT 95700.0 105750.0 94500.0 104550.0 ; - RECT 93300.0 114450.0 92100.0 113250.0 ; - RECT 92100.0 109200.0 93300.0 108000.0 ; - RECT 95550.0 123750.0 94650.0 124650.0 ; - RECT 93150.0 123750.0 92250.0 124650.0 ; - RECT 95550.0 124200.0 94650.0 127050.0 ; - RECT 95100.0 123750.0 92700.0 124650.0 ; - RECT 93150.0 119550.0 92250.0 124200.0 ; - RECT 95700.0 127050.0 94500.0 128250.0 ; - RECT 93300.0 118350.0 92100.0 119550.0 ; - RECT 92100.0 123600.0 93300.0 124800.0 ; - RECT 95550.0 136650.0 94650.0 135750.0 ; - RECT 93150.0 136650.0 92250.0 135750.0 ; - RECT 95550.0 136200.0 94650.0 133350.0 ; - RECT 95100.0 136650.0 92700.0 135750.0 ; - RECT 93150.0 140850.0 92250.0 136200.0 ; - RECT 95700.0 133350.0 94500.0 132150.0 ; - RECT 93300.0 142050.0 92100.0 140850.0 ; - RECT 92100.0 136800.0 93300.0 135600.0 ; - RECT 110850.0 99300.0 112050.0 100500.0 ; - RECT 129450.0 94800.0 130650.0 96000.0 ; - RECT 107850.0 113100.0 109050.0 114300.0 ; - RECT 126450.0 109200.0 127650.0 110400.0 ; - RECT 129450.0 117900.0 130650.0 119100.0 ; - RECT 104850.0 117900.0 106050.0 119100.0 ; - RECT 126450.0 131700.0 127650.0 132900.0 ; - RECT 101850.0 131700.0 103050.0 132900.0 ; - RECT 110850.0 93300.0 112050.0 94500.0 ; - RECT 107850.0 96000.0 109050.0 97200.0 ; - RECT 104850.0 110700.0 106050.0 111900.0 ; - RECT 107850.0 108000.0 109050.0 109200.0 ; - RECT 110850.0 120900.0 112050.0 122100.0 ; - RECT 101850.0 123600.0 103050.0 124800.0 ; - RECT 104850.0 138300.0 106050.0 139500.0 ; - RECT 101850.0 135600.0 103050.0 136800.0 ; - RECT 130500.0 88800.0 129600.0 142200.0 ; - RECT 127500.0 88800.0 126600.0 142200.0 ; - RECT 105900.0 144000.0 105000.0 197400.0 ; - RECT 102900.0 144000.0 102000.0 197400.0 ; - RECT 111900.0 144000.0 111000.0 197400.0 ; - RECT 108900.0 144000.0 108000.0 197400.0 ; - RECT 95550.0 151350.0 94650.0 152250.0 ; - RECT 93150.0 151350.0 92250.0 152250.0 ; - RECT 95550.0 151800.0 94650.0 154650.0 ; - RECT 95100.0 151350.0 92700.0 152250.0 ; - RECT 93150.0 147150.0 92250.0 151800.0 ; - RECT 95700.0 154650.0 94500.0 155850.0 ; - RECT 93300.0 145950.0 92100.0 147150.0 ; - RECT 92100.0 151200.0 93300.0 152400.0 ; - RECT 95550.0 164250.0 94650.0 163350.0 ; - RECT 93150.0 164250.0 92250.0 163350.0 ; - RECT 95550.0 163800.0 94650.0 160950.0 ; - RECT 95100.0 164250.0 92700.0 163350.0 ; - RECT 93150.0 168450.0 92250.0 163800.0 ; - RECT 95700.0 160950.0 94500.0 159750.0 ; - RECT 93300.0 169650.0 92100.0 168450.0 ; - RECT 92100.0 164400.0 93300.0 163200.0 ; - RECT 95550.0 178950.0 94650.0 179850.0 ; - RECT 93150.0 178950.0 92250.0 179850.0 ; - RECT 95550.0 179400.0 94650.0 182250.0 ; - RECT 95100.0 178950.0 92700.0 179850.0 ; - RECT 93150.0 174750.0 92250.0 179400.0 ; - RECT 95700.0 182250.0 94500.0 183450.0 ; - RECT 93300.0 173550.0 92100.0 174750.0 ; - RECT 92100.0 178800.0 93300.0 180000.0 ; - RECT 95550.0 191850.0 94650.0 190950.0 ; - RECT 93150.0 191850.0 92250.0 190950.0 ; - RECT 95550.0 191400.0 94650.0 188550.0 ; - RECT 95100.0 191850.0 92700.0 190950.0 ; - RECT 93150.0 196050.0 92250.0 191400.0 ; - RECT 95700.0 188550.0 94500.0 187350.0 ; - RECT 93300.0 197250.0 92100.0 196050.0 ; - RECT 92100.0 192000.0 93300.0 190800.0 ; - RECT 110850.0 154500.0 112050.0 155700.0 ; - RECT 129450.0 150000.0 130650.0 151200.0 ; - RECT 107850.0 168300.0 109050.0 169500.0 ; - RECT 126450.0 164400.0 127650.0 165600.0 ; - RECT 129450.0 173100.0 130650.0 174300.0 ; - RECT 104850.0 173100.0 106050.0 174300.0 ; - RECT 126450.0 186900.0 127650.0 188100.0 ; - RECT 101850.0 186900.0 103050.0 188100.0 ; - RECT 110850.0 148500.0 112050.0 149700.0 ; - RECT 107850.0 151200.0 109050.0 152400.0 ; - RECT 104850.0 165900.0 106050.0 167100.0 ; - RECT 107850.0 163200.0 109050.0 164400.0 ; - RECT 110850.0 176100.0 112050.0 177300.0 ; - RECT 101850.0 178800.0 103050.0 180000.0 ; - RECT 104850.0 193500.0 106050.0 194700.0 ; - RECT 101850.0 190800.0 103050.0 192000.0 ; - RECT 130500.0 144000.0 129600.0 197400.0 ; - RECT 127500.0 144000.0 126600.0 197400.0 ; - RECT 80250.0 206550.0 81150.0 207450.0 ; - RECT 82650.0 206550.0 83550.0 207450.0 ; - RECT 80250.0 207000.0 81150.0 209850.0 ; - RECT 80700.0 206550.0 83100.0 207450.0 ; - RECT 82650.0 202350.0 83550.0 207000.0 ; - RECT 80100.0 209850.0 81300.0 211050.0 ; - RECT 82500.0 201150.0 83700.0 202350.0 ; - RECT 83700.0 206400.0 82500.0 207600.0 ; - RECT 80250.0 219450.0 81150.0 218550.0 ; - RECT 82650.0 219450.0 83550.0 218550.0 ; - RECT 80250.0 219000.0 81150.0 216150.0 ; - RECT 80700.0 219450.0 83100.0 218550.0 ; - RECT 82650.0 223650.0 83550.0 219000.0 ; - RECT 80100.0 216150.0 81300.0 214950.0 ; - RECT 82500.0 224850.0 83700.0 223650.0 ; - RECT 83700.0 219600.0 82500.0 218400.0 ; - RECT 80250.0 234150.0 81150.0 235050.0 ; - RECT 82650.0 234150.0 83550.0 235050.0 ; - RECT 80250.0 234600.0 81150.0 237450.0 ; - RECT 80700.0 234150.0 83100.0 235050.0 ; - RECT 82650.0 229950.0 83550.0 234600.0 ; - RECT 80100.0 237450.0 81300.0 238650.0 ; - RECT 82500.0 228750.0 83700.0 229950.0 ; - RECT 83700.0 234000.0 82500.0 235200.0 ; - RECT 80250.0 247050.0 81150.0 246150.0 ; - RECT 82650.0 247050.0 83550.0 246150.0 ; - RECT 80250.0 246600.0 81150.0 243750.0 ; - RECT 80700.0 247050.0 83100.0 246150.0 ; - RECT 82650.0 251250.0 83550.0 246600.0 ; - RECT 80100.0 243750.0 81300.0 242550.0 ; - RECT 82500.0 252450.0 83700.0 251250.0 ; - RECT 83700.0 247200.0 82500.0 246000.0 ; - RECT 80250.0 261750.0 81150.0 262650.0 ; - RECT 82650.0 261750.0 83550.0 262650.0 ; - RECT 80250.0 262200.0 81150.0 265050.0 ; - RECT 80700.0 261750.0 83100.0 262650.0 ; - RECT 82650.0 257550.0 83550.0 262200.0 ; - RECT 80100.0 265050.0 81300.0 266250.0 ; - RECT 82500.0 256350.0 83700.0 257550.0 ; - RECT 83700.0 261600.0 82500.0 262800.0 ; - RECT 80250.0 274650.0 81150.0 273750.0 ; - RECT 82650.0 274650.0 83550.0 273750.0 ; - RECT 80250.0 274200.0 81150.0 271350.0 ; - RECT 80700.0 274650.0 83100.0 273750.0 ; - RECT 82650.0 278850.0 83550.0 274200.0 ; - RECT 80100.0 271350.0 81300.0 270150.0 ; - RECT 82500.0 280050.0 83700.0 278850.0 ; - RECT 83700.0 274800.0 82500.0 273600.0 ; - RECT 80250.0 289350.0 81150.0 290250.0 ; - RECT 82650.0 289350.0 83550.0 290250.0 ; - RECT 80250.0 289800.0 81150.0 292650.0 ; - RECT 80700.0 289350.0 83100.0 290250.0 ; - RECT 82650.0 285150.0 83550.0 289800.0 ; - RECT 80100.0 292650.0 81300.0 293850.0 ; - RECT 82500.0 283950.0 83700.0 285150.0 ; - RECT 83700.0 289200.0 82500.0 290400.0 ; - RECT 80250.0 302250.0 81150.0 301350.0 ; - RECT 82650.0 302250.0 83550.0 301350.0 ; - RECT 80250.0 301800.0 81150.0 298950.0 ; - RECT 80700.0 302250.0 83100.0 301350.0 ; - RECT 82650.0 306450.0 83550.0 301800.0 ; - RECT 80100.0 298950.0 81300.0 297750.0 ; - RECT 82500.0 307650.0 83700.0 306450.0 ; - RECT 83700.0 302400.0 82500.0 301200.0 ; - RECT 80250.0 316950.0 81150.0 317850.0 ; - RECT 82650.0 316950.0 83550.0 317850.0 ; - RECT 80250.0 317400.0 81150.0 320250.0 ; - RECT 80700.0 316950.0 83100.0 317850.0 ; - RECT 82650.0 312750.0 83550.0 317400.0 ; - RECT 80100.0 320250.0 81300.0 321450.0 ; - RECT 82500.0 311550.0 83700.0 312750.0 ; - RECT 83700.0 316800.0 82500.0 318000.0 ; - RECT 80250.0 329850.0 81150.0 328950.0 ; - RECT 82650.0 329850.0 83550.0 328950.0 ; - RECT 80250.0 329400.0 81150.0 326550.0 ; - RECT 80700.0 329850.0 83100.0 328950.0 ; - RECT 82650.0 334050.0 83550.0 329400.0 ; - RECT 80100.0 326550.0 81300.0 325350.0 ; - RECT 82500.0 335250.0 83700.0 334050.0 ; - RECT 83700.0 330000.0 82500.0 328800.0 ; - RECT 80250.0 344550.0 81150.0 345450.0 ; - RECT 82650.0 344550.0 83550.0 345450.0 ; - RECT 80250.0 345000.0 81150.0 347850.0 ; - RECT 80700.0 344550.0 83100.0 345450.0 ; - RECT 82650.0 340350.0 83550.0 345000.0 ; - RECT 80100.0 347850.0 81300.0 349050.0 ; - RECT 82500.0 339150.0 83700.0 340350.0 ; - RECT 83700.0 344400.0 82500.0 345600.0 ; - RECT 80250.0 357450.0 81150.0 356550.0 ; - RECT 82650.0 357450.0 83550.0 356550.0 ; - RECT 80250.0 357000.0 81150.0 354150.0 ; - RECT 80700.0 357450.0 83100.0 356550.0 ; - RECT 82650.0 361650.0 83550.0 357000.0 ; - RECT 80100.0 354150.0 81300.0 352950.0 ; - RECT 82500.0 362850.0 83700.0 361650.0 ; - RECT 83700.0 357600.0 82500.0 356400.0 ; - RECT 80250.0 372150.0 81150.0 373050.0 ; - RECT 82650.0 372150.0 83550.0 373050.0 ; - RECT 80250.0 372600.0 81150.0 375450.0 ; - RECT 80700.0 372150.0 83100.0 373050.0 ; - RECT 82650.0 367950.0 83550.0 372600.0 ; - RECT 80100.0 375450.0 81300.0 376650.0 ; - RECT 82500.0 366750.0 83700.0 367950.0 ; - RECT 83700.0 372000.0 82500.0 373200.0 ; - RECT 80250.0 385050.0 81150.0 384150.0 ; - RECT 82650.0 385050.0 83550.0 384150.0 ; - RECT 80250.0 384600.0 81150.0 381750.0 ; - RECT 80700.0 385050.0 83100.0 384150.0 ; - RECT 82650.0 389250.0 83550.0 384600.0 ; - RECT 80100.0 381750.0 81300.0 380550.0 ; - RECT 82500.0 390450.0 83700.0 389250.0 ; - RECT 83700.0 385200.0 82500.0 384000.0 ; - RECT 80250.0 399750.0 81150.0 400650.0 ; - RECT 82650.0 399750.0 83550.0 400650.0 ; - RECT 80250.0 400200.0 81150.0 403050.0 ; - RECT 80700.0 399750.0 83100.0 400650.0 ; - RECT 82650.0 395550.0 83550.0 400200.0 ; - RECT 80100.0 403050.0 81300.0 404250.0 ; - RECT 82500.0 394350.0 83700.0 395550.0 ; - RECT 83700.0 399600.0 82500.0 400800.0 ; - RECT 80250.0 412650.0 81150.0 411750.0 ; - RECT 82650.0 412650.0 83550.0 411750.0 ; - RECT 80250.0 412200.0 81150.0 409350.0 ; - RECT 80700.0 412650.0 83100.0 411750.0 ; - RECT 82650.0 416850.0 83550.0 412200.0 ; - RECT 80100.0 409350.0 81300.0 408150.0 ; - RECT 82500.0 418050.0 83700.0 416850.0 ; - RECT 83700.0 412800.0 82500.0 411600.0 ; - RECT 60150.0 94800.0 58950.0 96000.0 ; - RECT 62250.0 109200.0 61050.0 110400.0 ; - RECT 64350.0 122400.0 63150.0 123600.0 ; - RECT 66450.0 136800.0 65250.0 138000.0 ; - RECT 68550.0 150000.0 67350.0 151200.0 ; - RECT 70650.0 164400.0 69450.0 165600.0 ; - RECT 72750.0 177600.0 71550.0 178800.0 ; - RECT 74850.0 192000.0 73650.0 193200.0 ; - RECT 60150.0 206400.0 58950.0 207600.0 ; - RECT 68550.0 203700.0 67350.0 204900.0 ; - RECT 60150.0 218400.0 58950.0 219600.0 ; - RECT 70650.0 221100.0 69450.0 222300.0 ; - RECT 60150.0 234000.0 58950.0 235200.0 ; - RECT 72750.0 231300.0 71550.0 232500.0 ; - RECT 60150.0 246000.0 58950.0 247200.0 ; - RECT 74850.0 248700.0 73650.0 249900.0 ; - RECT 62250.0 261600.0 61050.0 262800.0 ; - RECT 68550.0 258900.0 67350.0 260100.0 ; - RECT 62250.0 273600.0 61050.0 274800.0 ; - RECT 70650.0 276300.0 69450.0 277500.0 ; - RECT 62250.0 289200.0 61050.0 290400.0 ; - RECT 72750.0 286500.0 71550.0 287700.0 ; - RECT 62250.0 301200.0 61050.0 302400.0 ; - RECT 74850.0 303900.0 73650.0 305100.0 ; - RECT 64350.0 316800.0 63150.0 318000.0 ; - RECT 68550.0 314100.0 67350.0 315300.0 ; - RECT 64350.0 328800.0 63150.0 330000.0 ; - RECT 70650.0 331500.0 69450.0 332700.0 ; - RECT 64350.0 344400.0 63150.0 345600.0 ; - RECT 72750.0 341700.0 71550.0 342900.0 ; - RECT 64350.0 356400.0 63150.0 357600.0 ; - RECT 74850.0 359100.0 73650.0 360300.0 ; - RECT 66450.0 372000.0 65250.0 373200.0 ; - RECT 68550.0 369300.0 67350.0 370500.0 ; - RECT 66450.0 384000.0 65250.0 385200.0 ; - RECT 70650.0 386700.0 69450.0 387900.0 ; - RECT 66450.0 399600.0 65250.0 400800.0 ; - RECT 72750.0 396900.0 71550.0 398100.0 ; - RECT 66450.0 411600.0 65250.0 412800.0 ; - RECT 74850.0 414300.0 73650.0 415500.0 ; - RECT 129600.0 88800.0 130500.0 142200.0 ; - RECT 126600.0 88800.0 127500.0 142200.0 ; - RECT 129600.0 144000.0 130500.0 197400.0 ; - RECT 126600.0 144000.0 127500.0 197400.0 ; - RECT 104550.0 203850.0 105450.0 204750.0 ; - RECT 104550.0 203400.0 105450.0 204300.0 ; - RECT 105000.0 203850.0 121200.0 204750.0 ; - RECT 104550.0 221250.0 105450.0 222150.0 ; - RECT 104550.0 221700.0 105450.0 222600.0 ; - RECT 105000.0 221250.0 121200.0 222150.0 ; - RECT 104550.0 231450.0 105450.0 232350.0 ; - RECT 104550.0 231000.0 105450.0 231900.0 ; - RECT 105000.0 231450.0 121200.0 232350.0 ; - RECT 104550.0 248850.0 105450.0 249750.0 ; - RECT 104550.0 249300.0 105450.0 250200.0 ; - RECT 105000.0 248850.0 121200.0 249750.0 ; - RECT 104550.0 259050.0 105450.0 259950.0 ; - RECT 104550.0 258600.0 105450.0 259500.0 ; - RECT 105000.0 259050.0 121200.0 259950.0 ; - RECT 104550.0 276450.0 105450.0 277350.0 ; - RECT 104550.0 276900.0 105450.0 277800.0 ; - RECT 105000.0 276450.0 121200.0 277350.0 ; - RECT 104550.0 286650.0 105450.0 287550.0 ; - RECT 104550.0 286200.0 105450.0 287100.0 ; - RECT 105000.0 286650.0 121200.0 287550.0 ; - RECT 104550.0 304050.0 105450.0 304950.0 ; - RECT 104550.0 304500.0 105450.0 305400.0 ; - RECT 105000.0 304050.0 121200.0 304950.0 ; - RECT 104550.0 314250.0 105450.0 315150.0 ; - RECT 104550.0 313800.0 105450.0 314700.0 ; - RECT 105000.0 314250.0 121200.0 315150.0 ; - RECT 104550.0 331650.0 105450.0 332550.0 ; - RECT 104550.0 332100.0 105450.0 333000.0 ; - RECT 105000.0 331650.0 121200.0 332550.0 ; - RECT 104550.0 341850.0 105450.0 342750.0 ; - RECT 104550.0 341400.0 105450.0 342300.0 ; - RECT 105000.0 341850.0 121200.0 342750.0 ; - RECT 104550.0 359250.0 105450.0 360150.0 ; - RECT 104550.0 359700.0 105450.0 360600.0 ; - RECT 105000.0 359250.0 121200.0 360150.0 ; - RECT 104550.0 369450.0 105450.0 370350.0 ; - RECT 104550.0 369000.0 105450.0 369900.0 ; - RECT 105000.0 369450.0 121200.0 370350.0 ; - RECT 104550.0 386850.0 105450.0 387750.0 ; - RECT 104550.0 387300.0 105450.0 388200.0 ; - RECT 105000.0 386850.0 121200.0 387750.0 ; - RECT 104550.0 397050.0 105450.0 397950.0 ; - RECT 104550.0 396600.0 105450.0 397500.0 ; - RECT 105000.0 397050.0 121200.0 397950.0 ; - RECT 104550.0 414450.0 105450.0 415350.0 ; - RECT 104550.0 414900.0 105450.0 415800.0 ; - RECT 105000.0 414450.0 121200.0 415350.0 ; - RECT 120150.0 206550.0 121050.0 207450.0 ; - RECT 122550.0 206550.0 123450.0 207450.0 ; - RECT 120150.0 207000.0 121050.0 209850.0 ; - RECT 120600.0 206550.0 123000.0 207450.0 ; - RECT 122550.0 202350.0 123450.0 207000.0 ; - RECT 120000.0 209850.0 121200.0 211050.0 ; - RECT 122400.0 201150.0 123600.0 202350.0 ; - RECT 123600.0 206400.0 122400.0 207600.0 ; - RECT 102450.0 205200.0 103650.0 206400.0 ; - RECT 104400.0 202800.0 105600.0 204000.0 ; - RECT 121200.0 203700.0 120000.0 204900.0 ; - RECT 120150.0 219450.0 121050.0 218550.0 ; - RECT 122550.0 219450.0 123450.0 218550.0 ; - RECT 120150.0 219000.0 121050.0 216150.0 ; - RECT 120600.0 219450.0 123000.0 218550.0 ; - RECT 122550.0 223650.0 123450.0 219000.0 ; - RECT 120000.0 216150.0 121200.0 214950.0 ; - RECT 122400.0 224850.0 123600.0 223650.0 ; - RECT 123600.0 219600.0 122400.0 218400.0 ; - RECT 102450.0 219600.0 103650.0 220800.0 ; - RECT 104400.0 222000.0 105600.0 223200.0 ; - RECT 121200.0 221100.0 120000.0 222300.0 ; - RECT 120150.0 234150.0 121050.0 235050.0 ; - RECT 122550.0 234150.0 123450.0 235050.0 ; - RECT 120150.0 234600.0 121050.0 237450.0 ; - RECT 120600.0 234150.0 123000.0 235050.0 ; - RECT 122550.0 229950.0 123450.0 234600.0 ; - RECT 120000.0 237450.0 121200.0 238650.0 ; - RECT 122400.0 228750.0 123600.0 229950.0 ; - RECT 123600.0 234000.0 122400.0 235200.0 ; - RECT 102450.0 232800.0 103650.0 234000.0 ; - RECT 104400.0 230400.0 105600.0 231600.0 ; - RECT 121200.0 231300.0 120000.0 232500.0 ; - RECT 120150.0 247050.0 121050.0 246150.0 ; - RECT 122550.0 247050.0 123450.0 246150.0 ; - RECT 120150.0 246600.0 121050.0 243750.0 ; - RECT 120600.0 247050.0 123000.0 246150.0 ; - RECT 122550.0 251250.0 123450.0 246600.0 ; - RECT 120000.0 243750.0 121200.0 242550.0 ; - RECT 122400.0 252450.0 123600.0 251250.0 ; - RECT 123600.0 247200.0 122400.0 246000.0 ; - RECT 102450.0 247200.0 103650.0 248400.0 ; - RECT 104400.0 249600.0 105600.0 250800.0 ; - RECT 121200.0 248700.0 120000.0 249900.0 ; - RECT 120150.0 261750.0 121050.0 262650.0 ; - RECT 122550.0 261750.0 123450.0 262650.0 ; - RECT 120150.0 262200.0 121050.0 265050.0 ; - RECT 120600.0 261750.0 123000.0 262650.0 ; - RECT 122550.0 257550.0 123450.0 262200.0 ; - RECT 120000.0 265050.0 121200.0 266250.0 ; - RECT 122400.0 256350.0 123600.0 257550.0 ; - RECT 123600.0 261600.0 122400.0 262800.0 ; - RECT 102450.0 260400.0 103650.0 261600.0 ; - RECT 104400.0 258000.0 105600.0 259200.0 ; - RECT 121200.0 258900.0 120000.0 260100.0 ; - RECT 120150.0 274650.0 121050.0 273750.0 ; - RECT 122550.0 274650.0 123450.0 273750.0 ; - RECT 120150.0 274200.0 121050.0 271350.0 ; - RECT 120600.0 274650.0 123000.0 273750.0 ; - RECT 122550.0 278850.0 123450.0 274200.0 ; - RECT 120000.0 271350.0 121200.0 270150.0 ; - RECT 122400.0 280050.0 123600.0 278850.0 ; - RECT 123600.0 274800.0 122400.0 273600.0 ; - RECT 102450.0 274800.0 103650.0 276000.0 ; - RECT 104400.0 277200.0 105600.0 278400.0 ; - RECT 121200.0 276300.0 120000.0 277500.0 ; - RECT 120150.0 289350.0 121050.0 290250.0 ; - RECT 122550.0 289350.0 123450.0 290250.0 ; - RECT 120150.0 289800.0 121050.0 292650.0 ; - RECT 120600.0 289350.0 123000.0 290250.0 ; - RECT 122550.0 285150.0 123450.0 289800.0 ; - RECT 120000.0 292650.0 121200.0 293850.0 ; - RECT 122400.0 283950.0 123600.0 285150.0 ; - RECT 123600.0 289200.0 122400.0 290400.0 ; - RECT 102450.0 288000.0 103650.0 289200.0 ; - RECT 104400.0 285600.0 105600.0 286800.0 ; - RECT 121200.0 286500.0 120000.0 287700.0 ; - RECT 120150.0 302250.0 121050.0 301350.0 ; - RECT 122550.0 302250.0 123450.0 301350.0 ; - RECT 120150.0 301800.0 121050.0 298950.0 ; - RECT 120600.0 302250.0 123000.0 301350.0 ; - RECT 122550.0 306450.0 123450.0 301800.0 ; - RECT 120000.0 298950.0 121200.0 297750.0 ; - RECT 122400.0 307650.0 123600.0 306450.0 ; - RECT 123600.0 302400.0 122400.0 301200.0 ; - RECT 102450.0 302400.0 103650.0 303600.0 ; - RECT 104400.0 304800.0 105600.0 306000.0 ; - RECT 121200.0 303900.0 120000.0 305100.0 ; - RECT 120150.0 316950.0 121050.0 317850.0 ; - RECT 122550.0 316950.0 123450.0 317850.0 ; - RECT 120150.0 317400.0 121050.0 320250.0 ; - RECT 120600.0 316950.0 123000.0 317850.0 ; - RECT 122550.0 312750.0 123450.0 317400.0 ; - RECT 120000.0 320250.0 121200.0 321450.0 ; - RECT 122400.0 311550.0 123600.0 312750.0 ; - RECT 123600.0 316800.0 122400.0 318000.0 ; - RECT 102450.0 315600.0 103650.0 316800.0 ; - RECT 104400.0 313200.0 105600.0 314400.0 ; - RECT 121200.0 314100.0 120000.0 315300.0 ; - RECT 120150.0 329850.0 121050.0 328950.0 ; - RECT 122550.0 329850.0 123450.0 328950.0 ; - RECT 120150.0 329400.0 121050.0 326550.0 ; - RECT 120600.0 329850.0 123000.0 328950.0 ; - RECT 122550.0 334050.0 123450.0 329400.0 ; - RECT 120000.0 326550.0 121200.0 325350.0 ; - RECT 122400.0 335250.0 123600.0 334050.0 ; - RECT 123600.0 330000.0 122400.0 328800.0 ; - RECT 102450.0 330000.0 103650.0 331200.0 ; - RECT 104400.0 332400.0 105600.0 333600.0 ; - RECT 121200.0 331500.0 120000.0 332700.0 ; - RECT 120150.0 344550.0 121050.0 345450.0 ; - RECT 122550.0 344550.0 123450.0 345450.0 ; - RECT 120150.0 345000.0 121050.0 347850.0 ; - RECT 120600.0 344550.0 123000.0 345450.0 ; - RECT 122550.0 340350.0 123450.0 345000.0 ; - RECT 120000.0 347850.0 121200.0 349050.0 ; - RECT 122400.0 339150.0 123600.0 340350.0 ; - RECT 123600.0 344400.0 122400.0 345600.0 ; - RECT 102450.0 343200.0 103650.0 344400.0 ; - RECT 104400.0 340800.0 105600.0 342000.0 ; - RECT 121200.0 341700.0 120000.0 342900.0 ; - RECT 120150.0 357450.0 121050.0 356550.0 ; - RECT 122550.0 357450.0 123450.0 356550.0 ; - RECT 120150.0 357000.0 121050.0 354150.0 ; - RECT 120600.0 357450.0 123000.0 356550.0 ; - RECT 122550.0 361650.0 123450.0 357000.0 ; - RECT 120000.0 354150.0 121200.0 352950.0 ; - RECT 122400.0 362850.0 123600.0 361650.0 ; - RECT 123600.0 357600.0 122400.0 356400.0 ; - RECT 102450.0 357600.0 103650.0 358800.0 ; - RECT 104400.0 360000.0 105600.0 361200.0 ; - RECT 121200.0 359100.0 120000.0 360300.0 ; - RECT 120150.0 372150.0 121050.0 373050.0 ; - RECT 122550.0 372150.0 123450.0 373050.0 ; - RECT 120150.0 372600.0 121050.0 375450.0 ; - RECT 120600.0 372150.0 123000.0 373050.0 ; - RECT 122550.0 367950.0 123450.0 372600.0 ; - RECT 120000.0 375450.0 121200.0 376650.0 ; - RECT 122400.0 366750.0 123600.0 367950.0 ; - RECT 123600.0 372000.0 122400.0 373200.0 ; - RECT 102450.0 370800.0 103650.0 372000.0 ; - RECT 104400.0 368400.0 105600.0 369600.0 ; - RECT 121200.0 369300.0 120000.0 370500.0 ; - RECT 120150.0 385050.0 121050.0 384150.0 ; - RECT 122550.0 385050.0 123450.0 384150.0 ; - RECT 120150.0 384600.0 121050.0 381750.0 ; - RECT 120600.0 385050.0 123000.0 384150.0 ; - RECT 122550.0 389250.0 123450.0 384600.0 ; - RECT 120000.0 381750.0 121200.0 380550.0 ; - RECT 122400.0 390450.0 123600.0 389250.0 ; - RECT 123600.0 385200.0 122400.0 384000.0 ; - RECT 102450.0 385200.0 103650.0 386400.0 ; - RECT 104400.0 387600.0 105600.0 388800.0 ; - RECT 121200.0 386700.0 120000.0 387900.0 ; - RECT 120150.0 399750.0 121050.0 400650.0 ; - RECT 122550.0 399750.0 123450.0 400650.0 ; - RECT 120150.0 400200.0 121050.0 403050.0 ; - RECT 120600.0 399750.0 123000.0 400650.0 ; - RECT 122550.0 395550.0 123450.0 400200.0 ; - RECT 120000.0 403050.0 121200.0 404250.0 ; - RECT 122400.0 394350.0 123600.0 395550.0 ; - RECT 123600.0 399600.0 122400.0 400800.0 ; - RECT 102450.0 398400.0 103650.0 399600.0 ; - RECT 104400.0 396000.0 105600.0 397200.0 ; - RECT 121200.0 396900.0 120000.0 398100.0 ; - RECT 120150.0 412650.0 121050.0 411750.0 ; - RECT 122550.0 412650.0 123450.0 411750.0 ; - RECT 120150.0 412200.0 121050.0 409350.0 ; - RECT 120600.0 412650.0 123000.0 411750.0 ; - RECT 122550.0 416850.0 123450.0 412200.0 ; - RECT 120000.0 409350.0 121200.0 408150.0 ; - RECT 122400.0 418050.0 123600.0 416850.0 ; - RECT 123600.0 412800.0 122400.0 411600.0 ; - RECT 102450.0 412800.0 103650.0 414000.0 ; - RECT 104400.0 415200.0 105600.0 416400.0 ; - RECT 121200.0 414300.0 120000.0 415500.0 ; - RECT 102600.0 199200.0 103500.0 420000.0 ; - RECT 59100.0 83400.0 119100.0 73200.0 ; - RECT 59100.0 63000.0 119100.0 73200.0 ; - RECT 59100.0 63000.0 119100.0 52800.0 ; - RECT 59100.0 42600.0 119100.0 52800.0 ; - RECT 116700.0 78900.0 117900.0 76200.0 ; - RECT 114600.0 81600.0 119100.0 80400.0 ; - RECT 116700.0 70200.0 117900.0 67500.0 ; - RECT 114600.0 66000.0 119100.0 64800.0 ; - RECT 116700.0 58500.0 117900.0 55800.0 ; - RECT 114600.0 61200.0 119100.0 60000.0 ; - RECT 116700.0 49800.0 117900.0 47100.0 ; - RECT 114600.0 45600.0 119100.0 44400.0 ; - RECT 59100.0 73800.0 119100.0 72600.0 ; - RECT 59100.0 53400.0 119100.0 52200.0 ; + RECT 59100.0 89100.0 60000.0 420300.0 ; + RECT 61200.0 89100.0 62100.0 420300.0 ; + RECT 63300.0 89100.0 64200.0 420300.0 ; + RECT 65400.0 89100.0 66300.0 420300.0 ; + RECT 67500.0 89100.0 68400.0 420300.0 ; + RECT 69600.0 89100.0 70500.0 420300.0 ; + RECT 71700.0 89100.0 72600.0 420300.0 ; + RECT 73800.0 89100.0 74700.0 420300.0 ; + RECT 105900.0 89100.0 105000.0 142500.0 ; + RECT 102900.0 89100.0 102000.0 142500.0 ; + RECT 111900.0 89100.0 111000.0 142500.0 ; + RECT 108900.0 89100.0 108000.0 142500.0 ; + RECT 95550.0 96450.0 94650.0 97350.0 ; + RECT 93150.0 96450.0 92250.0 97350.0 ; + RECT 95550.0 96900.0 94650.0 99750.0 ; + RECT 95100.0 96450.0 92700.0 97350.0 ; + RECT 93150.0 92250.0 92250.0 96900.0 ; + RECT 95700.0 99750.0 94500.0 100950.0 ; + RECT 93300.0 91050.0 92100.0 92250.0 ; + RECT 92100.0 96300.0 93300.0 97500.0 ; + RECT 95550.0 109350.0 94650.0 108450.0 ; + RECT 93150.0 109350.0 92250.0 108450.0 ; + RECT 95550.0 108900.0 94650.0 106050.0 ; + RECT 95100.0 109350.0 92700.0 108450.0 ; + RECT 93150.0 113550.0 92250.0 108900.0 ; + RECT 95700.0 106050.0 94500.0 104850.0 ; + RECT 93300.0 114750.0 92100.0 113550.0 ; + RECT 92100.0 109500.0 93300.0 108300.0 ; + RECT 95550.0 124050.0 94650.0 124950.0 ; + RECT 93150.0 124050.0 92250.0 124950.0 ; + RECT 95550.0 124500.0 94650.0 127350.0 ; + RECT 95100.0 124050.0 92700.0 124950.0 ; + RECT 93150.0 119850.0 92250.0 124500.0 ; + RECT 95700.0 127350.0 94500.0 128550.0 ; + RECT 93300.0 118650.0 92100.0 119850.0 ; + RECT 92100.0 123900.0 93300.0 125100.0 ; + RECT 95550.0 136950.0 94650.0 136050.0 ; + RECT 93150.0 136950.0 92250.0 136050.0 ; + RECT 95550.0 136500.0 94650.0 133650.0 ; + RECT 95100.0 136950.0 92700.0 136050.0 ; + RECT 93150.0 141150.0 92250.0 136500.0 ; + RECT 95700.0 133650.0 94500.0 132450.0 ; + RECT 93300.0 142350.0 92100.0 141150.0 ; + RECT 92100.0 137100.0 93300.0 135900.0 ; + RECT 110850.0 99600.0 112050.0 100800.0 ; + RECT 129450.0 95100.0 130650.0 96300.0 ; + RECT 107850.0 113400.0 109050.0 114600.0 ; + RECT 126450.0 109500.0 127650.0 110700.0 ; + RECT 129450.0 118200.0 130650.0 119400.0 ; + RECT 104850.0 118200.0 106050.0 119400.0 ; + RECT 126450.0 132000.0 127650.0 133200.0 ; + RECT 101850.0 132000.0 103050.0 133200.0 ; + RECT 110850.0 93600.0 112050.0 94800.0 ; + RECT 107850.0 96300.0 109050.0 97500.0 ; + RECT 104850.0 111000.0 106050.0 112200.0 ; + RECT 107850.0 108300.0 109050.0 109500.0 ; + RECT 110850.0 121200.0 112050.0 122400.0 ; + RECT 101850.0 123900.0 103050.0 125100.0 ; + RECT 104850.0 138600.0 106050.0 139800.0 ; + RECT 101850.0 135900.0 103050.0 137100.0 ; + RECT 130500.0 89100.0 129600.0 142500.0 ; + RECT 127500.0 89100.0 126600.0 142500.0 ; + RECT 105900.0 144300.0 105000.0 197700.0 ; + RECT 102900.0 144300.0 102000.0 197700.0 ; + RECT 111900.0 144300.0 111000.0 197700.0 ; + RECT 108900.0 144300.0 108000.0 197700.0 ; + RECT 95550.0 151650.0 94650.0 152550.0 ; + RECT 93150.0 151650.0 92250.0 152550.0 ; + RECT 95550.0 152100.0 94650.0 154950.0 ; + RECT 95100.0 151650.0 92700.0 152550.0 ; + RECT 93150.0 147450.0 92250.0 152100.0 ; + RECT 95700.0 154950.0 94500.0 156150.0 ; + RECT 93300.0 146250.0 92100.0 147450.0 ; + RECT 92100.0 151500.0 93300.0 152700.0 ; + RECT 95550.0 164550.0 94650.0 163650.0 ; + RECT 93150.0 164550.0 92250.0 163650.0 ; + RECT 95550.0 164100.0 94650.0 161250.0 ; + RECT 95100.0 164550.0 92700.0 163650.0 ; + RECT 93150.0 168750.0 92250.0 164100.0 ; + RECT 95700.0 161250.0 94500.0 160050.0 ; + RECT 93300.0 169950.0 92100.0 168750.0 ; + RECT 92100.0 164700.0 93300.0 163500.0 ; + RECT 95550.0 179250.0 94650.0 180150.0 ; + RECT 93150.0 179250.0 92250.0 180150.0 ; + RECT 95550.0 179700.0 94650.0 182550.0 ; + RECT 95100.0 179250.0 92700.0 180150.0 ; + RECT 93150.0 175050.0 92250.0 179700.0 ; + RECT 95700.0 182550.0 94500.0 183750.0 ; + RECT 93300.0 173850.0 92100.0 175050.0 ; + RECT 92100.0 179100.0 93300.0 180300.0 ; + RECT 95550.0 192150.0 94650.0 191250.0 ; + RECT 93150.0 192150.0 92250.0 191250.0 ; + RECT 95550.0 191700.0 94650.0 188850.0 ; + RECT 95100.0 192150.0 92700.0 191250.0 ; + RECT 93150.0 196350.0 92250.0 191700.0 ; + RECT 95700.0 188850.0 94500.0 187650.0 ; + RECT 93300.0 197550.0 92100.0 196350.0 ; + RECT 92100.0 192300.0 93300.0 191100.0 ; + RECT 110850.0 154800.0 112050.0 156000.0 ; + RECT 129450.0 150300.0 130650.0 151500.0 ; + RECT 107850.0 168600.0 109050.0 169800.0 ; + RECT 126450.0 164700.0 127650.0 165900.0 ; + RECT 129450.0 173400.0 130650.0 174600.0 ; + RECT 104850.0 173400.0 106050.0 174600.0 ; + RECT 126450.0 187200.0 127650.0 188400.0 ; + RECT 101850.0 187200.0 103050.0 188400.0 ; + RECT 110850.0 148800.0 112050.0 150000.0 ; + RECT 107850.0 151500.0 109050.0 152700.0 ; + RECT 104850.0 166200.0 106050.0 167400.0 ; + RECT 107850.0 163500.0 109050.0 164700.0 ; + RECT 110850.0 176400.0 112050.0 177600.0 ; + RECT 101850.0 179100.0 103050.0 180300.0 ; + RECT 104850.0 193800.0 106050.0 195000.0 ; + RECT 101850.0 191100.0 103050.0 192300.0 ; + RECT 130500.0 144300.0 129600.0 197700.0 ; + RECT 127500.0 144300.0 126600.0 197700.0 ; + RECT 80250.0 206850.0 81150.0 207750.0 ; + RECT 82650.0 206850.0 83550.0 207750.0 ; + RECT 80250.0 207300.0 81150.0 210150.0 ; + RECT 80700.0 206850.0 83100.0 207750.0 ; + RECT 82650.0 202650.0 83550.0 207300.0 ; + RECT 80100.0 210150.0 81300.0 211350.0 ; + RECT 82500.0 201450.0 83700.0 202650.0 ; + RECT 83700.0 206700.0 82500.0 207900.0 ; + RECT 80250.0 219750.0 81150.0 218850.0 ; + RECT 82650.0 219750.0 83550.0 218850.0 ; + RECT 80250.0 219300.0 81150.0 216450.0 ; + RECT 80700.0 219750.0 83100.0 218850.0 ; + RECT 82650.0 223950.0 83550.0 219300.0 ; + RECT 80100.0 216450.0 81300.0 215250.0 ; + RECT 82500.0 225150.0 83700.0 223950.0 ; + RECT 83700.0 219900.0 82500.0 218700.0 ; + RECT 80250.0 234450.0 81150.0 235350.0 ; + RECT 82650.0 234450.0 83550.0 235350.0 ; + RECT 80250.0 234900.0 81150.0 237750.0 ; + RECT 80700.0 234450.0 83100.0 235350.0 ; + RECT 82650.0 230250.0 83550.0 234900.0 ; + RECT 80100.0 237750.0 81300.0 238950.0 ; + RECT 82500.0 229050.0 83700.0 230250.0 ; + RECT 83700.0 234300.0 82500.0 235500.0 ; + RECT 80250.0 247350.0 81150.0 246450.0 ; + RECT 82650.0 247350.0 83550.0 246450.0 ; + RECT 80250.0 246900.0 81150.0 244050.0 ; + RECT 80700.0 247350.0 83100.0 246450.0 ; + RECT 82650.0 251550.0 83550.0 246900.0 ; + RECT 80100.0 244050.0 81300.0 242850.0 ; + RECT 82500.0 252750.0 83700.0 251550.0 ; + RECT 83700.0 247500.0 82500.0 246300.0 ; + RECT 80250.0 262050.0 81150.0 262950.0 ; + RECT 82650.0 262050.0 83550.0 262950.0 ; + RECT 80250.0 262500.0 81150.0 265350.0 ; + RECT 80700.0 262050.0 83100.0 262950.0 ; + RECT 82650.0 257850.0 83550.0 262500.0 ; + RECT 80100.0 265350.0 81300.0 266550.0 ; + RECT 82500.0 256650.0 83700.0 257850.0 ; + RECT 83700.0 261900.0 82500.0 263100.0 ; + RECT 80250.0 274950.0 81150.0 274050.0 ; + RECT 82650.0 274950.0 83550.0 274050.0 ; + RECT 80250.0 274500.0 81150.0 271650.0 ; + RECT 80700.0 274950.0 83100.0 274050.0 ; + RECT 82650.0 279150.0 83550.0 274500.0 ; + RECT 80100.0 271650.0 81300.0 270450.0 ; + RECT 82500.0 280350.0 83700.0 279150.0 ; + RECT 83700.0 275100.0 82500.0 273900.0 ; + RECT 80250.0 289650.0 81150.0 290550.0 ; + RECT 82650.0 289650.0 83550.0 290550.0 ; + RECT 80250.0 290100.0 81150.0 292950.0 ; + RECT 80700.0 289650.0 83100.0 290550.0 ; + RECT 82650.0 285450.0 83550.0 290100.0 ; + RECT 80100.0 292950.0 81300.0 294150.0 ; + RECT 82500.0 284250.0 83700.0 285450.0 ; + RECT 83700.0 289500.0 82500.0 290700.0 ; + RECT 80250.0 302550.0 81150.0 301650.0 ; + RECT 82650.0 302550.0 83550.0 301650.0 ; + RECT 80250.0 302100.0 81150.0 299250.0 ; + RECT 80700.0 302550.0 83100.0 301650.0 ; + RECT 82650.0 306750.0 83550.0 302100.0 ; + RECT 80100.0 299250.0 81300.0 298050.0 ; + RECT 82500.0 307950.0 83700.0 306750.0 ; + RECT 83700.0 302700.0 82500.0 301500.0 ; + RECT 80250.0 317250.0 81150.0 318150.0 ; + RECT 82650.0 317250.0 83550.0 318150.0 ; + RECT 80250.0 317700.0 81150.0 320550.0 ; + RECT 80700.0 317250.0 83100.0 318150.0 ; + RECT 82650.0 313050.0 83550.0 317700.0 ; + RECT 80100.0 320550.0 81300.0 321750.0 ; + RECT 82500.0 311850.0 83700.0 313050.0 ; + RECT 83700.0 317100.0 82500.0 318300.0 ; + RECT 80250.0 330150.0 81150.0 329250.0 ; + RECT 82650.0 330150.0 83550.0 329250.0 ; + RECT 80250.0 329700.0 81150.0 326850.0 ; + RECT 80700.0 330150.0 83100.0 329250.0 ; + RECT 82650.0 334350.0 83550.0 329700.0 ; + RECT 80100.0 326850.0 81300.0 325650.0 ; + RECT 82500.0 335550.0 83700.0 334350.0 ; + RECT 83700.0 330300.0 82500.0 329100.0 ; + RECT 80250.0 344850.0 81150.0 345750.0 ; + RECT 82650.0 344850.0 83550.0 345750.0 ; + RECT 80250.0 345300.0 81150.0 348150.0 ; + RECT 80700.0 344850.0 83100.0 345750.0 ; + RECT 82650.0 340650.0 83550.0 345300.0 ; + RECT 80100.0 348150.0 81300.0 349350.0 ; + RECT 82500.0 339450.0 83700.0 340650.0 ; + RECT 83700.0 344700.0 82500.0 345900.0 ; + RECT 80250.0 357750.0 81150.0 356850.0 ; + RECT 82650.0 357750.0 83550.0 356850.0 ; + RECT 80250.0 357300.0 81150.0 354450.0 ; + RECT 80700.0 357750.0 83100.0 356850.0 ; + RECT 82650.0 361950.0 83550.0 357300.0 ; + RECT 80100.0 354450.0 81300.0 353250.0 ; + RECT 82500.0 363150.0 83700.0 361950.0 ; + RECT 83700.0 357900.0 82500.0 356700.0 ; + RECT 80250.0 372450.0 81150.0 373350.0 ; + RECT 82650.0 372450.0 83550.0 373350.0 ; + RECT 80250.0 372900.0 81150.0 375750.0 ; + RECT 80700.0 372450.0 83100.0 373350.0 ; + RECT 82650.0 368250.0 83550.0 372900.0 ; + RECT 80100.0 375750.0 81300.0 376950.0 ; + RECT 82500.0 367050.0 83700.0 368250.0 ; + RECT 83700.0 372300.0 82500.0 373500.0 ; + RECT 80250.0 385350.0 81150.0 384450.0 ; + RECT 82650.0 385350.0 83550.0 384450.0 ; + RECT 80250.0 384900.0 81150.0 382050.0 ; + RECT 80700.0 385350.0 83100.0 384450.0 ; + RECT 82650.0 389550.0 83550.0 384900.0 ; + RECT 80100.0 382050.0 81300.0 380850.0 ; + RECT 82500.0 390750.0 83700.0 389550.0 ; + RECT 83700.0 385500.0 82500.0 384300.0 ; + RECT 80250.0 400050.0 81150.0 400950.0 ; + RECT 82650.0 400050.0 83550.0 400950.0 ; + RECT 80250.0 400500.0 81150.0 403350.0 ; + RECT 80700.0 400050.0 83100.0 400950.0 ; + RECT 82650.0 395850.0 83550.0 400500.0 ; + RECT 80100.0 403350.0 81300.0 404550.0 ; + RECT 82500.0 394650.0 83700.0 395850.0 ; + RECT 83700.0 399900.0 82500.0 401100.0 ; + RECT 80250.0 412950.0 81150.0 412050.0 ; + RECT 82650.0 412950.0 83550.0 412050.0 ; + RECT 80250.0 412500.0 81150.0 409650.0 ; + RECT 80700.0 412950.0 83100.0 412050.0 ; + RECT 82650.0 417150.0 83550.0 412500.0 ; + RECT 80100.0 409650.0 81300.0 408450.0 ; + RECT 82500.0 418350.0 83700.0 417150.0 ; + RECT 83700.0 413100.0 82500.0 411900.0 ; + RECT 60150.0 95100.0 58950.0 96300.0 ; + RECT 62250.0 109500.0 61050.0 110700.0 ; + RECT 64350.0 122700.0 63150.0 123900.0 ; + RECT 66450.0 137100.0 65250.0 138300.0 ; + RECT 68550.0 150300.0 67350.0 151500.0 ; + RECT 70650.0 164700.0 69450.0 165900.0 ; + RECT 72750.0 177900.0 71550.0 179100.0 ; + RECT 74850.0 192300.0 73650.0 193500.0 ; + RECT 60150.0 206700.0 58950.0 207900.0 ; + RECT 68550.0 204000.0 67350.0 205200.0 ; + RECT 60150.0 218700.0 58950.0 219900.0 ; + RECT 70650.0 221400.0 69450.0 222600.0 ; + RECT 60150.0 234300.0 58950.0 235500.0 ; + RECT 72750.0 231600.0 71550.0 232800.0 ; + RECT 60150.0 246300.0 58950.0 247500.0 ; + RECT 74850.0 249000.0 73650.0 250200.0 ; + RECT 62250.0 261900.0 61050.0 263100.0 ; + RECT 68550.0 259200.0 67350.0 260400.0 ; + RECT 62250.0 273900.0 61050.0 275100.0 ; + RECT 70650.0 276600.0 69450.0 277800.0 ; + RECT 62250.0 289500.0 61050.0 290700.0 ; + RECT 72750.0 286800.0 71550.0 288000.0 ; + RECT 62250.0 301500.0 61050.0 302700.0 ; + RECT 74850.0 304200.0 73650.0 305400.0 ; + RECT 64350.0 317100.0 63150.0 318300.0 ; + RECT 68550.0 314400.0 67350.0 315600.0 ; + RECT 64350.0 329100.0 63150.0 330300.0 ; + RECT 70650.0 331800.0 69450.0 333000.0 ; + RECT 64350.0 344700.0 63150.0 345900.0 ; + RECT 72750.0 342000.0 71550.0 343200.0 ; + RECT 64350.0 356700.0 63150.0 357900.0 ; + RECT 74850.0 359400.0 73650.0 360600.0 ; + RECT 66450.0 372300.0 65250.0 373500.0 ; + RECT 68550.0 369600.0 67350.0 370800.0 ; + RECT 66450.0 384300.0 65250.0 385500.0 ; + RECT 70650.0 387000.0 69450.0 388200.0 ; + RECT 66450.0 399900.0 65250.0 401100.0 ; + RECT 72750.0 397200.0 71550.0 398400.0 ; + RECT 66450.0 411900.0 65250.0 413100.0 ; + RECT 74850.0 414600.0 73650.0 415800.0 ; + RECT 129600.0 89100.0 130500.0 142500.0 ; + RECT 126600.0 89100.0 127500.0 142500.0 ; + RECT 129600.0 144300.0 130500.0 197700.0 ; + RECT 126600.0 144300.0 127500.0 197700.0 ; + RECT 104550.0 204150.0 105450.0 205050.0 ; + RECT 104550.0 203700.0 105450.0 204600.0 ; + RECT 105000.0 204150.0 121200.0 205050.0 ; + RECT 104550.0 221550.0 105450.0 222450.0 ; + RECT 104550.0 222000.0 105450.0 222900.0 ; + RECT 105000.0 221550.0 121200.0 222450.0 ; + RECT 104550.0 231750.0 105450.0 232650.0 ; + RECT 104550.0 231300.0 105450.0 232200.0 ; + RECT 105000.0 231750.0 121200.0 232650.0 ; + RECT 104550.0 249150.0 105450.0 250050.0 ; + RECT 104550.0 249600.0 105450.0 250500.0 ; + RECT 105000.0 249150.0 121200.0 250050.0 ; + RECT 104550.0 259350.0 105450.0 260250.0 ; + RECT 104550.0 258900.0 105450.0 259800.0 ; + RECT 105000.0 259350.0 121200.0 260250.0 ; + RECT 104550.0 276750.0 105450.0 277650.0 ; + RECT 104550.0 277200.0 105450.0 278100.0 ; + RECT 105000.0 276750.0 121200.0 277650.0 ; + RECT 104550.0 286950.0 105450.0 287850.0 ; + RECT 104550.0 286500.0 105450.0 287400.0 ; + RECT 105000.0 286950.0 121200.0 287850.0 ; + RECT 104550.0 304350.0 105450.0 305250.0 ; + RECT 104550.0 304800.0 105450.0 305700.0 ; + RECT 105000.0 304350.0 121200.0 305250.0 ; + RECT 104550.0 314550.0 105450.0 315450.0 ; + RECT 104550.0 314100.0 105450.0 315000.0 ; + RECT 105000.0 314550.0 121200.0 315450.0 ; + RECT 104550.0 331950.0 105450.0 332850.0 ; + RECT 104550.0 332400.0 105450.0 333300.0 ; + RECT 105000.0 331950.0 121200.0 332850.0 ; + RECT 104550.0 342150.0 105450.0 343050.0 ; + RECT 104550.0 341700.0 105450.0 342600.0 ; + RECT 105000.0 342150.0 121200.0 343050.0 ; + RECT 104550.0 359550.0 105450.0 360450.0 ; + RECT 104550.0 360000.0 105450.0 360900.0 ; + RECT 105000.0 359550.0 121200.0 360450.0 ; + RECT 104550.0 369750.0 105450.0 370650.0 ; + RECT 104550.0 369300.0 105450.0 370200.0 ; + RECT 105000.0 369750.0 121200.0 370650.0 ; + RECT 104550.0 387150.0 105450.0 388050.0 ; + RECT 104550.0 387600.0 105450.0 388500.0 ; + RECT 105000.0 387150.0 121200.0 388050.0 ; + RECT 104550.0 397350.0 105450.0 398250.0 ; + RECT 104550.0 396900.0 105450.0 397800.0 ; + RECT 105000.0 397350.0 121200.0 398250.0 ; + RECT 104550.0 414750.0 105450.0 415650.0 ; + RECT 104550.0 415200.0 105450.0 416100.0 ; + RECT 105000.0 414750.0 121200.0 415650.0 ; + RECT 120150.0 206850.0 121050.0 207750.0 ; + RECT 122550.0 206850.0 123450.0 207750.0 ; + RECT 120150.0 207300.0 121050.0 210150.0 ; + RECT 120600.0 206850.0 123000.0 207750.0 ; + RECT 122550.0 202650.0 123450.0 207300.0 ; + RECT 120000.0 210150.0 121200.0 211350.0 ; + RECT 122400.0 201450.0 123600.0 202650.0 ; + RECT 123600.0 206700.0 122400.0 207900.0 ; + RECT 102450.0 205500.0 103650.0 206700.0 ; + RECT 104400.0 203100.0 105600.0 204300.0 ; + RECT 121200.0 204000.0 120000.0 205200.0 ; + RECT 120150.0 219750.0 121050.0 218850.0 ; + RECT 122550.0 219750.0 123450.0 218850.0 ; + RECT 120150.0 219300.0 121050.0 216450.0 ; + RECT 120600.0 219750.0 123000.0 218850.0 ; + RECT 122550.0 223950.0 123450.0 219300.0 ; + RECT 120000.0 216450.0 121200.0 215250.0 ; + RECT 122400.0 225150.0 123600.0 223950.0 ; + RECT 123600.0 219900.0 122400.0 218700.0 ; + RECT 102450.0 219900.0 103650.0 221100.0 ; + RECT 104400.0 222300.0 105600.0 223500.0 ; + RECT 121200.0 221400.0 120000.0 222600.0 ; + RECT 120150.0 234450.0 121050.0 235350.0 ; + RECT 122550.0 234450.0 123450.0 235350.0 ; + RECT 120150.0 234900.0 121050.0 237750.0 ; + RECT 120600.0 234450.0 123000.0 235350.0 ; + RECT 122550.0 230250.0 123450.0 234900.0 ; + RECT 120000.0 237750.0 121200.0 238950.0 ; + RECT 122400.0 229050.0 123600.0 230250.0 ; + RECT 123600.0 234300.0 122400.0 235500.0 ; + RECT 102450.0 233100.0 103650.0 234300.0 ; + RECT 104400.0 230700.0 105600.0 231900.0 ; + RECT 121200.0 231600.0 120000.0 232800.0 ; + RECT 120150.0 247350.0 121050.0 246450.0 ; + RECT 122550.0 247350.0 123450.0 246450.0 ; + RECT 120150.0 246900.0 121050.0 244050.0 ; + RECT 120600.0 247350.0 123000.0 246450.0 ; + RECT 122550.0 251550.0 123450.0 246900.0 ; + RECT 120000.0 244050.0 121200.0 242850.0 ; + RECT 122400.0 252750.0 123600.0 251550.0 ; + RECT 123600.0 247500.0 122400.0 246300.0 ; + RECT 102450.0 247500.0 103650.0 248700.0 ; + RECT 104400.0 249900.0 105600.0 251100.0 ; + RECT 121200.0 249000.0 120000.0 250200.0 ; + RECT 120150.0 262050.0 121050.0 262950.0 ; + RECT 122550.0 262050.0 123450.0 262950.0 ; + RECT 120150.0 262500.0 121050.0 265350.0 ; + RECT 120600.0 262050.0 123000.0 262950.0 ; + RECT 122550.0 257850.0 123450.0 262500.0 ; + RECT 120000.0 265350.0 121200.0 266550.0 ; + RECT 122400.0 256650.0 123600.0 257850.0 ; + RECT 123600.0 261900.0 122400.0 263100.0 ; + RECT 102450.0 260700.0 103650.0 261900.0 ; + RECT 104400.0 258300.0 105600.0 259500.0 ; + RECT 121200.0 259200.0 120000.0 260400.0 ; + RECT 120150.0 274950.0 121050.0 274050.0 ; + RECT 122550.0 274950.0 123450.0 274050.0 ; + RECT 120150.0 274500.0 121050.0 271650.0 ; + RECT 120600.0 274950.0 123000.0 274050.0 ; + RECT 122550.0 279150.0 123450.0 274500.0 ; + RECT 120000.0 271650.0 121200.0 270450.0 ; + RECT 122400.0 280350.0 123600.0 279150.0 ; + RECT 123600.0 275100.0 122400.0 273900.0 ; + RECT 102450.0 275100.0 103650.0 276300.0 ; + RECT 104400.0 277500.0 105600.0 278700.0 ; + RECT 121200.0 276600.0 120000.0 277800.0 ; + RECT 120150.0 289650.0 121050.0 290550.0 ; + RECT 122550.0 289650.0 123450.0 290550.0 ; + RECT 120150.0 290100.0 121050.0 292950.0 ; + RECT 120600.0 289650.0 123000.0 290550.0 ; + RECT 122550.0 285450.0 123450.0 290100.0 ; + RECT 120000.0 292950.0 121200.0 294150.0 ; + RECT 122400.0 284250.0 123600.0 285450.0 ; + RECT 123600.0 289500.0 122400.0 290700.0 ; + RECT 102450.0 288300.0 103650.0 289500.0 ; + RECT 104400.0 285900.0 105600.0 287100.0 ; + RECT 121200.0 286800.0 120000.0 288000.0 ; + RECT 120150.0 302550.0 121050.0 301650.0 ; + RECT 122550.0 302550.0 123450.0 301650.0 ; + RECT 120150.0 302100.0 121050.0 299250.0 ; + RECT 120600.0 302550.0 123000.0 301650.0 ; + RECT 122550.0 306750.0 123450.0 302100.0 ; + RECT 120000.0 299250.0 121200.0 298050.0 ; + RECT 122400.0 307950.0 123600.0 306750.0 ; + RECT 123600.0 302700.0 122400.0 301500.0 ; + RECT 102450.0 302700.0 103650.0 303900.0 ; + RECT 104400.0 305100.0 105600.0 306300.0 ; + RECT 121200.0 304200.0 120000.0 305400.0 ; + RECT 120150.0 317250.0 121050.0 318150.0 ; + RECT 122550.0 317250.0 123450.0 318150.0 ; + RECT 120150.0 317700.0 121050.0 320550.0 ; + RECT 120600.0 317250.0 123000.0 318150.0 ; + RECT 122550.0 313050.0 123450.0 317700.0 ; + RECT 120000.0 320550.0 121200.0 321750.0 ; + RECT 122400.0 311850.0 123600.0 313050.0 ; + RECT 123600.0 317100.0 122400.0 318300.0 ; + RECT 102450.0 315900.0 103650.0 317100.0 ; + RECT 104400.0 313500.0 105600.0 314700.0 ; + RECT 121200.0 314400.0 120000.0 315600.0 ; + RECT 120150.0 330150.0 121050.0 329250.0 ; + RECT 122550.0 330150.0 123450.0 329250.0 ; + RECT 120150.0 329700.0 121050.0 326850.0 ; + RECT 120600.0 330150.0 123000.0 329250.0 ; + RECT 122550.0 334350.0 123450.0 329700.0 ; + RECT 120000.0 326850.0 121200.0 325650.0 ; + RECT 122400.0 335550.0 123600.0 334350.0 ; + RECT 123600.0 330300.0 122400.0 329100.0 ; + RECT 102450.0 330300.0 103650.0 331500.0 ; + RECT 104400.0 332700.0 105600.0 333900.0 ; + RECT 121200.0 331800.0 120000.0 333000.0 ; + RECT 120150.0 344850.0 121050.0 345750.0 ; + RECT 122550.0 344850.0 123450.0 345750.0 ; + RECT 120150.0 345300.0 121050.0 348150.0 ; + RECT 120600.0 344850.0 123000.0 345750.0 ; + RECT 122550.0 340650.0 123450.0 345300.0 ; + RECT 120000.0 348150.0 121200.0 349350.0 ; + RECT 122400.0 339450.0 123600.0 340650.0 ; + RECT 123600.0 344700.0 122400.0 345900.0 ; + RECT 102450.0 343500.0 103650.0 344700.0 ; + RECT 104400.0 341100.0 105600.0 342300.0 ; + RECT 121200.0 342000.0 120000.0 343200.0 ; + RECT 120150.0 357750.0 121050.0 356850.0 ; + RECT 122550.0 357750.0 123450.0 356850.0 ; + RECT 120150.0 357300.0 121050.0 354450.0 ; + RECT 120600.0 357750.0 123000.0 356850.0 ; + RECT 122550.0 361950.0 123450.0 357300.0 ; + RECT 120000.0 354450.0 121200.0 353250.0 ; + RECT 122400.0 363150.0 123600.0 361950.0 ; + RECT 123600.0 357900.0 122400.0 356700.0 ; + RECT 102450.0 357900.0 103650.0 359100.0 ; + RECT 104400.0 360300.0 105600.0 361500.0 ; + RECT 121200.0 359400.0 120000.0 360600.0 ; + RECT 120150.0 372450.0 121050.0 373350.0 ; + RECT 122550.0 372450.0 123450.0 373350.0 ; + RECT 120150.0 372900.0 121050.0 375750.0 ; + RECT 120600.0 372450.0 123000.0 373350.0 ; + RECT 122550.0 368250.0 123450.0 372900.0 ; + RECT 120000.0 375750.0 121200.0 376950.0 ; + RECT 122400.0 367050.0 123600.0 368250.0 ; + RECT 123600.0 372300.0 122400.0 373500.0 ; + RECT 102450.0 371100.0 103650.0 372300.0 ; + RECT 104400.0 368700.0 105600.0 369900.0 ; + RECT 121200.0 369600.0 120000.0 370800.0 ; + RECT 120150.0 385350.0 121050.0 384450.0 ; + RECT 122550.0 385350.0 123450.0 384450.0 ; + RECT 120150.0 384900.0 121050.0 382050.0 ; + RECT 120600.0 385350.0 123000.0 384450.0 ; + RECT 122550.0 389550.0 123450.0 384900.0 ; + RECT 120000.0 382050.0 121200.0 380850.0 ; + RECT 122400.0 390750.0 123600.0 389550.0 ; + RECT 123600.0 385500.0 122400.0 384300.0 ; + RECT 102450.0 385500.0 103650.0 386700.0 ; + RECT 104400.0 387900.0 105600.0 389100.0 ; + RECT 121200.0 387000.0 120000.0 388200.0 ; + RECT 120150.0 400050.0 121050.0 400950.0 ; + RECT 122550.0 400050.0 123450.0 400950.0 ; + RECT 120150.0 400500.0 121050.0 403350.0 ; + RECT 120600.0 400050.0 123000.0 400950.0 ; + RECT 122550.0 395850.0 123450.0 400500.0 ; + RECT 120000.0 403350.0 121200.0 404550.0 ; + RECT 122400.0 394650.0 123600.0 395850.0 ; + RECT 123600.0 399900.0 122400.0 401100.0 ; + RECT 102450.0 398700.0 103650.0 399900.0 ; + RECT 104400.0 396300.0 105600.0 397500.0 ; + RECT 121200.0 397200.0 120000.0 398400.0 ; + RECT 120150.0 412950.0 121050.0 412050.0 ; + RECT 122550.0 412950.0 123450.0 412050.0 ; + RECT 120150.0 412500.0 121050.0 409650.0 ; + RECT 120600.0 412950.0 123000.0 412050.0 ; + RECT 122550.0 417150.0 123450.0 412500.0 ; + RECT 120000.0 409650.0 121200.0 408450.0 ; + RECT 122400.0 418350.0 123600.0 417150.0 ; + RECT 123600.0 413100.0 122400.0 411900.0 ; + RECT 102450.0 413100.0 103650.0 414300.0 ; + RECT 104400.0 415500.0 105600.0 416700.0 ; + RECT 121200.0 414600.0 120000.0 415800.0 ; + RECT 102600.0 199500.0 103500.0 420300.0 ; + RECT 59100.0 83700.0 119100.0 73500.0 ; + RECT 59100.0 63300.0 119100.0 73500.0 ; + RECT 59100.0 63300.0 119100.0 53100.0 ; + RECT 59100.0 42900.0 119100.0 53100.0 ; + RECT 116700.0 79200.0 117900.0 76500.0 ; + RECT 114600.0 81900.0 119100.0 80700.0 ; + RECT 116700.0 70500.0 117900.0 67800.0 ; + RECT 114600.0 66300.0 119100.0 65100.0 ; + RECT 116700.0 58800.0 117900.0 56100.0 ; + RECT 114600.0 61500.0 119100.0 60300.0 ; + RECT 116700.0 50100.0 117900.0 47400.0 ; + RECT 114600.0 45900.0 119100.0 44700.0 ; + RECT 59100.0 74100.0 119100.0 72900.0 ; + RECT 59100.0 53700.0 119100.0 52500.0 ; RECT 176550.0 5850.0 177750.0 7050.0 ; RECT 186750.0 5850.0 187950.0 7050.0 ; RECT 180300.0 300.0 181500.0 1500.0 ; RECT 190500.0 300.0 191700.0 1500.0 ; - RECT 148050.0 199800.0 149250.0 198600.0 ; - RECT 148050.0 227400.0 149250.0 226200.0 ; - RECT 148050.0 255000.0 149250.0 253800.0 ; - RECT 148050.0 282600.0 149250.0 281400.0 ; - RECT 148050.0 310200.0 149250.0 309000.0 ; - RECT 148050.0 337800.0 149250.0 336600.0 ; - RECT 148050.0 365400.0 149250.0 364200.0 ; - RECT 148050.0 393000.0 149250.0 391800.0 ; - RECT 148050.0 420600.0 149250.0 419400.0 ; - RECT 130500.0 91050.0 129300.0 92250.0 ; - RECT 135600.0 90900.0 134400.0 92100.0 ; - RECT 127500.0 104850.0 126300.0 106050.0 ; - RECT 138300.0 104700.0 137100.0 105900.0 ; - RECT 130500.0 146250.0 129300.0 147450.0 ; - RECT 141000.0 146100.0 139800.0 147300.0 ; - RECT 127500.0 160050.0 126300.0 161250.0 ; - RECT 143700.0 159900.0 142500.0 161100.0 ; - RECT 132600.0 88200.0 131400.0 89400.0 ; - RECT 132600.0 88200.0 131400.0 89400.0 ; - RECT 147450.0 89400.0 148650.0 88200.0 ; - RECT 132600.0 115800.0 131400.0 117000.0 ; - RECT 132600.0 115800.0 131400.0 117000.0 ; - RECT 147450.0 117000.0 148650.0 115800.0 ; - RECT 132600.0 143400.0 131400.0 144600.0 ; - RECT 132600.0 143400.0 131400.0 144600.0 ; - RECT 147450.0 144600.0 148650.0 143400.0 ; - RECT 132600.0 171000.0 131400.0 172200.0 ; - RECT 132600.0 171000.0 131400.0 172200.0 ; - RECT 147450.0 172200.0 148650.0 171000.0 ; - RECT 118500.0 76950.0 117300.0 78150.0 ; - RECT 135600.0 76950.0 134400.0 78150.0 ; - RECT 118500.0 68250.0 117300.0 69450.0 ; - RECT 138300.0 68250.0 137100.0 69450.0 ; - RECT 118500.0 56550.0 117300.0 57750.0 ; - RECT 141000.0 56550.0 139800.0 57750.0 ; - RECT 118500.0 47850.0 117300.0 49050.0 ; - RECT 143700.0 47850.0 142500.0 49050.0 ; - RECT 120300.0 72600.0 119100.0 73800.0 ; - RECT 149250.0 72750.0 148050.0 73950.0 ; - RECT 120300.0 52200.0 119100.0 53400.0 ; - RECT 149250.0 52350.0 148050.0 53550.0 ; + RECT 148050.0 200100.0 149250.0 198900.0 ; + RECT 148050.0 227700.0 149250.0 226500.0 ; + RECT 148050.0 255300.0 149250.0 254100.0 ; + RECT 148050.0 282900.0 149250.0 281700.0 ; + RECT 148050.0 310500.0 149250.0 309300.0 ; + RECT 148050.0 338100.0 149250.0 336900.0 ; + RECT 148050.0 365700.0 149250.0 364500.0 ; + RECT 148050.0 393300.0 149250.0 392100.0 ; + RECT 148050.0 420900.0 149250.0 419700.0 ; + RECT 130500.0 91350.0 129300.0 92550.0 ; + RECT 135600.0 91200.0 134400.0 92400.0 ; + RECT 127500.0 105150.0 126300.0 106350.0 ; + RECT 138300.0 105000.0 137100.0 106200.0 ; + RECT 130500.0 146550.0 129300.0 147750.0 ; + RECT 141000.0 146400.0 139800.0 147600.0 ; + RECT 127500.0 160350.0 126300.0 161550.0 ; + RECT 143700.0 160200.0 142500.0 161400.0 ; + RECT 132600.0 88500.0 131400.0 89700.0 ; + RECT 132600.0 88500.0 131400.0 89700.0 ; + RECT 147450.0 89700.0 148650.0 88500.0 ; + RECT 132600.0 116100.0 131400.0 117300.0 ; + RECT 132600.0 116100.0 131400.0 117300.0 ; + RECT 147450.0 117300.0 148650.0 116100.0 ; + RECT 132600.0 143700.0 131400.0 144900.0 ; + RECT 132600.0 143700.0 131400.0 144900.0 ; + RECT 147450.0 144900.0 148650.0 143700.0 ; + RECT 132600.0 171300.0 131400.0 172500.0 ; + RECT 132600.0 171300.0 131400.0 172500.0 ; + RECT 147450.0 172500.0 148650.0 171300.0 ; + RECT 118500.0 77250.0 117300.0 78450.0 ; + RECT 135600.0 77250.0 134400.0 78450.0 ; + RECT 118500.0 68550.0 117300.0 69750.0 ; + RECT 138300.0 68550.0 137100.0 69750.0 ; + RECT 118500.0 56850.0 117300.0 58050.0 ; + RECT 141000.0 56850.0 139800.0 58050.0 ; + RECT 118500.0 48150.0 117300.0 49350.0 ; + RECT 143700.0 48150.0 142500.0 49350.0 ; + RECT 120300.0 72900.0 119100.0 74100.0 ; + RECT 149250.0 73050.0 148050.0 74250.0 ; + RECT 120300.0 52500.0 119100.0 53700.0 ; + RECT 149250.0 52650.0 148050.0 53850.0 ; RECT 164400.0 32250.0 163200.0 33450.0 ; RECT 159000.0 27750.0 157800.0 28950.0 ; RECT 161700.0 25350.0 160500.0 26550.0 ; - RECT 164400.0 424650.0 163200.0 425850.0 ; - RECT 167100.0 96750.0 165900.0 97950.0 ; - RECT 169800.0 194850.0 168600.0 196050.0 ; - RECT 156300.0 84900.0 155100.0 86100.0 ; - RECT 103650.0 421500.0 102450.0 422700.0 ; - RECT 156300.0 421500.0 155100.0 422700.0 ; + RECT 164400.0 428550.0 163200.0 429750.0 ; + RECT 167100.0 97050.0 165900.0 98250.0 ; + RECT 169800.0 195150.0 168600.0 196350.0 ; + RECT 156300.0 85200.0 155100.0 86400.0 ; + RECT 103650.0 421800.0 102450.0 423000.0 ; + RECT 156300.0 421800.0 155100.0 423000.0 ; RECT 152550.0 23400.0 151350.0 24600.0 ; - RECT 152550.0 192900.0 151350.0 194100.0 ; - RECT 152550.0 94800.0 151350.0 96000.0 ; + RECT 152550.0 193200.0 151350.0 194400.0 ; + RECT 152550.0 95100.0 151350.0 96300.0 ; RECT 180000.0 0.0 180900.0 1800.0 ; RECT 190200.0 0.0 191100.0 1800.0 ; - RECT 168750.0 0.0 169650.0 436800.0 ; - RECT 166050.0 0.0 166950.0 436800.0 ; - RECT 157950.0 0.0 158850.0 436800.0 ; - RECT 160650.0 0.0 161550.0 436800.0 ; - RECT 163350.0 0.0 164250.0 436800.0 ; - RECT 155250.0 0.0 156150.0 436800.0 ; - RECT 148050.0 0.0 152550.0 436800.0 ; - RECT 49800.0 289800.0 1.42108547152e-11 290700.0 ; - RECT 49800.0 292500.0 1.42108547152e-11 293400.0 ; - RECT 49800.0 295200.0 1.42108547152e-11 296100.0 ; - RECT 49800.0 300600.0 1.42108547152e-11 301500.0 ; - RECT 33750.0 205050.0 32850.0 284850.0 ; - RECT 49800.0 287100.0 47100.0 288000.0 ; - RECT 38700.0 297900.0 36000.0 298800.0 ; - RECT 24900.0 287100.0 22200.0 288000.0 ; - RECT 11100.0 297900.0 8400.0 298800.0 ; - RECT 7.1054273576e-12 202200.0 10200.0 262200.0 ; - RECT 20400.0 202200.0 10200.0 262200.0 ; - RECT 20400.0 202200.0 30600.0 262200.0 ; - RECT 4500.0 259800.0 7200.0 261000.0 ; - RECT 1800.0 257700.0 3000.0 262200.0 ; - RECT 13200.0 259800.0 15900.0 261000.0 ; - RECT 17400.0 257700.0 18600.0 262200.0 ; - RECT 24900.0 259800.0 27600.0 261000.0 ; - RECT 22200.0 257700.0 23400.0 262200.0 ; - RECT 9600.0 202200.0 10800.0 262200.0 ; - RECT 30000.0 202200.0 31200.0 262200.0 ; - RECT 46650.0 317850.0 39150.0 318750.0 ; - RECT 41700.0 313050.0 40800.0 313950.0 ; - RECT 41700.0 317850.0 40800.0 318750.0 ; - RECT 41250.0 313050.0 39150.0 313950.0 ; - RECT 41700.0 313500.0 40800.0 318300.0 ; - RECT 46650.0 317850.0 41250.0 318750.0 ; - RECT 39150.0 312900.0 37950.0 314100.0 ; - RECT 39150.0 317700.0 37950.0 318900.0 ; - RECT 47850.0 317700.0 46650.0 318900.0 ; - RECT 41850.0 317700.0 40650.0 318900.0 ; - RECT 28800.0 315450.0 29700.0 316350.0 ; - RECT 29250.0 315450.0 32250.0 316350.0 ; - RECT 28800.0 315900.0 29700.0 316800.0 ; - RECT 23700.0 315450.0 24600.0 316350.0 ; - RECT 23700.0 314100.0 24600.0 315900.0 ; - RECT 24150.0 315450.0 29250.0 316350.0 ; - RECT 32250.0 315300.0 33450.0 316500.0 ; - RECT 23550.0 314100.0 24750.0 312900.0 ; - RECT 28650.0 317400.0 29850.0 316200.0 ; - RECT 29550.0 330150.0 30450.0 331050.0 ; - RECT 29550.0 332550.0 30450.0 333450.0 ; - RECT 30000.0 330150.0 32850.0 331050.0 ; - RECT 29550.0 330600.0 30450.0 333000.0 ; - RECT 25350.0 332550.0 30000.0 333450.0 ; - RECT 32850.0 330000.0 34050.0 331200.0 ; - RECT 24150.0 332400.0 25350.0 333600.0 ; - RECT 29400.0 333600.0 30600.0 332400.0 ; - RECT 19050.0 327450.0 11550.0 328350.0 ; - RECT 14100.0 322650.0 13200.0 323550.0 ; - RECT 14100.0 327450.0 13200.0 328350.0 ; - RECT 13650.0 322650.0 11550.0 323550.0 ; - RECT 14100.0 323100.0 13200.0 327900.0 ; - RECT 19050.0 327450.0 13650.0 328350.0 ; - RECT 11550.0 322500.0 10350.0 323700.0 ; - RECT 11550.0 327300.0 10350.0 328500.0 ; - RECT 20250.0 327300.0 19050.0 328500.0 ; - RECT 14250.0 327300.0 13050.0 328500.0 ; - RECT 3000.0 262800.0 1800.0 261600.0 ; - RECT 3000.0 301650.0 1800.0 300450.0 ; - RECT 6450.0 261600.0 5250.0 260400.0 ; - RECT 6450.0 290850.0 5250.0 289650.0 ; - RECT 18600.0 262800.0 17400.0 261600.0 ; - RECT 18600.0 293550.0 17400.0 292350.0 ; - RECT 23400.0 262800.0 22200.0 261600.0 ; - RECT 23400.0 296250.0 22200.0 295050.0 ; - RECT 10800.0 262800.0 9600.0 261600.0 ; - RECT 10800.0 288150.0 9600.0 286950.0 ; - RECT 31200.0 262800.0 30000.0 261600.0 ; - RECT 31200.0 288150.0 30000.0 286950.0 ; - RECT 22650.0 371700.0 21750.0 425400.0 ; - RECT 22650.0 381300.0 21750.0 384000.0 ; - RECT 22650.0 384000.0 21750.0 426000.0 ; - RECT 17250.0 423300.0 16350.0 426000.0 ; - RECT 20400.0 375900.0 19500.0 384000.0 ; - RECT 13650.0 375900.0 12750.0 380700.0 ; - RECT 42750.0 415500.0 43650.0 422700.0 ; - RECT 35550.0 424650.0 36450.0 425550.0 ; - RECT 35550.0 425850.0 36450.0 426750.0 ; - RECT 36000.0 424650.0 43200.0 425550.0 ; - RECT 35550.0 425100.0 36450.0 426300.0 ; - RECT 28800.0 425850.0 36000.0 426750.0 ; - RECT 28350.0 416700.0 29250.0 423900.0 ; - RECT 42600.0 422100.0 43800.0 423300.0 ; - RECT 28200.0 425700.0 29400.0 426900.0 ; - RECT 28200.0 416100.0 29400.0 417300.0 ; - RECT 42600.0 414900.0 43800.0 416100.0 ; - RECT 42600.0 424500.0 43800.0 425700.0 ; - RECT 28200.0 423300.0 29400.0 424500.0 ; - RECT 16800.0 395100.0 6600.0 381300.0 ; - RECT 16800.0 395100.0 6600.0 408900.0 ; - RECT 16800.0 422700.0 6600.0 408900.0 ; - RECT 13800.0 395700.0 12600.0 424500.0 ; - RECT 10800.0 394500.0 9600.0 423300.0 ; - RECT 17400.0 394500.0 16200.0 423300.0 ; - RECT 7200.0 394500.0 6000.0 423300.0 ; - RECT 22650.0 396600.0 21450.0 397800.0 ; - RECT 22650.0 420000.0 21450.0 421200.0 ; - RECT 22650.0 410100.0 21450.0 411300.0 ; - RECT 22650.0 370500.0 21450.0 371700.0 ; - RECT 21600.0 425400.0 22800.0 426600.0 ; - RECT 16200.0 425400.0 17400.0 426600.0 ; - RECT 19350.0 383400.0 20550.0 384600.0 ; - RECT 19350.0 375300.0 20550.0 376500.0 ; - RECT 12600.0 375300.0 13800.0 376500.0 ; - RECT 43950.0 285450.0 42750.0 284250.0 ; - RECT 43950.0 244500.0 42750.0 243300.0 ; - RECT 43950.0 304350.0 42750.0 303150.0 ; - RECT 43950.0 244500.0 42750.0 243300.0 ; - RECT 33900.0 205650.0 32700.0 204450.0 ; - RECT 29850.0 285450.0 28650.0 284250.0 ; - RECT 27150.0 290850.0 25950.0 289650.0 ; - RECT 30600.0 328200.0 29400.0 327000.0 ; - RECT 30600.0 328200.0 29400.0 327000.0 ; - RECT 30600.0 304350.0 29400.0 303150.0 ; - RECT 27900.0 331200.0 26700.0 330000.0 ; - RECT 27900.0 331200.0 26700.0 330000.0 ; - RECT 27900.0 301650.0 26700.0 300450.0 ; - RECT 41850.0 304350.0 40650.0 303150.0 ; - RECT 43800.0 301650.0 42600.0 300450.0 ; - RECT 45750.0 293550.0 44550.0 292350.0 ; - RECT 14250.0 304350.0 13050.0 303150.0 ; - RECT 16200.0 293550.0 15000.0 292350.0 ; - RECT 18150.0 296250.0 16950.0 295050.0 ; - RECT 29850.0 322500.0 28650.0 323700.0 ; - RECT 30600.0 339600.0 29400.0 340800.0 ; - RECT 16200.0 362100.0 15000.0 363300.0 ; - RECT 29400.0 342300.0 28200.0 343500.0 ; - RECT 50400.0 288150.0 49200.0 286950.0 ; - RECT 36600.0 298950.0 35400.0 297750.0 ; - RECT 22800.0 288150.0 21600.0 286950.0 ; - RECT 9000.0 298950.0 7800.0 297750.0 ; - RECT 49800.0 342450.0 28800.0 343350.0 ; - RECT 49800.0 362250.0 15600.0 363150.0 ; - RECT 49800.0 322650.0 29250.0 323550.0 ; - RECT 49800.0 339750.0 30000.0 340650.0 ; - RECT 49800.0 303300.0 1.42108547152e-11 304200.0 ; - RECT 49800.0 284400.0 1.42108547152e-11 285300.0 ; - RECT 49800.0 297900.0 1.42108547152e-11 298800.0 ; - RECT 49800.0 287100.0 1.42108547152e-11 288000.0 ; - RECT 169800.0 342300.0 168600.0 343500.0 ; - RECT 49500.0 342450.0 48300.0 343650.0 ; - RECT 167100.0 362100.0 165900.0 363300.0 ; - RECT 49500.0 362250.0 48300.0 363450.0 ; - RECT 161700.0 322500.0 160500.0 323700.0 ; - RECT 49500.0 322650.0 48300.0 323850.0 ; - RECT 159000.0 339600.0 157800.0 340800.0 ; - RECT 49500.0 339750.0 48300.0 340950.0 ; - RECT 164400.0 303150.0 163200.0 304350.0 ; - RECT 49500.0 303300.0 48300.0 304500.0 ; - RECT 156300.0 284250.0 155100.0 285450.0 ; - RECT 49500.0 284400.0 48300.0 285600.0 ; - RECT 55650.0 297750.0 54450.0 298950.0 ; - RECT 150900.0 286950.0 149700.0 288150.0 ; - RECT 49500.0 287100.0 48300.0 288300.0 ; + RECT 168750.0 0.0 169650.0 440700.0 ; + RECT 166050.0 0.0 166950.0 440700.0 ; + RECT 157950.0 0.0 158850.0 440700.0 ; + RECT 160650.0 0.0 161550.0 440700.0 ; + RECT 163350.0 0.0 164250.0 440700.0 ; + RECT 155250.0 0.0 156150.0 440700.0 ; + RECT 148050.0 0.0 152550.0 440700.0 ; + RECT 49800.0 290100.0 1.42108547152e-11 291000.0 ; + RECT 49800.0 292800.0 1.42108547152e-11 293700.0 ; + RECT 49800.0 295500.0 1.42108547152e-11 296400.0 ; + RECT 49800.0 300900.0 1.42108547152e-11 301800.0 ; + RECT 33750.0 205350.0 32850.0 285150.0 ; + RECT 49800.0 287400.0 47100.0 288300.0 ; + RECT 38700.0 298200.0 36000.0 299100.0 ; + RECT 24900.0 287400.0 22200.0 288300.0 ; + RECT 11100.0 298200.0 8400.0 299100.0 ; + RECT 7.1054273576e-12 202500.0 10200.0 262500.0 ; + RECT 20400.0 202500.0 10200.0 262500.0 ; + RECT 20400.0 202500.0 30600.0 262500.0 ; + RECT 4500.0 260100.0 7200.0 261300.0 ; + RECT 1800.0 258000.0 3000.0 262500.0 ; + RECT 13200.0 260100.0 15900.0 261300.0 ; + RECT 17400.0 258000.0 18600.0 262500.0 ; + RECT 24900.0 260100.0 27600.0 261300.0 ; + RECT 22200.0 258000.0 23400.0 262500.0 ; + RECT 9600.0 202500.0 10800.0 262500.0 ; + RECT 30000.0 202500.0 31200.0 262500.0 ; + RECT 46650.0 318150.0 39150.0 319050.0 ; + RECT 41700.0 313350.0 40800.0 314250.0 ; + RECT 41700.0 318150.0 40800.0 319050.0 ; + RECT 41250.0 313350.0 39150.0 314250.0 ; + RECT 41700.0 313800.0 40800.0 318600.0 ; + RECT 46650.0 318150.0 41250.0 319050.0 ; + RECT 39150.0 313200.0 37950.0 314400.0 ; + RECT 39150.0 318000.0 37950.0 319200.0 ; + RECT 47850.0 318000.0 46650.0 319200.0 ; + RECT 41850.0 318000.0 40650.0 319200.0 ; + RECT 28800.0 315750.0 29700.0 316650.0 ; + RECT 29250.0 315750.0 32250.0 316650.0 ; + RECT 28800.0 316200.0 29700.0 317100.0 ; + RECT 23700.0 315750.0 24600.0 316650.0 ; + RECT 23700.0 314400.0 24600.0 316200.0 ; + RECT 24150.0 315750.0 29250.0 316650.0 ; + RECT 32250.0 315600.0 33450.0 316800.0 ; + RECT 23550.0 314400.0 24750.0 313200.0 ; + RECT 28650.0 317700.0 29850.0 316500.0 ; + RECT 29550.0 330450.0 30450.0 331350.0 ; + RECT 29550.0 332850.0 30450.0 333750.0 ; + RECT 30000.0 330450.0 32850.0 331350.0 ; + RECT 29550.0 330900.0 30450.0 333300.0 ; + RECT 25350.0 332850.0 30000.0 333750.0 ; + RECT 32850.0 330300.0 34050.0 331500.0 ; + RECT 24150.0 332700.0 25350.0 333900.0 ; + RECT 29400.0 333900.0 30600.0 332700.0 ; + RECT 19050.0 327750.0 11550.0 328650.0 ; + RECT 14100.0 322950.0 13200.0 323850.0 ; + RECT 14100.0 327750.0 13200.0 328650.0 ; + RECT 13650.0 322950.0 11550.0 323850.0 ; + RECT 14100.0 323400.0 13200.0 328200.0 ; + RECT 19050.0 327750.0 13650.0 328650.0 ; + RECT 11550.0 322800.0 10350.0 324000.0 ; + RECT 11550.0 327600.0 10350.0 328800.0 ; + RECT 20250.0 327600.0 19050.0 328800.0 ; + RECT 14250.0 327600.0 13050.0 328800.0 ; + RECT 3000.0 263100.0 1800.0 261900.0 ; + RECT 3000.0 301950.0 1800.0 300750.0 ; + RECT 6450.0 261900.0 5250.0 260700.0 ; + RECT 6450.0 291150.0 5250.0 289950.0 ; + RECT 18600.0 263100.0 17400.0 261900.0 ; + RECT 18600.0 293850.0 17400.0 292650.0 ; + RECT 23400.0 263100.0 22200.0 261900.0 ; + RECT 23400.0 296550.0 22200.0 295350.0 ; + RECT 10800.0 263100.0 9600.0 261900.0 ; + RECT 10800.0 288450.0 9600.0 287250.0 ; + RECT 31200.0 263100.0 30000.0 261900.0 ; + RECT 31200.0 288450.0 30000.0 287250.0 ; + RECT 22650.0 372000.0 21750.0 427500.0 ; + RECT 22650.0 381600.0 21750.0 384300.0 ; + RECT 22650.0 384300.0 21750.0 428100.0 ; + RECT 17250.0 425400.0 16350.0 428100.0 ; + RECT 20400.0 376200.0 19500.0 384300.0 ; + RECT 13650.0 376200.0 12750.0 381000.0 ; + RECT 42750.0 415800.0 43650.0 423000.0 ; + RECT 35550.0 424950.0 36450.0 425850.0 ; + RECT 35550.0 426150.0 36450.0 427050.0 ; + RECT 36000.0 424950.0 43200.0 425850.0 ; + RECT 35550.0 425400.0 36450.0 426600.0 ; + RECT 28800.0 426150.0 36000.0 427050.0 ; + RECT 28350.0 417000.0 29250.0 424200.0 ; + RECT 42600.0 422400.0 43800.0 423600.0 ; + RECT 28200.0 426000.0 29400.0 427200.0 ; + RECT 28200.0 416400.0 29400.0 417600.0 ; + RECT 42600.0 415200.0 43800.0 416400.0 ; + RECT 42600.0 424800.0 43800.0 426000.0 ; + RECT 28200.0 423600.0 29400.0 424800.0 ; + RECT 16800.0 395400.0 6600.0 381600.0 ; + RECT 16800.0 395400.0 6600.0 409200.0 ; + RECT 16800.0 423000.0 6600.0 409200.0 ; + RECT 13800.0 396000.0 12600.0 426600.0 ; + RECT 10800.0 394800.0 9600.0 425400.0 ; + RECT 17400.0 394800.0 16200.0 425400.0 ; + RECT 7200.0 394800.0 6000.0 425400.0 ; + RECT 22650.0 396900.0 21450.0 398100.0 ; + RECT 22650.0 420300.0 21450.0 421500.0 ; + RECT 22650.0 410400.0 21450.0 411600.0 ; + RECT 22650.0 370800.0 21450.0 372000.0 ; + RECT 21600.0 427500.0 22800.0 428700.0 ; + RECT 16200.0 427500.0 17400.0 428700.0 ; + RECT 19350.0 383700.0 20550.0 384900.0 ; + RECT 19350.0 375600.0 20550.0 376800.0 ; + RECT 12600.0 375600.0 13800.0 376800.0 ; + RECT 43950.0 285750.0 42750.0 284550.0 ; + RECT 43950.0 244800.0 42750.0 243600.0 ; + RECT 43950.0 304650.0 42750.0 303450.0 ; + RECT 43950.0 244800.0 42750.0 243600.0 ; + RECT 33900.0 205950.0 32700.0 204750.0 ; + RECT 29850.0 285750.0 28650.0 284550.0 ; + RECT 27150.0 291150.0 25950.0 289950.0 ; + RECT 30600.0 328500.0 29400.0 327300.0 ; + RECT 30600.0 328500.0 29400.0 327300.0 ; + RECT 30600.0 304650.0 29400.0 303450.0 ; + RECT 27900.0 331500.0 26700.0 330300.0 ; + RECT 27900.0 331500.0 26700.0 330300.0 ; + RECT 27900.0 301950.0 26700.0 300750.0 ; + RECT 41850.0 304650.0 40650.0 303450.0 ; + RECT 43800.0 301950.0 42600.0 300750.0 ; + RECT 45750.0 293850.0 44550.0 292650.0 ; + RECT 14250.0 304650.0 13050.0 303450.0 ; + RECT 16200.0 293850.0 15000.0 292650.0 ; + RECT 18150.0 296550.0 16950.0 295350.0 ; + RECT 29850.0 322800.0 28650.0 324000.0 ; + RECT 30600.0 339900.0 29400.0 341100.0 ; + RECT 16200.0 362400.0 15000.0 363600.0 ; + RECT 29400.0 342600.0 28200.0 343800.0 ; + RECT 50400.0 288450.0 49200.0 287250.0 ; + RECT 36600.0 299250.0 35400.0 298050.0 ; + RECT 22800.0 288450.0 21600.0 287250.0 ; + RECT 9000.0 299250.0 7800.0 298050.0 ; + RECT 49800.0 342750.0 28800.0 343650.0 ; + RECT 49800.0 362550.0 15600.0 363450.0 ; + RECT 49800.0 322950.0 29250.0 323850.0 ; + RECT 49800.0 340050.0 30000.0 340950.0 ; + RECT 49800.0 303600.0 1.42108547152e-11 304500.0 ; + RECT 49800.0 284700.0 1.42108547152e-11 285600.0 ; + RECT 49800.0 298200.0 1.42108547152e-11 299100.0 ; + RECT 49800.0 287400.0 1.42108547152e-11 288300.0 ; + RECT 169800.0 342600.0 168600.0 343800.0 ; + RECT 49500.0 342750.0 48300.0 343950.0 ; + RECT 167100.0 362400.0 165900.0 363600.0 ; + RECT 49500.0 362550.0 48300.0 363750.0 ; + RECT 161700.0 322800.0 160500.0 324000.0 ; + RECT 49500.0 322950.0 48300.0 324150.0 ; + RECT 159000.0 339900.0 157800.0 341100.0 ; + RECT 49500.0 340050.0 48300.0 341250.0 ; + RECT 164400.0 303450.0 163200.0 304650.0 ; + RECT 49500.0 303600.0 48300.0 304800.0 ; + RECT 156300.0 284550.0 155100.0 285750.0 ; + RECT 49500.0 284700.0 48300.0 285900.0 ; + RECT 55650.0 298050.0 54450.0 299250.0 ; + RECT 150900.0 287250.0 149700.0 288450.0 ; + RECT 49500.0 287400.0 48300.0 288600.0 ; LAYER metal3 ; - RECT 49800.0 342150.0 169200.0 343650.0 ; - RECT 49800.0 361950.0 166500.0 363450.0 ; - RECT 49800.0 322350.0 161100.0 323850.0 ; - RECT 49800.0 339450.0 158400.0 340950.0 ; - RECT 49800.0 303000.0 163800.0 304500.0 ; - RECT 49800.0 284100.0 155700.0 285600.0 ; - RECT 49800.0 286800.0 150300.0 288300.0 ; - RECT 176250.0 6300.0 177750.0 151200.0 ; - RECT 186450.0 6300.0 187950.0 151200.0 ; + RECT 49800.0 342450.0 169200.0 343950.0 ; + RECT 49800.0 362250.0 166500.0 363750.0 ; + RECT 49800.0 322650.0 161100.0 324150.0 ; + RECT 49800.0 339750.0 158400.0 341250.0 ; + RECT 49800.0 303300.0 163800.0 304800.0 ; + RECT 49800.0 284400.0 155700.0 285900.0 ; + RECT 49800.0 287100.0 150300.0 288600.0 ; + RECT 176250.0 6300.0 177750.0 151500.0 ; + RECT 186450.0 6300.0 187950.0 151500.0 ; RECT 180000.0 0.0 181500.0 30000.0 ; RECT 190200.0 0.0 191700.0 30000.0 ; - RECT 132000.0 88050.0 148050.0 89550.0 ; - RECT 132000.0 115650.0 148050.0 117150.0 ; - RECT 132000.0 143250.0 148050.0 144750.0 ; - RECT 132000.0 170850.0 148050.0 172350.0 ; - RECT 176100.0 151200.0 177900.0 153000.0 ; - RECT 186300.0 151200.0 188100.0 153000.0 ; + RECT 132000.0 88350.0 148050.0 89850.0 ; + RECT 132000.0 115950.0 148050.0 117450.0 ; + RECT 132000.0 143550.0 148050.0 145050.0 ; + RECT 132000.0 171150.0 148050.0 172650.0 ; + RECT 176100.0 151500.0 177900.0 153300.0 ; + RECT 186300.0 151500.0 188100.0 153300.0 ; RECT 179700.0 30900.0 181500.0 32700.0 ; RECT 189900.0 30900.0 191700.0 32700.0 ; - RECT 60000.0 79200.0 61800.0 77400.0 ; - RECT 60000.0 69000.0 61800.0 67200.0 ; - RECT 60000.0 58800.0 61800.0 57000.0 ; - RECT 60000.0 48600.0 61800.0 46800.0 ; + RECT 60000.0 79500.0 61800.0 77700.0 ; + RECT 60000.0 69300.0 61800.0 67500.0 ; + RECT 60000.0 59100.0 61800.0 57300.0 ; + RECT 60000.0 48900.0 61800.0 47100.0 ; RECT 176250.0 5550.0 178050.0 7350.0 ; RECT 186450.0 5550.0 188250.0 7350.0 ; RECT 180000.0 0.0 181800.0 1800.0 ; RECT 190200.0 0.0 192000.0 1800.0 ; - RECT 132900.0 87900.0 131100.0 89700.0 ; - RECT 147150.0 89700.0 148950.0 87900.0 ; - RECT 132900.0 115500.0 131100.0 117300.0 ; - RECT 147150.0 117300.0 148950.0 115500.0 ; - RECT 132900.0 143100.0 131100.0 144900.0 ; - RECT 147150.0 144900.0 148950.0 143100.0 ; - RECT 132900.0 170700.0 131100.0 172500.0 ; - RECT 147150.0 172500.0 148950.0 170700.0 ; - RECT 52800.0 77400.0 60000.0 78900.0 ; - RECT 52800.0 67200.0 60000.0 68700.0 ; - RECT 52800.0 57000.0 60000.0 58500.0 ; - RECT 52800.0 46800.0 60000.0 48300.0 ; - RECT 3150.0 262200.0 1650.0 301050.0 ; - RECT 6600.0 261000.0 5100.0 290250.0 ; - RECT 18750.0 262200.0 17250.0 292950.0 ; - RECT 23550.0 262200.0 22050.0 295650.0 ; - RECT 10950.0 262200.0 9450.0 287550.0 ; - RECT 31350.0 262200.0 29850.0 287550.0 ; - RECT 44100.0 243900.0 42600.0 303750.0 ; - RECT 30750.0 303750.0 29250.0 327600.0 ; - RECT 28050.0 301050.0 26550.0 330600.0 ; - RECT 4200.0 203100.0 6000.0 204900.0 ; - RECT 14400.0 203100.0 16200.0 204900.0 ; - RECT 24600.0 203100.0 26400.0 204900.0 ; - RECT 3300.0 263100.0 1500.0 261300.0 ; - RECT 3300.0 301950.0 1500.0 300150.0 ; - RECT 6750.0 261900.0 4950.0 260100.0 ; - RECT 6750.0 291150.0 4950.0 289350.0 ; - RECT 18900.0 263100.0 17100.0 261300.0 ; - RECT 18900.0 293850.0 17100.0 292050.0 ; - RECT 23700.0 263100.0 21900.0 261300.0 ; - RECT 23700.0 296550.0 21900.0 294750.0 ; - RECT 11100.0 263100.0 9300.0 261300.0 ; - RECT 11100.0 288450.0 9300.0 286650.0 ; - RECT 31500.0 263100.0 29700.0 261300.0 ; - RECT 31500.0 288450.0 29700.0 286650.0 ; - RECT 44250.0 244800.0 42450.0 243000.0 ; - RECT 44250.0 304650.0 42450.0 302850.0 ; - RECT 30900.0 328500.0 29100.0 326700.0 ; - RECT 30900.0 304650.0 29100.0 302850.0 ; - RECT 28200.0 331500.0 26400.0 329700.0 ; - RECT 28200.0 301950.0 26400.0 300150.0 ; - RECT 16200.0 203100.0 14400.0 204900.0 ; - RECT 26400.0 203100.0 24600.0 204900.0 ; - RECT 6000.0 203100.0 4200.0 204900.0 ; - RECT 170100.0 342000.0 168300.0 343800.0 ; - RECT 49800.0 342150.0 48000.0 343950.0 ; - RECT 167400.0 361800.0 165600.0 363600.0 ; - RECT 49800.0 361950.0 48000.0 363750.0 ; - RECT 162000.0 322200.0 160200.0 324000.0 ; - RECT 49800.0 322350.0 48000.0 324150.0 ; - RECT 159300.0 339300.0 157500.0 341100.0 ; - RECT 49800.0 339450.0 48000.0 341250.0 ; - RECT 164700.0 302850.0 162900.0 304650.0 ; - RECT 49800.0 303000.0 48000.0 304800.0 ; - RECT 156600.0 283950.0 154800.0 285750.0 ; - RECT 49800.0 284100.0 48000.0 285900.0 ; - RECT 151200.0 286650.0 149400.0 288450.0 ; - RECT 49800.0 286800.0 48000.0 288600.0 ; + RECT 132900.0 88200.0 131100.0 90000.0 ; + RECT 147150.0 90000.0 148950.0 88200.0 ; + RECT 132900.0 115800.0 131100.0 117600.0 ; + RECT 147150.0 117600.0 148950.0 115800.0 ; + RECT 132900.0 143400.0 131100.0 145200.0 ; + RECT 147150.0 145200.0 148950.0 143400.0 ; + RECT 132900.0 171000.0 131100.0 172800.0 ; + RECT 147150.0 172800.0 148950.0 171000.0 ; + RECT 52800.0 77700.0 60000.0 79200.0 ; + RECT 52800.0 67500.0 60000.0 69000.0 ; + RECT 52800.0 57300.0 60000.0 58800.0 ; + RECT 52800.0 47100.0 60000.0 48600.0 ; + RECT 3150.0 262500.0 1650.0 301350.0 ; + RECT 6600.0 261300.0 5100.0 290550.0 ; + RECT 18750.0 262500.0 17250.0 293250.0 ; + RECT 23550.0 262500.0 22050.0 295950.0 ; + RECT 10950.0 262500.0 9450.0 287850.0 ; + RECT 31350.0 262500.0 29850.0 287850.0 ; + RECT 44100.0 244200.0 42600.0 304050.0 ; + RECT 30750.0 304050.0 29250.0 327900.0 ; + RECT 28050.0 301350.0 26550.0 330900.0 ; + RECT 4200.0 203400.0 6000.0 205200.0 ; + RECT 14400.0 203400.0 16200.0 205200.0 ; + RECT 24600.0 203400.0 26400.0 205200.0 ; + RECT 3300.0 263400.0 1500.0 261600.0 ; + RECT 3300.0 302250.0 1500.0 300450.0 ; + RECT 6750.0 262200.0 4950.0 260400.0 ; + RECT 6750.0 291450.0 4950.0 289650.0 ; + RECT 18900.0 263400.0 17100.0 261600.0 ; + RECT 18900.0 294150.0 17100.0 292350.0 ; + RECT 23700.0 263400.0 21900.0 261600.0 ; + RECT 23700.0 296850.0 21900.0 295050.0 ; + RECT 11100.0 263400.0 9300.0 261600.0 ; + RECT 11100.0 288750.0 9300.0 286950.0 ; + RECT 31500.0 263400.0 29700.0 261600.0 ; + RECT 31500.0 288750.0 29700.0 286950.0 ; + RECT 44250.0 245100.0 42450.0 243300.0 ; + RECT 44250.0 304950.0 42450.0 303150.0 ; + RECT 30900.0 328800.0 29100.0 327000.0 ; + RECT 30900.0 304950.0 29100.0 303150.0 ; + RECT 28200.0 331800.0 26400.0 330000.0 ; + RECT 28200.0 302250.0 26400.0 300450.0 ; + RECT 16200.0 203400.0 14400.0 205200.0 ; + RECT 26400.0 203400.0 24600.0 205200.0 ; + RECT 6000.0 203400.0 4200.0 205200.0 ; + RECT 170100.0 342300.0 168300.0 344100.0 ; + RECT 49800.0 342450.0 48000.0 344250.0 ; + RECT 167400.0 362100.0 165600.0 363900.0 ; + RECT 49800.0 362250.0 48000.0 364050.0 ; + RECT 162000.0 322500.0 160200.0 324300.0 ; + RECT 49800.0 322650.0 48000.0 324450.0 ; + RECT 159300.0 339600.0 157500.0 341400.0 ; + RECT 49800.0 339750.0 48000.0 341550.0 ; + RECT 164700.0 303150.0 162900.0 304950.0 ; + RECT 49800.0 303300.0 48000.0 305100.0 ; + RECT 156600.0 284250.0 154800.0 286050.0 ; + RECT 49800.0 284400.0 48000.0 286200.0 ; + RECT 151200.0 286950.0 149400.0 288750.0 ; + RECT 49800.0 287100.0 48000.0 288900.0 ; END END sram_2_16_1_scn3me_subm END LIBRARY From db4913dd9ce670923ea3b04bfa7fdb613fbe35b5 Mon Sep 17 00:00:00 2001 From: Hunter Nichols Date: Fri, 2 Feb 2018 12:05:11 -0800 Subject: [PATCH 14/29] Added skeleton code for analytical power in functions with analytical delay. --- compiler/bank.py | 19 +++++++++++++++++++ compiler/bitcell.py | 5 +++++ compiler/bitcell_array.py | 21 +++++++++++++++++++++ compiler/hierarchical_decoder.py | 16 ++++++++++++++++ compiler/hierarchical_predecode2x4.py | 12 ++++++++++++ compiler/hierarchical_predecode3x8.py | 10 ++++++++++ compiler/ms_flop.py | 3 +++ compiler/ms_flop_array.py | 3 +++ compiler/pinv.py | 4 ++++ compiler/pnand2.py | 4 ++++ compiler/pnand3.py | 4 ++++ compiler/sense_amp.py | 4 ++++ compiler/sense_amp_array.py | 3 +++ compiler/sram.py | 2 +- compiler/tri_gate.py | 4 ++++ compiler/tri_gate_array.py | 3 +++ compiler/wordline_driver.py | 9 +++++++++ 17 files changed, 125 insertions(+), 1 deletion(-) diff --git a/compiler/bank.py b/compiler/bank.py index c792ee23..ba59a8e9 100644 --- a/compiler/bank.py +++ b/compiler/bank.py @@ -1225,3 +1225,22 @@ class bank(design.design): result = msf_addr_delay + decoder_delay + word_driver_delay \ + bitcell_array_delay + bl_t_data_out_delay + data_t_DATA_delay return result + + def analytical_power(self, slew, load): + """ return analytical power of the bank. Basic skeleton code""" + msf_addr_power = self.msf_address.analytical_power(slew, self.decoder.input_load()) + + decoder_power = self.decoder.analytical_power(slew, load) + + word_driver_power = self.wordline_driver.analytical_power(slew, self.bitcell_array.input_load()) + + bitcell_array_power = self.bitcell_array.analytical_power(slew) + + bl_t_data_out_power = self.sense_amp_array.analytical_power(slew, + self.bitcell_array.output_load()) + + data_t_DATA_power = self.tri_gate_array.analytical_power(slew, load) + + total_power = msf_addr_power + decoder_power + word_driver_power \ + + bitcell_array_power + bl_t_data_out_power + data_t_DATA_power + return total_power diff --git a/compiler/bitcell.py b/compiler/bitcell.py index 90269692..13486bfd 100644 --- a/compiler/bitcell.py +++ b/compiler/bitcell.py @@ -34,3 +34,8 @@ class bitcell(design.design): c_para = spice["min_tx_drain_c"] result = self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew, swing = swing) return result + + def analytical_power(self, slew, load=0, swing = 0.5): + #Power of the bitcell. Mostly known for leakage, but dynamic can also be factored in. + #Just skeleton code for now which returns a magic number. + return 5 diff --git a/compiler/bitcell_array.py b/compiler/bitcell_array.py index 44318206..c7fa1bd8 100644 --- a/compiler/bitcell_array.py +++ b/compiler/bitcell_array.py @@ -177,6 +177,27 @@ class bitcell_array(design.design): #we do not consider the delay over the wire for now return self.return_delay(cell_delay.delay+wl_to_cell_delay.delay, wl_to_cell_delay.slew) + + def analytical_power(self, slew, load=0): + #This will be pretty bare bones as the power needs to be determined from the dynamic power + #of the word line, leakage power from the cell, and dynamic power of the bitlines as a few + #sources for power. These features are tbd. + from tech import drc + + #calculate wl dynamic power, functions not implemented. + #wl_wire = self.gen_wl_wire() + #wl_to_cell_power = wl_wire.return_power_over_wire(slew) + + # hypothetical delay from cell to bl end without sense amp + bl_wire = self.gen_bl_wire() + cell_load = 2 * bl_wire.return_input_cap() # we ingore the wire r + # hence just use the whole c + bl_swing = 0.1 + #Calculate the bitcell power which can include leakage as well as bitline dynamic + cell_power = self.cell.analytical_power(slew, cell_load, swing = bl_swing) + + #we do not consider the delay over the wire for now + return cell_power def gen_wl_wire(self): wl_wire = self.generate_rc_net(int(self.column_size), self.width, drc["minwidth_metal1"]) diff --git a/compiler/hierarchical_decoder.py b/compiler/hierarchical_decoder.py index ac513c0e..0f87ae0e 100644 --- a/compiler/hierarchical_decoder.py +++ b/compiler/hierarchical_decoder.py @@ -494,6 +494,22 @@ class hierarchical_decoder(design.design): result = result + z_t_decodeout_delay return result + def analytical_power(self, slew, load = 0.0): + # A -> out + if self.determine_predecodes(self.num_inputs)[1]==0: + pre = self.pre2_4 + nand = self.nand2 + else: + pre = self.pre3_8 + nand = self.nand3 + a_t_out_power = pre.analytical_power(slew=slew,load = nand.input_load()) + + out_t_z_power = nand.analytical_power(slew, + load = self.inv.input_load()) + + z_t_decodeout_power = self.inv.analytical_power(slew, load = load) + return a_t_out_power + out_t_z_power + z_t_decodeout_power + def input_load(self): if self.determine_predecodes(self.num_inputs)[1]==0: pre = self.pre2_4 diff --git a/compiler/hierarchical_predecode2x4.py b/compiler/hierarchical_predecode2x4.py index 90f86f45..905342c8 100644 --- a/compiler/hierarchical_predecode2x4.py +++ b/compiler/hierarchical_predecode2x4.py @@ -55,5 +55,17 @@ class hierarchical_predecode2x4(hierarchical_predecode): return a_t_b_delay + b_t_z_delay + a_t_out_delay + def analytical_power(self, slew, load = 0.0 ): + # in -> inbar + a_t_b_power = self.inv.analytical_power(slew=slew, load=self.nand.input_load()) + + # inbar -> z + b_t_z_power = self.nand.analytical_power(slew, load=self.inv.input_load()) + + # Z -> out + a_t_out_power = self.inv.analytical_power(slew, load=load) + + return a_t_b_power + b_t_z_power + a_t_out_power + def input_load(self): return self.nand.input_load() diff --git a/compiler/hierarchical_predecode3x8.py b/compiler/hierarchical_predecode3x8.py index eff12549..df173548 100644 --- a/compiler/hierarchical_predecode3x8.py +++ b/compiler/hierarchical_predecode3x8.py @@ -63,7 +63,17 @@ class hierarchical_predecode3x8(hierarchical_predecode): return a_t_b_delay + b_t_z_delay + a_t_out_delay + def analytical_power(self, slew, load = 0.0 ): + # in -> inbar + a_t_b_power = self.inv.analytical_power(slew=slew, load=self.nand.input_load()) + # inbar -> z + b_t_z_power = self.nand.analytical_power(slew, load=self.inv.input_load()) + + # Z -> out + a_t_out_power = self.inv.analytical_power(slew, load=load) + + return a_t_b_power + b_t_z_power + a_t_out_power def input_load(self): return self.nand.input_load() diff --git a/compiler/ms_flop.py b/compiler/ms_flop.py index 01928790..c90511f9 100644 --- a/compiler/ms_flop.py +++ b/compiler/ms_flop.py @@ -26,4 +26,7 @@ class ms_flop(design.design): from tech import spice result = self.return_delay(spice["msflop_delay"], spice["msflop_slew"]) return result + + def analytical_power(self, slew, load = 0.0): + return 4 diff --git a/compiler/ms_flop_array.py b/compiler/ms_flop_array.py index ca51cda3..f80ca54b 100644 --- a/compiler/ms_flop_array.py +++ b/compiler/ms_flop_array.py @@ -134,3 +134,6 @@ class ms_flop_array(design.design): def analytical_delay(self, slew, load=0.0): return self.ms.analytical_delay(slew=slew, load=load) + + def analytical_power(self, slew, load): + return self.ms.analytical_power(slew=slew, load=load) diff --git a/compiler/pinv.py b/compiler/pinv.py index 8bcd7841..b628b4d9 100644 --- a/compiler/pinv.py +++ b/compiler/pinv.py @@ -241,3 +241,7 @@ class pinv(pgate.pgate): r = spice["min_tx_r"]/(self.nmos_size/parameter["min_tx_size"]) c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) + + def analytical_power(self, slew, load=0.0): + #Adding a magic number until I can properly define this. + return 3 diff --git a/compiler/pnand2.py b/compiler/pnand2.py index dfd89680..87f8f006 100644 --- a/compiler/pnand2.py +++ b/compiler/pnand2.py @@ -213,3 +213,7 @@ class pnand2(pgate.pgate): r = spice["min_tx_r"]/(self.nmos_size/parameter["min_tx_size"]) c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) + + def analytical_power(self, slew, load=0.0): + #Adding a magic number until I can properly define this. + return 1 diff --git a/compiler/pnand3.py b/compiler/pnand3.py index 6d0b1e79..a8216a45 100644 --- a/compiler/pnand3.py +++ b/compiler/pnand3.py @@ -233,3 +233,7 @@ class pnand3(pgate.pgate): r = spice["min_tx_r"]/(self.nmos_size/parameter["min_tx_size"]) c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) + + def analytical_power(self, slew, load=0.0): + #Adding a magic number until I can properly define this. + return 2 diff --git a/compiler/sense_amp.py b/compiler/sense_amp.py index fb6ddb6f..319ed7e3 100644 --- a/compiler/sense_amp.py +++ b/compiler/sense_amp.py @@ -30,3 +30,7 @@ class sense_amp(design.design): result = self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) return self.return_delay(result.delay, result.slew) + def analytical_power(self, slew, load=0.0): + #This is just skeleton code which returns a magic number. The sense amp consumes static + #power during its operation and some dynamic power due to the switching. + return 2 diff --git a/compiler/sense_amp_array.py b/compiler/sense_amp_array.py index 235a82c5..4ec0a365 100644 --- a/compiler/sense_amp_array.py +++ b/compiler/sense_amp_array.py @@ -117,3 +117,6 @@ class sense_amp_array(design.design): def analytical_delay(self, slew, load=0.0): return self.amp.analytical_delay(slew=slew, load=load) + + def analytical_power(self, slew, load=0.0): + return self.amp.analytical_power(slew=slew, load=load) diff --git a/compiler/sram.py b/compiler/sram.py index d9eb9db8..25499cb3 100644 --- a/compiler/sram.py +++ b/compiler/sram.py @@ -1006,4 +1006,4 @@ class sram(design.design): def analytical_power(self,slew,load): """ Just a test function for the power.""" - return 1 + return self.bank.analytical_power(slew,load) diff --git a/compiler/tri_gate.py b/compiler/tri_gate.py index 7351c575..2cac63a2 100644 --- a/compiler/tri_gate.py +++ b/compiler/tri_gate.py @@ -32,6 +32,10 @@ class tri_gate(design.design): r = spice["min_tx_r"] c_para = spice["min_tx_drain_c"] return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) + + def analytical_power(self, slew, load=0.0): + #Skeleton code for the power of a trigate. Returns magic number for now. + return 2 def input_load(self): diff --git a/compiler/tri_gate_array.py b/compiler/tri_gate_array.py index fca72cf3..4b9132dc 100644 --- a/compiler/tri_gate_array.py +++ b/compiler/tri_gate_array.py @@ -111,3 +111,6 @@ class tri_gate_array(design.design): def analytical_delay(self, slew, load=0.0): return self.tri.analytical_delay(slew = slew, load = load) + + def analytical_power(self, slew, load=0.0): + return self.tri.analytical_power(slew = slew, load = load) diff --git a/compiler/wordline_driver.py b/compiler/wordline_driver.py index aaab96eb..c1e07bf5 100644 --- a/compiler/wordline_driver.py +++ b/compiler/wordline_driver.py @@ -206,5 +206,14 @@ class wordline_driver(design.design): return decode_t_net + net_t_wl + def analytical_power(self, slew, load=0): + # decode -> net + decode_p_net = self.nand2.analytical_power(slew, self.inv.input_load()) + + # net -> wl + net_p_wl = self.inv.analytical_power(slew, load) + + return decode_p_net + net_p_wl + def input_load(self): return self.nand2.input_load() From 179a27b0e3554a01bce2c63fd939621b6d08320d Mon Sep 17 00:00:00 2001 From: Hunter Nichols Date: Tue, 20 Feb 2018 18:22:23 -0800 Subject: [PATCH 15/29] Added some power functions. --- compiler/base/design.py | 5 + compiler/modules/bank.py | 3 +- compiler/modules/bitcell.py | 7 +- compiler/modules/bitcell_array.py | 4 +- compiler/modules/control_logic.py | 5 + compiler/modules/ms_flop.py | 4 +- compiler/modules/ms_flop_array.py | 2 +- compiler/sram.py | 27 +- compiler/temp/sram_2_16_1_freepdk45.gds | Bin 0 -> 408550 bytes compiler/temp/sram_2_16_1_freepdk45.lef | 11206 ++++++++++++++++ compiler/temp/sram_2_16_1_freepdk45.lib | 329 + compiler/temp/sram_2_16_1_freepdk45.sp | 875 ++ compiler/temp/sram_2_16_1_freepdk45.v | 47 + .../temp/sram_2_16_1_freepdk45_TT_10V_25C.lib | 329 + 14 files changed, 12835 insertions(+), 8 deletions(-) create mode 100644 compiler/temp/sram_2_16_1_freepdk45.gds create mode 100644 compiler/temp/sram_2_16_1_freepdk45.lef create mode 100644 compiler/temp/sram_2_16_1_freepdk45.lib create mode 100644 compiler/temp/sram_2_16_1_freepdk45.sp create mode 100644 compiler/temp/sram_2_16_1_freepdk45.v create mode 100644 compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib diff --git a/compiler/base/design.py b/compiler/base/design.py index 39ad1792..5dd0db14 100644 --- a/compiler/base/design.py +++ b/compiler/base/design.py @@ -120,3 +120,8 @@ class design(hierarchy_spice.spice, hierarchy_layout.layout): for i in self.insts: text+=str(i)+",\n" return text + + def analytical_power(self, slew, load): + #This function is here return 0 power for every module that does not have a power function defined + #This is a hack and should be made better (also may be a little dangerous) + return 0 diff --git a/compiler/modules/bank.py b/compiler/modules/bank.py index 0d38e61e..3d5bcddf 100644 --- a/compiler/modules/bank.py +++ b/compiler/modules/bank.py @@ -1232,6 +1232,7 @@ class bank(design.design): def analytical_power(self, slew, load): """ return analytical power of the bank. Basic skeleton code""" msf_addr_power = self.msf_address.analytical_power(slew, self.decoder.input_load()) + msf_data_in_power = self.msf_data_in.analytical_power(slew, self.decoder.input_load()) decoder_power = self.decoder.analytical_power(slew, load) @@ -1244,6 +1245,6 @@ class bank(design.design): data_t_DATA_power = self.tri_gate_array.analytical_power(slew, load) - total_power = msf_addr_power + decoder_power + word_driver_power \ + total_power = msf_addr_power + msf_data_in_power + decoder_power + word_driver_power \ + bitcell_array_power + bl_t_data_out_power + data_t_DATA_power return total_power diff --git a/compiler/modules/bitcell.py b/compiler/modules/bitcell.py index 13486bfd..1127387a 100644 --- a/compiler/modules/bitcell.py +++ b/compiler/modules/bitcell.py @@ -37,5 +37,8 @@ class bitcell(design.design): def analytical_power(self, slew, load=0, swing = 0.5): #Power of the bitcell. Mostly known for leakage, but dynamic can also be factored in. - #Just skeleton code for now which returns a magic number. - return 5 + #Only consider leakage power for now. Value defined in tech file rather than calculated. + from tech import spice + leakage = spice["bitcell_leakage"] + total_power = leakage + return total_power diff --git a/compiler/modules/bitcell_array.py b/compiler/modules/bitcell_array.py index c7fa1bd8..27805c40 100644 --- a/compiler/modules/bitcell_array.py +++ b/compiler/modules/bitcell_array.py @@ -196,8 +196,8 @@ class bitcell_array(design.design): #Calculate the bitcell power which can include leakage as well as bitline dynamic cell_power = self.cell.analytical_power(slew, cell_load, swing = bl_swing) - #we do not consider the delay over the wire for now - return cell_power + #calculate power for entire array based off a single cell + return cell_power * self.column_size * self.row_size def gen_wl_wire(self): wl_wire = self.generate_rc_net(int(self.column_size), self.width, drc["minwidth_metal1"]) diff --git a/compiler/modules/control_logic.py b/compiler/modules/control_logic.py index a3de587c..ce99040f 100644 --- a/compiler/modules/control_logic.py +++ b/compiler/modules/control_logic.py @@ -688,3 +688,8 @@ class control_logic(design.design): width=pin.width()) + def analytical_power(self, slew, load): + #This has yet to be fully determined. + msf_power = self.msf_control.analytical_power(slew, load) + #currently, only return flop array power + return msf_power \ No newline at end of file diff --git a/compiler/modules/ms_flop.py b/compiler/modules/ms_flop.py index c90511f9..edb5e3d4 100644 --- a/compiler/modules/ms_flop.py +++ b/compiler/modules/ms_flop.py @@ -28,5 +28,7 @@ class ms_flop(design.design): return result def analytical_power(self, slew, load = 0.0): - return 4 + #Value taken from tech file. + from tech import spice + return spice["msflop_power"] diff --git a/compiler/modules/ms_flop_array.py b/compiler/modules/ms_flop_array.py index f80ca54b..8e29d846 100644 --- a/compiler/modules/ms_flop_array.py +++ b/compiler/modules/ms_flop_array.py @@ -136,4 +136,4 @@ class ms_flop_array(design.design): return self.ms.analytical_delay(slew=slew, load=load) def analytical_power(self, slew, load): - return self.ms.analytical_power(slew=slew, load=load) + return self.columns * self.ms.analytical_power(slew=slew, load=load) diff --git a/compiler/sram.py b/compiler/sram.py index 097d61f4..3e80d059 100644 --- a/compiler/sram.py +++ b/compiler/sram.py @@ -1017,7 +1017,32 @@ class sram(design.design): def analytical_power(self,slew,load): """ Just a test function for the power.""" - return self.bank.analytical_power(slew,load) + + power_sum = 0; + print "Module Powers" + for mod in self.mods: + #print mod.name," Power: ", mod.analytical_power(slew, load) + power_sum += mod.analytical_power(slew, load) + + print "Instances:" + for inst in self.insts: + print inst.name," Instance" + + print "Modules from Instances:" + for inst in self.insts: + print inst.mod.name," Module" + + + print "Instances from Modules of Instances:" + for inst in self.insts: + print inst.mod.name," Module" + for mod_inst in inst.mod.insts: + print mod_inst.name," Instance" + + #There is only one instance of the bank module in the mod list so this is too account for the other banks + bank_power = self.bank.analytical_power(slew,load) + + return bank_power def save_output(self): """ Save all the output files while reporting time to do it as well. """ diff --git a/compiler/temp/sram_2_16_1_freepdk45.gds b/compiler/temp/sram_2_16_1_freepdk45.gds new file mode 100644 index 0000000000000000000000000000000000000000..f9ecf3d877f29c0e9d7b2a742a8a0fe04b8e58ed GIT binary patch literal 408550 zcmeFa3D{&+b?;sEPCd}{01e#@2+iDJ1KlkmlT4zbg5rd;O*5!y(-1_&F^Gv8BkFZP zqsD6tdc6)A{1OMQQ5>%3F^I+}Mz1l7d}!1-#ZOI4?`oDWCXBN)9@BL1H|AJ$~Ki&Uw#~z5V6~>VtZ{O09qQRxd1E`t&Dl-*M4J zS6uw`r}uhuOMAVA-Di5c_ZC*p==II3XM4SaU#x%W?~pwQ>`RT^)GKpq2KrTF_3M*9 zv88YLU-1A1@}pjF#TN(McCP-v?519?`)}yizc9wVVby@djoobdZ^S=RuegIgv88YL zpLtI7JG*2+{9-p-`iB3Jdif3d#FoC{fA`;xepl``Abzo%Eq%lPNWJ_9ePT<$TYdiV z+J8f@ci77ZoY@bn#*nDjv-3xtZ8nn9gXXQIPymi(_fC;DCY}E;7`n0H9ee;0m zu$y`%8~^uf+a0XCEKm%alEvzh(D`b+_yz1L7CEnXkWIZ_j@8wdZvMvhHCwTk$v6 zzma;adqJPr(l^%M{pX|K{r}5=_{DCv^bP+b_3|6^i7kD@|Jr-SysiECfaDFkS<2s% z|A=uf{k;K+8@t)^--v&tUU3I~VoTqMpFFc}F@Gj;V>est*HHa!SR3oF;`MPX8_czh z`Ww>Ym;G18_eK2{v$_8n(l2{S)SJtfN4+U~z5a*v8{ZN2=7C2>y(xQL-^d?zLfq7! zN#3xVvS;W9yMm+8 z^cSKW-s&1{wcq?1f04EdirwbI|H~-!T8FJhz3<*jyS#6Ct5NTJ;EFEqTi;58bhN(r zjI=3R`_7+wbNKsQ9AP?sU%p}CqDyu??zkt!nNC`D>eO0QSxK9Y+gHo)WzV>B`vp6$ zc-*!pr2S;K$kUN`iu~A#A}?ykM4pbgliw{9`7LV4_)SOJ$*+ z=?Hx3hJ_1vK5e2IO2_6a;v7?4jhM%bHyxSRD`diVNXO>(KSh0M<+54@Hc9m9xV#>{ zN@bFFNJr-OKcPAum)HGIG=l2LT=V|qT^CIhc{(PaLYa=nr%$HggfrF(+azNj4&c{-lIx5vW5 z<(FRe^zD~!-Turi+qaycsCBr?QDVP+O^&_(%ohGP(MQi_8gbIkFH%1{&}+~0D*lt- zH=CSG#(zhKe}|4p{udUhUpCNdz;`5H4>aSsZ0``{{0R8 zx4{hddi)K2|Fuy!v(AjV{%g%4{nYX6Y-!^j3p*~n@{+4A-hSa_yROtfw_kekl{=ffifMlj$09878f=wJiOUmV{|Ed(&-XdebrQsipRNe z)}_0D(hYUfNwsb|skc_$bj8INK4r%hPri8jjw`O%@hs_5J!%CQ)WgD`Xx{X<-V$}I9y=g9>}E;7BCUff zJ{0v39d@&%KRjLI-juF!Lv+~9lKzlequ)a>7!VzHv!p-h_^3PR69b~dZkF`R&W^fe ze?K5P>}E^fSkF)`{li$lCOZC1*|YP%U%HNVw=<#!qQh?Lm8^dD(@{5f-hk+^n}E;7;qy_q&l3kkhutjck9>609eMkJ=&+mCYgJyk$6#HmuKSF*N|xPj z{@UOtv6_0D54~)rETDha& z3AJg}j(#V+c9T}@=yyVGTCJn@UXvZ!rR53_{a8_V^z_1K;V-W7uk~;2=Kb>fI&BsIUN&HVW&F3P|5x8vHuu%|;Sc}( zi9sj3DQnQTMt+cvaDBF^fBXKSi*{VOWBVn$q)ErdO@G>u`#(6gHGSWQS-SsYarYm@ z`z#bfxc|cBb4xxUFlDdjtMU0|`Xr&LU;m%_{-2@z^SLMauXsI~(Em3(eEx}^&;J5- zT*ludpMRp`^H1o{J$t9KX5p$`DG=l)dhMNI(0{ zs5j>>j(St}y1tP=;v{eE@lEoE-E8G=$p6ZpM*rrjtD}EY_TWDrF}Nz4pM(U(n60Ke zVo>!=e03;QmCj9d8`p&A(`fZ4t!~9a97fgcJU&%Z(zcE=l=pE*N2-)jR~|YjRU=dE z15@?HJhhLgI(SuONPF=v?pTX?imQ5WWl!qf^4?y(ZM`+UrM z&h5vkMZBIg>Y2M`=D!;6SYP;#s8!pvUCj2wZ}f}FpY{&V(tkHX^#FU)7n`=jeE#Qt z5nk`j{UYiXmYKGf^}m_F#mryq8~2I(#avg`nNu|Hf{v-><*Lu0OLJyQ+R6b<34~)AoG*YNxo!_48M) zUu^zP(;sNm!|D{pNwAH zzgIbXOeA-S&0nwgOSE0g=;i-==p)h1d@kyt?RmYn`PYyiQ8`!cW_c1Y(DuNL|HbO{ z-e>dvaFF*n54OX`_&Qn#~;#k5___+PBP89#m*znO`@cDL?S`K#%h z{+&0otAF=t$NnAi?>=?knzpz4x2B(}e`|V;fAaoq>eV;SljqH}y;XlLy<(WG{~A}I z=eNoKKdbM=WiO2DuW7qjuiu7#_NJ(Z=-B_5=+o=n^naB6Cw9>{;vb<;uV?))R^J%E z{D<);c8Py+ddVHvh4H=O2_P z9d(aB(DpIBQc-x&3?P`cUo)hKj6~r`PW?J>zqn?eW`Q zihr^C{>?G}fg1nJ1u_4D%71!2JO18dqdrjj-VdWbQ2O+GQO`K7ulu*XG=Ab^yHWAm zepl*=8$XV}K7QLv{uisC(JkJ~={cy+;kb5L(e_RG`k#5S)_}K5|KvD^*?mph1GDqD zX#M2z&(+U=+xyQB``?;=c>U|J{@3(z-C>@?IyGYbNc~yQUqj!X{|@!v&`&Y{i`6&o zfFb`J|3Qxb$iHcO>-e{(kNNj;?(p%WUvJcJO;6p1`kbVG7prgF!Nhg9r9acS#bwVD zb^Xz_U98W4L(k_8Y!{y9lAi0I=%MX-y~eJm;+Fm_5*^nMP22N&tzEj_fggyD>zAhO zB|UM^KQhKY|D_mrMcW^lA3u3RA1FQfLmy~+K~LQ11EnK=^ntc#^)YYrk4%(~{GkuD zJ*(Gv-=rFRK;qv>yTAUwY5N26I0>leRtFcN}pcO)=&S|s1KBW=Fw3fD1CZ8 ztM6SD^?}m!lsNGRN}pcO>SwOf{^oRP;K#+E*J%6c`SG)U^^|WIuJ``qfVPWS|NZ}} z=Qb2CTzZb)=fDAN7wGD5g+8&to zzi9pB^WS*dpW|!ReaLmsxt|VbyI7BZNY8a@^z3iYSG2u({W_~}`>)=&pzpmb#t+eR z{AUt>dOaIIuj40B@uMgHK--n6|Gf8D=zW*7gnKaT5NppGNC{=@NP;A1ql z_YDE2QD|7k$m#cclgR+A+kl}23pDCzG$pzY#ark|D1 z1^I?c^-rE4`J?|$+e`VY){R%ibug^otlM5kWLH0B6^!oqK@i(5>oj+aqIY?_Dyg~eUjkX`u z$p3HjwdWxgmp_ip{GaApb1?YNc38@PcsS=*{rCS$`z+2Aq0XbY zmS55K=J{)_|6`3Uq^FlyKd8NmwrAIm-{?7an?8SO-S#^DYq)-MtVjI@s($%KG3qza z_H6x-*nY{r_js|7QLE)ve#uhX0Fo(_#OuC#?q0Q-`mxr6 zaQ!%OX|jv;`fKRJ^ce$o=^;AuXQEH9XZ7K_v9^Qgh~Gq?UeD-b z-sV?Dq9cE%?WOp`_2XHMTi22K?2hZlrk*>9*O!~m&ot+s>&M!T>%`%?g?A5VyO@bz z-V+%tL}Mz;UiFUt?k3}hk>@keEo&zU$kC?=MSX|&mRT` zU3|W#pr2VMpI=h^Vz^#)=zzAjK0m0%uiVL(>+ILD{>WMQoJ0(tpFDX$+gqQXH1fAt zJ@*@g&q+i+H<>$PK-*iNpEUe0R{v{1|9_R%e=)54rw$m_|M+@l{f<2vo-t4U%yidm&kox7k&!m3S>zVph?VlKHZ|1~U zv$JQJwg=v2`bF>mwrGE?o||s}&3-qIbK3pyV)ei7_4}(Gzvh0C$8TJF3H1kc?nUoa zq%Py@`TpT`oil~)IPOGm+TQ#IsK)+(?(fyFUsWB89E*@o({{1!-*HY6Kj)X6=R)$p z{coo2rSs=>emXdRm7ep*a35ob^Vh}cHUDSR|1hq53px)D*Km)s9p>}Ld3#uMMLu`u z{%_NEF_VA2x1PTV=gFean@!tG=g*v<;|DIgPSp9kX?xy(syo-2>&~=2TYrlGJnAnp zJa4Mw9nko^qznJ&lmm*nr$iHcO@%;0DL;k$Zc-=8=&#ymm{NXyE`VSq~ zuT0zXdezID)I+n8?t9aAFM(qN9IJ>My-s*Eha@s=rxdI3ArDpWo%a5S{}~ zuh;dp{YRWPt`mfHFERA5`pIm~U(G-Hi+hH|s`Zum}Gu^!EC-Ch3R1SB*PJdbM9eJ-G9?qt%r2&(nMCKaFy3wUoLqe8a*S z4~wi+yXf14k<7Cef_87Ibcu9}n z1q|O&xwf}V-}Ae#zN2zkZ_nO}-cs#N^c|JeuWI`{P8$2D@QupXg}Uq=^+xe;^<}|{ zo0DCv?;{&}_QiAiurJnsI=>0$W{I|oS^eArz23oh>OI6mcNgC^pzUH_uYO*4xpd-s zt&VelHlXcdQNQAMqki{SN4;sgn9*xCbSyJF6B+iDIz}{Y*K-FMy;hUwsN(ep`|I^y zno!erF{>x<`zmj6zkOr=P20t+zOUnvHSd>CaSt6+_HP}~b}_3b??=Bf=I@v{$NZVL zi&_25M|-^^U!(DhcYmwKf6jomi&;J6KKyZU{F^R`<2P*=i~1SG&HM0N5%p`@E*ABx zPm20Ax?Y3cv|Y^V$@@yxJY4m`n19oDF{>wUYzNViKhySc`aSgpw&>Sh8}+8`V%9(F z$Dz8X2p;xjQR|0kyO`DEm+c@r;x}zC>FF1KB_i;qSch9o+tWUNX?2V}xW}Z?f4WyJ z^j~6^{+sy^ZFRlk7x`70aD0(yyO6Sfm+~L>e?N-#%ebjub91tb#rVU1NB+b9OYxhwi&=fW{_p-I<&X6*u}l5e z*N^u4P5-CYv;O-J(fr+8+rhsSZ?4hyd*|b?_y17`$NZVZpI*=U5B;}1)<5_2hiCLm z+n4A4vu^VTnK#y7({?fMU+a$7$+gt4@^9MST0d9T?@9rp9Oxv5+NNe8_ zDBXiqFV{pKzFP5b)BY^ccCjA+kbdR)QNQYkQE%EV7WJFn67@%JiF(s^F{@{+YzNWJ zzHdO=$LUupe)PnR-n3mT`X^85$s2mp_EP@Ptx5Vllisvl%=%~k*bbs&{!H6Tdi-;| z0mCtf;x}yGk$e&%*s4-wW5MP20t+o?}(EgXrepGNA1xJ^3b1NZfqasA+pC z{@H)meE*yD;+mIA`?3LT7qjuBJ6z`5CrhvO!?Zmgf7Bhy zbH+Lk2z?{HX?wo@qHdp?BSZeBH*L@7Kk8PV9~tUSdein+|1`eilDb{1+Jo!%*4S0Q zrtM-qe?$7^kB#~j97juU+Ae1G)IEM6eyJnV_L6^ecmHwJAAMZZo3@KZ|HR4r5I6o! z+e`72H~c{SGJezcasC&68T~IiBlX%OjTfkoZyC^bF{>x;1D_q^ zKlsBje$#d_t0!-42hou~)Aqbxb^9&ik38T{G>&KL*OwD*FU8-}=X%r&T>o~BL(i(3 zwu|}rr90-ZsOMJ=(VMo5S^eCC?#cV4@-8krPx-rkK-yK%BzW$?b z;g^x2@1!?v&(}}Xg*@LF=MDd1{zcpK`H%X$|2UGop*L-B)qnOodc$$swdwdxto_yV zSNo3AShuVb6RclDdiorF$ea4tw7u2;4Lx=5b;|3OX?tt_hV-lxypPu{)Am;WhV;aV zp13=#UkyFu#t-zmW!gT@zt<_RTc+);`fK=~V*P69iJNr>dfhT@Z>>KKJ$Yk0=yl7q zy`;x4$C=Q_?WXOm{eQzhI*xOpkK0Y#Tl?=J{ie4>y^q^X+r@1EFmASk=%^pl_Hp`^ ziXXj?+fCcWqJQ#)-pB2x?WO#o<2V~~+(Z1P?XBbQq5QEO`47iU+Xl3~q{lDExsc;V z{F}D7jvpKTr#ODE>9y|ax=%Px6n)%i+TJ>TZ0c_utn;C7#;^ZFdLJkIxY@KlKmO+a z;~4En*?*Xs{l}1hA7_WUm)^8JUq8{m_p{#bnzrZH@2K~2wvW3_+w=7w_1;f=ziryy zT0iwmtC{PU-9A5Pe8=|O(fX~u({%g-ZxugLqwS|P`nRFycLmW0O3!!Z^FD#Lchv9w zj_BX4-nXEy$8Vikjq5Jd*T;`v#%*?qf3bSTzuOy>H*tm5Z|2XmU97LaL-WUW#DR|Z zP20!mm#gQjulu)M%=#y8wi^|{?RTY~xbfro>*Ke*U|6Pdi>Uz)wu3LeSQ4+W!z?$_!p~Z z{9&CF!@8&ZnYNeKKm4;DL`VFl?c?;UGuAVI_HTP}{ZZU($2hDrZNDq^#El=vUmw5i zCI5@nv)`aj0@XL{f9Rh;+e`JU^*_`(l#cbkqV%lqdOf@Trq{!E)GdC^>U|6Pdi>Uz z)wu3LeLH@}kN=Q|DaJpsUUj=sCw7T{v3l-h-v4FFn|Q!Ms(;mtX}g#o|D&Ux?^ZxJ^ZfzQ zWACj0N%EiAMc;^jgg(8VjeoKFG`=v-1LQx9Ke0>vi`BFK9HeUi@ZfVrtzV|?Vs`wj zA8ZHF(f_9H9YoLiVcI@U&p55;_{DM9UL3#TW;@nF>rC74NL z`CqJ_aj*Pd9RI3oKS)9{(x_d^te$Z@PW*=PC)!@} zzgRu{Z~Q>=&hejVd&xihZ?=Qz*#DZgch+m%!GB^GeIx!6`t*9X{uZlmj9>o4_!GOt zzi7Scmggj?!?{0;{a4ZUeaiiZo;pHD{Z+KRtNxbc-|V0daXU`yOxp|o7po8BcAWAX z#&6nQ@V{6+`)G|Ezg71iv)>cfA20sj1KKWT z^?aklz8Yts#?SrZ)Ip%_rSZ$}F|UdKx2P8JZ`v;A{U0LV`{+M-i~jrj8g1XFpnqzw zxB4m4h->y!-1`q`yO{TXr9L+~R(kkt={MDAd-M6n=JgBtJ^Urn|E80pf75oc?!WmP zpW5$noWwfL@l%KEUqgCdr{K81!}XUTeRcidV^PofBkxn$o9CYmJ?mF>{wf`PJm&m! zNKgE{kI!37+gs-^LwX-)`ncP)UCibmzwBqBkK0V!#jL))|Lt)6F%*Bb|5P5x-<+xX zCoa97_1|89I;_79|5L0#4gD1B&ye2hgx3wz_SX8*(1*TtpMJYj|2BVPR(=7>-itjbDsfqza20_!&2Tpzj+nZ6D{~pA(Pz{F=D*dN%&{=a1p@-=An66jSE&%SQf*o6lL`!TS7( z`7>>Aeg4wW6Cc|_bj+V=dr3dV{^Hri)Vk4O|Jl?}zW*H3dq3y>o@sk)|JV49 zu<(K{F&-3%VPoV9E@$>$hpBnva{$BK7(e|h2 z$B$q1fzsm_eW2|HJ^n+U#E>_Q-?Y7yKm4*CM2BC~_L83YC;mXiP5lvnpzWpjHSZyR zP&($nqV&{-UeC@S<6m-B%>R;~$NX2c{i?j4aib5Ep7Em(w7sC8{esrX>*ZHGV7r0`WX@Z%8>A^NXq`}(qf^!P`I|BAMk^qTjehv+r`7177n zv*Typ(FaP;{G$)FeT@H*C;16^lYi6pQvUGEb`TwYP1{R)#uw@bzCMn>BJ~qrFODBQ z{?XyTqV0wHmsNw7sOK?${2Zqy9|W zi+YWpV>t3K_x3pcinbq89zS}BO1+^xrE6v|Y^3e{YxeFK_Gh-~oq=KOE3@F{>wU>;5q2Z~Xx= zf2QqXRzLSTjaSd?2YylKpBwc5P1_%y*W>?~_eB3&j*0$F+r_+peMi#VJ@g;^OZ}(k zFe}=AkAnV%x_vX;B9O>a_rC(K}?dKHq@_YCnMgN-)j{Z&C z#jJno_2^q;-5&F3z0Y~dXQJ(5UVp5fw^h&2ifd06-#4J`VqU*d&wGAFI`Qt07vDOd z?P6BXZ&csye9b$&O|`$SM%&NN>wEgnz4<$OJ-F}`y^p>N(6n95`sX*D)@jU;>&JZm zrD?lZ*EfD6e)i?*dW9Iici_hZhU*yd^`f5dDd2s$-Z3k^X?yGW*CGGjuI=CUaeBVL z0RLR?z<)*CA6p)OxLzWC`2GXwE9!a>@2l5~-qPg`tf%5YuaAY z^V^_2cL6tE5c6o-E@tCL$M-A+zAWmw?nz!Nd-MC38u8cl_G@<1%MagEfB99=_~EFpcy4@Oy`CR`&3~m!?^DH9@Xx%nU7+Hp?}$Ip_Az?mM~{DW6>TrX zU-w_>()(0#75tNTeZNG=Kc9Dz|BAL>Q_kOEI%eU0!uOs?Z`v*v^KbvwnYN2X{Yusi z`RDUK;;(4?`DOj8Yok7V?~C*mZNIjxxBp7_!xTqH|Kama#UH-6M*dCP#p3uky(Pxa z=ctU|v|a3^w_mf1p86wBh>rR-Z7;=-4nN_26hHd#xv^+_DgL_Ne$B4>m6|8shq}Rk zMcWJYgO2(M)V!mo4gzg2)KBo6{8YN6ui_fxpFZJz=v(|&wEdd={G+2!A$^D5w7t~7 z!Ef?ooms^-#y_8z^FDm<4E{~q#ccheTa)~U``x6kX!{ud*4wXXyO{OQx)VO{7tzsw zrtPKp(c>pj^M{`J18pDU-+KEsZ7;=7{^o9p`R99&$iHd3m>oa**>^`h_aUG+Z5KP~ z?bqz2e@FcO*BO!fkY-m5$oIcuZ+(AMPh&r@M%&NJ>wABydi`pz2N$l;``mXx+grae z+?YRpw_}}RhU?D||8hXv#cce&OOk()^QGQr1`Ph=>sdWDzVI03Pejl67@M|>dHu`N z@rtrLYM>y{mZ5Oltt%J-v^=F29jPIM(_b*NT2VbZD`P&-L)BlgJXZ83!aPJs@INwm* z6>Z-;A3yWUIt7o}qWL>|K-){}SMdMr=>PDy$MKuQkzUWnkKb^-D001k{F}CmSv~u0 z<_WrQP1{TJhksvZ3)jEildivswu@Q+VSbo5%@gBg{>(6+%-`$sdVZTX_=R87`0;OQ z{?hAN|HRMn1`OBhlt0sUv8d;I4f-(O(pR+oxV)bIR@mQ);d+DS!L(h>`mg#%b-emJ zas8@DKVg4SUQgVId@RNvj&~KmX}g&9Pu+y$HIclrewwzI@<)7(Gw>$$&)@6+o3>XD+>a?^G(tLHbpIc5#KP5ik2 zziIpVc|D(9AEINvz)y*)p+ijD?_1Cxq56EEbfQ1EGHq{te$^O1x)u7J7`Xd6qCWpJ zZ5K1+*Y~ID^VZq353Lw;Ovt`=}p_kqMpyW(TC5!r8jMFeg55upS-X9QOy6Ur^o!8wu@Q+ zbofjlhL*vY?XyI9OW+cAE0jNi1qq!0K1o-4ol4D|rzk^5)N{gYkH`VaT_ zYP)d%uk@_B=pp*_dR9-~4|;yg|G_Vd`8RDBvwHH*b`Tx?XWCxWEAL@DG3ZVi(Dss^ zyt5rd-&g)k+e>=Jf9U7p_z(M99KUJ1SR6mwF@AJm{Y|vJq{sitKa2iXeK`6zZ5NCF z*$)5c@Ne2)(&K;A=cE54z8?LXwu?poY=?hz_&04Y>NW3SJ2B`^8PN8Uo_S|Gh@Sa3 zZ7=ER`;aFwG2=xL=5#K|EBGw`oS;TL3H>xZ7=EZzvjJ~ zKXH$nG=K7M+Ae12AHQq|(c#~;y`-o9*bbr#_x~o^UeZ%{YzIT!sz1~AqF&?XnN7w& z_q{lN({`~qezs%$=or6gdr2Se``u4|AExu}BgHQaxNowHdH-M6`HHsdi|ASJP1}ol zo$GO*Z(j`e|9){m+gtblHje)ocle!fG5l`0#&6o*`u*^RKHNXNy%+Bv*0r|Y54HbF z+&|gH{P^W}-J=x0xc*{M{!QD(tbR_{{`j427=AZ+r_+I=bhnq(#7z5wL1SaZEyX4 zdSm?I{QY+|2F~Ag{t?dK6T|PP%ij9^^qM}MxAXhyI*;eG2hQ8g@cZeq&(0L$*L~Ns z|11snU;je?@ji*Ri}~?O$9X3DaNhZ#0c~%cf7arc?m8XsEs0#Vm*#Gju4gCOE*AZB zo{j%--Yx&8?XC0ghW~K?^(*7L5q@`F$B!nzpPXLL`scoDe&;*fe|@c}YdPWfzeU?y zzyICzzfsp8UL&0te!u;#1KKWT{d4|)xAWCE@HVYIPpHxM^YeQ8hCYR%f7L&x?WO+h zJzd&6dOaBK+g2@@wu{C1*StT*AAW!NZ?*sVpZfoz?P69>--O?}7Q?)8{pZc92hnyh zt7pGQo?*zp_J5}BrTmk(!ygy(x9Jj5`7>=7v;NV~C|=0tcH#O@qU~Z<&-lI0c-=8= zFRefLU9EfW;hG0T|EBF?)_=Huq+G*QAB^*6hTqSYz4iOgjru3=;di1%bm90r(e`nA z`i%DpeW&>|Z7=m7`=9VT+u~tgR{YxknYN4B@#B~6Ai8k=l4yHguXS7J>-{%Ga{Ms= z*Ltr++e^m}z5Dfg8?IOXiTvJuPkPgKF&jU}jp2HM82U!>n6`^qJ^2gg3nJGG$e(Gu zSkxc7C=8rr>^3L(UX?rRE=!g>%H+s|d&hc+j{eHOD+mtvy z{#f^0j!o43QUCt^)5_lb{-WCY5p^5i5qaQ|QE%G5vHAIRO&|Ma`SQpOYh(YIwu{C5 zbG?%Mg>_f?Gi?{Mdg^|Un_~Tk>z(Q!({?eh|GM_yUy)u6*R9kCrtM-@Pu&tHWZcxR zX?rPtbgQ2m_3W?Fo3@Kt|BOGJZ;1HqYy76|VpdPy$rB`R)URo~nALOMxX*gU4d0;p zIk`sLo6kQt>WA|l^ga(lAC8-NpJW%a{yE-edl-(pw2qs$=a0W)-EUZ%sQm!_!@3`6 z``WyJ@*l1@i{W~U@^9KMX5(l6m$J@^%U+^9o-&~AVph-gbLU4L=lvXqRJ8s4yq@@d zogiEejSv+quzh%3jZ3O)tME zM;ZS4gXA5%DRW&vH2+#3g3g?MeDrU6{aB$(6T8p!?&vM-J)`$r*r%`mU9s={sW;#J z8U0KDUOg*U3p#@MNpHo5g`FGky>ZLYTkaXXcT>;ar1SA3Q9m=Nw;rEUU$bk7c=geGrNvE=liI&UB*39E#F5!u*Br4{cN_P}tZCd!H!ddU%g*bP^HIL}mWcySo7OkS2OF>I;(OG5lki(`tl@jrrp5SPd3G1yqiPM`M?9sA z?@?;z`^Yn=_04=w@0G^?Zxf#KxP_e0pW9{Jqvm^dqs}^B)5Z5FHOFoHd?4#v$BRB*8>#-N zjuiLrE#0ti(PhuLvau?SQSzNdTVIG@l-n=Zam6HRRS!>!$nTSPU6hVc(`q=zFTdH) zJ2ymp$*!zY+YB9}%|B8RA2QOX&dQvnQA(cc?7eZMPaRK}lw@hc^H5%OQEQyzly}p4 zwqm=!XI(Pdz$_sZst(n`J&ruobKUZf^-y7$1lyc_5+Apse zsjO8(rMy{xpNr=j>Fit4UevCHQS;eN*}i_QTy|8fkrI$T@`=wjAKXc3WZTH%~WBcXmw59Rvy7B80iZ%Sr zY#ESGH~BO5&-&SY3pru5kTUrRrA z{L6Jxw#UMb3$MK7s*AT@c-gKi_0R2>UVP<_om;nWy?X2R%Xj&T3s+gfUy6J(CF`_f zPW#(pjceY zTpe}EuB*1|I$!Fd#w;$^HRWZib(P}211`T-*BxXx^?J4n*p5H+X8($)H)XHuYh4sI zJeR!N&8nb8zo#jCU0>^>sq{0AF6gOC^tr}vH=8jI-kErM@%4g#>rCs+V6W>N`KNQ1 z?WX^9o!AWHmfe)Su5WZv_)I}@_CFB)L9TORH(U8@>QB<-JU?!4&g+^p-<4^~UiaUK ze~Ipi;P`LpGX`9EramW=-PG$@eed7o{|xz4=b1WJ=wUZy&+5bP6TL+K-zRZS7Vi2{!V4-_bN~2_4V@l`iipm-AcKi#&p@v zXN_2wtLxxlWIqfu95UH)ZH={|wN8ngK$mJly2nnWW6m_!qmHh|?FHg0G2xL;oY`=s zv*m;dk92x}5h|=#F^x2>@r~aBN?SILbSjU>4k*ePjM>_q3u&Zwa!@ui2Qd^^^ z(l^$qQAaxcgET4~=|m3sZ>&+h4SN0WwEY`R{remGk9~Jwu-D^n==-mYx|wxm)P*A; z>C@|V{nYV~?cqizhP_jI0(~-N|G9%PckITPMRnDrM>@fiba(NQPId0kSX+J6GxFSF z*_$+z`hzo{i~lzD|LQY3^4wtpeeI}6`A8iVK1Ed*aaY0YDcH^M@4Eky9W{Y|Y(;Xn z3>_6Y(j5_YBL7!gF>gOLDb@LxKqi$Xc zKKk@}R=;p-)GayDjJkze&0x>!mp&}&mhvgO=8U5c{4RZ%Iizo_DB&FIDayCbz50pa z%AHmuQ}(+5hJJQU)XlznKy=v6l73-X)Ghq}fatKBCH=B~)Gd4AfatKBC4E>q_t&6U6C(Y-K@6^nas`TiQt(aiZxs(9H= z+3OYG(9eHfI-RF4JYvB4)1{T&)azxv{0Ds^euF-7od0P&x)Zy(qTzo? zKl90`H@SZ@=o4kH*H2S_d-S{fk{a=g-E8`A^bdWu>Z=<2O9ov1#MpnPUa$La=;@PH zx-LTfo2&1u+{tdrUe?Qh&?n+I=o82JUp*J~6K>4;E)hlm7pGf|KKCzTP`YiZWy_@*OZjSR$-tk{ipTP$IW+DId8TCj1 znZ%FXY{lQK|2OO2@tv`MD*in7Pxvjd_iF&j3T?9?N`V7DHUq$?5 zHw(`)glA9Q8T)TT;y#;W|CzGa;~(n34XOXkeKddck12auFTeC3{vm#YK5?A?eNz8b zZ0Tjs`lru`n|?8g8@pMG-#YpZJw%7yEa|CJbkwhj4!b#4ue=3)BKZsY#8Up~v*1_t zZsHfaIoAJolmA5KA^1<+kgmxtRa)D}U-TLCPXC$2kKJs=->iT2*ZS45e=5Ey_Ro5= z`8m;$e%+^|-dulP(kIGZub-w~eTHB9uOfc2n@#_X{-OU4`FiX>^H5!_z;4Q3_utUd zCw%uJePbT_fY?8#>}9?D2Yn)bd0%s!|3jHr`LEd0%bxX5pAk3xViGrYvlPE|^c{ML z4!c>>Q>W;L=pXv*=s$`5XY!rc*iG5%{u_Gwz_U&Zu-R}ZtP|$e(UHv^bj3(v!tg_&{4l8I_&0Hz48|HiR3Tn6HED{&w}40 z2E;FRbFBX#B>#!bL-3z?^bhj+r_Y#o`p+bO>}D(eX8o)G^fX22pNemZ{d1Jrd=_g+ zzxkG^H;>ZQYR>;m+3WSw)T__%OaE2GFLtx(ztKPR*$LW~^FQ-M)ed%3_PYOuo<2F@ zZ(`q=C!Q1g$CSOSmw);W{}8{tuQ|^Di7S%MZ0YrS)<1nl-1Lh{+}O=h{MONT=pj1n zW=T(-qN9IIblA}E+%ouZ?E zOmx`Iv3hMA^oisx=o3r%qtAk0)w_vb?B+QCG%^=qQTZjRL}Z$Y0({(?TSlt20`_*K1|_{DCH_5ZWv zKaqI|{u3YlvwZ&PGv=NCGl?I&*@}Ote;&18?4OG7i2d^@bH9fFA^mx`M!oqcUH{?y z&y>AhKTW;*48QbWMf_qnoBkX9L;qd)z1V-|MZ04EnX=dYH}v$$g?|_O#=Pi}v42e2 z%X;~z@9+=t%ln$+{9m*->CBd1uV?+!XT(jvn8c0UEX8jfeTN>R!)})J)G0dp$3%zS z9IIE}fh-c-{)0Xdzd@fk&i^jWKl+L-z3f^4^cnHf zFD7wgH%swbN8h1`=&+k5J$-_X`ZdvEH^=Igx1diXe?gyE${&3e{Hoqf{9-r9`u|1p zpU6A}|B09WBAAhKTW;*48QbWMf_qnoBkX9L;qd{=q-QFYjxP^ZzW(UC@~=y8Vq6^pA-S zyE#^`yajzCc?tB8H z>}z8Gn9o@e`^S{MKL5k|PbPiha~_uTiO(K${~3PiKQs7M|CufSjsBtkuKjTAKl6pB z#r`v8ugBle(R!)})J^a(oZ*F=Zi9IIE}fQ}NGY|GdCFq%r?P`fI)x_2vsS7wrE`+3WSw z)T__%OaE2GFLtx(ztKPR-*rEU{b#=Xs@Q*~>~;SQJ$-WB|B8KMzWfQXe@xlSdikgC z@DK6J`Gh2GSp7l?k5jXu}5;u0U6u))Lu8ew!4!c>>Q>XZ)e@t}P&9Qpr zE$9=;ThJ$#@<*Qqzp8f=zu3)j{>eZ7D@q&un}z(-XNoWMpGo}K%~t%)`d6R4;&)>I zn6F$N`^S{MKL5k|PbYoiE6+>%#8-^D{|vwMpBenB|IC*EM*q-%uhV%a=YQtw&y4+N z%3hDZp{Gw?m-^3qz2*}D(eq5ipkQ|zCLe-ZoVdUI35|B(K*w?(~qy{`YT|1)K;*H2S_ zyS9^m`mZ8>v71f*jsBtk-um;{f94-QJNBO`d)K>4;E)hlm7 zpGe+K~re93r#%`A4w~oF; z57A*aOM3bQ9rbIX!)}h%D{n!cNdAI8v6MghEcjKuoA||Uj`RPXCDDIH%}4NWF3IPg zK4aeLKa=>eo2~eV`sZDnWB*kAK_77Z&yW3Q%3k;1(9*b%m!#~6??`w|p z|A9l2&TQ%Rde%REM%?s^N!-}YQvBA@cjzHH>}E+%ouZ?EOmx`Iv3lh#=o86X&?lDi zN1p}1s&^B=*v)bN$v^%p-aGj>3;Czdn0NZmB!28>EBL3 zo&Gb4AG_I#f2eAvygxKjJWB)ii$hf&1U?~`d6Ra^2*ph=4bbd{bR~r zufJjatx2Ew*$a|B@s=_7pW&DOGlO6CpV{(1)PJ|?ymRg)y`K4{b7TLRve)Bp=;@PN zQ~#M?QY_d_*~@zQr~mK|@f-AsK~re93r#%`A4w~oF;57A*a zOM3bQ9rbIX!)}h%D{n!cNdAI8v6MghEciWQK>T7i$NB%#s_4I><{|huSLO3hpE2+B zpGo}K%~t$F{qx0RWB*jVDfZ77&0`z>hxA|ge$<;^)b$_sf2Qp9`f2LbXZWT6D&iNr z+4SG&ANGH@&Bgw!_@dZ}vdp-UkJ$~t*it@|*;y-YV z|Jybvy=k3UIRB;3h?{;fi5t6Fir+f=4n0JN-7M*;Q*`u?i4MCtPEX#@SJb$J-Yn#g zJ_~+T?%$-{jvc)hFM4P3#}@_KmTBOxf%8 zH?03k(kI^jq@+*$=9v4>@Js)h!SC(8p4sx>sDJwJyQ+2ef9Cfd5&O@Sy&iu%;%pzW8UdM zllZZlt@wxf=R3#8{;Bwh*gxMfk8k)N(*M(squ%_^^OHVN_Imv^_3AVH(tj24i`{Jc zZ}boS_p_z3|0=#T_TSIUr49cLJ$>@iAH=>ffA(9ke@xly@ek?oOW#$LU)~r0fn)st zY)jIc)|rL#U;2!==@*l@v74p%t)uVILv+~9lAbz6NBx@Uu$$xb?)E=`-S{|0*i(U^kobH|t;fzn{N8_K*3mhs6FdWv|!Y zu>NaFpZKp&P5Q*2kGcO0zx1CO{Hp)Vmj6cmtN;2vop);gSF!)-(0_eXuh-*m=+z(n zUhKb$ea#!e1|MVGg(|;8ecd(ny_?z|r=3amIH--MGxN=kI zpT4Qr>-9IRzb)w#SMEys#NEsHp9R0_zly={?Y-zR$e;g|la zh+phx(|@CX=)VJ3$NsDMs@Q)AnyVZB8+!U=mk+o{!5<`H~nG~H+HiWzjgE-dWa6YS<+Ld=;$949d>h^p1h&2sBs6q zS;!xK7W}H-P5fdv$NE>^gMag($-i01KYd2r^j}5A9qeW^{$~Aa|98+^V*i*2Z;t(A z%3iO(Vf`IRpLp;UNuPL7`Tn!upZ>!?41U#rX3Kx0{^`FXb>7MT&%FEjy&iT`_Imsc zJ^gWH>Ob@Dnm_EO>}9?D(|`Df_zn8RasKa~`mSP2FMHNMeMa2$i%Hzr%~Jf<(Rb(} zI_zdiPoJQpeob`P&9QprE$9=;U(hF(@<*Qqzv=@Mzu3)j{_lQ3^j}f)5&WA6tp{NXRdAdZ|Lchd;TK!jd|SjV*i-3*W(}3B$@ViW+y& zn}z(*XTh)P-NY|;bF6>mJ@_{tnf#lD{L^Q|P5)I?+`(=(<8Ri#`s7|W#Qrgl-xB-B zl)YYm!}{+eed6&~C4J((%J-iI|MVaJVeqT|Gh6-}^-uqus`F0vf97cy#r`v8ugBle z(;ug%{xeV0{9!j`FYD!>{=+}SZ_p=>^M6|EyNWHn>{I%loI#h?{;fi5t6Fir+f=4n0JN-7M*;Q*`u?i4MCtPEX#@SJb$J-Yn#gJ_~+T z?(MnB?Cq~tNt@v{u}+%)89w_d+67SH{Bhp?%z(->)H7Gx<MVSva)}E_Xq#xKDvH@f3x-bKSTc4{73X}?(y5vzbSh* z|7`i$1Eap;A4mOX%>&E&ljozp;)|pHWOF{RXPn%3#`r6$rh?sU-hb8}e}Cms!T*4N z8vOTHnn#uW^V|jgO`f~Jzu9{JV#xo&TciJq|5x;Xu(>twpDk}cH0H13e+&BF?dG9H zegB*jqW_BjBl5^M^z6zw>R;zxl4iqkmKOqJQrD z!GFb@2x{` zw!Xh^$p0ZCErO_|4fRF@96_tX_V?d7I*|$ax!fvvvM96hHTUGJcc$K8fFK z-T&Fp6Y1vbV*KV&t7817?AiF)@|S-Z_2!-Tih5J_te$al-H7p5ygrVf>xb#}qMpxP z&{up_)baTndfBsjB0c@T#Q4oK_KfkHvX}Jzt1HM1#`^TI2EbBM@Rn(hDoEr6} z>_z{3elY6IwI@ZrDSJ_W?~g{kdCGmF-juzh?|tDtL0|EU#{_-P)ayn4zaA0w72g&0 z|7sqQ*E7F-?#=w0eD00iY<>PcRR2%fJ^HWsis=7I=I(j_Z26ouQD5=ZQU4rsO0V;Z;bxmW^O3^=ea5Tnmjj!f3x-cRKq`c=De5u zSA0Xz_qqO(UeC@ye)!&E{F}RU-3zkG5^cW)ARXf%LDEk^%XxA^#_>uE$fecK-5?Ko2Wn5 zd_YYn{SzM=^%egv>Yr#nw5)%+K4&0*6~7eqPd6V~*1zO2QD5WQlhvpN?`uFaL`ikF-`uCbU%KA@T9Q75y74@GoFD~o9dP&q* z{BG2L)x4yv|IwvUU-1V~|0DC#qQ1ZITR}hIzXW}M!ThbVe&1(AeZ`+e{l4Zi%K9zO ziu#Jbi25z&v&#DWJ}>Gk-Wm1xHJ?|~-+AYqA3<+QtMwrK1qOR|{bReeS|_M~b1$t2 z{F$;B^+#x(KyMzY^?*N9_M-k2trO_YQ?(xOXUbmGKV0hsdh-!l5BM`>FY2G7bppM4 ziPi)DOxcV2=V_flZ$4k^0e`0KMg6O^PM|kmt@VIEQ}&|%ziFL7Z@xq80e`0KMg51g zPM|mcO6viCrtC%i-)fyeZ+>3u0e`0KMg1LGC(xVU(t5z3DSJ`>3#}9A&41T=z@I65 zN#9?sbwc`zYqTEduW#!0qW(~=6X?yuv>u>0WiRSa)H;FQJW1;TdQt|H0pm{!M=OjJQnMv*Xux;kgaPUy^k|jJfkG|D7~EAu@Ancg=qDXFN7Umd314YAEExH&CG_2swg{UlgzBUPj}6z%&bpWL&`OCWV&Wqu9?m9ctzg&DAJVGp(&MHB;NF(f7 z?;+i@IVv;y1@4nA$o_%{g4 zHB%c+p=MfJ)iP7Bnc7yJMoDHay>jx*JniA#HKS@~=f+Ck+G~5BS%IJ5T#Yg{)7q+* z8NU}RaBZv3nYsF%Rg|4H)XcNfb(d*t=GoU&K3kdbJeM=$t=Ko!#$3JE@Oe{ht4^b& zX0E-uin5c2%v|%R?wV0GqhDI;u+F@?xf*3^rnOb3W@=k?8YP*z?oX;HJ88(wE7G;L zX=~;czf<|F=6U#ByEYoOs%55LGp(&^nekS1Q*EnGqa-u0du|nFCk>f-?c=&@M%B#q zn<{-PGuPD4wcpWPjcS?kR&-Nqt6FBf6`84R)oGMu=B@9oqU@w0GdHB`tJBuZ4KJyD zwld>+W}T^xrcg7jt!kO^drg~}cMn#>&Y5}V_G)BfHKS@q-{{|Ao%yTgYLuy&)>gI5 z)N7`;)!2xAr1$>!RZ(`*P%|G)*VL!28Gom`^o5$KjiyjDt*vUAsn<+xt4^b&n?5>N z4LfPb%ttQlp3PA;bJLbe-^z^VnQr=gb2ZA;OlzxJX8c}sQ*EnGqa-so-&jT2Nke9C zNuQ%kTQmM1;&RQ@MpLMn)>gI5)N7`;Ri{ysnOg^|VJ8il`NEUCXLD4|=$m6ZtTW$g zu11-fX>C=@Ouc4mTXh;GnfbjXrb9|*QtTX@KT#Yg{)7q+*nR?CCw(6XjpMI>0vXh3I z`FZ-hblP>s-*;E8nc8RyHPhOvmYI6Z)VAt0O4Uri_sYpL^UKS+YevP$Fc1yoE)@A-vH}!WqX4alo>GSJM|FGt2l&P84R<+F3Yo@kUr%}>P8`E#} zbeVtG%t7hCh%TB@nK|e!xy*Q;b);9wjJMJmWqYeyX1tY-^y*u6&diaoucGXvp=LHc zv%6+gX7o)M9oCtXnyXQ!W?Ea-GIPz~I&iGxtotYtd!?T{HJe_ri41jLM9^ zi$MBTH+i0^nc8Ry>r88_T4wxS)J$!w&Y3y&P1XE$(oi$^eolAIsLbf;@eVa}ZgVxt z)J$uuT4w4sQ`@T3D5;qTrtgC9GXJibv(x=gT{NRIE73*)w9PXtwzY-!iI&5cg5N0=99aH^pviAK6B^e zj@9jVVJ5q2ai}MEW4!m6Op9MVog4jbnM{jcJ+T}8-fJ=~e)Uvt^t){`Eq?VxZuGl# za;=`yP1+NhbF2HpN^^@}J)s-5_ngcxk(>N#f+z4xuJkl+(oQ~JJ&7B&_n27A)40j63Twic^`vdos_iGylIPFp0f^AQ zTPLe4w0goeX(ygvp1O_NZLPIx%>2qPPuwQ$WPX`nJyk0$Yor#A3G&Q%^+av-J7J#j ztEXv`UoDgq_(iKHX_I!c+8|~PcDoRZUK~Prv9{|8*)-nC z@=VNnIyS~U;r>@K^TcfQdymO`P*251?Y7B!ftd9~Y>at|V--CO8@1ae>tDvJCuCF1 z6R)v61)H>!_phFSjWJKyM@kh>#isF27BgBs`I@wot?g*_)N9gCw&tMK6R%M_VO>ef zQ?SYJWHpIaPrxSa#A^;uze=m)=WP>@x2GpxqhC$YB#5hM-8%VNrzcxu%v&ex z1^nu1*W`DyeK%{Jo@kAJw@o%j^q`({joJy1&G4%yS<`qY_RG_)Q9I!r;a5+vCcl%{ zhMsC&x?$m}i!PeDGW0y_luA9xI;B$2uuiGe)2mY|_1x-|NP zEa{X=Jw-aDQqPZ0snnCBQ!4e$=#)x5Ejp!A&xuZ{)DxmpD)nsWluA7nI-Qc|M5k2h zNzf^kdIoe#rJnwrPRVnj+G}%#VdA4fo&`;N{K?O5ZoHfQPIjiik%pf2jD97ZSoprhz`5i(oY?~J}23@$HI;aue{`{i??5R*{&<~&-mc(Rvz5le)%qc z_TkE~=gE})=Z3LNa7~JA$(KGKdH6)#k+LV*%XI;AcCtcT$+D1ohyH{wa za!1X+TUDSx7%D;kHucYXMU3pI3G^d7YHpuc(R2IA?@QyqBZn$_WJgV)A6t<#FV%?E zQISLb8~T|GQmmgeqi#m4DEjnzJ%5dkO10GNNIf|pIx^99omRAles)dl#MxI5I3pc) zv!oAx)XnJW>cBrp{>^ob`EU5Arh6}rS~Ju+D@FQG_WJl6`3o!B(bAJYQ!A`jy7YR` zPuACZ4Q9+3|BvR^D~fttIj_-CA-qy6$VquCRB$2W!dJZ5`GUzA>4< zz#qnc_sr&6GS}FrK_*6XU-l!@ujbIC3qSKtEi|s@?kDx&K5B zN^42vkpG5$)LMd$wIs5vpZ!`K>)aV;)Xjd)9MU&>xwk>Ds|R~;H1+SR^`B}qGT7_+ zYv^a!MBVJG&8TB7LZ4pG>cd*1?O2D{J{)CyOtG_$B+8!E&s`UF^Q#6#hutjc8UHW; znKf|0J1^6Fk(Wfhp7kH%)VIdqzn?h6bGVA%l)bKR%)jeo?hI)(?}3Ux*v%()ThVr1 z-aI779d=W%XZ5@5IDl`!-~DF;qQh>sz6rk(|DJoPe_r0}?WqBp=&+lzXZ^2#T^#p% zeVY?`fatKBrSb2twTkiY|GWXwVK+0qHQu0Du$$`}`EUFZ z#loLNze`RWkhrm%imyI@4gIpSqu*tJKOj2nrsB)$S7_{ve}%?sqQh>M{I9$v`dy`O zSt1V*9d@(if6aTN-+KPhVK+&Jn7?)U)^NtX>3%gT7r|~e^WV^K z_}JXTAzCx=&$pJF=&+k5|A*fg{nqo34!c?Mf8?X1-+KPhVK-a;YsawK*Xxh% zHm@FVub)J_sn_fM)0ls@oWDBi&4szBH)SvCXU~fIieHHOSu@?EyELvpjrpU`sD1j* zByQ}c>~;SQJ@bT)`7_aBH%offIdpU94TuiAS<=%d==ag_mx&I$S<lA)jzfAmMH%tDhb9B_di4MD2(z8yWW8E;(VK+;9^2{Ik zHyJl}Q}+7!hw6Xfm9c)!CHuwqGG(vp8}-LJP2BbWh#R|Eil6-)TK0b?I_zdi&pL_j zfUggT4!c>>bDY3m{{sV(H|(Zf$&R0O3jeHMCVsJ-_WRsz?!9wo z=FZMAEW;WE#F>E^R$)+3kVRHy5$+5y!ssvq!wicX2m*>|5~9RiR3ze-#9-V3<#R(c zN(2%m2|=R?VqB6aQ6t~;yj4$k)j7B7obF5f@_pZ&-|znZ_q^Rtzi(AnRaaM6^$}rD z=KtU!PB!?Jiu6mnnA4Bm>2%YdSCKl}#hiY8mD7#iSCKl}MQlmNKmQ9(x8V4S)X^^H z^ox&hy2anDNFD8BPQT81}f(~V!(Ot)aTnQrk7&2&4BHPh|>#z?na`CeK*;ksz!`YY_kv^sn3 zYk}A+8+v_tCL0`K7-ea&S`XUb2s4jG@vGj%)8GhmzkxDcy#uwu5fpr1Px z|9-rnvHv-DPtCs{FKFz48}zfMl79B9r*i(cM?YyQ{{47Ce%4g{`|*Ot{`dIG z)cpJL0`recN{=f$aR-k%HkYhje`dYe+Uy13`r);0^O0~Ll$(X%@9d#HXH&<@lrCC- zSMRj#s}H(p*+QYm?X;M1?XG-2uexYix=U^QDvvH&e@8F%beg0M#t-pJB+V&MhU9|qrooU`QX`0bb&Xq5gP28EoH_LU~ z%=#|A{arib-gIWo{PK6~PFwRl`MY(et(jl`PQ5B4HOscYQ+K{=o@e^@^}@2cPTBN# z==9sU%Dc;X@^|M>+rGN3i`L(nJ8i8tq`WJiYzAi+ul|0#fzscJH&BkRVqKVYzG;_e z{oQv1pZ>nPfzsb`H&FU}?a7oqv=z9!MpOCI?)o{Wd8+X<+%e2k z<(nqgqmryqJg#wo`U*taT<;{Km@5!;jgxgS5&i>*ygFTx(`gSmiC)Az54 z{rl)sd0M)$NrXMr2lICt>D!*Yxbrma`=X13M`k=lVfKuF=|t(o4t@0Od;oXV#Rri= ze^znm5qyVT#P-mCtbY~!An&-p*#9zohh2m{sqf>M8~pe0SCM{c7jypm`=I@e+g}{G z2{zb8*pvQSxSOVb8@u+TU)sf-f4;Y5aGu-0B#%S8I4|;#wxXI{KJW{~jtL|j^AcGP zX%}G+gc~DbY>Sbdh;{k{d+QQ+QmWtE?nRK zME?7Z@P0AYZ2n33HM^McKUx04pNrqKE&t;7^GW#I0sdwLyai=~c5#O&|08`r=B%)u z4h~lAzX;_IcCoGfi1d9gN328G_jLhZi(}C)4yFAgQv3B#wqN`eQ6t)4vy0hZ5mmb( zLW$$p$Jo(+MDY*&8gc#&(*MeegFnFku#4Dk<#)6w7T}%V)w&DK5)a3WHy{ni_r8DY zv3mRWzqoyWH`CPCfh;?~%`|O`Cm5?h{Q3PEPo4c4Pq2S>^}&W{^}*0ebl3dvnzyV~VuN3a^}TUH#Q>nI*q zZ1`45rLiZCZ;5Mb&?~F0QB4^`&bwJw*}GTQc40;!DysSFP3PMz=$>6m=i4gio>fc7 zwxsD=aBF=U^wxd5gjmn;v9|TJcSbv))nWL*P*0n`{HIxxQA@|3^8d{}?Sa2`J?z0# zE3$`8yO`@~b3cBl7umz6U4-4rp}*2k-qT*{u9VY2^{~aZE9K-p?cVj1_q3P#E1f?M z)50rw&pqwl^-)jxDe38=5c4?w;ydwwAO6H?QT|8%r`pqHk7(fIIM?VIi-X57+fXJv zVGqxL)YD_zJAj!A?5T=_&v9+C2zyd*cN5UFw?sWj9qnRHZ#`9>YxqZJ&8Qx#2z$~$ zd!+Q+e|JUtwVtYH&OhU151(<1jKkvh%=T0-hxRVy*L4*K*+YX}#P%@$sQv0c(CG%A zTah~2#hjk4KUY=Qm0SEHq=j~IcG^E8bv;zpd-$EK{==S(-`7v*s`{JisiHsdJLqZV zdx8aevO&+TZqG5>pNo5fES1fAf>cheCupU?w>rr620cM5&%WKj5qj#AHR;E(p4I7- zwLkT?dgI%t-uhXrnW$0q`)97E27Q;_{P`OCf4F~!OH;h^J|58=#fT=~ihIE1y{!Hh zy533u-&SPrgmy94OW}U}P%pA~Lc0ii*vfSFQVzlntI@J~s&^v79_l-LDfRS|_EH8` zyH-wdFfELy*Ir5ueRP#zFBdI>jVC+0IKuH{wHUsa*P*woDaNBk%QqZXggwl^r?i*S zIvD5f9f+U36wkrs_zt@Wd+0xkpKIorZoFHtB-25=m`(q$T-o8OT%J2}5Lbc%b`kcp zfA%tNgTF6T9NYunIZo!;wiYOgAD-;$O5eQ78tX?PwiDUxN0svu-kY` zjjLe)p-r!XRO+1eb`7()Km62k*Un^b?K8^&&PVO*f%QCZqwWkG09~(v9{ss#bcsaBI8n+r_QzdhncMs&}7T+x4J5-`cLH-p><_b8l_eJAa{}hju-F zQ&u%Dx@_{6ee1X=F0=S-9T#dD|13x~h@lY{0uDNww^rG@Puie*7`3Zb*hxgP!QLk}F$Xc;=Ty*oA^~)wMUAJbL zdy(OCTj&+caM(I7s#y-5y(Q~&|8DM|tA5t*hW#Y2 zsM$KtujhVJ+Y@~0MD?%4t^KU6{jBH-{?>k0@T8Ap;pP0kt^KU6{VenpZ0!Z-t!|y? z#~)1hznAVuo@>wR_#fKOik};5o?l`#>wiQq!JOQ}g@8Ugr7L&`&xaWbk3v z%g}Myde)ieS3@7q6T2S0#I>lAt%{nTCt`aznh-s;<9rUr$#t{h5aznkF1F=gtlv6M z&#sa@@4Q+X8_d%S&wnrT^rBzq37!IQwtBqo(mlno{h!i#dcVu3GF#{A;g`=k=U;DH zyZrKMm0Q$%orSf~{LK1b#XT_7k#-SV!ge9j&&E7eerA8OB6YNj*pk$b-tTnN&#p)v z?P5+p_Jq^Tcuhs>Xcyb`QM)*d-{Ro>+mVeGIq#NsF*pB~`wf4_>BW&}I=u*cnEuHB zv^n1IJ{9SgcCnlPfgk$#J%@ke{EOJ0^gjZ>jC=Yv6&W|}VlMvTIWBI_Twp$ujGK0` zTm1Mayf}X)F)P6A;xp5F=Ck=ldPVxBUF_z6e0%3#ock*8 z-xKy^{LE*@&36ozMBHWT@PWV>N{&s_V#d@{d?SEOIs#cuw`2b}+sXiv?*IFKnn^ozKe zf1jI+v`0S#qU#!+CS!#`9-`U{n9RW^^f&S z=HGK$<|pI#T;$*VFe6#kTVo_1_D9ZuI|v>^Jix;@@QZPd{wG=wD>JMZ1`5zqlXk zE%hSnE$w2i{ucP~ewzA{_t1*(Vs`x-e)r-?r!R@}%+HRZf3wSE^+&7JclebX*I^u~ z`|`>4cuOYYq)wcVc=!=vPv$@Odm7@TUfdJqpC1wSP#>NDzTMrveIKjH^G>^nEv6qu zmg3z(T+Mr+=Fstu+ukXc*yk!g8q9s>`*u8aoNeD`F-+=9$KgJ`94EC;c$__by+%A^ zNEhF`xE$|Y3`R-jz9fpMZ7&^*djmQq1{>}2g{n*t1?Dft4GyRLE;Gg-wjeq}WllWPFcKt&0`00Q5 zi=2L}?62kC3dSqtu8;6NwR{P9+xynh*X*W6@4##8z2g?`8b8pk+rU?8*Nqvy0`C`M zYcG0FFM0%CTmRkk=p{6o;cfkdMoQ}$L~E;iODVG#*Zzh|T=geY;_9C(5xzg*&T9Fl z@@e_C;7f*vE?BpA)0$1^Ua;=mHR~tNTYK)t^VV*f*mwD+jh9`5k}+A&X90S-S3iVN zrteq0=5&0AUBq@P8A<(}UhjF$DzEpvI{p>f=+0~2pL)OVt4P1Ji(UOc>HT|NgHaoc z-}CAxGx5_e;@;+_iWWcYVlICAdBb;|fANi|hO~>YXY=n3|L*mkZ@kp&J+VEhXFi!< z#4FM-?P53oZ`{uLFS)||7q?6MUqHWzoB1bN{IH9;_?b_C?DJ22i_bq1_GJ1^{{F2%w z;QWj4TjTtTuqV@R`X{{J^L;P#de8Shk=8Sx%rD{<>6dn~oB#JMasEpl=>3aJ(*Bu$ zh|ls*wD@5cbMZ6%ANKhte#GaW2zxgFKKvyYzxa`(T>K*JNj>w){32eFerXrG`Tqzm z3~awkGCz^7kBI(FVUxDs^o#ge{zQu#b}<(}{e0qk&cFD{wa&i?doul||Bcsse)3gb z@A--NP2wHb5A%z7Mfzp_iQW8va#!cSHoaXKk*Ab z|3uic`SiuqXA*C-aMVMf#;(?B@Rq)1Cj4%ul523*z*&f7V~d&HNKB zZrH_K{Pc6*51oJU>+76<5%y&IsmIN#)t{1Ad%fs;)sxj9=9BqFydwS5E_U_5hxacY z?){5@o}Gj zBJA1x`_cU_e(~{BT>K*JNj>w){32eFerXrG`G0(_^Iwwrh;%(J&Q1GgJ~3|QpJ;Kz zF6QE=pI`jM`4@kAvGXs&o=iXWzZ`b@k~et0n0fNTd@{d?SEOIsMcDKHmwNx=(cZtf zG^2-K#?AaI$+&43Gw~z+t-|GB$(GB%mWb`S_*=z;7JtdsX%>G=#P*~f`Q-e*uOj`@ zE_U;Ul2(*jXo=m^(H|F$X z;v2nQ^dq4-%^km_#C$UUh*zXv+QqK^_w)Y6}mhhf5*iyE_jiPUxYoWXFi!<#4FM-?P53o3l=;7C7F+iYk{~p?VtI? zxS4;V#SOcdi=Td$V1^6JpSaT%&c6tIGX2!=H16~z(UzE9%={7-^U3@oUXgxj7rXjD z!21_Z^!`QvBxkbn&-`QD%)gS1n|3i1KhwFV&p&Z5pMN6k+5Fq{?_K=jUaMXFBJ4>$ z^U3@oUXgxj7rXi2Ye(n5B=ZsJ+DqIq?VtI?xS4;V#SOcdi=Td0{Mz{!SH9Bu7hzAP zpZb;aoWA7EUN6o|>zPmH7x9YpOS{0jI>?VtI? zxS4;V#SOcdi=Td;=f=HD9`kDFU&Qug`l&x=8yA1cw|Tv|OsTa8TMc9*i=9BqF zydwS5E_U;O>hC%KC7F*%*Qw&~rTsIX7&r4zw76jxbMe#9>VD_H|!_nM|l6@S>C^RL_Yr*Kl867<7PZ!CVuAMOML#7^!fG@ zu}l2x9&_=FFIn&67h%tqKl6)tOZt4Hf950XNxj9tm-GJ;k@?7UiF;-A@XNTFf1<_B zc$f~iJ?npq8~<*Ve4X>ZMa+$VBhD>LT>K^9>-FN2bo|U8^NTo3!mruIZvKz*{>3%k zzj##IKl6uiGyh65Zra66{5(%r`ur>D^X*EpOZ>0;R~Nr{5Q@(s@a4PtKm8*$#S ztJjOSdA*o<{=ob(zlgIW{F+_t=KomlUtH_`i^t~kkMT4AN-}Q7BWB{~d3ux2zmh)R z-XwO3|Brs|;uqhv*~Kryo;-idC-aMVOZt4Hf4@DcxA^yU{@)}rADJ$3-?V?`6XRz7 zi555GVLIIQtp9h&49T2j-9YMVuw!*X&|9|0j6= z;yUkNJRuW5{UUDWUrEMIyO@ce=jnEzeC{{z2p@r$=#?&23=Po6*Klleuw zC4Iipzu%tJTm1Vw|F?_GN2W{MKkc9S#JHJ%qQ%X4m=3o+>;I0q&VR`_JO6ixx$$qr zdB@&fFMibP#mw^u=8ySBoF(Dc>|!_nr+EM3h2Fn-NKl867<7PZ!CVrl$JAM9@ z^!awD*d_kY{mR8J-uVg_zX*Hs{4t-*FXAof^Ns%f_N3n8KiK)dQ)E6eUE;xM|I8=G z&HNKBZpOoOxb0d0UtQ?@mwc=9|5Y(J{*5@ly435%k9obAdH%rsF~5kjB>b9P?B@S8 z?_a#w`xj5k=O5!|{*`3hj7N;)k5(QO`rPRMxv^+{199LZ^8YaZBYo>^ZpO}!l{6jf zA~z)UeV=u@e)QG&0Zj+HNOMv@uq`)Z2lO>OO$WPZ=GoX~*gZdp;vYmC$Is9}Nz=hD zazoPp(1T7l?VOUPgI&z(hxc>3;k!$k4t6oCAGyitMt3M_I@rame)OkKHyw42AJBBL zi!>+GKXyDfV{yfIN}3LKksFfw86S4KnFo|K9qeLOKWo(KW?`(6AJBBLi!_J+qxnNx zIX`{@c0w5lF3kGti#UF2bJFPus324*b5;iwC_JEB9d+VNdFZ zUse>)Mmt6S;t{A5w2QDO^&@{%6vzLw(~Hl430CgIF2bJFPhVLSr~ReVi>IS3(k{ZD z)Q`QXD9(M{>BaLlpgzDZ!k*O6_<2!W_-UsXHynbM>#&QkC-t*Vz)#Vl4m1D6D>k7l z!Y;y|)U%v({`WY_j>vh}w2Qg<*DNQmep*q?`Ch;ex$^UB@o9PgoVQH>BIhmBznGi9 z%yRbo7$=~A@ego6NxKMpHvOFUOaCJ0{nEdfoBzvldh^qr|B`>|%J0qM)ARm0Z9whnS^u2(NdF?|J<`9JoBzmq zdiM(FzvL%e{k>aUk@wGegY++Q-XQ&px%q>v=ifj-o#$WttA(!qi?Aot&+8QD-O<0u zd3Usnx%qdj{|_AK{FnTUtN#y(_;te6`KKSwTcdxG^VVn=bMx0&&%cL$Hq$SDf3d6o zBJ5fJocBflBIkY4znGi<#rpr)GoAmEce(oin3#EU4E_A#C(ggfc~i8DuqWeZJ%8ez z&cFDdJG%NW!k*N_kIg?q`b%=&5$$4b{t@f{lZQM1CGTF2x``WHFxh5p6d{1?>!*1RK~|B_#}`rn!-W>&7# z59f{0zsPwbw2Qg9w$f7Jihp~pG@CI8Cmf9p{3xV(QpH>ZD*&&}yy%soFx{cj!n5$9h#?ip79TO#b) z^z*qh{fm6=O#fo;`7`Q&>*N!i|B`=g^}ltpcw*i^pWD)Z$!nc|K0jt0uqWeZ{a^Fv z&cArhzOMd@uqX9AKA(Hie@X9`&p-Y4q@MNvf>WLUk`KB1e}Q;v-anrk(tpXgG`f=x9ylj70|3%o7`hnH%xf}iS`I`}b%`RsAv;M#Gbmzb1cU}E|rFeSY zKc8FCZ^<_}|9pPNIABl4&-(xRPdWeMjR(8>FT$SG^Z0!3L;oecUq1iw+mm|M|C`Qo z{!9LYtN%BNer5IWB=c8!d_Fg!|B`>`{POvY-=6f(`hUykoPY5>hq?MM!k*Og_K`$@}NHJ^hz_v-8X62lNkn(m(6}$3O4a zw)*H^*WFMra@`H>Vs8Bn_v5-_>P4tUy{#l zX%}ZQca^bN&R$c@y+6=H`E3KU?<#T}iHcp^O%?)?kYbKNra zBG)a`F6P!RV?Ud>!t`_git#p=e$HR<+q3!4=jPOjd~Qyi2zyR%>;4%(*Z-4T_s{sn z-1>j|;kpz0FZo92pX*Qj_N;%d+oRu-*E#=OzsERW&*^R61^sjWg%Qs)=$G>^jG6ft z+|G3i)Rnx+>9~HuZ_oPYb5H6^e$46k{F8dvb9!4h27SdFOlRxIpr<|5NA1rtv@>is zmmgA*?I!JFTl*R5_dz?$&oj{eifp%N7hwE`@rzdfm^Prk8l zYXXF*AO^{f<7)=}Y3i+w5ZI z{+s)qeWKHs#C@yT#mxOH_q*g&r!V=C$%{+G%>5ttd@b&un13a4-(+?%bN|Hs-g%bO zm&ARC*~QHL2lxBf8mBLbe!JPlO#hwxeHr~<#$WP>CNI7$X8OO}^Wk+)U-Cyz|FF0& zUH-Y}&n|TOl0R|!pNSV{^{t_cO<(cfOy3$3FV5>1Z*lsPzjXS=;+DLA=@m|2^4Ctk zRJOYG6T>{m)6|KFp*`kD zggqPo$!Mpk7f(TZ%#R3rR(}E7Y3jvwXpi|3VbAJciFTTL@l|M#`4M5y>Tg0jO}+R> zXpi|3VbALS1no5S;s?+k^CQBZ)qfW4H1*==&>r(6!k*QC3+*)Z;{9lk`4M5y>VJTC zntJhvXpi|3VbAJ+jdq%P@i%CX`4M5y>09Gyr=c%78|^VZEfL$Z`rXh@Q!nn0_LzDR z_N@LOwA0j!2eab`y$E|&|9rI5)QcyeJ*HlSJ*!`f_L};VXpgC1D`v*ex!=psUQ=HZ z?XlU#%=j<&`+c<6)R#niY<4j-e#`xCMSD$sNwmji7c=v(x!Px=W>AxYy^EadZ`-&N`| z{`eNeprzLy`s_d!p#zt4B=U%mBtdH4ot&W?JOUj>RN z+V6?4~kzcFVxfi1BVXyF5AE?sZ<}o~0dav+UpYv;I@^Pj23XgTazaP&ZE4^2E ztRMQZq5QGZdxgjPjlWONA1l3AaIDts{mL?z&il+>;jwl}7uwP4`O=By) zS9q)wR`n8Bdav+UYmeyVSn0jOW4-LCUXGRCD?HZkAKS~Z(tCx+y7h!!j+NdkJl3cD znvnc?Oz#yQ3-j&Oe(BBr*4`Dq#aZ~4sP&5W(Q&v>&~`=pgvUwk6CNjMk&?$r?Gqj+ zXpNG`N$nFJCuoV1$4TuI9w%splE+Ey6CNjMfs)5b?Gqd)Y<*J4N$wLKCun(+$4TuI z9w%sZlE+Ey6CNjMagxVL?Gqj+Xl;_mN$nFJCunJs$4TuI9w%sJlE+Ey6CNjMVUov5 z?Gqj+XkC)WN$nFJCuo0a|55^9IyseJs_5Gb&#L|XHQLhJ{`+5tKIZ$&_OrI0zuLH~ zzdvu{f9Obz)%*EnP4v^=hxHTw{=SKRq=j`8etuUI{pbYNPx$eHCi>~0!}HiJ>{en&W zv;6)3Yns;|`v2o8_-FaOxw;=|l>Ybn_uDl|Kg<8Eubo=@KRgBhtUn*B?x7l`{}cZG zYE9D5`uC|DrjXU!(Nj=il$uB>k-a-}r;(>F4$9!72D>{ePgkSFN3X z`u|7&eyJwuXZ`=)o2HijA5FnO>;Gfb{dJ@C|H99YX_9`{|0muurS!LoDfnmof3g}A zXp;WcbpL*xCh150Z_Rke)Y3nH3jR_5Tl1=Mj7I5S;@|JmB>kxWt(|Xao__Q{TYFBy zKk9$$Y1P;Ma)Bl^M;GgyXrfU4NQTpFK+B|;N|64xU zT+j61J_Y}*|9|qLsrkQSW^+C3|HnVuT+j61IR*c$|DRnwHUD3o(_GK`fA8H+k7>02 z{qnir=EDUCtj@N>uvgZNGep=leOmUonASbv(T0 z?|kxEIMzZ0p7*Ppa;}l6^vmi+?$=6K6tnSWdzQR!>`(kt66tb(ZY$o`uCxjLfK zFGr(Q^Za8KM4+!-ez-a!(ASS2t0OA?vUG!Q&|G5gH(l2Y%cWE>IDhX?O+G>6mrC%Rm%C^?7hjtz-B7&If)!71{ z-~F!?+iD1;_R{mP2(3m5VYFEP&`^n$4-J)A^UzR<)ea4nSm)4Ci4_hFm0w=lREbp$ z4SixgLo+2;GBi|T4MRgERxdPEV%E@-I4x`KvEtSD%x#9D%eN~|JisKok#hDxj)XsE=R zfrd(~7HFpA`hkW@tPp6Z#M*#{%I81XREc#04SiyTKtm!_TaLe~Q`vRbO>^TmQOC3&{I(%<`}F`_}I(W0rrVUsnJA1hf1r{c_!3 zVQI;BgMHH;E&7Ui#aOXZabWm=F*-DK(Yg(nPAr@J?IQgz#G7o!@fM`NtvGr$zQZnJ zyY-WY%h9`;eYha(%^CgNk00vA)+T2cVGs5F#ZaZErtcu^u)1QoD*7I7HG8NZnxeih z*1J`K1FJc}Bks5rO*$AVqN_N2ZKZ-U@ksr$ZEk#DD_UBvdJ9-eGfe>{C%fYm)0ZoFjT!etYeEuC1t|HPV!i*Ta0ZDD^~J5wO- z(~fuXy8Sm)`!79k(*0Z8l>0B4GqmR1&Fd~*J8|yD4V&@r#D!}&uUWrrV%g=(CN^vc zJ@p@ocX0W2!|;?#vx^W1KR)vq5LFw=q#)HimGufOIzgdeiwb z0o@t3bUbHG$206KM_0sY?d|$OLA!oX*{-kL+OGTS($;o8xUOt%*HLx1w(GiXWLxL0 z?Rx%sul|>-)En1x_h4gq5buPgZ}!##da8n zN!{h>y|1I;oq2&fnisBIb;T;nt@23$T@sLO#-I<#o z?o0ptfUTJz{EPTzJ&cZfmC47TR!n|PoBJU*_B8M56*=}qyOG#(NFM$uT5x5G9m$5%#p6V@R)Z`-_9~@SS5wp0J1dDE{&9 z!tYy)Vm79Xh(nj*Z6mOYuqX94PVg-F;~0|X;0effjv;x%p489Y4!YOEKi(!I4x*OO zF2bJF^9*zBi08dzgE6G={P#45w48%T`>wP>p|&xkp|`nskqnGE`7tEhf7$ZM_HTAg zntM~zF(fJ*jv@Io+1pr(^lD?SeJyFmR~r5T3$RBh(z3 z0i1zpK*GUdL74a zPwM9lI^Dv>6{)9P%*8L=;+H!;NgeHCPA}b#-*tMDIvvMvPsT6Zt_M3kNxhEaw0i2KXF5Gey^iCzC-u^;_>9w&)ay8Yds4r@ zKmP|Uc6yR}+QnS@r91THPES&&J=p0<>UA8yJ*hwH zFkF?;k38yY0daLVyBPN)qxyful}>jgdKNs65jwMr8NK;IZ_xaEj&JY$i|8dL<3Hky zK5mp(O9x56w2K-4j1y}xEN+p#Qj6ac_N4z+YoULS^Cu3Xr%Jo1_j?SZ!!o0S;9O-DM-fvIpci+wFbsXtvr`~T*>Ua90)8ic`b{2)0GXs6z9PwMBr-|2N6>1e0kZ%^vSk97LE{``~F(JtoFKmDJaUdNG+cIy51 zr2lExI=zk~9qrWn?MZ$AZceY`NJl&MetW2o?kwo{D{3gVW&Ud9T}0I{FHa;Jv?DoK2o2$JM!&sbpGjw>84I(y7>{a z>Bn6pe>iJ-u!l%~x zSpH-^A8Zfm`q<~)^?a}`tLtOW!|M59J6zYtZvRlv2d^P@ee85)Js-UO)%CIcj`e)- z+FjSjHh0zY!G1?wAM+;a`IvoGeIK))tmlLMJ$!1_!Rha==YxIKx;~~IR?i3f*>!#N z{X^uVr|Ur<;tK8ydeY3jtG;Hp27XpH@WXMuy6IUtzka^$iatPajq5Gn0zW-I7h(~( zjcZsoZrO}~d!Jp*!t>P467D@(+@nVGi+fbMyH`BN!UG~p<+S#B$?>!P1w)fPE!2~x zR`_IzIl<4I*982j`@ax<-ugT9fhp>P=k6Faxru-9|8o&{>1VtD9qoThwf7%9_vbe0 z#IE}2xq0yer^C>=Ji7lI^DE`M5h~iP|WLxPjb59zZ9Kr_#`o}ANhvUjV>3RZsZ$c zUO(NRx9J~s`sp8ay6OHr`t8Z{H|EdVj6I!x#-2_;_9Zdzf2KcgGjDVHnYTIJ%x8&t z{Vadp#&>r5@tvJ+)}3NrKii+T+3#|C&VOL}oqdqlp^rz_7Ge87DDQ6<@&D!cTO^u2 z%)dzAKild0|44MYel*5Bj^Cct4~;n8(CbB~8yXSKp45-DoNnYA(dqccRr>ealltlZ z>2zZ+7oBeUe~M;L>SzAU>1JIbI^E2liFy6(e{s4wFBP3`_P>aEeG6Awrn7Hh#nu;a z?qL_PJsJPN8=P*Czb1&|kkrvG=JXuNr*0URB#}DW#hiZhl}_;ohMPf|y_n9~=iKfnDku3tER;*%S3EY>Se*pvBh=jqknuP4{t z(Jr>)U#5(%Ke|qp^`7+~@ta*d+xf@Hc76>)v_i~gy#1_+vhN9`y^(V8r%jc^|J+nL z{gI~1nSaw%Is2PUm91AaRSs-!s+_jIsdALh%Nmu0882$896zN}_SnC6br}8YlWW~Y z___<-5wQ+6H1}>jUuU6vuGe++=i_a47Iw&@$kU$;HC$Sm@DN(bji96=dWKov3~8PYu97l^ZG3pZkV`m%jFXXpmt3544P}L$M74h{dZSn zFN1aw+tVduZ?C(uqV-Z>7jt_08Tp0tFV5J{`}c%B^dHS(YQfJSP77yH3ZiNFD8BP7gnrp=|!eK|G`}|DKw`?DIeNzsdXMGjpVay(9BWJN>)u>GU&B z__6psN6@lrQ1UXeQM<$31x<_Gyl|I9y< zWrB7wmw)~DqL+Rk{J$XJ7onwHJTQts%Kv_7sqe?WC8?ub%<1U|W#9aJTKR=t#P%@% zV*k)s{K&tO^h>*#^Y3G4{Q6@&7QZL#N&hUT{McJMJgpq7T)XWFJ=&*Fo7}A@;=d?^ zGmmw45!QqrWf$`{`Qd5~aptkkF2bJF^E~sz{1+KN?IJgG6m`=2hxGA0G5sR_GG9d4 zlY080j{ZgJXcyb`(Ur09_g&n5XoLA7c^ukBn9ctvZpg|N6YMkXzdU-6MeBC#>Bmxg z*>~FR<#Br&%VwU8)%d+Kdy5b9q4>j^eq7t7H1`x6%X{A^>iJ>WYx&O*Y1kwj}4(*RBRiU#}SNrh4(Zx`VQd ze#<%QdrzmUGhVkT(sjA>@O22OykzZqUst-^d(6R_$?EMj%lEHZhe@9!g!c`7+o43` zBjR5>m%eowoa{M54SleFki9?t!B=qK(RYKl8SVejf7kntF8Zi-n(R43-wjCd4-k{F z!++Gfu=|edu|MxSJndd$5lRW~DL~l6_#?gT1D)M>c-lP&v|^jSar|9dfao1Xh`Wru zp3?3+e42gzN1AiB*ymES`;O~>2lpMglfP`!y3K1R&fA0+JZ+j-vuV?sD<;3*_PyM4 zuIQguk=JS3MQjO6MATo}<{GD4G^-+Yw2N)}s6j>Q$8{J@^{&vtwV z^l{i<5SP3Q-(eSFPwE%q+D_fVyDL&hyO`52x*z?5H{!=u&#p)v?IN~^{yWm&zu2X} zuOC_M^d%p4`Vnz;q>s*@^_LL8^_M&uH|?V0N4*-K6P2v+U0=?p^OWCn z0h(rfEKhum>vG-nrF0Cx6S8LWnlszq*xyC%%c#_N9c1LYTWv?ED1oT$Ykgm?x0rp& zv>ry?h<&Ma+V*(6q}G>6>nWVsuI(YMD22FkH+g3|%3s5t4N91cw>-0Gj_|I_Vis<~ z@mm4K?!`gH>|(fBP>kcr?+Oy94_7K%2Vj() zjOxW$)l;BOe_o6A2zHFaWhWzjP_MA<)A*x*-jC5Q+89UKNvHQ|omouBm1U&Zqu8&Q zQ?!a5k>VYSrxjSc8@A?UdINvHy+co^Z1>O}vi`DNTq65+sd$~v+E2BcfnN4pJJ@eIs=v+dBOy?gn`OD#{Lq?QoEP z?mUfb>qs5XmneH$kM^<;``_U>ons|Pizqvo@bBW@4(&bDvHgc!{G#k&QcwTWu5$i| z;hX+N*})bZ^OBK3ZIQqQ=VPLk@0Z^b};p^o`4j(U4qkNt7I z?O)*eUc~zdzKgOi$ftkXZCpAR@9xqs$_^&eZ{xGf_d{Lzwef~`;QPII@wJ>%zF zA;|eiKWA5nvV%!I&kysNWWMqIiL$5j&*l4!H#)N3*|?FX?78~K^v!;gOaC0?JJT)7 z4yNNryR!q@Shim~J|9H;BgzgY^e*o0-s#AC!}vwnbM>e1DriQLZzS4l)~AxP``@M; zE=L%ucPFsi^Fy-TW%(Cn2ea|pvv8Du>KMN$ds-iqGvqsU?9Yj8KYck&_(y(Uhd;K{ zB-(A>50sQW(|$9*ti1tQ-q`+#vV%$gR)0}$CM*A}$9{WKuXaoIfaRb5)sFe?Sv~jX zev5HGLY*i(nAGb&O7~5ce`rs1U*)$a_5FW^^R+wnCwcx(3@E!FAN1ufej@rP;(aU1 zKe+whLI13ZvM+vX6n}@_o`yp|>lUXMWuNh)yngg*=by9M_?Bf+_O`YAfq(3O1J2jg zj@0pu&Z6u&{Q%xMFylDH4bDXR2Qk7S$_|G4ANl9=lI>muJ-Gej&?65-*};U~wL6y2 zsK2H|{TF4==09|nPayN1?T09PTl(XXDV(F3XM zdQtY-=j8Q+^PGP8Zg>7g+3|*_F#iJo?!5C2P%H=3@%)Rjr}glA0Ir|+J1#hQ&^p?*!&LU`e{zci_^8Y8* z{R+|>eAs>rqArQSrZE0^WD?~^?L?35M~7bR1j{YUpD24<`_Z9SIaRq8Wp69L9eR~h zm0MBvw*2eRtDN$@vHcQdZ!3R+zESxN^e8vTf3=fpH$~ao>VKej{?$&Z-4tbS(?=ug z?7waIw3mm^7nQo3EnLntmRHG%3ep$_^Bs<7y8!gogb2Z``%ZP{{8lz@=xg&srUK&->P4LnCWNH zI+y>V>|oMA&l~q6sbjv2vUk;Uyx;3teoFt|z9817 zUz9!P-|`O{r9a9)Z~yPs&;F+KKksID{zTcqAx5&P@-=2(rs`|bsT>g{v zYvaG3%zwW<>3`rQPES%lh>%6<{r05Zj*oQ8f28;JT>iJ~qxgRZdP^VtOqzag&&5Ag zJNY|5<*xA4whSpD25;`Z3gf)<3ZqeHg!VBK3ZIGXAOR!}QZH z(=YZC|5WuXzw|>g-&uY|*}-J`S$?=5NgeBtD0@yH`e(YS7peE#lm6TFjGub)ccBl{ zueilt(m!SW73jZz2Kf)p#QhuJ7bwaOCh`yW@3-N3%_`4-N9Lb_@1pFhQhNA(749GJ zb=)5R^B46**};T=oZq9N-N*A$@W1d~lzpE_AFs$c!QH>I9`L@ihx_jiz3#Jg-y+Hm zhVggkd7kN?=e>vaC(^f<-yX_;pl@{k0)3$`&knu%DZK9Y(Ep0`%zx@h^&3Rl+peFHp7l=kO!ZEby{-Pm`ug=J`VEf$qfq|8 zhjI_{y{mj)Cdv+mj4y5ixAvUk(Zz&#W7Ghg8JqU>POKjY?pBz25ml)amN z!AG2a;WM0GlpW0aU-(6*-{!eaFUk&P_1oaN4dY)l;q;>HU{cR~W1J-8X8wz^=i)aV z;wKq5^&;c<+q3=|C--CA)Qhrri+|pz)6e656!ax!kDotxl)pJYclx=TonDk3%*H?a zJ5E36MNTiu4kqu?oLHyJ+ep2>a{H^=Y zeqr2#9NGr$*zpx*2b1YH-AA2%+ES+%hrAuk>Zg6l>4%XP`WIyflX{jr#z``MmLE~} zT>Pd(oFwC>US#}!d)7bWdcnFUp?Ff0p0T zU%B!({S~hKiL!%9|2*&9kED+0Uz9zkXZ~_Ok~-$UD0@!NbaOwFI;LNgJ*V$mfyvEp zL%Ko!q6^k8m6RP!rhnijcl{-K-5s1)k=I|pJ)uYc6Z2H(pYDlg(41>JUzGjyjQ>A& z{f`0A-W$KDBKse-=lUOg=U^XP_l#c!zmM;t?9Wa6Z_P#7(I4gyX*GyZ_PJ?&KkmIa z|KEsy@W3F1w`Y_Wr{Oy}}@%cA=f_&b_ zawy8)Hh-f-Z_oRn=ks&wOX7Zt$Hn&W{CDW(r_}l5$e#1x+S$cVGH%Y}5~=swlm5A# z@f+cndd6XtJrln_{-pX|{qug2$C-7D^IKB(<>~yR4)Y64&-+crT~hXV{!Wztp}zF% z<0y}t(ewN=ej{`||2&RS_DuZL(T@@FQ^)v?vUl+>ed*WxmmN%=fBMDz3iHqVP5Lb< zdpv(A%0KGuc^CPR)0gD)Ft@!Y|E10!r;ID(pXZPJ8Cl+O{u#ef_AYwHPd)upS5o$M z>HG`*mpXr(GOjNEdB4u%aNY|2i?V~s{G$%@TTIXUeddv|2cflK>A5MJGZ{5DEo|z{|nIHI|Y8p$H3nPl>L;np6w2Qv&G2!hmTGdd>4M$_{4rZ0~p+UT^IB?I}B$)U)2qx&`?L zvc0tHho|gdR&Uom=&iqZT}9c!q@Ly8`u`x?3#MO`9Zc#Ox7~k$)LHxODLa_e53{|6 zp7q7r4^P>_q@MZC^KC@G!_L1bJAM^;Ha1Om|3Saj?tnb+^e@T|CiToe#;JD1+7HA} z%ASj#I{Go998$+}XOunTpXs)C0%ZBob(@sEt^EkrYhwSSaKG|SN3Ppp9HQ)P>vtkO z#~rvIY3qI-t|)sqJ=e)lZ|k3+7iDi-{}lOW{I<>pq>k~6vUk%jINzmz(fgfVlpPGu zf8?KWb3c+gj=zYqchhs77WJ4XZ+cPow)I<)e;a>=o}`ZH7peE#lkwa5>j^0L7>BZT zhsRgse0;w>sb}2w3(g?*HvZ@-JDAk7oN_kC!noA;`rPh>G+v`?nhE*<8Pj_=k!cB_amvd@i$M|b9x(p zgWks9ptJEePwM^lWd2)!VJ6bge#0Li-FTK$Qug@z6_r05zdHpww6)gX|3t-cZwHh9 z2Ql8pdP=h14&GBy_FVn7@jd8m{Ezy1UJp|5wOJ+PY`NFUsDwemT%PzqZa9&vu$* z`_23pWzV(WHoghHjekDs{q{uuyZEPFiRCONWI^l z)bs5{*5@U^ia7QR_*@*%ZBOcpufqq%S&eVT{}?;Au~$;|O!?{G0v`+Emt?=?nt-y$ z{jZ?>xbw#I%=5?d&+{kBo<9Gs{MkBhlt1cN?nK$sdhE|@&SFoT@75#uF3O&Hj|$I^ zt@8$L-8askD0|!b?mkD^T+xLpsgE6 z{)w{Z`X8-je*HLT>&E}0qOBiy+Y|Zk;jGo)X%d~n;7@ee%i>MzN9TehP}7wfOzp4M-6 z{@Ks6{^l<#(m(BBQqO)P_bdC+4?8{kk+P@tF5mhWd*V3EKkAIKC);1A>s#oFaz`D@ zpHcQq`D6KDK9P3aK=~16&$WNWoxc4A+5R%!qP4$ndpiH%m-UqXt=)rvQTAN>$9%W@ zEzs`Q;9ry-O#0{j73&Mh_Kx>oqU>N&&wle5>ag(v=wF8KqU>L~vLJ=zUix2^pq z(Qey)i^%rdwx{&SZ``+Vo(O64PI&+F3Va7;Z<{|7_;+!0o(0os^Dhv;D0|!di%3tu zHqQvOc}KkeKv|-H+1uvtM0&fw_!7<)`hV2f{f8&@etS0mnNG&fbX))3Q}%TFUH*># z%8`2OzkAA_)+0XjG1-4NqW?{Hh&iOw)wM>p6^_rhx{YwBi(%Gx+puC%zwtmd?uNH)_?buJ)M6p-)DT= zk#Sr9+f(*j{MP^ej!VDIn?(9Wn?H&4x6Pl7(r^9m>k&Wu-y`5(RNTSa!9@C9+}nN6 z(dJM4dSv}?Z*QwV!TZ~gUoS_R@nJm@S(fxZo=M)n6SSZ3`)TaE5as?W0r@^1+Qqp4 z5&36(&+Ak#@6jA~^N%9EwfkthYrMy_BYxH&*WdE=@5AaBXOhfxT8#@c_un(x?Ma!QeX19i7!^nDFSK8A0YJP$um=}J=l zNceuACF?DcP0=xaP`&fFJra9w`T|_fKU3fxqU)DDbIHiA|G*xYyPl%~Er&La8&Ghfvt4?2#7m}cG4BtP1Z#P<2x(SB9vI1=(B6BDksx>`<_B0ldLv0s@!f5Un4)h$qVi@`?hPUF?B?OoM% zj`1$^qSj3M4rugPI?`|-KHn_HcvgHLYqBeJ;J-|LsVsY6opE>Z=zCr4SHxHIE;`@a z>giGTG=&Ir!*V7&?`tVNcv0|qp{(WuyCdRoNH*DUtas9;ljpwgB z7p21Y91-QrKIG%xmg`4g!)uZIxXTj-Kqbo*NZ=N`T_B)8U3}+?=7O*eu!}kW9G#(#t35^PXcu$(`L{dWwg*?Fj&`w4AN9Ns_#|=?p97I!;*Qr91??j2 zVg5z>gHCd~gZ{oEb+n5){lOUV<>!#gD^f?hh%HI~hb?is!#-S*I@(2S3H7tW^0WK| z6vLNd{}%`RWl@-2d}&_KnNJ7&7X3`|^G?55jAuS|_@DPmV9 zANjW&cc|jOIsI%go;lOufBRox;1FT$Snzs+W+7Z)MJnSUbeSv^-c(!V(O zRZcIqt$gf=f5t^lUlP|M`kx_Q6#0+p|Dt&qdAJ9$-du48u8Opa*dE3o>6h;3bW5S( zaY*WD7jya%)P3qkQD;T!Xcu$(B_D9Qo%XCq9qnRH&vtU)HsAhv^7o{y{q)54WcsIX z1O02!UZIC4TDt|i*w+3;`FHkBxbJwlD9*dF;`rG`LA!|UN&kDk%lTb#Z$6tB+^IeXU}+SJLEV1M4mU= zMc70CQT&|gF#W-zVEVx<&}ZwGwBwdb=%|GQtqZsaCP zKm8BxP!vaQ4tR_6KPc`H`H%GUKQQFdUlR4f?BY;b&vw7>UyI@>q(SUQdq%qmdzk*n z|FQSExQ{zNAmTQ=n2G=BJ-u#5K|*ZvMg92pxZXiu@@#w$^sp!6KMUoD*H2wXb={<${{8l({p*#59}hgC*wc! zGI!q2I;kSh8|`B5{GEEgOZN*uQjzJVUCgE5ex2ej=0EOojc5>9`S~%LJsJQ0=Q-W8 z|5bE4_J^tW+e3YH{ofz?&d>g+10wqlw2QEZ`smI7&;D1ZW4YmnT8lldpkNByB)ggx{h=~>RG({ZGu zoqE4L)JOTpayIuRuKbDf2VD6RVGs2kmNV*f93ymQ7h`>te=KMGr3{uok>eJ$i?E03kNopGVR7U7Q4)U5 zF2?>N{fnOPy0-M29eTe#?;o-9`o(ySk9hy$by55s^@rmQ%zu%`v3&Gw8-M6H|I&$F z^wIqX+ZkTB__Vy_=V--uF}{99=WiA2K0k*)ry~8+E@DeE|EL;R4gasG$Y1~9N5u9} zANfCP%qb)!I=UlQ#hKOB!SX09KT zU4QAHb`i(O_;=U+g1;Vw{sZIa(tqg4e~vpc|4V+JQRBN9k3UBFcj}F9-xom756ONg z?IO%!{E_~7e`B)ZnDZ)*Zz>AfMd?Rjnlp6aB`==vBm1}?-?oLVm@)C}@kiVN>{$x` z-NCo|P>s$Rx@g^oODC3Ve~$e7k3|;jvv0W1AbPa;wC{so19yIT9R9BTB>Sug_u)up zu+JFc*|28AdHYT*3-@B173`&`qkWFKKibERv~kmN9EeA{3VFepcqCjs6}S9xw_vYh zABpzr@Ynu~?u_O2_GgF1mA^c*25Ikoa{eD993^Wr zT+b_4-@i21GyZM;IBZaUdQLz6FB)v_pI5$xKki-69u@oGv;5e3FELZY`>t7jzCo`V zyVpFgUTnO-z?*>@mxuj-g!?5wuGUMO?bm}tPoA_r?D>51N;I#tJ?U9b|2wX7>Bp@) z-^hvks@(iCKT~IP(a^@V6X&d{HRH?A(!q?cb1$jm)6dRv>5$=(4*`Jvpn1rN`xRQj`myX{=WOee;T zpuB7?E|H@sKh=T5eYmR+D z&erEg#J^T9yuM?M&35iV-3$f%Q_3UH z7(wJ@-QtR$1Vj{O7vsoLE~}JZaeL9)^TS2)vK1BgMh?<0VtX>>^t10(MRED5755u+ z{zYsL^^yO}XBDmGdqe;Biu-;E-(eTAJ=Axkf2U)MV#`iNt0a0gjB6+H*hnAw-~8R8 zwc``epI>n&wDq)$*q-&j=b=S$$@R!T5j`aO-%~s^(ntO;IlO4?@fh@9uec{h)@c{9 zJ?nqrK1FfqXNp!y_hg~9P~0cdNB%F}QncoOrzkFKRa}S*9PJ{uXZ=q{{$2dzq9u+Y zex_f9J=913FaDFFHF|4NY}&Wt81j*J5!;h``kDKyqS)y-73W>&{EOHg>d~TC<$ovS z+nn2qV&`X8oVN2xp+53|)oy4!P~Wfm^NM^Y2<;-aC-pZ!-RW+| z-3O0DQb)VkrjO#EiJ6rTqP)#IwcGa~t=}s@gp4A_WCmcL~CEwum2aEo$cCsta$a7t~ zNAPr&=_i?Qw2R%+e+;gg^e-Me*ZCJ=&&Ge^h|`yRv(uj_#_zT5$iL^{Doy_-|Iq25 zBl^2ypZ@6le`u8}cOUsp$-aFT7X|Dh%VijUr04l(x!vWAlBR=QY}ZHi=fV@6?xGnb zO$WQ!u8-2+|3!D+25=mnKa%H-c2VaKcd^5BhR#{D;icg-B};+7!efs)bJ|zMluyv& zCmm=x>CtiqI*s)28$2n=j(5K7QtNTF>w6wAW6QmF6?bj_mp^Phjw$(9&!kEEw|oFS z1mCj=^tIAIiN5Fj-#pWL98*d^dMxewp8a3;EbDQ!>uaSSJ(hNT&;B=UwjM{jzLtOW zOxn+1FXP=iUt>LvcK0C(qyA92JRbXw7p``~Crx9it#! zd@{FiE!N_s^!<0E@9}f| zc}ge39_pi+dd@2bU9NWjkt2Aj!H_@lm<4TSs$ z?mNB=FP?pPVnMqId+0yX(+_ogM~z4w?P5+(Ka89H#i8GG{>5DU{r5WEz+Dxoqg~AD zE&T|c@5teYWWLcZ!W^bQ%71Ds?{VHrevwvu7oVNi55E(!Lod=VKO*d*|0w^NPJS%^ zJ*lHzq}My;oN(S;i?nYeJ-#AQn-mhX>Ds^)B2UTo-nU%Y?1r{ng00M4s@x5GTI zjq9(l>1u1v-R!0bxX0v*rv14R-$BrSVCikioFNF;UAlIXV@zz=pri1~8+}i^p;W5J zoP450O=}f$%$IrOSx=h2&(_z_kIH7Re)yXo()88`@g=}MxTNSS<`$#HSTWQ8KUOR$b}8l!l`~b&UAun$#7f=_`c^KQ z58wAOoYm8jb2nFHZKYkr_OO-(dOSIJ9J+I{|2Hf4ALr~MwkP!PaT5Oi7L{#f#i8dr zyNK-xJ^UQ&bbU)J4n5!5#k3wV{{;J@a)mwgALw!34#D3&_(RO%(6^jjggv2m{;8vXap+slE~fR!HM=z^{F*Bce;oz^_HKC;VgE6;4-NFH%Rl zX!e92G2V;qccF58x$Sas2j#9-2?{&&O&E|1Y;E^#1rL z|K{I_c~|GsF5(#e{b|1ah{~U(A396F5xPw-{f>G4;32jh|$0AUo3xYvqZc8Fb%x^xb4aG^9{DVZiIh!-GN|(b5A>WzqzJn@Sy@OrM)gSINbUV)f z(<)Be%V|YyPv#%{Ueg|c@AE4TzYymib`jf?`o7(fcMrkeeif;uUBvdZennyHka)f3 zdL$#x2j9@m^+?8eJyLM~uzjgJhy90&zAT|XW@XB4Px`m(H_tV%-$th!;Po5v`0YtO z_HyNRT}7S~e#G?ogHK-n;n%MJM*M#`?V@c@`sZ8Nm~Vam6_EKxyO_*B9Gll{oIloI zv30t$i@Ed1a?iVIyIy(nmu+Ylu{{~T_GA0S{nd`s&i2@EPwI7@j=vO z?IP?6Jyl?$k2q0o zSZ;a$CR(|LT}+o>r)NGY2;$Z$UE~9M^^M4?mvC+Q-aef(T z-=kt5+GE;9Y)|U>OA@VbVc&Bq@>f`B7qLC5@4wrXGv2?kyxD!+Kf*ukgPv{WH;5l; zL;FEp>kAbJ|IyjSwBE(dUm)vWfczI9_I7cPDE?NMfBdC2-n%jXN+SQvE*_TFTRub2 zH-l1dY|DSx!~Bc8ZGJbw-9Pn7?RbF%su#@|-|o>INyXSx~3Wa-cOpQ?Uv zIqKdqzTFVfZkSy>CY}G*&OkTt0(Tr^Tl<6KC(mDl_AAPN#;JBsWV;u}-`0LTrFzBB zbkqN2>CgF}s-EL4Jb!GzOCtSd7nAw#+j;1V6P(`I*8Zo@U!&_sl>dyA`4(S);`np< zH#L0~Khw=PCQEp5>2zS$;+4JMALuiS)bjLmkVVIC71%i@oS0 z|L^g7&$QmfNx!k)$B+E;=}W|4Zf~ehq`&9*r>b}Tma^a4p#R!;7Gk&!|y-TEDJt=8@|%re~F{K z?}uH4J)y_3k9B%Jf1{opo#pIeT95Mg@2F?Ehv6?^i+m3m?PA;e$b$GQ|Ga-x{5JlN zxWqR9qv83p@qhGNZTw&S8roxwU+_0@{Pr;Yk>1Atq3i!J_7|ZuyBPO>BfX9PpA7A3 z_!DveV|FqACA>&)D*cJb8c{73$6`~i9!e-NQF zyO`12_ycq{{x3pjb}^~P`Nud3p!0x_>)}u^!RJtzj42 z#;>FE&tH(Y@#~!`+PF3BV%zw2r0-jg*dIdK#~X-58@GmCY#Tp{_4UVJWBsMbtGnR; z2@!D|j_;R>W)IK*Pr~*Cd)a63#)^E8BR?Yk4fWA1yZ)cMbh7`)^cp!1K)V>vzv$3& zoQgWpp1nga!d}b2bRyzP>e;_&or}Le2#EV&vy1Wkk0^fb$8j?I^-ItG z6A@oh&v72kKNMe zi?Ap2zx8J9hkj1qUKNMep#H)xVtZ20amV88&_7X;?TL-2dt!T1FaJExjKj`%4gbt{ zrCVgWX%}F=9ISUN2hiDh_3Wl+{?Wh4{G(oM%fH~4 zMEy07{l>$Q=i&q2F2?=GK#w*9x}g#9o`A3Sc5x(1f1r1MsHgvuulIH_sYf|I3UMC| zqQ6xV<-qJ>-2V>zBaiUh%lh311$@BU#ccXrtb=@3b(hNrriJ<9X!h{@2mZOu>Fik* z^wd=Um)k>q^gh}K^EU%Mj{mqTCpG48272W4N%+I{hxcD1%Q5X@Tl*jA;s02tt1*8w z&^teDH^ciswtJcT*Ff+5Q(xo$wM~y>96=e}b6{5SBA^UV2| zNPjQ$565u$Ztp$9(SC-Un8#V#9qVx5Ik!5j|2I(on)AY+b&@+{y?DgAyAU2Rsso*0 z0qS))Q#pTqpFm#SIO%-yE=qVSTHDj(3uBKzs61Y!Ul|VG6*KS>)Rg17s;cMTEUy2axX3U8;01Jur2?CsVhO?&iLk?u_p)HQBeS zHEX16&CptQ)~l>P=v*s0S(}rs$<8C%&qixXpgtgMt)>;xTa>U)Fj_OXWGKJ3Nb5_Y z@fG_~K8(#3Z^xgAXJuv=<2Ncq&lj<0Q9K=gcLe-L8u1aI9$g=U>l^l2WP3XQ{1VGXaZ!TcJpt>-@;pZ34g(%Kohjs9J0XSPH5ANLK8W;g#`@_#%37OQ3D+Adox z#+S&JYkT6nNA0ydzcC>5oOUtJ`#A5oA3xOd16rQJF2Wk-U3_m$4aS#?n*-iYBR-;k z$849hV?EO)Yd2i7c4Ezi7fr0$v}w&1RhqFnwt53k-^E3-+Z z!1ye@+hC-Qb`e`beMkJe-s<8PciY_^ON2eE=h`WzUu53%Bf=i)qxADWjvtO^iaS2W zejeU}gqGX48-2#Xxhaa)qN+D?Ux!!+r}8gpN1n z;_0$l+m}#WQ_owoc}>Tg54xy*`INeSO+C(KuE%Jx{)laU+sei4^~se!Xz|v)bJll6 z+(qk4q|>&)d!UDSQQ9WEy7iz$Ih*W?+9h6J0vYe7x@q;L&uPoFc4^!4*Hyf|+?VsX zZO8Siyq^C5ab1nqolfPt8vpY57~X}j!T%IMCa_FFNqi?Ap41AmR4G}>c69~Jp*igpq9 ztR9iO=c}HrO-?Vu9O{EU5S3{AF}^l!X+?`0b`je{eK5vi^1AwH7`FG4V*u{8_MSM+v8TLCCe?tNP2C>sFX5Ol4``zUAo~#G7 zix`Iw(;xf4+xuk<`lDak#V-EY|6=?lKk584FBu2yN&kJnGw*faXh`fKCtJOGXx)_?Q~7vL=%)tf-}Te@Om&BR4f z-*5vudaInjUiNQ2*1rFS>iA0!w8OU_AHT0<>2b%;@6Y20``35}$iS!VX_GuM zK&9?u5MzTkmsn}=tyZ%mSb6sC4vx^%+elbfbgk?~)A7EQuUQ>dj&=3fuD3em+nO3r=W8u=XVlX9+6vvXYUx;y5+hOFQaH&Q$X%bgn1zw*0leE} z_u`;pb}?KmD8?~1KchgOjqm?Hf6ZpR@89>2e-icC7>@reJQUYC^mjzrLCep{?jt!q z!Dm7wMt<$>v!d)^QqO0v({_iB{21D~X9tvh_q5*j$9~eW-Q{tNvS;+1zczp|3*+P9 zSMXhw{fe}I>i8io-=G&|2b1aNTYqN44>^v02A{`@vV%!||F?@`&X1u3=RXEVt1HS5 zCiQ%l#r!AdzQLUbQFbt?XD#3yJmX&=4ireftHdb#%DjF7+6wA<2TYwPJDBx9A7dA$ zNBK3qr|e)-&;7Zd5&5TlG|HaQ(=R_H&moT^$_^&|hxLa#9mnYFRj&T1+>o=+fq#@A zk>$v5Px{Bxtzr)DyGT3lw^x)MOzK(g=lBTT%90 z`DH$}mic@;+ULKg>|oMALJ&Ou-=ifgIWFDZ#X^6vE{#~>|j!F_eJnevfblb@I?Ce+td2j;e5eAdnx9BQAOEv z{)-9tdld15OgH_DvV%$g#ly}&Y4!N-iuCWdhx+I@EUf%o=F3k%(!u)c+46QU)OXyo zk9^hT{~UBUc-}TG5wd`*WPEyoSe)gObLb{VamW10wItX z2AK(yD2I>)h#V3KVNjVx!GTsp(H6DUOCus}tJg*w6%`b_kyb=STW!Sw5OJcttu`Xu z=c%`z+O_sRRcr5kuD@UZ;r@K!oAa)E>V0ciwQALxI&c3Ly~?R_i?TPBzjPg>i}9y) z-LB`oXt#eyy@L~R{N?y3$_~c$-=gn%(Dc1mnqHJ0OzZ!En>Y@Hp8PHJABiZtzxFiR z=HVs(yq9k5e&|2o1nfJU$BD9oN&h3&*Pb{3B>ncxXpsK>_N4!w&zPR1p7k$M@3;TO z^v&gO_V2eR{m1(8f42G;+o}J!{M6CE*iQNBzwbC}|9-5|u>D2Z!EF3WC!HvJPS5sb zI+8lJzbJc7&+;=JNgc~C%Kn$sH`iaYf4{x0`s;hb>Oau8OS|z?NB@DoUUK@{kv4uf z{ucfpv+=_bj#jDMth&Tlz>Il@2hXXH5MD0^o78mYcH{$~FjmH!c6e_|W;SBf7x z=U;52{ETk^=V|2R_nCiDb}-w1N++Etdrr^znU186@r$zmCH2kaZ}#uEw^jauhpher zeZ96DKXvpU=`mw2CI7txXn$O7P3K4U|2j=e6N!tKpZ#d35d3h_aXO9W1qf{Q=WELeKRh=Hm#x-=5rm=yza+`5(B? z{0GXuB3*vwPrW1b^h>>?>}7rFntT0fj6V-T4^G_^^*6mi*}*velAiAp^+-SJMAMD>6#VaqzeU;0&n1=o^SNxUmyi>GiE{KbC_9++PhHP>rssME^`h)x zQeXTd{M}F#j_|KI9A&>Dt*>uq>op|TyIebXavj5OPx@zlPkEQsUrYOWJGLkFwQJzx z+lU)vdFwM9ls)%cwaQISx)%Aoq(Qca-=6gE+Iw!)?*HW5pOk%WCVsRZ`y1<kW_8aU!jGOH#(!bxHj6c>hZtBH$^^BAG$Zw$R znfO_5?k^BcM6pD24S{#ehrS^r|Y zdd4}Nf7x^Khj9br2iMOzeu!>7v2i6?{#ftM!=V@3)$@Fv`N*#*JDBy)by`2px%l0< zhd5+!TE8ug|I{g+JKuy(l)XyN^VQ+}%MQlzN6)pQ-QIxyf_bJR=bhv5wPOKcBOhg1HYl6>}dxJtoQyCiQ%NcN+e4ydT7W(?r?J^T$&B zEcX#QBFf;O$^i&-YQVok_Mkzjh_co^Ag(TK&~Qw|;eJo7@I}XD{BHchG1HA-H-a3$Jl*{Z9N)_KFO=%P=K|Y*NbWaWdlI?-`0Yvm z?*4@jTHJ2^1??}o^%s<*Y5k?-pW_zy6VmNB_cthecK>1ij1zR@CjGMToiAdusSZBOboZjd~VaQqNCj`;0KJ;z<9bH^X(-SNj$b}*@D{v5B#e(Y=X zFUk%k^&0m{>gZpj-fvIp-MS0h4>$fXEWbUeck3%iM{?X`yNlHO?MXfJpY*KxnLHZA z_$$f|CiTpp=}7A6Uz9zkM~H6!<#CJqv5sG~b3f)UyZ>T4`#E(Y`#*mo>~Z|1X9jrR z6o1rKYI^!}xM-vIcCdA@ zuXUxvJ~mt_(brmPHuC8AzIJOiQZiQTSHmeQeEL1Ewg0qHJJ^$kZ;>{9B49+@=zG}V zw(F~p`bkm8o$lj{=C?3R>F#=H z*^lEO-;--}_Siq#IeO-E7GggF>2Gb2@5JN#Bt&da>iJ$e>bY(#Qb)Vkr0+U@jKA3@ zcQ%!t++oYgb7#uhl@^{7=I$R$9c^uWQ8)hE4N^zDnA4BKxhsF}y@H-RucTdsIrd+=7j#%Xj_gkF*`zO( zpCc=O@mzv_X&13M>3>)~{5rj7lfLAC!d3K+Bks5c>6dm9Tax~V)x)pTdp7AK{}^ZP zF~7y?1{WV^b}_BTczvMh;<+f>opv#&AA7Iq`95|2Na|=8VUEim#gBf!f-3N#2C1W6 z#Fkj!vj5b-XZw#BJp?hQ*|B2MmE;i{~>TlLGtG~cotSx4VnR8L5q+gC-fp?pJp;#_|i~lh< zm|h%~a0I=;z#FdU5Uo(~Gb>J+j5Q#Q7Huo;|er0vxIv=Mt7amFjBf@T}!0 zeJXb@F|ODBRgrI;s=cEVbH#X%S=+jy^L)SeO7z>@@yQr!{PC;Cxc>gK($jatK4j#_JL1t6YNqrNeUcd5iU-K7#EbV=DVvp!%{ zr1x9-(w1qcDJVvr$6C;neN2B z0-X+aF{@v5km=U^YoOD?E@t&7VO5xMpNw@JPp5-j%<50M&~&F@20=aObg+wA{b`)Z zLU-Cb1Dy_bF{?iicO_AO(6R=<{c^ml4|WmTll8wGXCBlq#~F!89qnRHfACLDw_Sz~p`gQl1ZvE;8siR%Y>CZmZbZ7spLF#B1bNY=3nr`F2G)Nuo zVorbF_e?i*c7xQ>F6Q)`?ls-!BO9cSb}^^F@a3kv@aGLuN4uEQZ(U)!tq(Ow9qnRH zf5{I`cj+q|q>gqmr+?LbrhD}<4N^zDnA2Z=rs*#KWrNhwF6Q)CtuozJ4>w31?P5-U z4UUx9UR=AWLF#B1bNcHZFx~4;XplPE#hm{7^`^W2w+&K9yO`4tUXPdZ;!4e#QzPPP zpRf$;0>V%dLb{&ewMtllocoQd`4 zh0c*yH10DSp-yb*w*;I@-l1 zeQ8ByhdYbnd-bB&arcPV6@{~l^^*S<{dazBdhxsKOfSM7$6wa(V19Q%J1`DM`lVg0 z@c&(`z%qXEdn^0`(+#?~VHb1pul{F?`!LLZ8NVaqc6KonKhr&m)qCm! z|H<@^ic8Y*FM5ypU5$N>{vF}h*~KdVTfKiz)}M>t^U|%v~)}M>t^QptVf6rX~(J$j>{|jW?w2PVe*?)f1Z|xU&gSFpp#QseCLH|pf zD{=f0e|3)OMcC8rkN!o!Z0|t&rCqG>|Eu}tU;NEsK7LQw)BfSt#f|vj4IE9IO0a=KXuJ{#^W?PhXa< zKl)|d?0Pr1Ak=t=S06!JsMX*@YSNS z9{r4d+5ZCRmv*tj|Ff8Tvj2|%v~)|-pp^ZBE^f6rX~(J$j>{|jW?w2PVe z(SK^aV_o|-_-5CBwO(;-y8P7Fo-(~yKhN|c?CJL3!Th7WoqtFArCqG>UteJU#om|t z_&s4y`-fi__oo|lalR@vH%VNP_D}s}+{KIW zr@^0^ezLeWqenlZU-r8|`lVg0@IUDqAHV114|@Ne*q-fwE`IdCK*o)DT>NAvezp_i zX8#F9+|Dj$;%B<4lT06Ylj*05nY;7Z&*+!!9SFb9E>`$w{kix(r{a9t#pRi+Kl)|d z?0jDFePf%HqeSmA%> zp5|Yib*zuy6ZW)!_;qok|A{Vc*u`A@Y$wLe_6tPZ&Ms!+XS(SJn?CT1rk^ezoQ|LU zjDFePf$;0>VwL}^y?;;EpNrpf`qgRw^vk%}{{k5|?P4Z=_P=?nto;HXu=blLu1fo- ze*Om22R>=~`C{hjK=w2GW&aDLU)seA|MRf+#qn31f4leZ346Bxxwz5)0vR{raq*Lx z_}Na3oBb~kaXY)1iJ$3SjI}rF1K(l#7mHKV@w1=NFWWm1ew|&c@Xva4@q4~_jrZ@F zt3Uc>-0XjWjGJ~b6F>XUo>Q#-0^e@!x2HHIU4H6!d&czQ?iZO}ggxE<=x6lH_70?9 z+Qkb0yDv8X;+`k^_&s4y`-fi_H~OFG;)Y$!#n1l3xY>Szh}+r4O#Do@0PAej2Y%V~ z3&fS__}S0sm+c)0zs@dJ`M=Kl_hkLK_&pa~m-bJ;jGO&0ka5#4X5wf6S$ep&U*Ln* zeoMu})BdSnw$b!~Pn&+3xG|$gKcipvzd-t>U99lG6zfbJ|HNf?djFoVXZxRv8~rbk zaU&iVKbeW2?Zmj*e*zJ=vx}MdneL#OrVspE(;pGnrI zqhGdnApO!VR`@?;U-K`nJjKWF347W<{JOZ&|3nuz>|!o{wiDxK`voFyXBRW^Gu^>h zXQMvwUrm3ocvw1q_A~lrdk4a=vx`;!Z}9#-S${5m&x3DB`=?*V&Hfk2xM>$N@w5LN zb5!BRkHD{4`yC^ezbI8+zk~j`^GzT48`B>ro}Z4N{fvIu{{rckcCo_$F*o}7J&*gS z_wR}A+5YF^M*j|!QJ~;pN`HXRiL}mvOWI1u|~h#Z3I{f2Yp2_6vNEwcn}Y>~#65Kk2_s zFP{7w(~GdD+aLXme%aoE^h>)~;s4|V%)fZ*={|l>*wg;u*Ts$gC%U*{7jyBm|1fU0 zUm)Ukb})~ z;eQ?0nK=IwH+;hT_k=y$|6JVYe}Rk}@woWOO#Eyo#?Af{h`60y%*4-h=j>?uzz>-I z9C62V{Oo7+%k~b0UuPF9{IlL%{GR8W>HT}=>W_XIH~U{8Szh}+r4O#Do@5$kN!2Y$o!8^vSO@w1=NFWWm1ew|&c^8Z%v-;?#{ z;`iM6*0g{6W!&t4fsC7WF%v)g&t+?@{Q@7g_Pb16llD*jt1mWv;2%u?YO(xw)|T;) ze%b#5=@g?Hvfe&MsE?XT7=jJuhGH{d?x>kA4|9`(GgArd`a$ z&;E1mPS$>bw^{pLE9UN>gZ}E8=>uPD`m4oSy8hVD=$GvsNWZj;RsIk4{ync<=i~Rh z`p}Gj_;qok{{=E`+Qm%#>_3c~?H7o+on6et&vaK}osIgyZ=3!~@x*le>}T}L_6~$! zXBVsdzuo)yWc|7LJ+FLw+CTj=ZuY-G#!b7JiJ$%N##62R0>5YNccXY}+CTMgd6nq{ z|77~Nh`Iak(9h_X?Hx$Jw2Kw~Z^Swi$3O8c_jv!FuxH0V7dQG}Amc_nE`Bl-Kii3M zv;PDlZf6%W@iW~`yO=)k!=}GU+$9}9`x*VRy#wLb*~JR~tTz|G=S}B&|DL(}qhH3& z{uju&X%{o`v;VwvzO`TA9oByD6m$2_LH~|E(+6H}`ge$Z>H1?oqhGdnApO!VR{3A; z{d>Oi93Q{uJ631>!>@}Q{V$Ml(=KM>Xa8Z`Y`;Ln?d)PEex|z_>ul5q{=4aK7EejX z&wfU~Z0|t$b#}4J|GT_@Pu8D{-}B~orTx<{<7WR0WZblinfTfNKKOELzrY__`+ZP+ zdD=hqw_RcSz!yw^o0z-*4*iUN+1`QlOS@R%|ASa(;`k@tcCYvE343<@b8(~p1u|~L zI=fimpY`VA_q=_` z`}fS%AN?|J_P;>JO}m(hpZ({q1=fCncUk-0CFbs*gZ|DjrVo6R>F*TBr0b9UjDFeP zf%HqeSmpmn@89#T^L+fCcOIGX55F#M^uIvHO}m(hpZ$k%v;6`Qx3i0x_?hkwtg}%c z_#dXfLp(hlKl>T|vb_W0*V)A?|L^hsJz0M)e$PAJllD))jGO&0ka5#4X5wf6`_!4% zet|!+_WP80X4*gX|9p+<#d~^8FT$Q4f6&k9m+c)$zqE@L{y&9vCXRpNKi_BmMcC8+ z;n&5D{wKP)VHb1pvz-_>`%fU^c6KonKhu3?FVhEp-1MIj_e#glen!7+??Cu&?aQ`I!s6f6rX~(J$j>{|jW?w2PVe*?+#cr?p?;$E^LnDCX{;gZ}dqOdt3b(|=x^ zkgh-WGx}x!3#4D##VY^Dc>kVX-0b7`{QNN)|M2VLM*j?e~zlKJB0Suf5Ln;@3x+UW7e8{-B@HFWWnierXpg{6BPpXnZ6V*0>;GX2Bil63s+XY|YV z4uoH47c2a;-dy~i4{!DUJ#+O(zl@vxFOYH5E@t9q|9Nz=wO`;Tt^FPqbNA0d|EyQ16e%b#5>6dn~%Kr)8zvrVD`S?A*bwb8J{JOZ&{{k5|?P4Z=_8-R0 z_6tPZ&Ms!+XSzqQ&PILU|&Mw+q{2I)}M>t^O4)q{^^%- zv;PG$Zra66{Oo@}KG)hW@E6v8KNinT`=|b=Z!o?1vvH;uVNZ`g=x6lH_70?9+Qkb0 zKgK!}$3O9>51M}w_OyTab#bHri7sx~#a#SsC&tbG6NtE-UChMKbdT?6`oMoS{o~?( z>G;{t=$Gvs2*1uQR`_SVx%fRFzs&ph%+(+LGH&+2K*mkGn2DeL=jnZ|{Q^I2?f0~p zyMGS)r>2`e@SUcAN}QgqKlU^FW&aDLU)seg|0jF@o=;!uUChMKbWdWPjrzc+O#h^KPC9<}Gx}wF2g0wji&g&b@cunne=dH{ zC+|r6r(ed+{uju&X%{o`v;X~m$l5RP*VcZ&7l+dRssH1RrWgNvlIca*)8h~N8U3=o z1L>D`vBLlFvChQtPyFN8%)bbG+CTidxY7Sa7dPx;E`GKX<7WQ}MBL6UX5weMXP22i z@N=erR$P{jpZ$z}+1`Qh>+E8Mf7Y9e-}Bkay?@VK{n0PuX8#Lh+_a0C_|bpr#R0DU z8vLwlzj`6&?w>>ddw!O+f8edw{?CiE()EXa=KP}nHAug-i&g$#=KUM%#cO>0#@h2Q z%lJn>b8(~p1u|~h#Z3I@KQ3;xUxSF-*~Lu!?0?T;osI1u_$zDw=fv~U@uQzPzi96U z;n&&4D*tzR|3+7TE`HRXi|?+qfA%xR&Hfk2xM>$N@w5MpyTJNi;D1{CjT0|O`=@@w zO{NzoPBpyU99jw4(m)9|LWp|N6fznd)hzzy0|~xpo<%JF&97E ziE*?41tM-|7c=oQ-K2v}ANWPnPZAGK$IpI7zwCd3@aybig@4wYi{Eq7)!x5nuKwtk zaijk=$hc`2Gx4+kOkZy87x)Ehzv*J`{yFHUZg2X)zcc+*ar<=rv7gZ|`(Gga(k@o{ zKg0X?oPL#$-*f628UOI>;zs`qWZblinfTd%7&qH55OF)Zn2De1CS#qA`oL#QKUv(I zj-UOEe%aoE@aybimH&@<|DLQr7r*D^kEQ+7FXLwa3uN51i<$V@|K@D9_6z*Iwci|Z zYuZ2cJH5m7;)`aQUW7e8{-B@HFWWnierXpg{LjHU6URSsr$^1d2z%N;{JOZ&|3nuz z>|!o{wiDxK{|Q9g&Ms!+XS#VSO&|DW)6WxErsHQnqhGdnApAPJSmB@b=HmC9cb)g| znX5ngW!&t4fsC7WF%$obR^vUr+v0tb<0HPeD4bo~HeG(E`^Ao?5Bz}Xe&>0c0El-1X!?c($ee%R@2)5OgEKZw7!>u#nG{0GzTDrT;qQ@?yK(+7Us^vlJ} z^(X32Tw?k_^aE!XGwZiZckX_s55&5Mvx}Ma52m|fndt*@-r($F=KO)_-gdC*199AS zb}@7OWx5Zc|Dyjjh<@wrV!r>@K6{wy1OL_ZpA`?w_n&XP)bxS>X8Lc4FU{+pIM(!m z-!T0X;<0)CpH4J=;I~cxCoyyXB820-57X}~o}P}s z!0$)zEs7g4_Yfb$c)*_sdvg3|y8nZ5f_m}4FdpzH!k*LD#$cR)K5#6?1N>?twrBM_ zV4R>{+!5me^&;$9{Su55)Qfv#JfL2LJ*z();{^5M5f~4s7h%upUx9Igdhra52h@wO zXZ2e!PEapigz7 zpk9PMtA7CF1oh%qFdk4Z!k*QCAL9h|;twz$P%pxs)&Cab1oh%G7!RlyVbAI7eHbU8 z5A4TyfL~q2_N;z3#tG`hZ808DFT$SGFWIRmPR0Iz;D0rE8uowMMQl&%7d}@M>yUo= zTOwjSaCR{>e>mXqqPQ0O|B783d@c5W+C^+n`rjXM-iY*v+#eC+fwPO5`NKYl|D8y; z@)Zrf3*!gvBDN>}GtN_bieee+Jn(fE|0!ZmNnd*ZGj%6FUld3E?*>nKqtDM1+q3?M zMp^p6H<BKJahN{};rWC4GzkFHAB2f$#JAiBn4Yl79{~YkfZ#&q9CX zPlP?$f0^zQ-%rI$(VzGeVbAK{n@z%F8YQokBy|I*)?Ui|W0oPWYD z!k*M0jrF3yX-rYjF2bJFAMxd)xNo!R1D`1hXBRW;FZ)d^ir!yZc}0FXoOTiR zq<^Lxz%hj74a9vE&Ms!2zhb&szqRs;v$vRDggxtj=lNFNz&lL8vzU4Qf&Pm=+kXSm z9?mZI<^4}bd-3=a_$Jd&7surF3nrL85OV?gUm#9M>)F%CB2M}j#~~j6MA(z{$80lSLdidFOU-K{S zgMGrq5ljMX}q5iemed8{8dznRXG| zlm4Cl=U(r*$F-&xcl&u-U*PBbPj8TZ`4e;gm;4{o?R`mu)X^?h>Jhiodoph7#dhM~ zdmrx~RNP|D|AfO$H{rnssiR%2)FW=E_hj7Ei|xce;SJtDsJO+P|9R({Zr(2%q>gs6 zQjfTu-ji`tFSZl^ybpW-pyC#D{!iW>*IqDB9_4|I#kPo-O~`pD?|6&fcaM zVbALKyT$b4{@a*dggvQ0b~EOQe{bo9M}>fimO>BU>IKT*kgU^{Hwr^=N&z0Ymw(2{E1EHAEo`5-`V4L^WyLC)y}Z* z?}<&{&nxMN`~Dtvw2L|YDHwa{zuWIOck%t`mik+PV-4$1JY*7L!cT-fTmL-&rC#KD zD}Q3{{FUjJ)l46FBdxHDwUYl*{de*G@0Rkv6n%j4i$_g$?h6t2Z21`j^?~m&z56CP z{t0`mFU8-*_s3h}U)^W^18*|_T$ADt_Sk>v{FUP#`ya0Vi0-%nyGVOd&-|&ce>TwR z@R!)EFO{F`F4S|p@pL-a#jO4`_BH5FduO22!7gU?oqWH2Y*9>^(tp;*t?M=n4Gpf{ zvSsb7ilToudT%j)pvT5AeDm7X71B!>8sKa--DiHV<8V{-*OU zzHIo^#pnGxBJv*V@?Lz|-m!nK`JgVM9533i=_2?(|AIu0@A_j%J!4A$rCT;`-7vU* z%f?GKZ1IAo(p~27q(%e-Q~J-p=kVty?w@p0gI2TcsiG z)lr>AY3EGoKX>DXEo--|J9p!{wL^pJH>}&d9?>jWyk+yH7nQtlk2_^m@PgXDbn}+= zLmM}#2&L3Je%gJHTrv6R*REf`Wy3{{e7EacIq(=N5kJ?W^r6`!$~+ro-mrD;(Bi>M zHm+Sf=>ENAaM5LpN~Ona7+=Dbr?xciE3_SI{E@38KmKUdtXahJEVA+}j?2?rAKY8N z{lS*9b)^5r?IY8_aOa5hwP_z6nSNJ4n~VBGC*`j#zk6i5`VT!gGW}=2HZuJ;9vPYbiAP7K|I_zIq^}SBaAf+Of6|HmP@KoRpBqoz-6H;N zTJ81Uvi_jUahJ&m^zN>b+1{2O^g4w`w!#CT{eQW%; z_|>3pBZ|K>eQW$5d3THdj`|PoG8$3*o#|WS|HAuP{I?zdORmISF&)hx%j3^s zSQ-4%2PYna?SQ*+Iy%oSA1B=1JR{K4|1pPmUw--@iJtxs?`WN%wfrN|)BnoG z+G5A&Ni6?J^z?tweIu*?k?84v{}m(iKN3CtFYaiasw6r~ef^!f9Cx1#b+13|ySOW*qjj!w|2h6q z-2Jh>d;dG(>eGCG*LFAc5C0?5ySoBLuueq%yMNMs`KdqrMIJSE z&ZuMd?5{Iz+jsCo?)R`=sX6c;v2ArRdRG4-r!Ra(CraMsDdnP$ln3KVkCcl$QXXZmCbSp?zwU*P^(cYM3LPCwXd_w8JZuhv@|?bo>$UmQ2>{+(;_wP@2W?Ocm5{hD@B(^ym8ulSW#uWj0o z+R(0fskBY|Q5#x(9nt&kct7Ho?Yy>W%xS|fzDnk`=veNI%8%%6Xz@iUuSLh|P>Zht zc`Z6thgy8e#cR>AI@IDT9A1l#)u9$&P%!P{&ifVKe($xN_ba@)+-p1US9t5R*LL2o z@Wx=T?Yv(-9>&HT)zuke;dukE~F;SG6S+i}0*+s(YT<9@|AQ<-*2$Nh?L z74q7qepN9eJ7fQ%_}1I0J*)NjTcJG@^KY-+!l_DWKdR8KyC-OePH#STS7^`1oFVw_ zP`eR#t%mY8ANMQ#p7*_=-Ew+|+D-Qc?a=AXbA}4P7rs1bw{)uAx*}+YPH&!XRQSE* zhe5lgQ|+tn3)-R6o98MOelI^WXt#8#y=qm^4xQdS&#CZx4Xzu8e$}D&x(9-G==A0} zQib2^*9YyEPPM$h?2_fh#996R9={IH8F;T*S>H5%;6B#e{C)6>qPTZ#G2s1gzIbcP zNKlc(#jk70p?ROK$N^^`ysLaX&MH@}I-%26_jl+)z3PxP?zFU%am?SVCv|h=6)o@Y zE64fprGpzbb>i3GQ4YWBhRz#2Ywecu=-Wn(VdY&l);u1yp~m$kshjuiHq=;km%76- ziFa?~TvO$~`0S3$jVmtl-P~GjL=OK_cX-6}_mE>x<$bmrHn~QNXP#|%$JH8nZ$AE1 z%+viHjxN@3Qri7G*{6_IHiL=Q*32d{!v^{o+tw)Zu=y<{%d><}+5y zR?<;;)U~f(Oe*R{UopDayI5FEF2>;RF~ziEUNNyBt1bQ>Y}C!@u$DEEYgxMw^t|xG z3z)AIg>n7@=c5p1ht<_`uLry4t4;rzd3xllqSALeZ)^X zzqrOP$_^&=tpA-+ZzOeb{mTv}^>sV}wDV_Ce<1bsKQ|~lnAN{%((roO!L%OjHh{jO zc4Ygp-ALKNtbQ(@0Hl7W*P2e09Zc$rXK`cwV`w38+h3#pUf-bXU{c@nhoYEy7yN?T zU|;G*45I8{LT~$B?Kei&Jw_zg2Bhq@-=y@`@37tVKkC{4MA>tCw!7MC-u9*yWzV)B z`_B~UhU-7xj_q;ze^c~p{6Tr6ANyb5wbuX0=ojlJ#(LL(ao*(kGmC#`QT9_y{_73B zulHXY$KZh))}L7S_WG|=dbIa!wEe4%ePf}&6aRV24#x3E{?W##A!hbFu|oM=eS+yp>Uy7Pkb1v8*7r2m-}rN^{$9j#qy9wM$DdR3 zAL&u<|F-d(q^<`~Pl_DJ{q}@^i*G90fN{>c#o`xb2b1~&^)~yXR)2FBSpA8zgGoL8 zqI}N(WQ;+qKT-Bv`RSkONb1;rqU=rj{zmi|CIE8|8VW!=Kh2EaAW*)<5p+mXRV}1-3eU0270m=dd_1+*}Tb9sG;wdwym9N&4mSSEPTxJ?Vd>`sVUC z`}fY;n`04ix)88*$(3mIOnq%Z}If7C_9*pf24Z$fBGTm zm-9YR_MHEb>YL+l_V2g1SAOiruKqk3f0e!zKXlH&XItgRemDNxw*O7Wv55PhC_9*p zpZ!niq!VS&>Dm97j--zLPn7*Hsc(+I*}vbOE&qhQhOa-_!K9w$X1d|ZFMCeU{=s@8 zS#Rt=qU^c)8>ycCkA6t{W&aap&-ovzzB&G8|9*S2{<)p?Osd{Q*>m;J_?d3F^2?sn z*S>-}dpX(-?7Iy4yrMzb!DRd+)%Pql|0MnQe7!;X_uG^HN2+g*zuCXvp7igwKbWXL zZ^s%*}u-d5j{EdO(m#(sqU<^U zBh~ZxMn9zdin8bYQ|Id0>p6d*o|HXT|BRpMhAY49P5Ryup1+jzU5q~^eHZ<|r0=5s z`{MF1uPd($%yhD_| zY5p-buD|;4@H(1F=>OzR=!X|al)b$ET-GDzaeoIrIDRhpmIh@9$T!WxqlpW0Kr{8D#8LLb$$_{4rGrni~nVj#yzbHGH)zAD- z({HoY^rGxwQqOgN))UEk>wQ&&vghj0=}>

y3Jm_2;)|{j;8!j`c>pD0{B{oDTIz zvfii{S$}?e)<5fs=~!>ni?ZkHuZHX1+s;RyCGP;=6jAp1>H4Q`yXB_e?hB?5lzn+# z&$kaVpY6YE`as!F&g*x;3#h2y;kTv_l-=I}F&c+zJWEj8|Eb&Y^`@VL1T4QOJD9A0 zj=!uwN7P^a&sKkqvS;d#I@X^f>W@0spQG%V`lF8Z=ZN~Fj`im#d#3)VWBoaz{-|U9 zIm(`?Kk8UdBB73l)d~0*HZh_ z@5JLxKk=KU50w4*y#EPHO+Vo?rVo^TX|9n0@1d#3!{|5#3v<>vk;%APAfbu7Ol%1u4X?qU^cyQ|J0WiE>jPi1PdG z>HP;f*Z)bBoBBYMpL%T1>RtawI+mOIK$M?)Y)|TI-#}maCF%?07gy>hHYj`37g$RB zFLk_k!{x*A4tm~0Ldp&%{r9Xi|0MnQe7QmT_uI32`f=&tmwNgqWzYF%zZrcM;s?jn ztlx;TgGv9?jXKBlqnnCe{yIM( z{c`*kWzYJD&iNi&S5@pZ%r;h#|;h%c?ca*(c{xR|S6Z$AwPdA`KONe zNrZfE_4$FaH{Czcs>ivL@?pQB&QbO^rpsUdTWC370eKE49Vt7QEI;d=pSAlVPte?-}v?%ycIAM4%qPUr)DzEygzmkj4W*k7EEU+qTnz7e*c$aeJG zljV=~?s^~eV!L{-*9_-hb};Fm<>q}Rq`U6~^(V^SbpJ_9{k!#=Vf4XX{(@GEKKS{z zwLjN=*bjoeV*Fw{mY;F6{zcirWci(L!ti>=@3&|5!4Kl5f5z|VHe8g z{7yG+cs^gB`ZD!p4TA4VVS{fV;A^Y4=J^T$YUaZf(NIO_*ieo=NXS$@|4V^nUw+n~-=6iqQ_cLl>t5(ffwGscUzO^QaWnmJ@yiY- z{j>f8rCWzV%guUn4! zS7^YoIDWY6m!7hN$@14HVEjA{dXV$b`db>59Zc)5#(4i}=s@?}4d)LJAP!LWrsr=; z?MIz^&IWYt4xK1_)AKiF{Q*dieo`mV4|sDoWFRw=YL>tdj2OWKgNH|U)*y(oX4Qw zy7`Nz?79BK{?2|D`u+Wu59cqk=k#?PyV%c3_Wzy}8k9ZT|2glO1U=}U8^ZiYlsz|o z^7u3LDCj}f8;>8N>|nb7ar|XE9*14MWBwq@p40RA!+t`};l7Xgk0?8s^v`uirX#6i z8;Y`L^(gmv?E5S~=Nl})C_9++&vG-Jn}-ih_1^Ye;{fQ0A4yMb$()2ujQqSY3NWD9*X7nugsJT{t&X-w!QFbut zpXFvck~)@Ols&6QySsECb!>l8_MD#W&U7SoY@Ed8ud9{y+Tl zD=4>n4iMyXd^`qyzZi2kPh$DSo#$Km#q|8i*AvHI=-qe=)-N=>sPT6^ikNtp;J>-x-B(I#m!l?s zUG($KHCFESbiD)6J?C^=O1dYC);C>5{rOs@f9afq<}BQEzr`J?vA@kYmFgj< zUg*!2eascUx$2B>m3Ha7j`3#uU$*1>>e0n`_s+(qa*sc;;d`IGQ{^63jdD+Xrd!`` zwY_WKEcXa}e-%CSdyR50-mjx_PsYCb%8``2|NL%!v)rTH`%tR-+ORE#e%`%r9#=BH zhkM6G)Ys~FyL}VyeOQk-$&~6FqfyIyXd-2CFUF%AC}cISA|^ahqH z-Kppw^XakW?H0o+`5ILB7E~MaaI9$cI9%-nogFK1j2NzVD&D8zj~*N=%VS=J&L0(8 z$JjPXh@(Nftr5x?juWL?#i1T=?-=zHyuD*uF}~QTsKx&-X7}6o{+2}F`?K%k=}FGg zlkj*0h-L?u6<80hC0 zKHX;|hc>UpJD`0_Me(999p%0+QS|TI;I50zF6x^XUSDdd+U`iZKg#xL5nr!gj=y&o zojopZi~r&~=tA%>)=o43BJ5fH)Q^~6oVJJQMcA|Y>EAcKIO7$j7h%up$Kt%1@dw^- z`my36dHs$rF@4}yOuwV}l9E2Ef26wy|Dpbi)gtSicG216_#-{K`+=tG`xnvLt?xjw zMc>qZ*pBsv{(VKnatQv7er0s_*ng8A_c&vHf%PX&e~IZu*t7awzhZiE!4akxVUP8t z^7lN5w5Y${lSL~xj|S|=e!J6;#p&ske)q}TeYe78<9oR%D}RYpS3}3|$M843H-( zaLK-dYX>g~$HLP4E4Y91H|4qp>kr$%Mf??yCFSRjENLhD=>0WliMR1T`2SB4W#(88 zo6-F{_8+}JgxjPOr4w89rTZs}Crnp6Qlt)rdP2t~s+{(xw@`dMOL-}6J$^&Tpk zuIGnhUf=gJ)Aijeny&9sOd%>AeyfKQ8BL{eS+ym-z}PMG}ggckA8dHex>>! z^EK0rT`Zbz%-2L`PwL0{b{qFm(~tY8>Bb!?=Jn%!yG>YN`Uwk6H=fsU5WnA^^gq$J z+r-;VKk;_cO*~Z0`=8|7ZD1$U5A0;RN%x9*{bb*6liz3h$?r4WobWwxU(JtonlbyK-GyVGMXKW9q++I3quUb10u-R4bO@z25Y zH*8%yv}kbAWs3$c*wiw=|FQL)&Xl}|tLRGEhdaT$Q;z<5x5{ykb*r5C-ENhWIm7Cz zhIngx`>M(>mr^l`j-0p+@CCd@!l(-LA!{s z$K@~ipY@2vJ^KvN{LXqrtoFa=N%JqBw9)*FuqXZZa}JGqbLRq%=C}W&Vx|AuG3Gz; zSo2>Ku|4+RQhyJB-QpL&alFMZ!k*RN{uR@UAM)#BBJ8ogRR5DdVdbB)T(oje{)AXr z{^Id(nt$<$lPrD__N4z^?lHeFUM-s6UG5Pp{nsYh{u4N0>$)`&+hhMN^?%N1EPnCa zgDid#_N@L{KYoaR^6T0n?6JO7|J(5#68&y_o>MtmxwpGptSo==nXg*>;%ASx_(j-b z|IOvE&9m_{aAzAoYa+Ji^aZ~v$ni^DvBCU{uxIr*VO^Md@oj!xScE;Rzx?y27q9T^ z(jx3xJ+6B=|Dvvkh)vf;n(MDP=zp7DT+U|*F#d_K$No$0KkYczzQwd3h}Q1YjuV|d z*0=cQ^$^ydsOuqO)pe1dW6hfSz}H#)KNow_@sBym;vVx|(c&I+lvo*mZK?I2zyqxR z)I@BL%U`O$9oLxO9Ul|T?~ZH47XKyvf>TYm;Af)g7Mv=!=$q@mcHmT3e+_bugz_IK zPEE%@%yl()+{JY@&uag>Ofvt0H<|xk#PYKgrSi|*+vQ)({DNqHXYMUl`Y&$&o%J8_ z?H4=$g$R4H{l+gezvDkEn&0sY#cKZ>pEm#ED|rtZ_CFE!r2n1vH@`c5Ni@GZ?JrjQ zzXRvr+<(LmzsmfJu*d$J`;WVxNIkDBI_i3&*v555>UdqzQP&g2@^!@)|9c-{y1=hm z{CkVZXIU)W5l5Ro@ax_#9-S}$8ONJG@SCPTLoC0`p(Xx{PBMMqcT9hgn0uBA{p5zz zOdt4t)88N_?}fK?@5Mzn)_dTOyj?86%djQ>Ppmb4;7?8e32|+{{=Txo^np*B{wv~! zy#5CpO&`c-%TO;r5Xlc%2NtSL~ ztb0)(_y=znFV6d4h?7g|1OH_Dg<|<#2F>NKy#(ua)CWS&@t@Ne$16(yqwyEp{roq& zu8(n_c8)(`dpv$b`eCo@yW<9~>w6-P{Zsxi=G^PH7w(z@=AXER;B;f}74!Om6{a6} zNHpER3Nf#rexK=P93z?z?;mq<`0dH^Z@bEL+deFsZrfF&vnTa)cr66wp37@Gj;5RQ zfSA|MKiqWlza^S({^4R?zni}=VfT|wzx&Ci+s&VU`|WZ3P5lo#H~$r}j?u+^8R)<6 zz6?_LV~FkCmq8ux%OG_>hS+ppMpOKlC;Rgk@nC=cBEp_2e^H$1&!5FL{`^^lJ*z+0 zpTCM5PeNT{{1Rc$>aXzUf8v$?{7-~EtACqc{}FHY_a%w2XZ0WQ>tEs>e*H^?J*)q$ zKYtTHXY=nuggvYOhF^aXAMxuiBJ5fH6Mp_CKI!LgBJ5fHpMGQgPJHe{Yd;b8oW3?- z^Vh)1Hh-;&*q+tzZ1caGIN#=fH4*l#{s3D)tBK2O{j4U!p4A`wf*pUvyqqddA_?cnk4lrfzu&TGv;J9(v3#d&R< z)=pmORB>KcZqrU)=~QuEH~aS+x7KPA)oMCboYx(5+KDTjD$eV3^V-QPohr`j5&sUO zd>PZJ;=G>Ry`8wysp7nzTi8xs=~Pi(waNYoo@^O2sp7om`+0UguXL(7uVn|eQN~(2 zRh-vxE2{GHU(R!*WNY-wi>a#bYt5&M^Gc6Qh2MvmS2|UkS9)ZM^Gc_R^Gc6QabD?E zabD?>Db6dMD$XlCGR1kNQ^k3uN2WNhbgDS7^vD$Fl};7sl^&Vmywa)SywW36oL4$k zoL72eit|dRit|d3OmSZ6R8d};ktxb6lPbDb6dMD$XlC zGR1kNQ$=}YMy4pQOsY7q^vD$Fl};7sl^&Vmywa)SysF2qf!8C~%JGY-s>iQu&Ug=bEUg?o3&MTcN z&MQ4K#d)Pu#d)PirZ}&3syMIo$Q0+5P8H{s9+~32(y8LS(j!xxS2|UcS7v02^2(%& z^Gc6QabD?EabD?>Db6dMD$c8V{F;GVGx4i9e`Koa@hhK7aWPf(_?1r;=an9rP%bxq zF|Ty0IIr}`6z7#r73Y;6nc}?Csp7oSBU7ALI#rxkdSr_8N~enRN{>u&Ug=bEUg?o3 z&MTcN&MQ4K#d)Pu#d)PirZ}&3syMIo$Q0+5P8H>q8JVKIGO6Oc(j!xxS2|UkS9)ZM z^Gc_R^Qs=dwtXXVtsK9Ys(Sp&r&3%@RXu*?Q^k3uM<$fZjbF?wohr^NJu=04rBlUu zrAMYXuXL(7uk^?i=ao(s=an9r;=IzS;=Ix$Q=C^iRh(CPWQy}jr;77Rk4$l1=~QuE z>5(bUE1fFND?KvBd8JcDd1XeXD6dSaIIr}`6z7#r73Y;6nc}?Csp7n<$FI5Lk!$7n z#Z=YfS3Z^EVyf!#E1xRPD?KuyTyFegUg=bEUg?o3&MTcN&MQ4K#d)Pu#d)PirZ}&3 zsyMIo$Q0+5P8H{s9+~32(y8LS(j!xxS2|UkS9)ZM^Gc_R^Gc6QabD?EabD?>Db6dM zD#|M}GDUf1QpI_tN2WNhbgDS7^vD$Fl};7sRXu*q{~P35Iesx!_4t)frMQ@?di=_# zit|d3OemKdznE7#Rh(CPWQy}jr;77Rk4$l1=~QuE>5(bUE1fFND?KvBd8Jdud8J3D zIInc7IIr}`6z7#r73Y;6nc}?Csp7oSBU7ALI#rxkdSr_8N~enQ%8X1=UYS&JUg?o3 z&MTcN&MQ4K#d)Pu#d&G`!UUwv_iy2eV|yRf-gq>?t%|j;O};}5_kHJ{u=Ym zcn?d@n zf6r(eKJi2^-oM$_Ti~1CAd6>tyHw&S-Y%7Rez!{{p4{zHiD!1ZRN`sfE|qvrw@W3S z(Ct!*XLGw#@~PY|m3SVvOC_Gf?NW(naEDW7j~SS2+Echtw!9xVs|?TIcA@l7-gcq% z&)jyQ^iR`vq4dw$cA@l7*mj}x&(?OK^iRzWr>q<`(Hs8fyeYXdTKDv9SLJli&3388 z6R}+?@hohYN<0PIr4rA-cB#aZuU#tf%xjlQJnh=063@AIr{t5bT`KWxYnMtq)!L;J z&$D)?l4RITL`uHJ9w^F}Go z#1{W0{cvwlr@nrc>HYRN{*r#Ux2RLkx2PNQ{)c;uI(2-Dx-qXG?k(!n@h$4cyneX1 zs8h$cs2f}K*wggpPt@})Y~K^SRm8t9qg{0Nxc*A|p2?=`xmh$F-{Q@D{Pv{2e~jt+ z-z1ujZ}FzyZ%^vS)J!+#wW8_x7H{hP_N3muEg9+RGc7$?yAS{2Ey^PDN$TBOu%UNv z!SdD*HE{ryq=C;l)i4^`_CEF9p-f{$K3Ll z%f{o&B^x(gGPr0V()%M-d89f8d&vMsqOo`Q?xj^h57pJ8UNCW(uvL< z>r3_LzVU{4)bP8%{N8PiBR}FPt{0s>s~`1k(~GX&u1EW^9zob+eQBhs@$KGsLjUOo z`ya#Ku#4E9)-OZdVV1`9V&7u?4Z8??tS^3)VWqJoq3KbpH1zAc5Qr_6Zd^-WM70B|2nd}bHKlj?9n;QUq^QA7=Inv zb>zs7&wm}+E9Tw*zl`jdM*VeU#~c8wdw(6-|2neQu@mmjcMAS>&W`h(zs}itSII*U zJ#0@v{EwWoC$E6G&&cX*Ok@18S3r91;@JV#Q^-%ce>RBY1J7#w?0?uRARXwVF&1Yd z{t8I%Z2lWgW~0yfZ)zHyJ@y~1%XFnLtxM>8d!;KNI0teosE#fEOZs82fI#Q2fH=13 zOY0JDr5NjC?h1e-{*BW?cLl%^`rNu0uK;jejaL91P4BJ%K<};qWb|$Y`|GBE-t&dy z=^y!d!XDRuDSr1YHN1PeJ`?F3q5U!15G&Y5XOH!z`cpk~U8KhPB3}>f6A^c6@9ck= z6>R_3Yn=V(=cT1}1Gj?RmC~&ydu4lT0KWdQ25_R^%i7cVdWWuymEE1PE4$^Zsbl^5 z|B|r(m)gJoSX$><>-KbO1cRaP8E5aV@ zqw&LhN+(JuHtD1Bi+c2LtfP+NH+UQ^4sKz7?XK%~pfA-w*Imcp-P66b23_2+i`X8Q zzqJ4H?45CPT~_S-7yJ#o*i`8vf?GtS9WT|5E(De}dn?McOMG?7tLw z!Y*QaQt#Gf4~0Lj%X;=5hiZQod3wU0)Z-fyMehwrdvAk%7%pfRu|2703v)e|{V%YK zb=kQ6+gX=g5`7@Pw0enk+5UH1yz#oMORsw&;?+*1?{1FQzb~#gcC{``rPkUzT$lAN z+1?oG`$os>vc6CJ&svwATa>T3&FRm55%_{IT8}N?Z-AO#jsI?qh`rs-HpJDX-rhP} z+ym)9iT^|#?cGn@qognG?amJq(?5S;Z6b*K9Yp*U?`?gh_^FX!QGUg;|7f(v2+i3h zMrk**bi}`prCoH}o&TnF@#Z-;*Tpxj-L!tm;G$61<+5S~uHkD|yy9jw-|-bU*yFOc z=yjz{)QB#^p49hTg;5DtxOij`siR%Y>HkL@*?*1Le}*H2rzO1OGnn;qu}CBwBN@X?0*b@!!G8onDS^`N8K^K*z;=q z4Z8??Tz@71^@q)G&)yBvFYRK^e@`8Lp0o5~FZMIqMc9-6M|@oYXAy1&vL+(xlV=xw zqW?0(XcP&nf4EP6BBHa^pWfdic1+6o{&vyrN3e^q$MKi+wdbM5xK@8-#J`7s+QnIE zJ^fQp|AEjsyO_~CKZD-CC*!7F>>Et`=Sqk3%b5`4AmP{9#f<;q>JR=be$S@*E02FK zz~2t=HzDHt(K@t?JCx!t>3jYFE%wvCz6N_PK>veXY#KjG`ub}SYd_MyAtGjq&Mx++ z{UcJF5n>;7GefeQnPD`3q-KV`|CZwC47&HbC_iS_V&4<^H|!$puK$kh>Wcpb?k-z* z#ebMP1ZuxPKP%lKkWb%pc60jZj)Fz|SKU#7I~MZk8Ba9RtxV5&qV)OogVG%f|6k6G zx9dBUt3>>{H?+9k?niaBY8&@FH0Qwnu5r1$9NZq;_~y;*DgEbf*t<(cmQ;*De}# z|6V+}=(0ued5a&F)EnE4~*0DyjE|jiqKiX5;JoB)ztK%~dKW0I<5SV=??HZ(xb}^?PkF@+vxUNC!Xcw_1 z>3Rs55FVDXCsXIT6q?CJPX|5I7tXurVEn|`WzP+HG= zc79P_k$!0xtNagaZ~n!pS9<@Ru&3jPU&cLYeMH7hyO@c;j(TU@tbdVl(=JxUKMVUF z>rb4$yTvcUo{k^&zdhrGUfkgj(~Gbt^{i*-7v&Y{mv*tr|18`Y>*Dv^0o{-NU&QvL zf7UbOp8UoJUEHvXx%hc5$hcYmBIBlA%*D_BWX_3b>yJhJw(UQ2#PWGsY5Zb4FF?BK zk2bg)?n4T#xy2v&yyZ0@ zUY^!7&dG1L_{AwREPfI8WcgYDvv;!i18=kXpDp?;kfUv#pwxfZZ)T0P_ygZ;{b`ms zHtnDB&-_n|U)*M^#V^91jGy&C?_7&N@E2D9^Tc!0dd9!=)fT@vzi#o1uqXX9{`v2+ z_{CjjTl^yINj>X-ulW{#;2l=~dx`VYdiI|^CtCc0Z?*ojr#LaK=YG5U?=60DkIQU- z7GY1u&-!1Av4Z_S5c`v}i$iHW<3Hd!i(g!Z{h4+V_N0HtzwA90zj)vd7QYC4QqTHd zwZP&Jyvyo;mAD|SXa89_#o`ad{^;!Dl(e4lAA+lO9Dl?^FSqzb*puU$t?6?$HsEpR#5GaC+ES= zzvraCPxl|z6XR$7iHw_eF&97m@VH0+fw!4|9{>FIZ2fWGM!m>+o6~vb=5MSg=NIt? z!mqQ7nd2}0aQ^E2d(K|x{d?x-udFA=&H57=KkZ^Je){Kem;M8PVg7mi_1m-cH}5g8 z_uTm`(}~!g)U%$PU&I>-zs@dZj{h#c4}1TfJJ0q0J?DKm?Vt6;_*s7<^?=b&7{`>98@^ky1A2q$W*FvxJggvQeJvqOKHxPcEUChisod4f=|DJoD~nSbu?-cR0J4nSZ!+Kl6Ie1I{+RxYVyGj~-_J%X)Ht z5pN*;I=fiq{~x@6&jWV${ymreL%RJ~Pl(UepUAjr7jyBm{G9i&{sQkZ|Et8z{D=CL zpD?|+YHzRiggx8;oL|Hn2*0d9`gg4I|2yyBbJcm?zvs%|rTw#>7&rT$$oOd&bMdqM zoOiMQ0x|D$b}=*ma_N5V^`6JR()8jnKTpTc`f+{{Zy@|SyIAG_Nqkl2i|Co;RN9sk+8)+AF^GBxR zyoq{|^CsHG-292@IBrufa@?j}%#Ghn$8n2#k>eKaVs89mI`%v2MfN+|#a#c>eJ=Co z*nKX{r<3cv5j2XZ6c51~G2&V2nll ziLht&Cw|@Z;+o@4FTx({OZ~q--_q96hxsGf?`aodj`gMWBWiem0OJoteexIX7sx)@ zkFgH*Ono5glfNCs+KS zHIc|Gohr^Ns;|m>2%7J(nDAv>DZD$>WXJmA-_Q&n=w3hRX{?UnYC2~G*w;GtU&kzS z(s#PoPl4m@@9AF8yU}L(6_IY@XZ_7-+?mvI`KRqSGCk{W!Nc8`f5t0Drf2=_b3*t2 zw|VWz^z8qLq3iy~{)@YsyVkS+)t~BK&-S0>@0{$W|1ti7OS|{a_$R-mdp+xaw!fm@ zP5kVCv--OC&-iEls(U@_f8M(8^^AY#*L1IE{PS<_UeEg9Yi{>?_Mbh+bg$?ByL;o^ zeqD`!OV8=vKjS~(s_ylSf7v^`*R%dt?cBYd{b%Kb?)8lSkpJpl&;EbRdEM(7|FN&_ zUeEZCyVdkqy=%Gs_Qe{7*LL!~Df5f<39s$s`%7#dw`Ng#pILhmQ;SuJE|pl1=u(N5h%S{_ zgBVU((Tn}+1Zo$1Pe)%cVhynos})4Zcp|4(i+jU zdy(;(&>z{L)4?ucd#uNv-tfr2lPPPiFoJp1B5^r66a;~pd0 z#eGZq(pw(*CW+Bsz*!gifjIp!j83qNu*d#O<>$Koz`6Jj@ru;ZF2bJGPkzeu;*|4D zFT$SH%da?Pf!BNH{IlNZm;1j+zqE@v|E#Bh$Fcafs=>+USpA9Eo{WF|8#xg|d*R?3 zh;hf+#mxA_dZv!`9|)bZiy6K1^D^(>bJ9lhFOGd#CjPH_zo;+gpJd#$iy8lnbJPPC zzX*R8zbEX;`eQrMFK6C?@aybi*?(#LnlTeACjSWi|7wu_X&13Q>7Rc2mSSGt3;eCc z(%N8{YRYnYO8+{ z_GI}v=JMD3lLlve&&Fbrn{i@4?D3!D9Dh4L=HPhHf7|#cVoOrb>pJ{}>p2+5SPt4n zY)ZIia`fde~mNt~dQLvx{lH)idR+f3fdbvx~4h|6@@se~o89 zUO%`RyV-VqD+eB<5dY?z^>BrN@N=wl(uV)Vb!x9jwtSwq+mb%uiF@wpX5e@npeB)}KF*t~R^_=^!JL1c#9j<`* znbpKuwuf$5Ke)jkU)uBSM{GPL+L_Le)Loo;`Z2l-r5~N4Z29scj$-NcsW2{gthA9A zqbZbFQS&43sQlUP3_M5cp4czFJ4(m9T716gMr!_2++vNc!F`DKu@&zFX@MS zD;F7QfNiPM@A}7{i1$#W6VZ>=ul#nW#~dU3Zix#@@0LIw ze6MB~%K9I>Rr1|>-TCar&?IGhjQc10@ufYTZ!zdrm33&B^azaTxF_$~^ghhq H-s1lPj6L9z literal 0 HcmV?d00001 diff --git a/compiler/temp/sram_2_16_1_freepdk45.lef b/compiler/temp/sram_2_16_1_freepdk45.lef new file mode 100644 index 00000000..4b27036d --- /dev/null +++ b/compiler/temp/sram_2_16_1_freepdk45.lef @@ -0,0 +1,11206 @@ +VERSION 5.4 ; +NAMESCASESENSITIVE ON ; +BUSBITCHARS "[]" ; +DIVIDERCHAR "/" ; +UNITS + DATABASE MICRONS 1000 ; +END UNITS +SITE MacroSite + CLASS Core ; + SIZE 24385.0 by 72042.5 ; +END MacroSite +MACRO sram_2_16_1_freepdk45 + CLASS BLOCK ; + SIZE 24385.0 BY 72042.5 ; + SYMMETRY X Y R90 ; + SITE MacroSite ; + PIN DATA[0] + DIRECTION INOUT ; + PORT + LAYER metal2 ; + RECT 16402.5 35.0 16472.5 175.0 ; + END + END DATA[0] + PIN DATA[1] + DIRECTION INOUT ; + PORT + LAYER metal2 ; + RECT 19222.5 35.0 19292.5 175.0 ; + END + END DATA[1] + PIN ADDR[0] + DIRECTION INPUT ; + PORT + LAYER metal3 ; + RECT 4175.0 10372.5 4655.0 10442.5 ; + END + END ADDR[0] + PIN ADDR[1] + DIRECTION INPUT ; + PORT + LAYER metal3 ; + RECT 4175.0 9667.5 4655.0 9737.5 ; + END + END ADDR[1] + PIN ADDR[2] + DIRECTION INPUT ; + PORT + LAYER metal3 ; + RECT 4175.0 8962.5 4655.0 9032.5 ; + END + END ADDR[2] + PIN ADDR[3] + DIRECTION INPUT ; + PORT + LAYER metal3 ; + RECT 4175.0 8257.5 4655.0 8327.5 ; + END + END ADDR[3] + PIN ADDR[4] + DIRECTION INPUT ; + PORT + LAYER metal3 ; + RECT 4175.0 7552.5 4655.0 7622.5 ; + END + END ADDR[4] + PIN ADDR[5] + DIRECTION INPUT ; + PORT + LAYER metal3 ; + RECT 4175.0 6847.5 4655.0 6917.5 ; + END + END ADDR[5] + PIN ADDR[6] + DIRECTION INPUT ; + PORT + LAYER metal3 ; + RECT 4175.0 6142.5 4655.0 6212.5 ; + END + END ADDR[6] + PIN CSb + DIRECTION INPUT ; + PORT + LAYER metal3 ; + RECT 1187.5 27450.0 1257.5 27590.0 ; + END + END CSb + PIN WEb + DIRECTION INPUT ; + PORT + LAYER metal3 ; + RECT 1892.5 27450.0 1962.5 27590.0 ; + END + END WEb + PIN OEb + DIRECTION INPUT ; + PORT + LAYER metal3 ; + RECT 482.5 27450.0 552.5 27590.0 ; + END + END OEb + PIN clk + DIRECTION INPUT ; + PORT + LAYER metal1 ; + RECT 3340.0 27450.0 3475.0 27640.0 ; + END + END clk + PIN vdd + DIRECTION INOUT ; + USE POWER ; + SHAPE ABUTMENT ; + PORT + LAYER metal1 ; + RECT 21920.0 35.0 22270.0 72077.5 ; + LAYER metal1 ; + RECT 4175.0 35.0 4525.0 72077.5 ; + END + END vdd + PIN gnd + DIRECTION INOUT ; + USE GROUND ; + SHAPE ABUTMENT ; + PORT + LAYER metal2 ; + RECT 13992.5 35.0 14342.5 72077.5 ; + END + END gnd + OBS + LAYER metal1 ; + RECT 4317.5 35155.0 4382.5 35360.0 ; + RECT 8100.0 27905.0 8165.0 27970.0 ; + RECT 8100.0 27632.5 8165.0 27697.5 ; + RECT 8030.0 27905.0 8132.5 27970.0 ; + RECT 8100.0 27665.0 8165.0 27937.5 ; + RECT 8132.5 27632.5 8235.0 27697.5 ; + RECT 13227.5 27905.0 13292.5 27970.0 ; + RECT 13227.5 27417.5 13292.5 27482.5 ; + RECT 10525.0 27905.0 13260.0 27970.0 ; + RECT 13227.5 27450.0 13292.5 27937.5 ; + RECT 13260.0 27417.5 15995.0 27482.5 ; + RECT 8100.0 29340.0 8165.0 29405.0 ; + RECT 8100.0 29612.5 8165.0 29677.5 ; + RECT 8030.0 29340.0 8132.5 29405.0 ; + RECT 8100.0 29372.5 8165.0 29645.0 ; + RECT 8132.5 29612.5 8235.0 29677.5 ; + RECT 13227.5 29340.0 13292.5 29405.0 ; + RECT 13227.5 29827.5 13292.5 29892.5 ; + RECT 10525.0 29340.0 13260.0 29405.0 ; + RECT 13227.5 29372.5 13292.5 29860.0 ; + RECT 13260.0 29827.5 15995.0 29892.5 ; + RECT 8100.0 30595.0 8165.0 30660.0 ; + RECT 8100.0 30322.5 8165.0 30387.5 ; + RECT 8030.0 30595.0 8132.5 30660.0 ; + RECT 8100.0 30355.0 8165.0 30627.5 ; + RECT 8132.5 30322.5 8235.0 30387.5 ; + RECT 13227.5 30595.0 13292.5 30660.0 ; + RECT 13227.5 30107.5 13292.5 30172.5 ; + RECT 10525.0 30595.0 13260.0 30660.0 ; + RECT 13227.5 30140.0 13292.5 30627.5 ; + RECT 13260.0 30107.5 15995.0 30172.5 ; + RECT 8100.0 32030.0 8165.0 32095.0 ; + RECT 8100.0 32302.5 8165.0 32367.5 ; + RECT 8030.0 32030.0 8132.5 32095.0 ; + RECT 8100.0 32062.5 8165.0 32335.0 ; + RECT 8132.5 32302.5 8235.0 32367.5 ; + RECT 13227.5 32030.0 13292.5 32095.0 ; + RECT 13227.5 32517.5 13292.5 32582.5 ; + RECT 10525.0 32030.0 13260.0 32095.0 ; + RECT 13227.5 32062.5 13292.5 32550.0 ; + RECT 13260.0 32517.5 15995.0 32582.5 ; + RECT 8100.0 33285.0 8165.0 33350.0 ; + RECT 8100.0 33012.5 8165.0 33077.5 ; + RECT 8030.0 33285.0 8132.5 33350.0 ; + RECT 8100.0 33045.0 8165.0 33317.5 ; + RECT 8132.5 33012.5 8235.0 33077.5 ; + RECT 13227.5 33285.0 13292.5 33350.0 ; + RECT 13227.5 32797.5 13292.5 32862.5 ; + RECT 10525.0 33285.0 13260.0 33350.0 ; + RECT 13227.5 32830.0 13292.5 33317.5 ; + RECT 13260.0 32797.5 15995.0 32862.5 ; + RECT 8100.0 34720.0 8165.0 34785.0 ; + RECT 8100.0 34992.5 8165.0 35057.5 ; + RECT 8030.0 34720.0 8132.5 34785.0 ; + RECT 8100.0 34752.5 8165.0 35025.0 ; + RECT 8132.5 34992.5 8235.0 35057.5 ; + RECT 13227.5 34720.0 13292.5 34785.0 ; + RECT 13227.5 35207.5 13292.5 35272.5 ; + RECT 10525.0 34720.0 13260.0 34785.0 ; + RECT 13227.5 34752.5 13292.5 35240.0 ; + RECT 13260.0 35207.5 15995.0 35272.5 ; + RECT 8100.0 35975.0 8165.0 36040.0 ; + RECT 8100.0 35702.5 8165.0 35767.5 ; + RECT 8030.0 35975.0 8132.5 36040.0 ; + RECT 8100.0 35735.0 8165.0 36007.5 ; + RECT 8132.5 35702.5 8235.0 35767.5 ; + RECT 13227.5 35975.0 13292.5 36040.0 ; + RECT 13227.5 35487.5 13292.5 35552.5 ; + RECT 10525.0 35975.0 13260.0 36040.0 ; + RECT 13227.5 35520.0 13292.5 36007.5 ; + RECT 13260.0 35487.5 15995.0 35552.5 ; + RECT 8100.0 37410.0 8165.0 37475.0 ; + RECT 8100.0 37682.5 8165.0 37747.5 ; + RECT 8030.0 37410.0 8132.5 37475.0 ; + RECT 8100.0 37442.5 8165.0 37715.0 ; + RECT 8132.5 37682.5 8235.0 37747.5 ; + RECT 13227.5 37410.0 13292.5 37475.0 ; + RECT 13227.5 37897.5 13292.5 37962.5 ; + RECT 10525.0 37410.0 13260.0 37475.0 ; + RECT 13227.5 37442.5 13292.5 37930.0 ; + RECT 13260.0 37897.5 15995.0 37962.5 ; + RECT 8100.0 38665.0 8165.0 38730.0 ; + RECT 8100.0 38392.5 8165.0 38457.5 ; + RECT 8030.0 38665.0 8132.5 38730.0 ; + RECT 8100.0 38425.0 8165.0 38697.5 ; + RECT 8132.5 38392.5 8235.0 38457.5 ; + RECT 13227.5 38665.0 13292.5 38730.0 ; + RECT 13227.5 38177.5 13292.5 38242.5 ; + RECT 10525.0 38665.0 13260.0 38730.0 ; + RECT 13227.5 38210.0 13292.5 38697.5 ; + RECT 13260.0 38177.5 15995.0 38242.5 ; + RECT 8100.0 40100.0 8165.0 40165.0 ; + RECT 8100.0 40372.5 8165.0 40437.5 ; + RECT 8030.0 40100.0 8132.5 40165.0 ; + RECT 8100.0 40132.5 8165.0 40405.0 ; + RECT 8132.5 40372.5 8235.0 40437.5 ; + RECT 13227.5 40100.0 13292.5 40165.0 ; + RECT 13227.5 40587.5 13292.5 40652.5 ; + RECT 10525.0 40100.0 13260.0 40165.0 ; + RECT 13227.5 40132.5 13292.5 40620.0 ; + RECT 13260.0 40587.5 15995.0 40652.5 ; + RECT 8100.0 41355.0 8165.0 41420.0 ; + RECT 8100.0 41082.5 8165.0 41147.5 ; + RECT 8030.0 41355.0 8132.5 41420.0 ; + RECT 8100.0 41115.0 8165.0 41387.5 ; + RECT 8132.5 41082.5 8235.0 41147.5 ; + RECT 13227.5 41355.0 13292.5 41420.0 ; + RECT 13227.5 40867.5 13292.5 40932.5 ; + RECT 10525.0 41355.0 13260.0 41420.0 ; + RECT 13227.5 40900.0 13292.5 41387.5 ; + RECT 13260.0 40867.5 15995.0 40932.5 ; + RECT 8100.0 42790.0 8165.0 42855.0 ; + RECT 8100.0 43062.5 8165.0 43127.5 ; + RECT 8030.0 42790.0 8132.5 42855.0 ; + RECT 8100.0 42822.5 8165.0 43095.0 ; + RECT 8132.5 43062.5 8235.0 43127.5 ; + RECT 13227.5 42790.0 13292.5 42855.0 ; + RECT 13227.5 43277.5 13292.5 43342.5 ; + RECT 10525.0 42790.0 13260.0 42855.0 ; + RECT 13227.5 42822.5 13292.5 43310.0 ; + RECT 13260.0 43277.5 15995.0 43342.5 ; + RECT 8100.0 44045.0 8165.0 44110.0 ; + RECT 8100.0 43772.5 8165.0 43837.5 ; + RECT 8030.0 44045.0 8132.5 44110.0 ; + RECT 8100.0 43805.0 8165.0 44077.5 ; + RECT 8132.5 43772.5 8235.0 43837.5 ; + RECT 13227.5 44045.0 13292.5 44110.0 ; + RECT 13227.5 43557.5 13292.5 43622.5 ; + RECT 10525.0 44045.0 13260.0 44110.0 ; + RECT 13227.5 43590.0 13292.5 44077.5 ; + RECT 13260.0 43557.5 15995.0 43622.5 ; + RECT 8100.0 45480.0 8165.0 45545.0 ; + RECT 8100.0 45752.5 8165.0 45817.5 ; + RECT 8030.0 45480.0 8132.5 45545.0 ; + RECT 8100.0 45512.5 8165.0 45785.0 ; + RECT 8132.5 45752.5 8235.0 45817.5 ; + RECT 13227.5 45480.0 13292.5 45545.0 ; + RECT 13227.5 45967.5 13292.5 46032.5 ; + RECT 10525.0 45480.0 13260.0 45545.0 ; + RECT 13227.5 45512.5 13292.5 46000.0 ; + RECT 13260.0 45967.5 15995.0 46032.5 ; + RECT 8100.0 46735.0 8165.0 46800.0 ; + RECT 8100.0 46462.5 8165.0 46527.5 ; + RECT 8030.0 46735.0 8132.5 46800.0 ; + RECT 8100.0 46495.0 8165.0 46767.5 ; + RECT 8132.5 46462.5 8235.0 46527.5 ; + RECT 13227.5 46735.0 13292.5 46800.0 ; + RECT 13227.5 46247.5 13292.5 46312.5 ; + RECT 10525.0 46735.0 13260.0 46800.0 ; + RECT 13227.5 46280.0 13292.5 46767.5 ; + RECT 13260.0 46247.5 15995.0 46312.5 ; + RECT 8100.0 48170.0 8165.0 48235.0 ; + RECT 8100.0 48442.5 8165.0 48507.5 ; + RECT 8030.0 48170.0 8132.5 48235.0 ; + RECT 8100.0 48202.5 8165.0 48475.0 ; + RECT 8132.5 48442.5 8235.0 48507.5 ; + RECT 13227.5 48170.0 13292.5 48235.0 ; + RECT 13227.5 48657.5 13292.5 48722.5 ; + RECT 10525.0 48170.0 13260.0 48235.0 ; + RECT 13227.5 48202.5 13292.5 48690.0 ; + RECT 13260.0 48657.5 15995.0 48722.5 ; + RECT 8100.0 49425.0 8165.0 49490.0 ; + RECT 8100.0 49152.5 8165.0 49217.5 ; + RECT 8030.0 49425.0 8132.5 49490.0 ; + RECT 8100.0 49185.0 8165.0 49457.5 ; + RECT 8132.5 49152.5 8235.0 49217.5 ; + RECT 13227.5 49425.0 13292.5 49490.0 ; + RECT 13227.5 48937.5 13292.5 49002.5 ; + RECT 10525.0 49425.0 13260.0 49490.0 ; + RECT 13227.5 48970.0 13292.5 49457.5 ; + RECT 13260.0 48937.5 15995.0 49002.5 ; + RECT 8100.0 50860.0 8165.0 50925.0 ; + RECT 8100.0 51132.5 8165.0 51197.5 ; + RECT 8030.0 50860.0 8132.5 50925.0 ; + RECT 8100.0 50892.5 8165.0 51165.0 ; + RECT 8132.5 51132.5 8235.0 51197.5 ; + RECT 13227.5 50860.0 13292.5 50925.0 ; + RECT 13227.5 51347.5 13292.5 51412.5 ; + RECT 10525.0 50860.0 13260.0 50925.0 ; + RECT 13227.5 50892.5 13292.5 51380.0 ; + RECT 13260.0 51347.5 15995.0 51412.5 ; + RECT 8100.0 52115.0 8165.0 52180.0 ; + RECT 8100.0 51842.5 8165.0 51907.5 ; + RECT 8030.0 52115.0 8132.5 52180.0 ; + RECT 8100.0 51875.0 8165.0 52147.5 ; + RECT 8132.5 51842.5 8235.0 51907.5 ; + RECT 13227.5 52115.0 13292.5 52180.0 ; + RECT 13227.5 51627.5 13292.5 51692.5 ; + RECT 10525.0 52115.0 13260.0 52180.0 ; + RECT 13227.5 51660.0 13292.5 52147.5 ; + RECT 13260.0 51627.5 15995.0 51692.5 ; + RECT 8100.0 53550.0 8165.0 53615.0 ; + RECT 8100.0 53822.5 8165.0 53887.5 ; + RECT 8030.0 53550.0 8132.5 53615.0 ; + RECT 8100.0 53582.5 8165.0 53855.0 ; + RECT 8132.5 53822.5 8235.0 53887.5 ; + RECT 13227.5 53550.0 13292.5 53615.0 ; + RECT 13227.5 54037.5 13292.5 54102.5 ; + RECT 10525.0 53550.0 13260.0 53615.0 ; + RECT 13227.5 53582.5 13292.5 54070.0 ; + RECT 13260.0 54037.5 15995.0 54102.5 ; + RECT 8100.0 54805.0 8165.0 54870.0 ; + RECT 8100.0 54532.5 8165.0 54597.5 ; + RECT 8030.0 54805.0 8132.5 54870.0 ; + RECT 8100.0 54565.0 8165.0 54837.5 ; + RECT 8132.5 54532.5 8235.0 54597.5 ; + RECT 13227.5 54805.0 13292.5 54870.0 ; + RECT 13227.5 54317.5 13292.5 54382.5 ; + RECT 10525.0 54805.0 13260.0 54870.0 ; + RECT 13227.5 54350.0 13292.5 54837.5 ; + RECT 13260.0 54317.5 15995.0 54382.5 ; + RECT 8100.0 56240.0 8165.0 56305.0 ; + RECT 8100.0 56512.5 8165.0 56577.5 ; + RECT 8030.0 56240.0 8132.5 56305.0 ; + RECT 8100.0 56272.5 8165.0 56545.0 ; + RECT 8132.5 56512.5 8235.0 56577.5 ; + RECT 13227.5 56240.0 13292.5 56305.0 ; + RECT 13227.5 56727.5 13292.5 56792.5 ; + RECT 10525.0 56240.0 13260.0 56305.0 ; + RECT 13227.5 56272.5 13292.5 56760.0 ; + RECT 13260.0 56727.5 15995.0 56792.5 ; + RECT 8100.0 57495.0 8165.0 57560.0 ; + RECT 8100.0 57222.5 8165.0 57287.5 ; + RECT 8030.0 57495.0 8132.5 57560.0 ; + RECT 8100.0 57255.0 8165.0 57527.5 ; + RECT 8132.5 57222.5 8235.0 57287.5 ; + RECT 13227.5 57495.0 13292.5 57560.0 ; + RECT 13227.5 57007.5 13292.5 57072.5 ; + RECT 10525.0 57495.0 13260.0 57560.0 ; + RECT 13227.5 57040.0 13292.5 57527.5 ; + RECT 13260.0 57007.5 15995.0 57072.5 ; + RECT 8100.0 58930.0 8165.0 58995.0 ; + RECT 8100.0 59202.5 8165.0 59267.5 ; + RECT 8030.0 58930.0 8132.5 58995.0 ; + RECT 8100.0 58962.5 8165.0 59235.0 ; + RECT 8132.5 59202.5 8235.0 59267.5 ; + RECT 13227.5 58930.0 13292.5 58995.0 ; + RECT 13227.5 59417.5 13292.5 59482.5 ; + RECT 10525.0 58930.0 13260.0 58995.0 ; + RECT 13227.5 58962.5 13292.5 59450.0 ; + RECT 13260.0 59417.5 15995.0 59482.5 ; + RECT 8100.0 60185.0 8165.0 60250.0 ; + RECT 8100.0 59912.5 8165.0 59977.5 ; + RECT 8030.0 60185.0 8132.5 60250.0 ; + RECT 8100.0 59945.0 8165.0 60217.5 ; + RECT 8132.5 59912.5 8235.0 59977.5 ; + RECT 13227.5 60185.0 13292.5 60250.0 ; + RECT 13227.5 59697.5 13292.5 59762.5 ; + RECT 10525.0 60185.0 13260.0 60250.0 ; + RECT 13227.5 59730.0 13292.5 60217.5 ; + RECT 13260.0 59697.5 15995.0 59762.5 ; + RECT 8100.0 61620.0 8165.0 61685.0 ; + RECT 8100.0 61892.5 8165.0 61957.5 ; + RECT 8030.0 61620.0 8132.5 61685.0 ; + RECT 8100.0 61652.5 8165.0 61925.0 ; + RECT 8132.5 61892.5 8235.0 61957.5 ; + RECT 13227.5 61620.0 13292.5 61685.0 ; + RECT 13227.5 62107.5 13292.5 62172.5 ; + RECT 10525.0 61620.0 13260.0 61685.0 ; + RECT 13227.5 61652.5 13292.5 62140.0 ; + RECT 13260.0 62107.5 15995.0 62172.5 ; + RECT 8100.0 62875.0 8165.0 62940.0 ; + RECT 8100.0 62602.5 8165.0 62667.5 ; + RECT 8030.0 62875.0 8132.5 62940.0 ; + RECT 8100.0 62635.0 8165.0 62907.5 ; + RECT 8132.5 62602.5 8235.0 62667.5 ; + RECT 13227.5 62875.0 13292.5 62940.0 ; + RECT 13227.5 62387.5 13292.5 62452.5 ; + RECT 10525.0 62875.0 13260.0 62940.0 ; + RECT 13227.5 62420.0 13292.5 62907.5 ; + RECT 13260.0 62387.5 15995.0 62452.5 ; + RECT 8100.0 64310.0 8165.0 64375.0 ; + RECT 8100.0 64582.5 8165.0 64647.5 ; + RECT 8030.0 64310.0 8132.5 64375.0 ; + RECT 8100.0 64342.5 8165.0 64615.0 ; + RECT 8132.5 64582.5 8235.0 64647.5 ; + RECT 13227.5 64310.0 13292.5 64375.0 ; + RECT 13227.5 64797.5 13292.5 64862.5 ; + RECT 10525.0 64310.0 13260.0 64375.0 ; + RECT 13227.5 64342.5 13292.5 64830.0 ; + RECT 13260.0 64797.5 15995.0 64862.5 ; + RECT 8100.0 65565.0 8165.0 65630.0 ; + RECT 8100.0 65292.5 8165.0 65357.5 ; + RECT 8030.0 65565.0 8132.5 65630.0 ; + RECT 8100.0 65325.0 8165.0 65597.5 ; + RECT 8132.5 65292.5 8235.0 65357.5 ; + RECT 13227.5 65565.0 13292.5 65630.0 ; + RECT 13227.5 65077.5 13292.5 65142.5 ; + RECT 10525.0 65565.0 13260.0 65630.0 ; + RECT 13227.5 65110.0 13292.5 65597.5 ; + RECT 13260.0 65077.5 15995.0 65142.5 ; + RECT 8100.0 67000.0 8165.0 67065.0 ; + RECT 8100.0 67272.5 8165.0 67337.5 ; + RECT 8030.0 67000.0 8132.5 67065.0 ; + RECT 8100.0 67032.5 8165.0 67305.0 ; + RECT 8132.5 67272.5 8235.0 67337.5 ; + RECT 13227.5 67000.0 13292.5 67065.0 ; + RECT 13227.5 67487.5 13292.5 67552.5 ; + RECT 10525.0 67000.0 13260.0 67065.0 ; + RECT 13227.5 67032.5 13292.5 67520.0 ; + RECT 13260.0 67487.5 15995.0 67552.5 ; + RECT 8100.0 68255.0 8165.0 68320.0 ; + RECT 8100.0 67982.5 8165.0 68047.5 ; + RECT 8030.0 68255.0 8132.5 68320.0 ; + RECT 8100.0 68015.0 8165.0 68287.5 ; + RECT 8132.5 67982.5 8235.0 68047.5 ; + RECT 13227.5 68255.0 13292.5 68320.0 ; + RECT 13227.5 67767.5 13292.5 67832.5 ; + RECT 10525.0 68255.0 13260.0 68320.0 ; + RECT 13227.5 67800.0 13292.5 68287.5 ; + RECT 13260.0 67767.5 15995.0 67832.5 ; + RECT 8100.0 69690.0 8165.0 69755.0 ; + RECT 8100.0 69962.5 8165.0 70027.5 ; + RECT 8030.0 69690.0 8132.5 69755.0 ; + RECT 8100.0 69722.5 8165.0 69995.0 ; + RECT 8132.5 69962.5 8235.0 70027.5 ; + RECT 13227.5 69690.0 13292.5 69755.0 ; + RECT 13227.5 70177.5 13292.5 70242.5 ; + RECT 10525.0 69690.0 13260.0 69755.0 ; + RECT 13227.5 69722.5 13292.5 70210.0 ; + RECT 13260.0 70177.5 15995.0 70242.5 ; + RECT 8690.0 27277.5 16085.0 27342.5 ; + RECT 8690.0 29967.5 16085.0 30032.5 ; + RECT 8690.0 32657.5 16085.0 32722.5 ; + RECT 8690.0 35347.5 16085.0 35412.5 ; + RECT 8690.0 38037.5 16085.0 38102.5 ; + RECT 8690.0 40727.5 16085.0 40792.5 ; + RECT 8690.0 43417.5 16085.0 43482.5 ; + RECT 8690.0 46107.5 16085.0 46172.5 ; + RECT 8690.0 48797.5 16085.0 48862.5 ; + RECT 8690.0 51487.5 16085.0 51552.5 ; + RECT 8690.0 54177.5 16085.0 54242.5 ; + RECT 8690.0 56867.5 16085.0 56932.5 ; + RECT 8690.0 59557.5 16085.0 59622.5 ; + RECT 8690.0 62247.5 16085.0 62312.5 ; + RECT 8690.0 64937.5 16085.0 65002.5 ; + RECT 8690.0 67627.5 16085.0 67692.5 ; + RECT 8690.0 70317.5 16085.0 70382.5 ; + RECT 4175.0 28622.5 22270.0 28687.5 ; + RECT 4175.0 31312.5 22270.0 31377.5 ; + RECT 4175.0 34002.5 22270.0 34067.5 ; + RECT 4175.0 36692.5 22270.0 36757.5 ; + RECT 4175.0 39382.5 22270.0 39447.5 ; + RECT 4175.0 42072.5 22270.0 42137.5 ; + RECT 4175.0 44762.5 22270.0 44827.5 ; + RECT 4175.0 47452.5 22270.0 47517.5 ; + RECT 4175.0 50142.5 22270.0 50207.5 ; + RECT 4175.0 52832.5 22270.0 52897.5 ; + RECT 4175.0 55522.5 22270.0 55587.5 ; + RECT 4175.0 58212.5 22270.0 58277.5 ; + RECT 4175.0 60902.5 22270.0 60967.5 ; + RECT 4175.0 63592.5 22270.0 63657.5 ; + RECT 4175.0 66282.5 22270.0 66347.5 ; + RECT 4175.0 68972.5 22270.0 69037.5 ; + RECT 10720.0 11342.5 11977.5 11407.5 ; + RECT 10445.0 12687.5 12182.5 12752.5 ; + RECT 11635.0 16722.5 12387.5 16787.5 ; + RECT 11360.0 18067.5 12592.5 18132.5 ; + RECT 11085.0 19412.5 12797.5 19477.5 ; + RECT 11635.0 11137.5 11772.5 11202.5 ; + RECT 11635.0 13827.5 11772.5 13892.5 ; + RECT 11635.0 16517.5 11772.5 16582.5 ; + RECT 11635.0 19207.5 11772.5 19272.5 ; + RECT 11635.0 21897.5 11772.5 21962.5 ; + RECT 11635.0 24587.5 11772.5 24652.5 ; + RECT 4175.0 12482.5 11635.0 12547.5 ; + RECT 4175.0 15172.5 11635.0 15237.5 ; + RECT 4175.0 17862.5 11635.0 17927.5 ; + RECT 4175.0 20552.5 11635.0 20617.5 ; + RECT 4175.0 23242.5 11635.0 23307.5 ; + RECT 4175.0 25932.5 11635.0 25997.5 ; + RECT 13002.5 25307.5 16085.0 25372.5 ; + RECT 13207.5 25167.5 16085.0 25232.5 ; + RECT 13412.5 25027.5 16085.0 25092.5 ; + RECT 13617.5 24887.5 16085.0 24952.5 ; + RECT 11225.0 630.0 13002.5 695.0 ; + RECT 11225.0 2065.0 13207.5 2130.0 ; + RECT 11225.0 3320.0 13412.5 3385.0 ; + RECT 11225.0 4755.0 13617.5 4820.0 ; + RECT 11225.0 2.5 13992.5 67.5 ; + RECT 11225.0 2692.5 13992.5 2757.5 ; + RECT 11225.0 5382.5 13992.5 5447.5 ; + RECT 4175.0 1347.5 13992.5 1412.5 ; + RECT 4175.0 4037.5 13992.5 4102.5 ; + RECT 11095.0 10375.0 11977.5 10440.0 ; + RECT 11095.0 9670.0 12182.5 9735.0 ; + RECT 11095.0 8965.0 12387.5 9030.0 ; + RECT 11095.0 8260.0 12592.5 8325.0 ; + RECT 11095.0 7555.0 12797.5 7620.0 ; + RECT 11095.0 10727.5 14127.5 10792.5 ; + RECT 11095.0 10022.5 14127.5 10087.5 ; + RECT 11095.0 9317.5 14127.5 9382.5 ; + RECT 11095.0 8612.5 14127.5 8677.5 ; + RECT 11095.0 7907.5 14127.5 7972.5 ; + RECT 11095.0 7202.5 14127.5 7267.5 ; + RECT 11095.0 6497.5 14127.5 6562.5 ; + RECT 11095.0 5792.5 14127.5 5857.5 ; + RECT 7865.0 5587.5 7930.0 5652.5 ; + RECT 7865.0 5620.0 7930.0 5825.0 ; + RECT 4175.0 5587.5 7897.5 5652.5 ; + RECT 10825.0 5587.5 10890.0 5652.5 ; + RECT 10825.0 5620.0 10890.0 5825.0 ; + RECT 4175.0 5587.5 10857.5 5652.5 ; + RECT 5875.0 5587.5 5940.0 5652.5 ; + RECT 5875.0 5620.0 5940.0 5825.0 ; + RECT 4175.0 5587.5 5907.5 5652.5 ; + RECT 8835.0 5587.5 8900.0 5652.5 ; + RECT 8835.0 5620.0 8900.0 5825.0 ; + RECT 4175.0 5587.5 8867.5 5652.5 ; + RECT 15197.5 9170.0 16085.0 9235.0 ; + RECT 14787.5 6985.0 16085.0 7050.0 ; + RECT 14992.5 8532.5 16085.0 8597.5 ; + RECT 15197.5 71327.5 16085.0 71392.5 ; + RECT 15402.5 15672.5 16085.0 15737.5 ; + RECT 15607.5 19697.5 16085.0 19762.5 ; + RECT 4860.0 10932.5 4925.0 10997.5 ; + RECT 4860.0 10760.0 4925.0 10965.0 ; + RECT 4892.5 10932.5 14582.5 10997.5 ; + RECT 8465.0 70522.5 14647.5 70587.5 ; + RECT 16085.0 72012.5 21920.0 72077.5 ; + RECT 16085.0 24270.0 21920.0 24335.0 ; + RECT 16085.0 15802.5 21920.0 15867.5 ; + RECT 16085.0 12175.0 21920.0 12240.0 ; + RECT 16085.0 15135.0 21920.0 15200.0 ; + RECT 16085.0 10185.0 21920.0 10250.0 ; + RECT 16085.0 13145.0 21920.0 13210.0 ; + RECT 16085.0 7115.0 21920.0 7180.0 ; + RECT 14342.5 8402.5 16085.0 8467.5 ; + RECT 14342.5 19827.5 16085.0 19892.5 ; + RECT 14342.5 9330.0 16085.0 9395.0 ; + RECT 14342.5 16605.0 16085.0 16670.0 ; + RECT 16085.0 27310.0 16790.0 28655.0 ; + RECT 16085.0 30000.0 16790.0 28655.0 ; + RECT 16085.0 30000.0 16790.0 31345.0 ; + RECT 16085.0 32690.0 16790.0 31345.0 ; + RECT 16085.0 32690.0 16790.0 34035.0 ; + RECT 16085.0 35380.0 16790.0 34035.0 ; + RECT 16085.0 35380.0 16790.0 36725.0 ; + RECT 16085.0 38070.0 16790.0 36725.0 ; + RECT 16085.0 38070.0 16790.0 39415.0 ; + RECT 16085.0 40760.0 16790.0 39415.0 ; + RECT 16085.0 40760.0 16790.0 42105.0 ; + RECT 16085.0 43450.0 16790.0 42105.0 ; + RECT 16085.0 43450.0 16790.0 44795.0 ; + RECT 16085.0 46140.0 16790.0 44795.0 ; + RECT 16085.0 46140.0 16790.0 47485.0 ; + RECT 16085.0 48830.0 16790.0 47485.0 ; + RECT 16085.0 48830.0 16790.0 50175.0 ; + RECT 16085.0 51520.0 16790.0 50175.0 ; + RECT 16085.0 51520.0 16790.0 52865.0 ; + RECT 16085.0 54210.0 16790.0 52865.0 ; + RECT 16085.0 54210.0 16790.0 55555.0 ; + RECT 16085.0 56900.0 16790.0 55555.0 ; + RECT 16085.0 56900.0 16790.0 58245.0 ; + RECT 16085.0 59590.0 16790.0 58245.0 ; + RECT 16085.0 59590.0 16790.0 60935.0 ; + RECT 16085.0 62280.0 16790.0 60935.0 ; + RECT 16085.0 62280.0 16790.0 63625.0 ; + RECT 16085.0 64970.0 16790.0 63625.0 ; + RECT 16085.0 64970.0 16790.0 66315.0 ; + RECT 16085.0 67660.0 16790.0 66315.0 ; + RECT 16085.0 67660.0 16790.0 69005.0 ; + RECT 16085.0 70350.0 16790.0 69005.0 ; + RECT 16790.0 27310.0 17495.0 28655.0 ; + RECT 16790.0 30000.0 17495.0 28655.0 ; + RECT 16790.0 30000.0 17495.0 31345.0 ; + RECT 16790.0 32690.0 17495.0 31345.0 ; + RECT 16790.0 32690.0 17495.0 34035.0 ; + RECT 16790.0 35380.0 17495.0 34035.0 ; + RECT 16790.0 35380.0 17495.0 36725.0 ; + RECT 16790.0 38070.0 17495.0 36725.0 ; + RECT 16790.0 38070.0 17495.0 39415.0 ; + RECT 16790.0 40760.0 17495.0 39415.0 ; + RECT 16790.0 40760.0 17495.0 42105.0 ; + RECT 16790.0 43450.0 17495.0 42105.0 ; + RECT 16790.0 43450.0 17495.0 44795.0 ; + RECT 16790.0 46140.0 17495.0 44795.0 ; + RECT 16790.0 46140.0 17495.0 47485.0 ; + RECT 16790.0 48830.0 17495.0 47485.0 ; + RECT 16790.0 48830.0 17495.0 50175.0 ; + RECT 16790.0 51520.0 17495.0 50175.0 ; + RECT 16790.0 51520.0 17495.0 52865.0 ; + RECT 16790.0 54210.0 17495.0 52865.0 ; + RECT 16790.0 54210.0 17495.0 55555.0 ; + RECT 16790.0 56900.0 17495.0 55555.0 ; + RECT 16790.0 56900.0 17495.0 58245.0 ; + RECT 16790.0 59590.0 17495.0 58245.0 ; + RECT 16790.0 59590.0 17495.0 60935.0 ; + RECT 16790.0 62280.0 17495.0 60935.0 ; + RECT 16790.0 62280.0 17495.0 63625.0 ; + RECT 16790.0 64970.0 17495.0 63625.0 ; + RECT 16790.0 64970.0 17495.0 66315.0 ; + RECT 16790.0 67660.0 17495.0 66315.0 ; + RECT 16790.0 67660.0 17495.0 69005.0 ; + RECT 16790.0 70350.0 17495.0 69005.0 ; + RECT 17495.0 27310.0 18200.0 28655.0 ; + RECT 17495.0 30000.0 18200.0 28655.0 ; + RECT 17495.0 30000.0 18200.0 31345.0 ; + RECT 17495.0 32690.0 18200.0 31345.0 ; + RECT 17495.0 32690.0 18200.0 34035.0 ; + RECT 17495.0 35380.0 18200.0 34035.0 ; + RECT 17495.0 35380.0 18200.0 36725.0 ; + RECT 17495.0 38070.0 18200.0 36725.0 ; + RECT 17495.0 38070.0 18200.0 39415.0 ; + RECT 17495.0 40760.0 18200.0 39415.0 ; + RECT 17495.0 40760.0 18200.0 42105.0 ; + RECT 17495.0 43450.0 18200.0 42105.0 ; + RECT 17495.0 43450.0 18200.0 44795.0 ; + RECT 17495.0 46140.0 18200.0 44795.0 ; + RECT 17495.0 46140.0 18200.0 47485.0 ; + RECT 17495.0 48830.0 18200.0 47485.0 ; + RECT 17495.0 48830.0 18200.0 50175.0 ; + RECT 17495.0 51520.0 18200.0 50175.0 ; + RECT 17495.0 51520.0 18200.0 52865.0 ; + RECT 17495.0 54210.0 18200.0 52865.0 ; + RECT 17495.0 54210.0 18200.0 55555.0 ; + RECT 17495.0 56900.0 18200.0 55555.0 ; + RECT 17495.0 56900.0 18200.0 58245.0 ; + RECT 17495.0 59590.0 18200.0 58245.0 ; + RECT 17495.0 59590.0 18200.0 60935.0 ; + RECT 17495.0 62280.0 18200.0 60935.0 ; + RECT 17495.0 62280.0 18200.0 63625.0 ; + RECT 17495.0 64970.0 18200.0 63625.0 ; + RECT 17495.0 64970.0 18200.0 66315.0 ; + RECT 17495.0 67660.0 18200.0 66315.0 ; + RECT 17495.0 67660.0 18200.0 69005.0 ; + RECT 17495.0 70350.0 18200.0 69005.0 ; + RECT 18200.0 27310.0 18905.0 28655.0 ; + RECT 18200.0 30000.0 18905.0 28655.0 ; + RECT 18200.0 30000.0 18905.0 31345.0 ; + RECT 18200.0 32690.0 18905.0 31345.0 ; + RECT 18200.0 32690.0 18905.0 34035.0 ; + RECT 18200.0 35380.0 18905.0 34035.0 ; + RECT 18200.0 35380.0 18905.0 36725.0 ; + RECT 18200.0 38070.0 18905.0 36725.0 ; + RECT 18200.0 38070.0 18905.0 39415.0 ; + RECT 18200.0 40760.0 18905.0 39415.0 ; + RECT 18200.0 40760.0 18905.0 42105.0 ; + RECT 18200.0 43450.0 18905.0 42105.0 ; + RECT 18200.0 43450.0 18905.0 44795.0 ; + RECT 18200.0 46140.0 18905.0 44795.0 ; + RECT 18200.0 46140.0 18905.0 47485.0 ; + RECT 18200.0 48830.0 18905.0 47485.0 ; + RECT 18200.0 48830.0 18905.0 50175.0 ; + RECT 18200.0 51520.0 18905.0 50175.0 ; + RECT 18200.0 51520.0 18905.0 52865.0 ; + RECT 18200.0 54210.0 18905.0 52865.0 ; + RECT 18200.0 54210.0 18905.0 55555.0 ; + RECT 18200.0 56900.0 18905.0 55555.0 ; + RECT 18200.0 56900.0 18905.0 58245.0 ; + RECT 18200.0 59590.0 18905.0 58245.0 ; + RECT 18200.0 59590.0 18905.0 60935.0 ; + RECT 18200.0 62280.0 18905.0 60935.0 ; + RECT 18200.0 62280.0 18905.0 63625.0 ; + RECT 18200.0 64970.0 18905.0 63625.0 ; + RECT 18200.0 64970.0 18905.0 66315.0 ; + RECT 18200.0 67660.0 18905.0 66315.0 ; + RECT 18200.0 67660.0 18905.0 69005.0 ; + RECT 18200.0 70350.0 18905.0 69005.0 ; + RECT 18905.0 27310.0 19610.0 28655.0 ; + RECT 18905.0 30000.0 19610.0 28655.0 ; + RECT 18905.0 30000.0 19610.0 31345.0 ; + RECT 18905.0 32690.0 19610.0 31345.0 ; + RECT 18905.0 32690.0 19610.0 34035.0 ; + RECT 18905.0 35380.0 19610.0 34035.0 ; + RECT 18905.0 35380.0 19610.0 36725.0 ; + RECT 18905.0 38070.0 19610.0 36725.0 ; + RECT 18905.0 38070.0 19610.0 39415.0 ; + RECT 18905.0 40760.0 19610.0 39415.0 ; + RECT 18905.0 40760.0 19610.0 42105.0 ; + RECT 18905.0 43450.0 19610.0 42105.0 ; + RECT 18905.0 43450.0 19610.0 44795.0 ; + RECT 18905.0 46140.0 19610.0 44795.0 ; + RECT 18905.0 46140.0 19610.0 47485.0 ; + RECT 18905.0 48830.0 19610.0 47485.0 ; + RECT 18905.0 48830.0 19610.0 50175.0 ; + RECT 18905.0 51520.0 19610.0 50175.0 ; + RECT 18905.0 51520.0 19610.0 52865.0 ; + RECT 18905.0 54210.0 19610.0 52865.0 ; + RECT 18905.0 54210.0 19610.0 55555.0 ; + RECT 18905.0 56900.0 19610.0 55555.0 ; + RECT 18905.0 56900.0 19610.0 58245.0 ; + RECT 18905.0 59590.0 19610.0 58245.0 ; + RECT 18905.0 59590.0 19610.0 60935.0 ; + RECT 18905.0 62280.0 19610.0 60935.0 ; + RECT 18905.0 62280.0 19610.0 63625.0 ; + RECT 18905.0 64970.0 19610.0 63625.0 ; + RECT 18905.0 64970.0 19610.0 66315.0 ; + RECT 18905.0 67660.0 19610.0 66315.0 ; + RECT 18905.0 67660.0 19610.0 69005.0 ; + RECT 18905.0 70350.0 19610.0 69005.0 ; + RECT 19610.0 27310.0 20315.0 28655.0 ; + RECT 19610.0 30000.0 20315.0 28655.0 ; + RECT 19610.0 30000.0 20315.0 31345.0 ; + RECT 19610.0 32690.0 20315.0 31345.0 ; + RECT 19610.0 32690.0 20315.0 34035.0 ; + RECT 19610.0 35380.0 20315.0 34035.0 ; + RECT 19610.0 35380.0 20315.0 36725.0 ; + RECT 19610.0 38070.0 20315.0 36725.0 ; + RECT 19610.0 38070.0 20315.0 39415.0 ; + RECT 19610.0 40760.0 20315.0 39415.0 ; + RECT 19610.0 40760.0 20315.0 42105.0 ; + RECT 19610.0 43450.0 20315.0 42105.0 ; + RECT 19610.0 43450.0 20315.0 44795.0 ; + RECT 19610.0 46140.0 20315.0 44795.0 ; + RECT 19610.0 46140.0 20315.0 47485.0 ; + RECT 19610.0 48830.0 20315.0 47485.0 ; + RECT 19610.0 48830.0 20315.0 50175.0 ; + RECT 19610.0 51520.0 20315.0 50175.0 ; + RECT 19610.0 51520.0 20315.0 52865.0 ; + RECT 19610.0 54210.0 20315.0 52865.0 ; + RECT 19610.0 54210.0 20315.0 55555.0 ; + RECT 19610.0 56900.0 20315.0 55555.0 ; + RECT 19610.0 56900.0 20315.0 58245.0 ; + RECT 19610.0 59590.0 20315.0 58245.0 ; + RECT 19610.0 59590.0 20315.0 60935.0 ; + RECT 19610.0 62280.0 20315.0 60935.0 ; + RECT 19610.0 62280.0 20315.0 63625.0 ; + RECT 19610.0 64970.0 20315.0 63625.0 ; + RECT 19610.0 64970.0 20315.0 66315.0 ; + RECT 19610.0 67660.0 20315.0 66315.0 ; + RECT 19610.0 67660.0 20315.0 69005.0 ; + RECT 19610.0 70350.0 20315.0 69005.0 ; + RECT 20315.0 27310.0 21020.0 28655.0 ; + RECT 20315.0 30000.0 21020.0 28655.0 ; + RECT 20315.0 30000.0 21020.0 31345.0 ; + RECT 20315.0 32690.0 21020.0 31345.0 ; + RECT 20315.0 32690.0 21020.0 34035.0 ; + RECT 20315.0 35380.0 21020.0 34035.0 ; + RECT 20315.0 35380.0 21020.0 36725.0 ; + RECT 20315.0 38070.0 21020.0 36725.0 ; + RECT 20315.0 38070.0 21020.0 39415.0 ; + RECT 20315.0 40760.0 21020.0 39415.0 ; + RECT 20315.0 40760.0 21020.0 42105.0 ; + RECT 20315.0 43450.0 21020.0 42105.0 ; + RECT 20315.0 43450.0 21020.0 44795.0 ; + RECT 20315.0 46140.0 21020.0 44795.0 ; + RECT 20315.0 46140.0 21020.0 47485.0 ; + RECT 20315.0 48830.0 21020.0 47485.0 ; + RECT 20315.0 48830.0 21020.0 50175.0 ; + RECT 20315.0 51520.0 21020.0 50175.0 ; + RECT 20315.0 51520.0 21020.0 52865.0 ; + RECT 20315.0 54210.0 21020.0 52865.0 ; + RECT 20315.0 54210.0 21020.0 55555.0 ; + RECT 20315.0 56900.0 21020.0 55555.0 ; + RECT 20315.0 56900.0 21020.0 58245.0 ; + RECT 20315.0 59590.0 21020.0 58245.0 ; + RECT 20315.0 59590.0 21020.0 60935.0 ; + RECT 20315.0 62280.0 21020.0 60935.0 ; + RECT 20315.0 62280.0 21020.0 63625.0 ; + RECT 20315.0 64970.0 21020.0 63625.0 ; + RECT 20315.0 64970.0 21020.0 66315.0 ; + RECT 20315.0 67660.0 21020.0 66315.0 ; + RECT 20315.0 67660.0 21020.0 69005.0 ; + RECT 20315.0 70350.0 21020.0 69005.0 ; + RECT 21020.0 27310.0 21725.0 28655.0 ; + RECT 21020.0 30000.0 21725.0 28655.0 ; + RECT 21020.0 30000.0 21725.0 31345.0 ; + RECT 21020.0 32690.0 21725.0 31345.0 ; + RECT 21020.0 32690.0 21725.0 34035.0 ; + RECT 21020.0 35380.0 21725.0 34035.0 ; + RECT 21020.0 35380.0 21725.0 36725.0 ; + RECT 21020.0 38070.0 21725.0 36725.0 ; + RECT 21020.0 38070.0 21725.0 39415.0 ; + RECT 21020.0 40760.0 21725.0 39415.0 ; + RECT 21020.0 40760.0 21725.0 42105.0 ; + RECT 21020.0 43450.0 21725.0 42105.0 ; + RECT 21020.0 43450.0 21725.0 44795.0 ; + RECT 21020.0 46140.0 21725.0 44795.0 ; + RECT 21020.0 46140.0 21725.0 47485.0 ; + RECT 21020.0 48830.0 21725.0 47485.0 ; + RECT 21020.0 48830.0 21725.0 50175.0 ; + RECT 21020.0 51520.0 21725.0 50175.0 ; + RECT 21020.0 51520.0 21725.0 52865.0 ; + RECT 21020.0 54210.0 21725.0 52865.0 ; + RECT 21020.0 54210.0 21725.0 55555.0 ; + RECT 21020.0 56900.0 21725.0 55555.0 ; + RECT 21020.0 56900.0 21725.0 58245.0 ; + RECT 21020.0 59590.0 21725.0 58245.0 ; + RECT 21020.0 59590.0 21725.0 60935.0 ; + RECT 21020.0 62280.0 21725.0 60935.0 ; + RECT 21020.0 62280.0 21725.0 63625.0 ; + RECT 21020.0 64970.0 21725.0 63625.0 ; + RECT 21020.0 64970.0 21725.0 66315.0 ; + RECT 21020.0 67660.0 21725.0 66315.0 ; + RECT 21020.0 67660.0 21725.0 69005.0 ; + RECT 21020.0 70350.0 21725.0 69005.0 ; + RECT 15995.0 27417.5 21815.0 27482.5 ; + RECT 15995.0 29827.5 21815.0 29892.5 ; + RECT 15995.0 30107.5 21815.0 30172.5 ; + RECT 15995.0 32517.5 21815.0 32582.5 ; + RECT 15995.0 32797.5 21815.0 32862.5 ; + RECT 15995.0 35207.5 21815.0 35272.5 ; + RECT 15995.0 35487.5 21815.0 35552.5 ; + RECT 15995.0 37897.5 21815.0 37962.5 ; + RECT 15995.0 38177.5 21815.0 38242.5 ; + RECT 15995.0 40587.5 21815.0 40652.5 ; + RECT 15995.0 40867.5 21815.0 40932.5 ; + RECT 15995.0 43277.5 21815.0 43342.5 ; + RECT 15995.0 43557.5 21815.0 43622.5 ; + RECT 15995.0 45967.5 21815.0 46032.5 ; + RECT 15995.0 46247.5 21815.0 46312.5 ; + RECT 15995.0 48657.5 21815.0 48722.5 ; + RECT 15995.0 48937.5 21815.0 49002.5 ; + RECT 15995.0 51347.5 21815.0 51412.5 ; + RECT 15995.0 51627.5 21815.0 51692.5 ; + RECT 15995.0 54037.5 21815.0 54102.5 ; + RECT 15995.0 54317.5 21815.0 54382.5 ; + RECT 15995.0 56727.5 21815.0 56792.5 ; + RECT 15995.0 57007.5 21815.0 57072.5 ; + RECT 15995.0 59417.5 21815.0 59482.5 ; + RECT 15995.0 59697.5 21815.0 59762.5 ; + RECT 15995.0 62107.5 21815.0 62172.5 ; + RECT 15995.0 62387.5 21815.0 62452.5 ; + RECT 15995.0 64797.5 21815.0 64862.5 ; + RECT 15995.0 65077.5 21815.0 65142.5 ; + RECT 15995.0 67487.5 21815.0 67552.5 ; + RECT 15995.0 67767.5 21815.0 67832.5 ; + RECT 15995.0 70177.5 21815.0 70242.5 ; + RECT 15995.0 28622.5 21815.0 28687.5 ; + RECT 15995.0 31312.5 21815.0 31377.5 ; + RECT 15995.0 34002.5 21815.0 34067.5 ; + RECT 15995.0 36692.5 21815.0 36757.5 ; + RECT 15995.0 39382.5 21815.0 39447.5 ; + RECT 15995.0 42072.5 21815.0 42137.5 ; + RECT 15995.0 44762.5 21815.0 44827.5 ; + RECT 15995.0 47452.5 21815.0 47517.5 ; + RECT 15995.0 50142.5 21815.0 50207.5 ; + RECT 15995.0 52832.5 21815.0 52897.5 ; + RECT 15995.0 55522.5 21815.0 55587.5 ; + RECT 15995.0 58212.5 21815.0 58277.5 ; + RECT 15995.0 60902.5 21815.0 60967.5 ; + RECT 15995.0 63592.5 21815.0 63657.5 ; + RECT 15995.0 66282.5 21815.0 66347.5 ; + RECT 15995.0 68972.5 21815.0 69037.5 ; + RECT 15995.0 27277.5 21815.0 27342.5 ; + RECT 15995.0 29967.5 21815.0 30032.5 ; + RECT 15995.0 32657.5 21815.0 32722.5 ; + RECT 15995.0 35347.5 21815.0 35412.5 ; + RECT 15995.0 38037.5 21815.0 38102.5 ; + RECT 15995.0 40727.5 21815.0 40792.5 ; + RECT 15995.0 43417.5 21815.0 43482.5 ; + RECT 15995.0 46107.5 21815.0 46172.5 ; + RECT 15995.0 48797.5 21815.0 48862.5 ; + RECT 15995.0 51487.5 21815.0 51552.5 ; + RECT 15995.0 54177.5 21815.0 54242.5 ; + RECT 15995.0 56867.5 21815.0 56932.5 ; + RECT 15995.0 59557.5 21815.0 59622.5 ; + RECT 15995.0 62247.5 21815.0 62312.5 ; + RECT 15995.0 64937.5 21815.0 65002.5 ; + RECT 15995.0 67627.5 21815.0 67692.5 ; + RECT 15995.0 70317.5 21815.0 70382.5 ; + RECT 16437.5 71562.5 16502.5 72077.5 ; + RECT 16247.5 71032.5 16312.5 71167.5 ; + RECT 16437.5 71032.5 16502.5 71167.5 ; + RECT 16437.5 71032.5 16502.5 71167.5 ; + RECT 16247.5 71032.5 16312.5 71167.5 ; + RECT 16247.5 71562.5 16312.5 71697.5 ; + RECT 16437.5 71562.5 16502.5 71697.5 ; + RECT 16437.5 71562.5 16502.5 71697.5 ; + RECT 16247.5 71562.5 16312.5 71697.5 ; + RECT 16437.5 71562.5 16502.5 71697.5 ; + RECT 16627.5 71562.5 16692.5 71697.5 ; + RECT 16627.5 71562.5 16692.5 71697.5 ; + RECT 16437.5 71562.5 16502.5 71697.5 ; + RECT 16417.5 71327.5 16282.5 71392.5 ; + RECT 16437.5 71875.0 16502.5 72010.0 ; + RECT 16247.5 71032.5 16312.5 71167.5 ; + RECT 16437.5 71032.5 16502.5 71167.5 ; + RECT 16247.5 71562.5 16312.5 71697.5 ; + RECT 16627.5 71562.5 16692.5 71697.5 ; + RECT 16085.0 71327.5 16790.0 71392.5 ; + RECT 16085.0 72012.5 16790.0 72077.5 ; + RECT 17142.5 71562.5 17207.5 72077.5 ; + RECT 16952.5 71032.5 17017.5 71167.5 ; + RECT 17142.5 71032.5 17207.5 71167.5 ; + RECT 17142.5 71032.5 17207.5 71167.5 ; + RECT 16952.5 71032.5 17017.5 71167.5 ; + RECT 16952.5 71562.5 17017.5 71697.5 ; + RECT 17142.5 71562.5 17207.5 71697.5 ; + RECT 17142.5 71562.5 17207.5 71697.5 ; + RECT 16952.5 71562.5 17017.5 71697.5 ; + RECT 17142.5 71562.5 17207.5 71697.5 ; + RECT 17332.5 71562.5 17397.5 71697.5 ; + RECT 17332.5 71562.5 17397.5 71697.5 ; + RECT 17142.5 71562.5 17207.5 71697.5 ; + RECT 17122.5 71327.5 16987.5 71392.5 ; + RECT 17142.5 71875.0 17207.5 72010.0 ; + RECT 16952.5 71032.5 17017.5 71167.5 ; + RECT 17142.5 71032.5 17207.5 71167.5 ; + RECT 16952.5 71562.5 17017.5 71697.5 ; + RECT 17332.5 71562.5 17397.5 71697.5 ; + RECT 16790.0 71327.5 17495.0 71392.5 ; + RECT 16790.0 72012.5 17495.0 72077.5 ; + RECT 17847.5 71562.5 17912.5 72077.5 ; + RECT 17657.5 71032.5 17722.5 71167.5 ; + RECT 17847.5 71032.5 17912.5 71167.5 ; + RECT 17847.5 71032.5 17912.5 71167.5 ; + RECT 17657.5 71032.5 17722.5 71167.5 ; + RECT 17657.5 71562.5 17722.5 71697.5 ; + RECT 17847.5 71562.5 17912.5 71697.5 ; + RECT 17847.5 71562.5 17912.5 71697.5 ; + RECT 17657.5 71562.5 17722.5 71697.5 ; + RECT 17847.5 71562.5 17912.5 71697.5 ; + RECT 18037.5 71562.5 18102.5 71697.5 ; + RECT 18037.5 71562.5 18102.5 71697.5 ; + RECT 17847.5 71562.5 17912.5 71697.5 ; + RECT 17827.5 71327.5 17692.5 71392.5 ; + RECT 17847.5 71875.0 17912.5 72010.0 ; + RECT 17657.5 71032.5 17722.5 71167.5 ; + RECT 17847.5 71032.5 17912.5 71167.5 ; + RECT 17657.5 71562.5 17722.5 71697.5 ; + RECT 18037.5 71562.5 18102.5 71697.5 ; + RECT 17495.0 71327.5 18200.0 71392.5 ; + RECT 17495.0 72012.5 18200.0 72077.5 ; + RECT 18552.5 71562.5 18617.5 72077.5 ; + RECT 18362.5 71032.5 18427.5 71167.5 ; + RECT 18552.5 71032.5 18617.5 71167.5 ; + RECT 18552.5 71032.5 18617.5 71167.5 ; + RECT 18362.5 71032.5 18427.5 71167.5 ; + RECT 18362.5 71562.5 18427.5 71697.5 ; + RECT 18552.5 71562.5 18617.5 71697.5 ; + RECT 18552.5 71562.5 18617.5 71697.5 ; + RECT 18362.5 71562.5 18427.5 71697.5 ; + RECT 18552.5 71562.5 18617.5 71697.5 ; + RECT 18742.5 71562.5 18807.5 71697.5 ; + RECT 18742.5 71562.5 18807.5 71697.5 ; + RECT 18552.5 71562.5 18617.5 71697.5 ; + RECT 18532.5 71327.5 18397.5 71392.5 ; + RECT 18552.5 71875.0 18617.5 72010.0 ; + RECT 18362.5 71032.5 18427.5 71167.5 ; + RECT 18552.5 71032.5 18617.5 71167.5 ; + RECT 18362.5 71562.5 18427.5 71697.5 ; + RECT 18742.5 71562.5 18807.5 71697.5 ; + RECT 18200.0 71327.5 18905.0 71392.5 ; + RECT 18200.0 72012.5 18905.0 72077.5 ; + RECT 19257.5 71562.5 19322.5 72077.5 ; + RECT 19067.5 71032.5 19132.5 71167.5 ; + RECT 19257.5 71032.5 19322.5 71167.5 ; + RECT 19257.5 71032.5 19322.5 71167.5 ; + RECT 19067.5 71032.5 19132.5 71167.5 ; + RECT 19067.5 71562.5 19132.5 71697.5 ; + RECT 19257.5 71562.5 19322.5 71697.5 ; + RECT 19257.5 71562.5 19322.5 71697.5 ; + RECT 19067.5 71562.5 19132.5 71697.5 ; + RECT 19257.5 71562.5 19322.5 71697.5 ; + RECT 19447.5 71562.5 19512.5 71697.5 ; + RECT 19447.5 71562.5 19512.5 71697.5 ; + RECT 19257.5 71562.5 19322.5 71697.5 ; + RECT 19237.5 71327.5 19102.5 71392.5 ; + RECT 19257.5 71875.0 19322.5 72010.0 ; + RECT 19067.5 71032.5 19132.5 71167.5 ; + RECT 19257.5 71032.5 19322.5 71167.5 ; + RECT 19067.5 71562.5 19132.5 71697.5 ; + RECT 19447.5 71562.5 19512.5 71697.5 ; + RECT 18905.0 71327.5 19610.0 71392.5 ; + RECT 18905.0 72012.5 19610.0 72077.5 ; + RECT 19962.5 71562.5 20027.5 72077.5 ; + RECT 19772.5 71032.5 19837.5 71167.5 ; + RECT 19962.5 71032.5 20027.5 71167.5 ; + RECT 19962.5 71032.5 20027.5 71167.5 ; + RECT 19772.5 71032.5 19837.5 71167.5 ; + RECT 19772.5 71562.5 19837.5 71697.5 ; + RECT 19962.5 71562.5 20027.5 71697.5 ; + RECT 19962.5 71562.5 20027.5 71697.5 ; + RECT 19772.5 71562.5 19837.5 71697.5 ; + RECT 19962.5 71562.5 20027.5 71697.5 ; + RECT 20152.5 71562.5 20217.5 71697.5 ; + RECT 20152.5 71562.5 20217.5 71697.5 ; + RECT 19962.5 71562.5 20027.5 71697.5 ; + RECT 19942.5 71327.5 19807.5 71392.5 ; + RECT 19962.5 71875.0 20027.5 72010.0 ; + RECT 19772.5 71032.5 19837.5 71167.5 ; + RECT 19962.5 71032.5 20027.5 71167.5 ; + RECT 19772.5 71562.5 19837.5 71697.5 ; + RECT 20152.5 71562.5 20217.5 71697.5 ; + RECT 19610.0 71327.5 20315.0 71392.5 ; + RECT 19610.0 72012.5 20315.0 72077.5 ; + RECT 20667.5 71562.5 20732.5 72077.5 ; + RECT 20477.5 71032.5 20542.5 71167.5 ; + RECT 20667.5 71032.5 20732.5 71167.5 ; + RECT 20667.5 71032.5 20732.5 71167.5 ; + RECT 20477.5 71032.5 20542.5 71167.5 ; + RECT 20477.5 71562.5 20542.5 71697.5 ; + RECT 20667.5 71562.5 20732.5 71697.5 ; + RECT 20667.5 71562.5 20732.5 71697.5 ; + RECT 20477.5 71562.5 20542.5 71697.5 ; + RECT 20667.5 71562.5 20732.5 71697.5 ; + RECT 20857.5 71562.5 20922.5 71697.5 ; + RECT 20857.5 71562.5 20922.5 71697.5 ; + RECT 20667.5 71562.5 20732.5 71697.5 ; + RECT 20647.5 71327.5 20512.5 71392.5 ; + RECT 20667.5 71875.0 20732.5 72010.0 ; + RECT 20477.5 71032.5 20542.5 71167.5 ; + RECT 20667.5 71032.5 20732.5 71167.5 ; + RECT 20477.5 71562.5 20542.5 71697.5 ; + RECT 20857.5 71562.5 20922.5 71697.5 ; + RECT 20315.0 71327.5 21020.0 71392.5 ; + RECT 20315.0 72012.5 21020.0 72077.5 ; + RECT 21372.5 71562.5 21437.5 72077.5 ; + RECT 21182.5 71032.5 21247.5 71167.5 ; + RECT 21372.5 71032.5 21437.5 71167.5 ; + RECT 21372.5 71032.5 21437.5 71167.5 ; + RECT 21182.5 71032.5 21247.5 71167.5 ; + RECT 21182.5 71562.5 21247.5 71697.5 ; + RECT 21372.5 71562.5 21437.5 71697.5 ; + RECT 21372.5 71562.5 21437.5 71697.5 ; + RECT 21182.5 71562.5 21247.5 71697.5 ; + RECT 21372.5 71562.5 21437.5 71697.5 ; + RECT 21562.5 71562.5 21627.5 71697.5 ; + RECT 21562.5 71562.5 21627.5 71697.5 ; + RECT 21372.5 71562.5 21437.5 71697.5 ; + RECT 21352.5 71327.5 21217.5 71392.5 ; + RECT 21372.5 71875.0 21437.5 72010.0 ; + RECT 21182.5 71032.5 21247.5 71167.5 ; + RECT 21372.5 71032.5 21437.5 71167.5 ; + RECT 21182.5 71562.5 21247.5 71697.5 ; + RECT 21562.5 71562.5 21627.5 71697.5 ; + RECT 21020.0 71327.5 21725.0 71392.5 ; + RECT 21020.0 72012.5 21725.0 72077.5 ; + RECT 16085.0 71327.5 21725.0 71392.5 ; + RECT 16085.0 72012.5 21725.0 72077.5 ; + RECT 16235.0 24745.0 18420.0 24815.0 ; + RECT 16570.0 24605.0 18755.0 24675.0 ; + RECT 19055.0 24745.0 21240.0 24815.0 ; + RECT 19390.0 24605.0 21575.0 24675.0 ; + RECT 16500.0 27102.5 16565.0 27167.5 ; + RECT 16235.0 27102.5 16532.5 27167.5 ; + RECT 16500.0 26720.0 16565.0 27135.0 ; + RECT 16310.0 25552.5 16375.0 25617.5 ; + RECT 16342.5 25552.5 16605.0 25617.5 ; + RECT 16310.0 25585.0 16375.0 25860.0 ; + RECT 16310.0 25792.5 16375.0 25927.5 ; + RECT 16500.0 25792.5 16565.0 25927.5 ; + RECT 16500.0 25792.5 16565.0 25927.5 ; + RECT 16310.0 25792.5 16375.0 25927.5 ; + RECT 16310.0 26652.5 16375.0 26787.5 ; + RECT 16500.0 26652.5 16565.0 26787.5 ; + RECT 16500.0 26652.5 16565.0 26787.5 ; + RECT 16310.0 26652.5 16375.0 26787.5 ; + RECT 16237.5 27067.5 16302.5 27202.5 ; + RECT 16572.5 25517.5 16637.5 25652.5 ; + RECT 16310.0 26652.5 16375.0 26787.5 ; + RECT 16500.0 25792.5 16565.0 25927.5 ; + RECT 16757.5 25692.5 16822.5 25827.5 ; + RECT 16757.5 25692.5 16822.5 25827.5 ; + RECT 17205.0 27102.5 17270.0 27167.5 ; + RECT 16940.0 27102.5 17237.5 27167.5 ; + RECT 17205.0 26720.0 17270.0 27135.0 ; + RECT 17015.0 25552.5 17080.0 25617.5 ; + RECT 17047.5 25552.5 17310.0 25617.5 ; + RECT 17015.0 25585.0 17080.0 25860.0 ; + RECT 17015.0 25792.5 17080.0 25927.5 ; + RECT 17205.0 25792.5 17270.0 25927.5 ; + RECT 17205.0 25792.5 17270.0 25927.5 ; + RECT 17015.0 25792.5 17080.0 25927.5 ; + RECT 17015.0 26652.5 17080.0 26787.5 ; + RECT 17205.0 26652.5 17270.0 26787.5 ; + RECT 17205.0 26652.5 17270.0 26787.5 ; + RECT 17015.0 26652.5 17080.0 26787.5 ; + RECT 16942.5 27067.5 17007.5 27202.5 ; + RECT 17277.5 25517.5 17342.5 25652.5 ; + RECT 17015.0 26652.5 17080.0 26787.5 ; + RECT 17205.0 25792.5 17270.0 25927.5 ; + RECT 17462.5 25692.5 17527.5 25827.5 ; + RECT 17462.5 25692.5 17527.5 25827.5 ; + RECT 17910.0 27102.5 17975.0 27167.5 ; + RECT 17645.0 27102.5 17942.5 27167.5 ; + RECT 17910.0 26720.0 17975.0 27135.0 ; + RECT 17720.0 25552.5 17785.0 25617.5 ; + RECT 17752.5 25552.5 18015.0 25617.5 ; + RECT 17720.0 25585.0 17785.0 25860.0 ; + RECT 17720.0 25792.5 17785.0 25927.5 ; + RECT 17910.0 25792.5 17975.0 25927.5 ; + RECT 17910.0 25792.5 17975.0 25927.5 ; + RECT 17720.0 25792.5 17785.0 25927.5 ; + RECT 17720.0 26652.5 17785.0 26787.5 ; + RECT 17910.0 26652.5 17975.0 26787.5 ; + RECT 17910.0 26652.5 17975.0 26787.5 ; + RECT 17720.0 26652.5 17785.0 26787.5 ; + RECT 17647.5 27067.5 17712.5 27202.5 ; + RECT 17982.5 25517.5 18047.5 25652.5 ; + RECT 17720.0 26652.5 17785.0 26787.5 ; + RECT 17910.0 25792.5 17975.0 25927.5 ; + RECT 18167.5 25692.5 18232.5 25827.5 ; + RECT 18167.5 25692.5 18232.5 25827.5 ; + RECT 18615.0 27102.5 18680.0 27167.5 ; + RECT 18350.0 27102.5 18647.5 27167.5 ; + RECT 18615.0 26720.0 18680.0 27135.0 ; + RECT 18425.0 25552.5 18490.0 25617.5 ; + RECT 18457.5 25552.5 18720.0 25617.5 ; + RECT 18425.0 25585.0 18490.0 25860.0 ; + RECT 18425.0 25792.5 18490.0 25927.5 ; + RECT 18615.0 25792.5 18680.0 25927.5 ; + RECT 18615.0 25792.5 18680.0 25927.5 ; + RECT 18425.0 25792.5 18490.0 25927.5 ; + RECT 18425.0 26652.5 18490.0 26787.5 ; + RECT 18615.0 26652.5 18680.0 26787.5 ; + RECT 18615.0 26652.5 18680.0 26787.5 ; + RECT 18425.0 26652.5 18490.0 26787.5 ; + RECT 18352.5 27067.5 18417.5 27202.5 ; + RECT 18687.5 25517.5 18752.5 25652.5 ; + RECT 18425.0 26652.5 18490.0 26787.5 ; + RECT 18615.0 25792.5 18680.0 25927.5 ; + RECT 18872.5 25692.5 18937.5 25827.5 ; + RECT 18872.5 25692.5 18937.5 25827.5 ; + RECT 19320.0 27102.5 19385.0 27167.5 ; + RECT 19055.0 27102.5 19352.5 27167.5 ; + RECT 19320.0 26720.0 19385.0 27135.0 ; + RECT 19130.0 25552.5 19195.0 25617.5 ; + RECT 19162.5 25552.5 19425.0 25617.5 ; + RECT 19130.0 25585.0 19195.0 25860.0 ; + RECT 19130.0 25792.5 19195.0 25927.5 ; + RECT 19320.0 25792.5 19385.0 25927.5 ; + RECT 19320.0 25792.5 19385.0 25927.5 ; + RECT 19130.0 25792.5 19195.0 25927.5 ; + RECT 19130.0 26652.5 19195.0 26787.5 ; + RECT 19320.0 26652.5 19385.0 26787.5 ; + RECT 19320.0 26652.5 19385.0 26787.5 ; + RECT 19130.0 26652.5 19195.0 26787.5 ; + RECT 19057.5 27067.5 19122.5 27202.5 ; + RECT 19392.5 25517.5 19457.5 25652.5 ; + RECT 19130.0 26652.5 19195.0 26787.5 ; + RECT 19320.0 25792.5 19385.0 25927.5 ; + RECT 19577.5 25692.5 19642.5 25827.5 ; + RECT 19577.5 25692.5 19642.5 25827.5 ; + RECT 20025.0 27102.5 20090.0 27167.5 ; + RECT 19760.0 27102.5 20057.5 27167.5 ; + RECT 20025.0 26720.0 20090.0 27135.0 ; + RECT 19835.0 25552.5 19900.0 25617.5 ; + RECT 19867.5 25552.5 20130.0 25617.5 ; + RECT 19835.0 25585.0 19900.0 25860.0 ; + RECT 19835.0 25792.5 19900.0 25927.5 ; + RECT 20025.0 25792.5 20090.0 25927.5 ; + RECT 20025.0 25792.5 20090.0 25927.5 ; + RECT 19835.0 25792.5 19900.0 25927.5 ; + RECT 19835.0 26652.5 19900.0 26787.5 ; + RECT 20025.0 26652.5 20090.0 26787.5 ; + RECT 20025.0 26652.5 20090.0 26787.5 ; + RECT 19835.0 26652.5 19900.0 26787.5 ; + RECT 19762.5 27067.5 19827.5 27202.5 ; + RECT 20097.5 25517.5 20162.5 25652.5 ; + RECT 19835.0 26652.5 19900.0 26787.5 ; + RECT 20025.0 25792.5 20090.0 25927.5 ; + RECT 20282.5 25692.5 20347.5 25827.5 ; + RECT 20282.5 25692.5 20347.5 25827.5 ; + RECT 20730.0 27102.5 20795.0 27167.5 ; + RECT 20465.0 27102.5 20762.5 27167.5 ; + RECT 20730.0 26720.0 20795.0 27135.0 ; + RECT 20540.0 25552.5 20605.0 25617.5 ; + RECT 20572.5 25552.5 20835.0 25617.5 ; + RECT 20540.0 25585.0 20605.0 25860.0 ; + RECT 20540.0 25792.5 20605.0 25927.5 ; + RECT 20730.0 25792.5 20795.0 25927.5 ; + RECT 20730.0 25792.5 20795.0 25927.5 ; + RECT 20540.0 25792.5 20605.0 25927.5 ; + RECT 20540.0 26652.5 20605.0 26787.5 ; + RECT 20730.0 26652.5 20795.0 26787.5 ; + RECT 20730.0 26652.5 20795.0 26787.5 ; + RECT 20540.0 26652.5 20605.0 26787.5 ; + RECT 20467.5 27067.5 20532.5 27202.5 ; + RECT 20802.5 25517.5 20867.5 25652.5 ; + RECT 20540.0 26652.5 20605.0 26787.5 ; + RECT 20730.0 25792.5 20795.0 25927.5 ; + RECT 20987.5 25692.5 21052.5 25827.5 ; + RECT 20987.5 25692.5 21052.5 25827.5 ; + RECT 21435.0 27102.5 21500.0 27167.5 ; + RECT 21170.0 27102.5 21467.5 27167.5 ; + RECT 21435.0 26720.0 21500.0 27135.0 ; + RECT 21245.0 25552.5 21310.0 25617.5 ; + RECT 21277.5 25552.5 21540.0 25617.5 ; + RECT 21245.0 25585.0 21310.0 25860.0 ; + RECT 21245.0 25792.5 21310.0 25927.5 ; + RECT 21435.0 25792.5 21500.0 25927.5 ; + RECT 21435.0 25792.5 21500.0 25927.5 ; + RECT 21245.0 25792.5 21310.0 25927.5 ; + RECT 21245.0 26652.5 21310.0 26787.5 ; + RECT 21435.0 26652.5 21500.0 26787.5 ; + RECT 21435.0 26652.5 21500.0 26787.5 ; + RECT 21245.0 26652.5 21310.0 26787.5 ; + RECT 21172.5 27067.5 21237.5 27202.5 ; + RECT 21507.5 25517.5 21572.5 25652.5 ; + RECT 21245.0 26652.5 21310.0 26787.5 ; + RECT 21435.0 25792.5 21500.0 25927.5 ; + RECT 21692.5 25692.5 21757.5 25827.5 ; + RECT 21692.5 25692.5 21757.5 25827.5 ; + RECT 16505.0 25307.5 16370.0 25372.5 ; + RECT 17210.0 25167.5 17075.0 25232.5 ; + RECT 17915.0 25027.5 17780.0 25092.5 ; + RECT 18620.0 24887.5 18485.0 24952.5 ; + RECT 19325.0 25307.5 19190.0 25372.5 ; + RECT 20030.0 25167.5 19895.0 25232.5 ; + RECT 20735.0 25027.5 20600.0 25092.5 ; + RECT 21440.0 24887.5 21305.0 24952.5 ; + RECT 16370.0 24747.5 16235.0 24812.5 ; + RECT 16570.0 24607.5 16435.0 24672.5 ; + RECT 17075.0 24747.5 16940.0 24812.5 ; + RECT 17275.0 24607.5 17140.0 24672.5 ; + RECT 17780.0 24747.5 17645.0 24812.5 ; + RECT 17980.0 24607.5 17845.0 24672.5 ; + RECT 18485.0 24747.5 18350.0 24812.5 ; + RECT 18685.0 24607.5 18550.0 24672.5 ; + RECT 19190.0 24747.5 19055.0 24812.5 ; + RECT 19390.0 24607.5 19255.0 24672.5 ; + RECT 19895.0 24747.5 19760.0 24812.5 ; + RECT 20095.0 24607.5 19960.0 24672.5 ; + RECT 20600.0 24747.5 20465.0 24812.5 ; + RECT 20800.0 24607.5 20665.0 24672.5 ; + RECT 21305.0 24747.5 21170.0 24812.5 ; + RECT 21505.0 24607.5 21370.0 24672.5 ; + RECT 16085.0 25305.0 21725.0 25375.0 ; + RECT 16085.0 25165.0 21725.0 25235.0 ; + RECT 16085.0 25025.0 21725.0 25095.0 ; + RECT 16085.0 24885.0 21725.0 24955.0 ; + RECT 8402.5 630.0 8467.5 695.0 ; + RECT 8402.5 1152.5 8467.5 1217.5 ; + RECT 8165.0 630.0 8435.0 695.0 ; + RECT 8402.5 662.5 8467.5 1185.0 ; + RECT 8435.0 1152.5 8680.0 1217.5 ; + RECT 7295.0 630.0 7935.0 695.0 ; + RECT 8402.5 2065.0 8467.5 2130.0 ; + RECT 8402.5 2497.5 8467.5 2562.5 ; + RECT 8165.0 2065.0 8435.0 2130.0 ; + RECT 8402.5 2097.5 8467.5 2530.0 ; + RECT 8435.0 2497.5 8955.0 2562.5 ; + RECT 7570.0 2065.0 7935.0 2130.0 ; + RECT 7295.0 2827.5 9230.0 2892.5 ; + RECT 7570.0 4172.5 9505.0 4237.5 ; + RECT 8680.0 642.5 9805.0 707.5 ; + RECT 8955.0 427.5 10062.5 492.5 ; + RECT 9230.0 2052.5 9805.0 2117.5 ; + RECT 8955.0 2267.5 10062.5 2332.5 ; + RECT 8680.0 3332.5 9805.0 3397.5 ; + RECT 9505.0 3117.5 10062.5 3182.5 ; + RECT 9230.0 4742.5 9805.0 4807.5 ; + RECT 9505.0 4957.5 10062.5 5022.5 ; + RECT 10510.0 642.5 10575.0 707.5 ; + RECT 10510.0 630.0 10575.0 695.0 ; + RECT 10292.5 642.5 10542.5 707.5 ; + RECT 10510.0 662.5 10575.0 675.0 ; + RECT 10542.5 630.0 10790.0 695.0 ; + RECT 10510.0 2052.5 10575.0 2117.5 ; + RECT 10510.0 2065.0 10575.0 2130.0 ; + RECT 10292.5 2052.5 10542.5 2117.5 ; + RECT 10510.0 2085.0 10575.0 2097.5 ; + RECT 10542.5 2065.0 10790.0 2130.0 ; + RECT 10510.0 3332.5 10575.0 3397.5 ; + RECT 10510.0 3320.0 10575.0 3385.0 ; + RECT 10292.5 3332.5 10542.5 3397.5 ; + RECT 10510.0 3352.5 10575.0 3365.0 ; + RECT 10542.5 3320.0 10790.0 3385.0 ; + RECT 10510.0 4742.5 10575.0 4807.5 ; + RECT 10510.0 4755.0 10575.0 4820.0 ; + RECT 10292.5 4742.5 10542.5 4807.5 ; + RECT 10510.0 4775.0 10575.0 4787.5 ; + RECT 10542.5 4755.0 10790.0 4820.0 ; + RECT 8237.5 1195.0 8302.5 1380.0 ; + RECT 8237.5 35.0 8302.5 220.0 ; + RECT 7877.5 152.5 7942.5 2.5 ; + RECT 7877.5 1037.5 7942.5 1412.5 ; + RECT 8067.5 152.5 8132.5 1037.5 ; + RECT 7877.5 1037.5 7942.5 1172.5 ; + RECT 8067.5 1037.5 8132.5 1172.5 ; + RECT 8067.5 1037.5 8132.5 1172.5 ; + RECT 7877.5 1037.5 7942.5 1172.5 ; + RECT 7877.5 152.5 7942.5 287.5 ; + RECT 8067.5 152.5 8132.5 287.5 ; + RECT 8067.5 152.5 8132.5 287.5 ; + RECT 7877.5 152.5 7942.5 287.5 ; + RECT 8237.5 1127.5 8302.5 1262.5 ; + RECT 8237.5 152.5 8302.5 287.5 ; + RECT 7935.0 595.0 8000.0 730.0 ; + RECT 7935.0 595.0 8000.0 730.0 ; + RECT 8100.0 630.0 8165.0 695.0 ; + RECT 7810.0 1347.5 8370.0 1412.5 ; + RECT 7810.0 2.5 8370.0 67.5 ; + RECT 8237.5 1565.0 8302.5 1380.0 ; + RECT 8237.5 2725.0 8302.5 2540.0 ; + RECT 7877.5 2607.5 7942.5 2757.5 ; + RECT 7877.5 1722.5 7942.5 1347.5 ; + RECT 8067.5 2607.5 8132.5 1722.5 ; + RECT 7877.5 1722.5 7942.5 1587.5 ; + RECT 8067.5 1722.5 8132.5 1587.5 ; + RECT 8067.5 1722.5 8132.5 1587.5 ; + RECT 7877.5 1722.5 7942.5 1587.5 ; + RECT 7877.5 2607.5 7942.5 2472.5 ; + RECT 8067.5 2607.5 8132.5 2472.5 ; + RECT 8067.5 2607.5 8132.5 2472.5 ; + RECT 7877.5 2607.5 7942.5 2472.5 ; + RECT 8237.5 1632.5 8302.5 1497.5 ; + RECT 8237.5 2607.5 8302.5 2472.5 ; + RECT 7935.0 2165.0 8000.0 2030.0 ; + RECT 7935.0 2165.0 8000.0 2030.0 ; + RECT 8100.0 2130.0 8165.0 2065.0 ; + RECT 7810.0 1412.5 8370.0 1347.5 ; + RECT 7810.0 2757.5 8370.0 2692.5 ; + RECT 11092.5 1195.0 11157.5 1380.0 ; + RECT 11092.5 35.0 11157.5 220.0 ; + RECT 10732.5 152.5 10797.5 2.5 ; + RECT 10732.5 1037.5 10797.5 1412.5 ; + RECT 10922.5 152.5 10987.5 1037.5 ; + RECT 10732.5 1037.5 10797.5 1172.5 ; + RECT 10922.5 1037.5 10987.5 1172.5 ; + RECT 10922.5 1037.5 10987.5 1172.5 ; + RECT 10732.5 1037.5 10797.5 1172.5 ; + RECT 10732.5 152.5 10797.5 287.5 ; + RECT 10922.5 152.5 10987.5 287.5 ; + RECT 10922.5 152.5 10987.5 287.5 ; + RECT 10732.5 152.5 10797.5 287.5 ; + RECT 11092.5 1127.5 11157.5 1262.5 ; + RECT 11092.5 152.5 11157.5 287.5 ; + RECT 10790.0 595.0 10855.0 730.0 ; + RECT 10790.0 595.0 10855.0 730.0 ; + RECT 10955.0 630.0 11020.0 695.0 ; + RECT 10665.0 1347.5 11225.0 1412.5 ; + RECT 10665.0 2.5 11225.0 67.5 ; + RECT 11092.5 1565.0 11157.5 1380.0 ; + RECT 11092.5 2725.0 11157.5 2540.0 ; + RECT 10732.5 2607.5 10797.5 2757.5 ; + RECT 10732.5 1722.5 10797.5 1347.5 ; + RECT 10922.5 2607.5 10987.5 1722.5 ; + RECT 10732.5 1722.5 10797.5 1587.5 ; + RECT 10922.5 1722.5 10987.5 1587.5 ; + RECT 10922.5 1722.5 10987.5 1587.5 ; + RECT 10732.5 1722.5 10797.5 1587.5 ; + RECT 10732.5 2607.5 10797.5 2472.5 ; + RECT 10922.5 2607.5 10987.5 2472.5 ; + RECT 10922.5 2607.5 10987.5 2472.5 ; + RECT 10732.5 2607.5 10797.5 2472.5 ; + RECT 11092.5 1632.5 11157.5 1497.5 ; + RECT 11092.5 2607.5 11157.5 2472.5 ; + RECT 10790.0 2165.0 10855.0 2030.0 ; + RECT 10790.0 2165.0 10855.0 2030.0 ; + RECT 10955.0 2130.0 11020.0 2065.0 ; + RECT 10665.0 1412.5 11225.0 1347.5 ; + RECT 10665.0 2757.5 11225.0 2692.5 ; + RECT 11092.5 3885.0 11157.5 4070.0 ; + RECT 11092.5 2725.0 11157.5 2910.0 ; + RECT 10732.5 2842.5 10797.5 2692.5 ; + RECT 10732.5 3727.5 10797.5 4102.5 ; + RECT 10922.5 2842.5 10987.5 3727.5 ; + RECT 10732.5 3727.5 10797.5 3862.5 ; + RECT 10922.5 3727.5 10987.5 3862.5 ; + RECT 10922.5 3727.5 10987.5 3862.5 ; + RECT 10732.5 3727.5 10797.5 3862.5 ; + RECT 10732.5 2842.5 10797.5 2977.5 ; + RECT 10922.5 2842.5 10987.5 2977.5 ; + RECT 10922.5 2842.5 10987.5 2977.5 ; + RECT 10732.5 2842.5 10797.5 2977.5 ; + RECT 11092.5 3817.5 11157.5 3952.5 ; + RECT 11092.5 2842.5 11157.5 2977.5 ; + RECT 10790.0 3285.0 10855.0 3420.0 ; + RECT 10790.0 3285.0 10855.0 3420.0 ; + RECT 10955.0 3320.0 11020.0 3385.0 ; + RECT 10665.0 4037.5 11225.0 4102.5 ; + RECT 10665.0 2692.5 11225.0 2757.5 ; + RECT 11092.5 4255.0 11157.5 4070.0 ; + RECT 11092.5 5415.0 11157.5 5230.0 ; + RECT 10732.5 5297.5 10797.5 5447.5 ; + RECT 10732.5 4412.5 10797.5 4037.5 ; + RECT 10922.5 5297.5 10987.5 4412.5 ; + RECT 10732.5 4412.5 10797.5 4277.5 ; + RECT 10922.5 4412.5 10987.5 4277.5 ; + RECT 10922.5 4412.5 10987.5 4277.5 ; + RECT 10732.5 4412.5 10797.5 4277.5 ; + RECT 10732.5 5297.5 10797.5 5162.5 ; + RECT 10922.5 5297.5 10987.5 5162.5 ; + RECT 10922.5 5297.5 10987.5 5162.5 ; + RECT 10732.5 5297.5 10797.5 5162.5 ; + RECT 11092.5 4322.5 11157.5 4187.5 ; + RECT 11092.5 5297.5 11157.5 5162.5 ; + RECT 10790.0 4855.0 10855.0 4720.0 ; + RECT 10790.0 4855.0 10855.0 4720.0 ; + RECT 10955.0 4820.0 11020.0 4755.0 ; + RECT 10665.0 4102.5 11225.0 4037.5 ; + RECT 10665.0 5447.5 11225.0 5382.5 ; + RECT 9812.5 197.5 9877.5 2.5 ; + RECT 9812.5 1037.5 9877.5 1412.5 ; + RECT 10192.5 1037.5 10257.5 1412.5 ; + RECT 10362.5 1195.0 10427.5 1380.0 ; + RECT 10362.5 35.0 10427.5 220.0 ; + RECT 9812.5 1037.5 9877.5 1172.5 ; + RECT 10002.5 1037.5 10067.5 1172.5 ; + RECT 10002.5 1037.5 10067.5 1172.5 ; + RECT 9812.5 1037.5 9877.5 1172.5 ; + RECT 10002.5 1037.5 10067.5 1172.5 ; + RECT 10192.5 1037.5 10257.5 1172.5 ; + RECT 10192.5 1037.5 10257.5 1172.5 ; + RECT 10002.5 1037.5 10067.5 1172.5 ; + RECT 9812.5 197.5 9877.5 332.5 ; + RECT 10002.5 197.5 10067.5 332.5 ; + RECT 10002.5 197.5 10067.5 332.5 ; + RECT 9812.5 197.5 9877.5 332.5 ; + RECT 10002.5 197.5 10067.5 332.5 ; + RECT 10192.5 197.5 10257.5 332.5 ; + RECT 10192.5 197.5 10257.5 332.5 ; + RECT 10002.5 197.5 10067.5 332.5 ; + RECT 10362.5 1127.5 10427.5 1262.5 ; + RECT 10362.5 152.5 10427.5 287.5 ; + RECT 10197.5 427.5 10062.5 492.5 ; + RECT 9940.0 642.5 9805.0 707.5 ; + RECT 10002.5 1037.5 10067.5 1172.5 ; + RECT 10192.5 197.5 10257.5 332.5 ; + RECT 10292.5 642.5 10157.5 707.5 ; + RECT 9805.0 642.5 9940.0 707.5 ; + RECT 10062.5 427.5 10197.5 492.5 ; + RECT 10157.5 642.5 10292.5 707.5 ; + RECT 9745.0 1347.5 10665.0 1412.5 ; + RECT 9745.0 2.5 10665.0 67.5 ; + RECT 9812.5 2562.5 9877.5 2757.5 ; + RECT 9812.5 1722.5 9877.5 1347.5 ; + RECT 10192.5 1722.5 10257.5 1347.5 ; + RECT 10362.5 1565.0 10427.5 1380.0 ; + RECT 10362.5 2725.0 10427.5 2540.0 ; + RECT 9812.5 1722.5 9877.5 1587.5 ; + RECT 10002.5 1722.5 10067.5 1587.5 ; + RECT 10002.5 1722.5 10067.5 1587.5 ; + RECT 9812.5 1722.5 9877.5 1587.5 ; + RECT 10002.5 1722.5 10067.5 1587.5 ; + RECT 10192.5 1722.5 10257.5 1587.5 ; + RECT 10192.5 1722.5 10257.5 1587.5 ; + RECT 10002.5 1722.5 10067.5 1587.5 ; + RECT 9812.5 2562.5 9877.5 2427.5 ; + RECT 10002.5 2562.5 10067.5 2427.5 ; + RECT 10002.5 2562.5 10067.5 2427.5 ; + RECT 9812.5 2562.5 9877.5 2427.5 ; + RECT 10002.5 2562.5 10067.5 2427.5 ; + RECT 10192.5 2562.5 10257.5 2427.5 ; + RECT 10192.5 2562.5 10257.5 2427.5 ; + RECT 10002.5 2562.5 10067.5 2427.5 ; + RECT 10362.5 1632.5 10427.5 1497.5 ; + RECT 10362.5 2607.5 10427.5 2472.5 ; + RECT 10197.5 2332.5 10062.5 2267.5 ; + RECT 9940.0 2117.5 9805.0 2052.5 ; + RECT 10002.5 1722.5 10067.5 1587.5 ; + RECT 10192.5 2562.5 10257.5 2427.5 ; + RECT 10292.5 2117.5 10157.5 2052.5 ; + RECT 9805.0 2117.5 9940.0 2052.5 ; + RECT 10062.5 2332.5 10197.5 2267.5 ; + RECT 10157.5 2117.5 10292.5 2052.5 ; + RECT 9745.0 1412.5 10665.0 1347.5 ; + RECT 9745.0 2757.5 10665.0 2692.5 ; + RECT 9812.5 2887.5 9877.5 2692.5 ; + RECT 9812.5 3727.5 9877.5 4102.5 ; + RECT 10192.5 3727.5 10257.5 4102.5 ; + RECT 10362.5 3885.0 10427.5 4070.0 ; + RECT 10362.5 2725.0 10427.5 2910.0 ; + RECT 9812.5 3727.5 9877.5 3862.5 ; + RECT 10002.5 3727.5 10067.5 3862.5 ; + RECT 10002.5 3727.5 10067.5 3862.5 ; + RECT 9812.5 3727.5 9877.5 3862.5 ; + RECT 10002.5 3727.5 10067.5 3862.5 ; + RECT 10192.5 3727.5 10257.5 3862.5 ; + RECT 10192.5 3727.5 10257.5 3862.5 ; + RECT 10002.5 3727.5 10067.5 3862.5 ; + RECT 9812.5 2887.5 9877.5 3022.5 ; + RECT 10002.5 2887.5 10067.5 3022.5 ; + RECT 10002.5 2887.5 10067.5 3022.5 ; + RECT 9812.5 2887.5 9877.5 3022.5 ; + RECT 10002.5 2887.5 10067.5 3022.5 ; + RECT 10192.5 2887.5 10257.5 3022.5 ; + RECT 10192.5 2887.5 10257.5 3022.5 ; + RECT 10002.5 2887.5 10067.5 3022.5 ; + RECT 10362.5 3817.5 10427.5 3952.5 ; + RECT 10362.5 2842.5 10427.5 2977.5 ; + RECT 10197.5 3117.5 10062.5 3182.5 ; + RECT 9940.0 3332.5 9805.0 3397.5 ; + RECT 10002.5 3727.5 10067.5 3862.5 ; + RECT 10192.5 2887.5 10257.5 3022.5 ; + RECT 10292.5 3332.5 10157.5 3397.5 ; + RECT 9805.0 3332.5 9940.0 3397.5 ; + RECT 10062.5 3117.5 10197.5 3182.5 ; + RECT 10157.5 3332.5 10292.5 3397.5 ; + RECT 9745.0 4037.5 10665.0 4102.5 ; + RECT 9745.0 2692.5 10665.0 2757.5 ; + RECT 9812.5 5252.5 9877.5 5447.5 ; + RECT 9812.5 4412.5 9877.5 4037.5 ; + RECT 10192.5 4412.5 10257.5 4037.5 ; + RECT 10362.5 4255.0 10427.5 4070.0 ; + RECT 10362.5 5415.0 10427.5 5230.0 ; + RECT 9812.5 4412.5 9877.5 4277.5 ; + RECT 10002.5 4412.5 10067.5 4277.5 ; + RECT 10002.5 4412.5 10067.5 4277.5 ; + RECT 9812.5 4412.5 9877.5 4277.5 ; + RECT 10002.5 4412.5 10067.5 4277.5 ; + RECT 10192.5 4412.5 10257.5 4277.5 ; + RECT 10192.5 4412.5 10257.5 4277.5 ; + RECT 10002.5 4412.5 10067.5 4277.5 ; + RECT 9812.5 5252.5 9877.5 5117.5 ; + RECT 10002.5 5252.5 10067.5 5117.5 ; + RECT 10002.5 5252.5 10067.5 5117.5 ; + RECT 9812.5 5252.5 9877.5 5117.5 ; + RECT 10002.5 5252.5 10067.5 5117.5 ; + RECT 10192.5 5252.5 10257.5 5117.5 ; + RECT 10192.5 5252.5 10257.5 5117.5 ; + RECT 10002.5 5252.5 10067.5 5117.5 ; + RECT 10362.5 4322.5 10427.5 4187.5 ; + RECT 10362.5 5297.5 10427.5 5162.5 ; + RECT 10197.5 5022.5 10062.5 4957.5 ; + RECT 9940.0 4807.5 9805.0 4742.5 ; + RECT 10002.5 4412.5 10067.5 4277.5 ; + RECT 10192.5 5252.5 10257.5 5117.5 ; + RECT 10292.5 4807.5 10157.5 4742.5 ; + RECT 9805.0 4807.5 9940.0 4742.5 ; + RECT 10062.5 5022.5 10197.5 4957.5 ; + RECT 10157.5 4807.5 10292.5 4742.5 ; + RECT 9745.0 4102.5 10665.0 4037.5 ; + RECT 9745.0 5447.5 10665.0 5382.5 ; + RECT 8747.5 1152.5 8612.5 1217.5 ; + RECT 7362.5 630.0 7227.5 695.0 ; + RECT 9022.5 2497.5 8887.5 2562.5 ; + RECT 7637.5 2065.0 7502.5 2130.0 ; + RECT 7362.5 2827.5 7227.5 2892.5 ; + RECT 9297.5 2827.5 9162.5 2892.5 ; + RECT 7637.5 4172.5 7502.5 4237.5 ; + RECT 9572.5 4172.5 9437.5 4237.5 ; + RECT 8747.5 642.5 8612.5 707.5 ; + RECT 9022.5 427.5 8887.5 492.5 ; + RECT 9297.5 2052.5 9162.5 2117.5 ; + RECT 9022.5 2267.5 8887.5 2332.5 ; + RECT 8747.5 3332.5 8612.5 3397.5 ; + RECT 9572.5 3117.5 9437.5 3182.5 ; + RECT 9297.5 4742.5 9162.5 4807.5 ; + RECT 9572.5 4957.5 9437.5 5022.5 ; + RECT 11020.0 630.0 11225.0 695.0 ; + RECT 11020.0 2065.0 11225.0 2130.0 ; + RECT 11020.0 3320.0 11225.0 3385.0 ; + RECT 11020.0 4755.0 11225.0 4820.0 ; + RECT 7260.0 1347.5 11225.0 1412.5 ; + RECT 7260.0 4037.5 11225.0 4102.5 ; + RECT 7260.0 2.5 11225.0 67.5 ; + RECT 7260.0 2692.5 11225.0 2757.5 ; + RECT 7260.0 5382.5 11225.0 5447.5 ; + RECT 16085.0 19580.0 16790.0 24465.0 ; + RECT 18905.0 19580.0 19610.0 24465.0 ; + RECT 16085.0 19697.5 21725.0 19762.5 ; + RECT 16085.0 24270.0 21725.0 24335.0 ; + RECT 16085.0 19827.5 21725.0 19892.5 ; + RECT 16085.0 15405.0 16790.0 19580.0 ; + RECT 18905.0 15405.0 19610.0 19580.0 ; + RECT 16085.0 15672.5 21725.0 15737.5 ; + RECT 16085.0 15802.5 21725.0 15867.5 ; + RECT 16085.0 16605.0 21725.0 16670.0 ; + RECT 16085.0 8965.0 16790.0 15405.0 ; + RECT 18905.0 8965.0 19610.0 15405.0 ; + RECT 16085.0 9170.0 21725.0 9235.0 ; + RECT 16085.0 12175.0 21725.0 12240.0 ; + RECT 16085.0 15135.0 21725.0 15200.0 ; + RECT 16085.0 10185.0 21725.0 10250.0 ; + RECT 16085.0 13145.0 21725.0 13210.0 ; + RECT 16085.0 9330.0 21725.0 9395.0 ; + RECT 16085.0 8965.0 16790.0 5990.0 ; + RECT 18905.0 8965.0 19610.0 5990.0 ; + RECT 16085.0 8597.5 19610.0 8532.5 ; + RECT 16085.0 7050.0 19610.0 6985.0 ; + RECT 16085.0 7180.0 19610.0 7115.0 ; + RECT 16085.0 8467.5 19610.0 8402.5 ; + RECT 7520.0 27917.5 7585.0 27982.5 ; + RECT 7520.0 27905.0 7585.0 27970.0 ; + RECT 7302.5 27917.5 7552.5 27982.5 ; + RECT 7520.0 27937.5 7585.0 27950.0 ; + RECT 7552.5 27905.0 7800.0 27970.0 ; + RECT 7520.0 29327.5 7585.0 29392.5 ; + RECT 7520.0 29340.0 7585.0 29405.0 ; + RECT 7302.5 29327.5 7552.5 29392.5 ; + RECT 7520.0 29360.0 7585.0 29372.5 ; + RECT 7552.5 29340.0 7800.0 29405.0 ; + RECT 7520.0 30607.5 7585.0 30672.5 ; + RECT 7520.0 30595.0 7585.0 30660.0 ; + RECT 7302.5 30607.5 7552.5 30672.5 ; + RECT 7520.0 30627.5 7585.0 30640.0 ; + RECT 7552.5 30595.0 7800.0 30660.0 ; + RECT 7520.0 32017.5 7585.0 32082.5 ; + RECT 7520.0 32030.0 7585.0 32095.0 ; + RECT 7302.5 32017.5 7552.5 32082.5 ; + RECT 7520.0 32050.0 7585.0 32062.5 ; + RECT 7552.5 32030.0 7800.0 32095.0 ; + RECT 7520.0 33297.5 7585.0 33362.5 ; + RECT 7520.0 33285.0 7585.0 33350.0 ; + RECT 7302.5 33297.5 7552.5 33362.5 ; + RECT 7520.0 33317.5 7585.0 33330.0 ; + RECT 7552.5 33285.0 7800.0 33350.0 ; + RECT 7520.0 34707.5 7585.0 34772.5 ; + RECT 7520.0 34720.0 7585.0 34785.0 ; + RECT 7302.5 34707.5 7552.5 34772.5 ; + RECT 7520.0 34740.0 7585.0 34752.5 ; + RECT 7552.5 34720.0 7800.0 34785.0 ; + RECT 7520.0 35987.5 7585.0 36052.5 ; + RECT 7520.0 35975.0 7585.0 36040.0 ; + RECT 7302.5 35987.5 7552.5 36052.5 ; + RECT 7520.0 36007.5 7585.0 36020.0 ; + RECT 7552.5 35975.0 7800.0 36040.0 ; + RECT 7520.0 37397.5 7585.0 37462.5 ; + RECT 7520.0 37410.0 7585.0 37475.0 ; + RECT 7302.5 37397.5 7552.5 37462.5 ; + RECT 7520.0 37430.0 7585.0 37442.5 ; + RECT 7552.5 37410.0 7800.0 37475.0 ; + RECT 7520.0 38677.5 7585.0 38742.5 ; + RECT 7520.0 38665.0 7585.0 38730.0 ; + RECT 7302.5 38677.5 7552.5 38742.5 ; + RECT 7520.0 38697.5 7585.0 38710.0 ; + RECT 7552.5 38665.0 7800.0 38730.0 ; + RECT 7520.0 40087.5 7585.0 40152.5 ; + RECT 7520.0 40100.0 7585.0 40165.0 ; + RECT 7302.5 40087.5 7552.5 40152.5 ; + RECT 7520.0 40120.0 7585.0 40132.5 ; + RECT 7552.5 40100.0 7800.0 40165.0 ; + RECT 7520.0 41367.5 7585.0 41432.5 ; + RECT 7520.0 41355.0 7585.0 41420.0 ; + RECT 7302.5 41367.5 7552.5 41432.5 ; + RECT 7520.0 41387.5 7585.0 41400.0 ; + RECT 7552.5 41355.0 7800.0 41420.0 ; + RECT 7520.0 42777.5 7585.0 42842.5 ; + RECT 7520.0 42790.0 7585.0 42855.0 ; + RECT 7302.5 42777.5 7552.5 42842.5 ; + RECT 7520.0 42810.0 7585.0 42822.5 ; + RECT 7552.5 42790.0 7800.0 42855.0 ; + RECT 7520.0 44057.5 7585.0 44122.5 ; + RECT 7520.0 44045.0 7585.0 44110.0 ; + RECT 7302.5 44057.5 7552.5 44122.5 ; + RECT 7520.0 44077.5 7585.0 44090.0 ; + RECT 7552.5 44045.0 7800.0 44110.0 ; + RECT 7520.0 45467.5 7585.0 45532.5 ; + RECT 7520.0 45480.0 7585.0 45545.0 ; + RECT 7302.5 45467.5 7552.5 45532.5 ; + RECT 7520.0 45500.0 7585.0 45512.5 ; + RECT 7552.5 45480.0 7800.0 45545.0 ; + RECT 7520.0 46747.5 7585.0 46812.5 ; + RECT 7520.0 46735.0 7585.0 46800.0 ; + RECT 7302.5 46747.5 7552.5 46812.5 ; + RECT 7520.0 46767.5 7585.0 46780.0 ; + RECT 7552.5 46735.0 7800.0 46800.0 ; + RECT 7520.0 48157.5 7585.0 48222.5 ; + RECT 7520.0 48170.0 7585.0 48235.0 ; + RECT 7302.5 48157.5 7552.5 48222.5 ; + RECT 7520.0 48190.0 7585.0 48202.5 ; + RECT 7552.5 48170.0 7800.0 48235.0 ; + RECT 7520.0 49437.5 7585.0 49502.5 ; + RECT 7520.0 49425.0 7585.0 49490.0 ; + RECT 7302.5 49437.5 7552.5 49502.5 ; + RECT 7520.0 49457.5 7585.0 49470.0 ; + RECT 7552.5 49425.0 7800.0 49490.0 ; + RECT 7520.0 50847.5 7585.0 50912.5 ; + RECT 7520.0 50860.0 7585.0 50925.0 ; + RECT 7302.5 50847.5 7552.5 50912.5 ; + RECT 7520.0 50880.0 7585.0 50892.5 ; + RECT 7552.5 50860.0 7800.0 50925.0 ; + RECT 7520.0 52127.5 7585.0 52192.5 ; + RECT 7520.0 52115.0 7585.0 52180.0 ; + RECT 7302.5 52127.5 7552.5 52192.5 ; + RECT 7520.0 52147.5 7585.0 52160.0 ; + RECT 7552.5 52115.0 7800.0 52180.0 ; + RECT 7520.0 53537.5 7585.0 53602.5 ; + RECT 7520.0 53550.0 7585.0 53615.0 ; + RECT 7302.5 53537.5 7552.5 53602.5 ; + RECT 7520.0 53570.0 7585.0 53582.5 ; + RECT 7552.5 53550.0 7800.0 53615.0 ; + RECT 7520.0 54817.5 7585.0 54882.5 ; + RECT 7520.0 54805.0 7585.0 54870.0 ; + RECT 7302.5 54817.5 7552.5 54882.5 ; + RECT 7520.0 54837.5 7585.0 54850.0 ; + RECT 7552.5 54805.0 7800.0 54870.0 ; + RECT 7520.0 56227.5 7585.0 56292.5 ; + RECT 7520.0 56240.0 7585.0 56305.0 ; + RECT 7302.5 56227.5 7552.5 56292.5 ; + RECT 7520.0 56260.0 7585.0 56272.5 ; + RECT 7552.5 56240.0 7800.0 56305.0 ; + RECT 7520.0 57507.5 7585.0 57572.5 ; + RECT 7520.0 57495.0 7585.0 57560.0 ; + RECT 7302.5 57507.5 7552.5 57572.5 ; + RECT 7520.0 57527.5 7585.0 57540.0 ; + RECT 7552.5 57495.0 7800.0 57560.0 ; + RECT 7520.0 58917.5 7585.0 58982.5 ; + RECT 7520.0 58930.0 7585.0 58995.0 ; + RECT 7302.5 58917.5 7552.5 58982.5 ; + RECT 7520.0 58950.0 7585.0 58962.5 ; + RECT 7552.5 58930.0 7800.0 58995.0 ; + RECT 7520.0 60197.5 7585.0 60262.5 ; + RECT 7520.0 60185.0 7585.0 60250.0 ; + RECT 7302.5 60197.5 7552.5 60262.5 ; + RECT 7520.0 60217.5 7585.0 60230.0 ; + RECT 7552.5 60185.0 7800.0 60250.0 ; + RECT 7520.0 61607.5 7585.0 61672.5 ; + RECT 7520.0 61620.0 7585.0 61685.0 ; + RECT 7302.5 61607.5 7552.5 61672.5 ; + RECT 7520.0 61640.0 7585.0 61652.5 ; + RECT 7552.5 61620.0 7800.0 61685.0 ; + RECT 7520.0 62887.5 7585.0 62952.5 ; + RECT 7520.0 62875.0 7585.0 62940.0 ; + RECT 7302.5 62887.5 7552.5 62952.5 ; + RECT 7520.0 62907.5 7585.0 62920.0 ; + RECT 7552.5 62875.0 7800.0 62940.0 ; + RECT 7520.0 64297.5 7585.0 64362.5 ; + RECT 7520.0 64310.0 7585.0 64375.0 ; + RECT 7302.5 64297.5 7552.5 64362.5 ; + RECT 7520.0 64330.0 7585.0 64342.5 ; + RECT 7552.5 64310.0 7800.0 64375.0 ; + RECT 7520.0 65577.5 7585.0 65642.5 ; + RECT 7520.0 65565.0 7585.0 65630.0 ; + RECT 7302.5 65577.5 7552.5 65642.5 ; + RECT 7520.0 65597.5 7585.0 65610.0 ; + RECT 7552.5 65565.0 7800.0 65630.0 ; + RECT 7520.0 66987.5 7585.0 67052.5 ; + RECT 7520.0 67000.0 7585.0 67065.0 ; + RECT 7302.5 66987.5 7552.5 67052.5 ; + RECT 7520.0 67020.0 7585.0 67032.5 ; + RECT 7552.5 67000.0 7800.0 67065.0 ; + RECT 7520.0 68267.5 7585.0 68332.5 ; + RECT 7520.0 68255.0 7585.0 68320.0 ; + RECT 7302.5 68267.5 7552.5 68332.5 ; + RECT 7520.0 68287.5 7585.0 68300.0 ; + RECT 7552.5 68255.0 7800.0 68320.0 ; + RECT 7520.0 69677.5 7585.0 69742.5 ; + RECT 7520.0 69690.0 7585.0 69755.0 ; + RECT 7302.5 69677.5 7552.5 69742.5 ; + RECT 7520.0 69710.0 7585.0 69722.5 ; + RECT 7552.5 69690.0 7800.0 69755.0 ; + RECT 4690.0 11765.0 6755.0 11830.0 ; + RECT 4865.0 13200.0 6755.0 13265.0 ; + RECT 5040.0 14455.0 6755.0 14520.0 ; + RECT 5215.0 15890.0 6755.0 15955.0 ; + RECT 5390.0 17145.0 6755.0 17210.0 ; + RECT 5565.0 18580.0 6755.0 18645.0 ; + RECT 5740.0 19835.0 6755.0 19900.0 ; + RECT 5915.0 21270.0 6755.0 21335.0 ; + RECT 6090.0 22525.0 6755.0 22590.0 ; + RECT 6265.0 23960.0 6755.0 24025.0 ; + RECT 6440.0 25215.0 6755.0 25280.0 ; + RECT 6615.0 26650.0 6755.0 26715.0 ; + RECT 4690.0 27917.5 6815.0 27982.5 ; + RECT 5390.0 27702.5 7072.5 27767.5 ; + RECT 4690.0 29327.5 6815.0 29392.5 ; + RECT 5565.0 29542.5 7072.5 29607.5 ; + RECT 4690.0 30607.5 6815.0 30672.5 ; + RECT 5740.0 30392.5 7072.5 30457.5 ; + RECT 4690.0 32017.5 6815.0 32082.5 ; + RECT 5915.0 32232.5 7072.5 32297.5 ; + RECT 4690.0 33297.5 6815.0 33362.5 ; + RECT 6090.0 33082.5 7072.5 33147.5 ; + RECT 4690.0 34707.5 6815.0 34772.5 ; + RECT 6265.0 34922.5 7072.5 34987.5 ; + RECT 4690.0 35987.5 6815.0 36052.5 ; + RECT 6440.0 35772.5 7072.5 35837.5 ; + RECT 4690.0 37397.5 6815.0 37462.5 ; + RECT 6615.0 37612.5 7072.5 37677.5 ; + RECT 4865.0 38677.5 6815.0 38742.5 ; + RECT 5390.0 38462.5 7072.5 38527.5 ; + RECT 4865.0 40087.5 6815.0 40152.5 ; + RECT 5565.0 40302.5 7072.5 40367.5 ; + RECT 4865.0 41367.5 6815.0 41432.5 ; + RECT 5740.0 41152.5 7072.5 41217.5 ; + RECT 4865.0 42777.5 6815.0 42842.5 ; + RECT 5915.0 42992.5 7072.5 43057.5 ; + RECT 4865.0 44057.5 6815.0 44122.5 ; + RECT 6090.0 43842.5 7072.5 43907.5 ; + RECT 4865.0 45467.5 6815.0 45532.5 ; + RECT 6265.0 45682.5 7072.5 45747.5 ; + RECT 4865.0 46747.5 6815.0 46812.5 ; + RECT 6440.0 46532.5 7072.5 46597.5 ; + RECT 4865.0 48157.5 6815.0 48222.5 ; + RECT 6615.0 48372.5 7072.5 48437.5 ; + RECT 5040.0 49437.5 6815.0 49502.5 ; + RECT 5390.0 49222.5 7072.5 49287.5 ; + RECT 5040.0 50847.5 6815.0 50912.5 ; + RECT 5565.0 51062.5 7072.5 51127.5 ; + RECT 5040.0 52127.5 6815.0 52192.5 ; + RECT 5740.0 51912.5 7072.5 51977.5 ; + RECT 5040.0 53537.5 6815.0 53602.5 ; + RECT 5915.0 53752.5 7072.5 53817.5 ; + RECT 5040.0 54817.5 6815.0 54882.5 ; + RECT 6090.0 54602.5 7072.5 54667.5 ; + RECT 5040.0 56227.5 6815.0 56292.5 ; + RECT 6265.0 56442.5 7072.5 56507.5 ; + RECT 5040.0 57507.5 6815.0 57572.5 ; + RECT 6440.0 57292.5 7072.5 57357.5 ; + RECT 5040.0 58917.5 6815.0 58982.5 ; + RECT 6615.0 59132.5 7072.5 59197.5 ; + RECT 5215.0 60197.5 6815.0 60262.5 ; + RECT 5390.0 59982.5 7072.5 60047.5 ; + RECT 5215.0 61607.5 6815.0 61672.5 ; + RECT 5565.0 61822.5 7072.5 61887.5 ; + RECT 5215.0 62887.5 6815.0 62952.5 ; + RECT 5740.0 62672.5 7072.5 62737.5 ; + RECT 5215.0 64297.5 6815.0 64362.5 ; + RECT 5915.0 64512.5 7072.5 64577.5 ; + RECT 5215.0 65577.5 6815.0 65642.5 ; + RECT 6090.0 65362.5 7072.5 65427.5 ; + RECT 5215.0 66987.5 6815.0 67052.5 ; + RECT 6265.0 67202.5 7072.5 67267.5 ; + RECT 5215.0 68267.5 6815.0 68332.5 ; + RECT 6440.0 68052.5 7072.5 68117.5 ; + RECT 5215.0 69677.5 6815.0 69742.5 ; + RECT 6615.0 69892.5 7072.5 69957.5 ; + RECT 9577.5 11765.0 9512.5 11830.0 ; + RECT 9577.5 12287.5 9512.5 12352.5 ; + RECT 9815.0 11765.0 9545.0 11830.0 ; + RECT 9577.5 11797.5 9512.5 12320.0 ; + RECT 9545.0 12287.5 9300.0 12352.5 ; + RECT 10685.0 11765.0 10045.0 11830.0 ; + RECT 9577.5 13200.0 9512.5 13265.0 ; + RECT 9577.5 13632.5 9512.5 13697.5 ; + RECT 9815.0 13200.0 9545.0 13265.0 ; + RECT 9577.5 13232.5 9512.5 13665.0 ; + RECT 9545.0 13632.5 9025.0 13697.5 ; + RECT 10410.0 13200.0 10045.0 13265.0 ; + RECT 10685.0 13962.5 8750.0 14027.5 ; + RECT 10410.0 15307.5 8475.0 15372.5 ; + RECT 9300.0 11777.5 8175.0 11842.5 ; + RECT 9025.0 11562.5 7917.5 11627.5 ; + RECT 8750.0 13187.5 8175.0 13252.5 ; + RECT 9025.0 13402.5 7917.5 13467.5 ; + RECT 9300.0 14467.5 8175.0 14532.5 ; + RECT 8475.0 14252.5 7917.5 14317.5 ; + RECT 8750.0 15877.5 8175.0 15942.5 ; + RECT 8475.0 16092.5 7917.5 16157.5 ; + RECT 7470.0 11777.5 7405.0 11842.5 ; + RECT 7470.0 11765.0 7405.0 11830.0 ; + RECT 7687.5 11777.5 7437.5 11842.5 ; + RECT 7470.0 11797.5 7405.0 11810.0 ; + RECT 7437.5 11765.0 7190.0 11830.0 ; + RECT 7470.0 13187.5 7405.0 13252.5 ; + RECT 7470.0 13200.0 7405.0 13265.0 ; + RECT 7687.5 13187.5 7437.5 13252.5 ; + RECT 7470.0 13220.0 7405.0 13232.5 ; + RECT 7437.5 13200.0 7190.0 13265.0 ; + RECT 7470.0 14467.5 7405.0 14532.5 ; + RECT 7470.0 14455.0 7405.0 14520.0 ; + RECT 7687.5 14467.5 7437.5 14532.5 ; + RECT 7470.0 14487.5 7405.0 14500.0 ; + RECT 7437.5 14455.0 7190.0 14520.0 ; + RECT 7470.0 15877.5 7405.0 15942.5 ; + RECT 7470.0 15890.0 7405.0 15955.0 ; + RECT 7687.5 15877.5 7437.5 15942.5 ; + RECT 7470.0 15910.0 7405.0 15922.5 ; + RECT 7437.5 15890.0 7190.0 15955.0 ; + RECT 9742.5 12330.0 9677.5 12515.0 ; + RECT 9742.5 11170.0 9677.5 11355.0 ; + RECT 10102.5 11287.5 10037.5 11137.5 ; + RECT 10102.5 12172.5 10037.5 12547.5 ; + RECT 9912.5 11287.5 9847.5 12172.5 ; + RECT 10102.5 12172.5 10037.5 12307.5 ; + RECT 9912.5 12172.5 9847.5 12307.5 ; + RECT 9912.5 12172.5 9847.5 12307.5 ; + RECT 10102.5 12172.5 10037.5 12307.5 ; + RECT 10102.5 11287.5 10037.5 11422.5 ; + RECT 9912.5 11287.5 9847.5 11422.5 ; + RECT 9912.5 11287.5 9847.5 11422.5 ; + RECT 10102.5 11287.5 10037.5 11422.5 ; + RECT 9742.5 12262.5 9677.5 12397.5 ; + RECT 9742.5 11287.5 9677.5 11422.5 ; + RECT 10045.0 11730.0 9980.0 11865.0 ; + RECT 10045.0 11730.0 9980.0 11865.0 ; + RECT 9880.0 11765.0 9815.0 11830.0 ; + RECT 10170.0 12482.5 9610.0 12547.5 ; + RECT 10170.0 11137.5 9610.0 11202.5 ; + RECT 9742.5 12700.0 9677.5 12515.0 ; + RECT 9742.5 13860.0 9677.5 13675.0 ; + RECT 10102.5 13742.5 10037.5 13892.5 ; + RECT 10102.5 12857.5 10037.5 12482.5 ; + RECT 9912.5 13742.5 9847.5 12857.5 ; + RECT 10102.5 12857.5 10037.5 12722.5 ; + RECT 9912.5 12857.5 9847.5 12722.5 ; + RECT 9912.5 12857.5 9847.5 12722.5 ; + RECT 10102.5 12857.5 10037.5 12722.5 ; + RECT 10102.5 13742.5 10037.5 13607.5 ; + RECT 9912.5 13742.5 9847.5 13607.5 ; + RECT 9912.5 13742.5 9847.5 13607.5 ; + RECT 10102.5 13742.5 10037.5 13607.5 ; + RECT 9742.5 12767.5 9677.5 12632.5 ; + RECT 9742.5 13742.5 9677.5 13607.5 ; + RECT 10045.0 13300.0 9980.0 13165.0 ; + RECT 10045.0 13300.0 9980.0 13165.0 ; + RECT 9880.0 13265.0 9815.0 13200.0 ; + RECT 10170.0 12547.5 9610.0 12482.5 ; + RECT 10170.0 13892.5 9610.0 13827.5 ; + RECT 6887.5 12330.0 6822.5 12515.0 ; + RECT 6887.5 11170.0 6822.5 11355.0 ; + RECT 7247.5 11287.5 7182.5 11137.5 ; + RECT 7247.5 12172.5 7182.5 12547.5 ; + RECT 7057.5 11287.5 6992.5 12172.5 ; + RECT 7247.5 12172.5 7182.5 12307.5 ; + RECT 7057.5 12172.5 6992.5 12307.5 ; + RECT 7057.5 12172.5 6992.5 12307.5 ; + RECT 7247.5 12172.5 7182.5 12307.5 ; + RECT 7247.5 11287.5 7182.5 11422.5 ; + RECT 7057.5 11287.5 6992.5 11422.5 ; + RECT 7057.5 11287.5 6992.5 11422.5 ; + RECT 7247.5 11287.5 7182.5 11422.5 ; + RECT 6887.5 12262.5 6822.5 12397.5 ; + RECT 6887.5 11287.5 6822.5 11422.5 ; + RECT 7190.0 11730.0 7125.0 11865.0 ; + RECT 7190.0 11730.0 7125.0 11865.0 ; + RECT 7025.0 11765.0 6960.0 11830.0 ; + RECT 7315.0 12482.5 6755.0 12547.5 ; + RECT 7315.0 11137.5 6755.0 11202.5 ; + RECT 6887.5 12700.0 6822.5 12515.0 ; + RECT 6887.5 13860.0 6822.5 13675.0 ; + RECT 7247.5 13742.5 7182.5 13892.5 ; + RECT 7247.5 12857.5 7182.5 12482.5 ; + RECT 7057.5 13742.5 6992.5 12857.5 ; + RECT 7247.5 12857.5 7182.5 12722.5 ; + RECT 7057.5 12857.5 6992.5 12722.5 ; + RECT 7057.5 12857.5 6992.5 12722.5 ; + RECT 7247.5 12857.5 7182.5 12722.5 ; + RECT 7247.5 13742.5 7182.5 13607.5 ; + RECT 7057.5 13742.5 6992.5 13607.5 ; + RECT 7057.5 13742.5 6992.5 13607.5 ; + RECT 7247.5 13742.5 7182.5 13607.5 ; + RECT 6887.5 12767.5 6822.5 12632.5 ; + RECT 6887.5 13742.5 6822.5 13607.5 ; + RECT 7190.0 13300.0 7125.0 13165.0 ; + RECT 7190.0 13300.0 7125.0 13165.0 ; + RECT 7025.0 13265.0 6960.0 13200.0 ; + RECT 7315.0 12547.5 6755.0 12482.5 ; + RECT 7315.0 13892.5 6755.0 13827.5 ; + RECT 6887.5 15020.0 6822.5 15205.0 ; + RECT 6887.5 13860.0 6822.5 14045.0 ; + RECT 7247.5 13977.5 7182.5 13827.5 ; + RECT 7247.5 14862.5 7182.5 15237.5 ; + RECT 7057.5 13977.5 6992.5 14862.5 ; + RECT 7247.5 14862.5 7182.5 14997.5 ; + RECT 7057.5 14862.5 6992.5 14997.5 ; + RECT 7057.5 14862.5 6992.5 14997.5 ; + RECT 7247.5 14862.5 7182.5 14997.5 ; + RECT 7247.5 13977.5 7182.5 14112.5 ; + RECT 7057.5 13977.5 6992.5 14112.5 ; + RECT 7057.5 13977.5 6992.5 14112.5 ; + RECT 7247.5 13977.5 7182.5 14112.5 ; + RECT 6887.5 14952.5 6822.5 15087.5 ; + RECT 6887.5 13977.5 6822.5 14112.5 ; + RECT 7190.0 14420.0 7125.0 14555.0 ; + RECT 7190.0 14420.0 7125.0 14555.0 ; + RECT 7025.0 14455.0 6960.0 14520.0 ; + RECT 7315.0 15172.5 6755.0 15237.5 ; + RECT 7315.0 13827.5 6755.0 13892.5 ; + RECT 6887.5 15390.0 6822.5 15205.0 ; + RECT 6887.5 16550.0 6822.5 16365.0 ; + RECT 7247.5 16432.5 7182.5 16582.5 ; + RECT 7247.5 15547.5 7182.5 15172.5 ; + RECT 7057.5 16432.5 6992.5 15547.5 ; + RECT 7247.5 15547.5 7182.5 15412.5 ; + RECT 7057.5 15547.5 6992.5 15412.5 ; + RECT 7057.5 15547.5 6992.5 15412.5 ; + RECT 7247.5 15547.5 7182.5 15412.5 ; + RECT 7247.5 16432.5 7182.5 16297.5 ; + RECT 7057.5 16432.5 6992.5 16297.5 ; + RECT 7057.5 16432.5 6992.5 16297.5 ; + RECT 7247.5 16432.5 7182.5 16297.5 ; + RECT 6887.5 15457.5 6822.5 15322.5 ; + RECT 6887.5 16432.5 6822.5 16297.5 ; + RECT 7190.0 15990.0 7125.0 15855.0 ; + RECT 7190.0 15990.0 7125.0 15855.0 ; + RECT 7025.0 15955.0 6960.0 15890.0 ; + RECT 7315.0 15237.5 6755.0 15172.5 ; + RECT 7315.0 16582.5 6755.0 16517.5 ; + RECT 8167.5 11332.5 8102.5 11137.5 ; + RECT 8167.5 12172.5 8102.5 12547.5 ; + RECT 7787.5 12172.5 7722.5 12547.5 ; + RECT 7617.5 12330.0 7552.5 12515.0 ; + RECT 7617.5 11170.0 7552.5 11355.0 ; + RECT 8167.5 12172.5 8102.5 12307.5 ; + RECT 7977.5 12172.5 7912.5 12307.5 ; + RECT 7977.5 12172.5 7912.5 12307.5 ; + RECT 8167.5 12172.5 8102.5 12307.5 ; + RECT 7977.5 12172.5 7912.5 12307.5 ; + RECT 7787.5 12172.5 7722.5 12307.5 ; + RECT 7787.5 12172.5 7722.5 12307.5 ; + RECT 7977.5 12172.5 7912.5 12307.5 ; + RECT 8167.5 11332.5 8102.5 11467.5 ; + RECT 7977.5 11332.5 7912.5 11467.5 ; + RECT 7977.5 11332.5 7912.5 11467.5 ; + RECT 8167.5 11332.5 8102.5 11467.5 ; + RECT 7977.5 11332.5 7912.5 11467.5 ; + RECT 7787.5 11332.5 7722.5 11467.5 ; + RECT 7787.5 11332.5 7722.5 11467.5 ; + RECT 7977.5 11332.5 7912.5 11467.5 ; + RECT 7617.5 12262.5 7552.5 12397.5 ; + RECT 7617.5 11287.5 7552.5 11422.5 ; + RECT 7782.5 11562.5 7917.5 11627.5 ; + RECT 8040.0 11777.5 8175.0 11842.5 ; + RECT 7977.5 12172.5 7912.5 12307.5 ; + RECT 7787.5 11332.5 7722.5 11467.5 ; + RECT 7687.5 11777.5 7822.5 11842.5 ; + RECT 8175.0 11777.5 8040.0 11842.5 ; + RECT 7917.5 11562.5 7782.5 11627.5 ; + RECT 7822.5 11777.5 7687.5 11842.5 ; + RECT 8235.0 12482.5 7315.0 12547.5 ; + RECT 8235.0 11137.5 7315.0 11202.5 ; + RECT 8167.5 13697.5 8102.5 13892.5 ; + RECT 8167.5 12857.5 8102.5 12482.5 ; + RECT 7787.5 12857.5 7722.5 12482.5 ; + RECT 7617.5 12700.0 7552.5 12515.0 ; + RECT 7617.5 13860.0 7552.5 13675.0 ; + RECT 8167.5 12857.5 8102.5 12722.5 ; + RECT 7977.5 12857.5 7912.5 12722.5 ; + RECT 7977.5 12857.5 7912.5 12722.5 ; + RECT 8167.5 12857.5 8102.5 12722.5 ; + RECT 7977.5 12857.5 7912.5 12722.5 ; + RECT 7787.5 12857.5 7722.5 12722.5 ; + RECT 7787.5 12857.5 7722.5 12722.5 ; + RECT 7977.5 12857.5 7912.5 12722.5 ; + RECT 8167.5 13697.5 8102.5 13562.5 ; + RECT 7977.5 13697.5 7912.5 13562.5 ; + RECT 7977.5 13697.5 7912.5 13562.5 ; + RECT 8167.5 13697.5 8102.5 13562.5 ; + RECT 7977.5 13697.5 7912.5 13562.5 ; + RECT 7787.5 13697.5 7722.5 13562.5 ; + RECT 7787.5 13697.5 7722.5 13562.5 ; + RECT 7977.5 13697.5 7912.5 13562.5 ; + RECT 7617.5 12767.5 7552.5 12632.5 ; + RECT 7617.5 13742.5 7552.5 13607.5 ; + RECT 7782.5 13467.5 7917.5 13402.5 ; + RECT 8040.0 13252.5 8175.0 13187.5 ; + RECT 7977.5 12857.5 7912.5 12722.5 ; + RECT 7787.5 13697.5 7722.5 13562.5 ; + RECT 7687.5 13252.5 7822.5 13187.5 ; + RECT 8175.0 13252.5 8040.0 13187.5 ; + RECT 7917.5 13467.5 7782.5 13402.5 ; + RECT 7822.5 13252.5 7687.5 13187.5 ; + RECT 8235.0 12547.5 7315.0 12482.5 ; + RECT 8235.0 13892.5 7315.0 13827.5 ; + RECT 8167.5 14022.5 8102.5 13827.5 ; + RECT 8167.5 14862.5 8102.5 15237.5 ; + RECT 7787.5 14862.5 7722.5 15237.5 ; + RECT 7617.5 15020.0 7552.5 15205.0 ; + RECT 7617.5 13860.0 7552.5 14045.0 ; + RECT 8167.5 14862.5 8102.5 14997.5 ; + RECT 7977.5 14862.5 7912.5 14997.5 ; + RECT 7977.5 14862.5 7912.5 14997.5 ; + RECT 8167.5 14862.5 8102.5 14997.5 ; + RECT 7977.5 14862.5 7912.5 14997.5 ; + RECT 7787.5 14862.5 7722.5 14997.5 ; + RECT 7787.5 14862.5 7722.5 14997.5 ; + RECT 7977.5 14862.5 7912.5 14997.5 ; + RECT 8167.5 14022.5 8102.5 14157.5 ; + RECT 7977.5 14022.5 7912.5 14157.5 ; + RECT 7977.5 14022.5 7912.5 14157.5 ; + RECT 8167.5 14022.5 8102.5 14157.5 ; + RECT 7977.5 14022.5 7912.5 14157.5 ; + RECT 7787.5 14022.5 7722.5 14157.5 ; + RECT 7787.5 14022.5 7722.5 14157.5 ; + RECT 7977.5 14022.5 7912.5 14157.5 ; + RECT 7617.5 14952.5 7552.5 15087.5 ; + RECT 7617.5 13977.5 7552.5 14112.5 ; + RECT 7782.5 14252.5 7917.5 14317.5 ; + RECT 8040.0 14467.5 8175.0 14532.5 ; + RECT 7977.5 14862.5 7912.5 14997.5 ; + RECT 7787.5 14022.5 7722.5 14157.5 ; + RECT 7687.5 14467.5 7822.5 14532.5 ; + RECT 8175.0 14467.5 8040.0 14532.5 ; + RECT 7917.5 14252.5 7782.5 14317.5 ; + RECT 7822.5 14467.5 7687.5 14532.5 ; + RECT 8235.0 15172.5 7315.0 15237.5 ; + RECT 8235.0 13827.5 7315.0 13892.5 ; + RECT 8167.5 16387.5 8102.5 16582.5 ; + RECT 8167.5 15547.5 8102.5 15172.5 ; + RECT 7787.5 15547.5 7722.5 15172.5 ; + RECT 7617.5 15390.0 7552.5 15205.0 ; + RECT 7617.5 16550.0 7552.5 16365.0 ; + RECT 8167.5 15547.5 8102.5 15412.5 ; + RECT 7977.5 15547.5 7912.5 15412.5 ; + RECT 7977.5 15547.5 7912.5 15412.5 ; + RECT 8167.5 15547.5 8102.5 15412.5 ; + RECT 7977.5 15547.5 7912.5 15412.5 ; + RECT 7787.5 15547.5 7722.5 15412.5 ; + RECT 7787.5 15547.5 7722.5 15412.5 ; + RECT 7977.5 15547.5 7912.5 15412.5 ; + RECT 8167.5 16387.5 8102.5 16252.5 ; + RECT 7977.5 16387.5 7912.5 16252.5 ; + RECT 7977.5 16387.5 7912.5 16252.5 ; + RECT 8167.5 16387.5 8102.5 16252.5 ; + RECT 7977.5 16387.5 7912.5 16252.5 ; + RECT 7787.5 16387.5 7722.5 16252.5 ; + RECT 7787.5 16387.5 7722.5 16252.5 ; + RECT 7977.5 16387.5 7912.5 16252.5 ; + RECT 7617.5 15457.5 7552.5 15322.5 ; + RECT 7617.5 16432.5 7552.5 16297.5 ; + RECT 7782.5 16157.5 7917.5 16092.5 ; + RECT 8040.0 15942.5 8175.0 15877.5 ; + RECT 7977.5 15547.5 7912.5 15412.5 ; + RECT 7787.5 16387.5 7722.5 16252.5 ; + RECT 7687.5 15942.5 7822.5 15877.5 ; + RECT 8175.0 15942.5 8040.0 15877.5 ; + RECT 7917.5 16157.5 7782.5 16092.5 ; + RECT 7822.5 15942.5 7687.5 15877.5 ; + RECT 8235.0 15237.5 7315.0 15172.5 ; + RECT 8235.0 16582.5 7315.0 16517.5 ; + RECT 9232.5 12287.5 9367.5 12352.5 ; + RECT 10617.5 11765.0 10752.5 11830.0 ; + RECT 8957.5 13632.5 9092.5 13697.5 ; + RECT 10342.5 13200.0 10477.5 13265.0 ; + RECT 10617.5 13962.5 10752.5 14027.5 ; + RECT 8682.5 13962.5 8817.5 14027.5 ; + RECT 10342.5 15307.5 10477.5 15372.5 ; + RECT 8407.5 15307.5 8542.5 15372.5 ; + RECT 9232.5 11777.5 9367.5 11842.5 ; + RECT 8957.5 11562.5 9092.5 11627.5 ; + RECT 8682.5 13187.5 8817.5 13252.5 ; + RECT 8957.5 13402.5 9092.5 13467.5 ; + RECT 9232.5 14467.5 9367.5 14532.5 ; + RECT 8407.5 14252.5 8542.5 14317.5 ; + RECT 8682.5 15877.5 8817.5 15942.5 ; + RECT 8407.5 16092.5 8542.5 16157.5 ; + RECT 6960.0 11765.0 6755.0 11830.0 ; + RECT 6960.0 13200.0 6755.0 13265.0 ; + RECT 6960.0 14455.0 6755.0 14520.0 ; + RECT 6960.0 15890.0 6755.0 15955.0 ; + RECT 10720.0 12482.5 6755.0 12547.5 ; + RECT 10720.0 15172.5 6755.0 15237.5 ; + RECT 10720.0 11137.5 6755.0 11202.5 ; + RECT 10720.0 13827.5 6755.0 13892.5 ; + RECT 10720.0 16517.5 6755.0 16582.5 ; + RECT 10217.5 17145.0 10152.5 17210.0 ; + RECT 10217.5 17667.5 10152.5 17732.5 ; + RECT 10455.0 17145.0 10185.0 17210.0 ; + RECT 10217.5 17177.5 10152.5 17700.0 ; + RECT 10185.0 17667.5 9940.0 17732.5 ; + RECT 11600.0 17145.0 10685.0 17210.0 ; + RECT 10217.5 18580.0 10152.5 18645.0 ; + RECT 10217.5 19012.5 10152.5 19077.5 ; + RECT 10455.0 18580.0 10185.0 18645.0 ; + RECT 10217.5 18612.5 10152.5 19045.0 ; + RECT 10185.0 19012.5 9665.0 19077.5 ; + RECT 11325.0 18580.0 10685.0 18645.0 ; + RECT 10217.5 19835.0 10152.5 19900.0 ; + RECT 10217.5 20357.5 10152.5 20422.5 ; + RECT 10455.0 19835.0 10185.0 19900.0 ; + RECT 10217.5 19867.5 10152.5 20390.0 ; + RECT 10185.0 20357.5 9390.0 20422.5 ; + RECT 11050.0 19835.0 10685.0 19900.0 ; + RECT 11600.0 20687.5 9115.0 20752.5 ; + RECT 11325.0 22032.5 8840.0 22097.5 ; + RECT 11050.0 23377.5 8565.0 23442.5 ; + RECT 9940.0 17205.0 8197.5 17270.0 ; + RECT 9665.0 17065.0 8007.5 17130.0 ; + RECT 9390.0 16925.0 7817.5 16990.0 ; + RECT 9115.0 18520.0 8197.5 18585.0 ; + RECT 9665.0 18660.0 8007.5 18725.0 ; + RECT 9390.0 18800.0 7817.5 18865.0 ; + RECT 9940.0 19895.0 8197.5 19960.0 ; + RECT 8840.0 19755.0 8007.5 19820.0 ; + RECT 9390.0 19615.0 7817.5 19680.0 ; + RECT 9115.0 21210.0 8197.5 21275.0 ; + RECT 8840.0 21350.0 8007.5 21415.0 ; + RECT 9390.0 21490.0 7817.5 21555.0 ; + RECT 9940.0 22585.0 8197.5 22650.0 ; + RECT 9665.0 22445.0 8007.5 22510.0 ; + RECT 8565.0 22305.0 7817.5 22370.0 ; + RECT 9115.0 23900.0 8197.5 23965.0 ; + RECT 9665.0 24040.0 8007.5 24105.0 ; + RECT 8565.0 24180.0 7817.5 24245.0 ; + RECT 9940.0 25275.0 8197.5 25340.0 ; + RECT 8840.0 25135.0 8007.5 25200.0 ; + RECT 8565.0 24995.0 7817.5 25060.0 ; + RECT 9115.0 26590.0 8197.5 26655.0 ; + RECT 8840.0 26730.0 8007.5 26795.0 ; + RECT 8565.0 26870.0 7817.5 26935.0 ; + RECT 7437.5 17205.0 7372.5 17270.0 ; + RECT 7437.5 17145.0 7372.5 17210.0 ; + RECT 7622.5 17205.0 7405.0 17270.0 ; + RECT 7437.5 17177.5 7372.5 17237.5 ; + RECT 7405.0 17145.0 7190.0 17210.0 ; + RECT 7437.5 18520.0 7372.5 18585.0 ; + RECT 7437.5 18580.0 7372.5 18645.0 ; + RECT 7622.5 18520.0 7405.0 18585.0 ; + RECT 7437.5 18552.5 7372.5 18612.5 ; + RECT 7405.0 18580.0 7190.0 18645.0 ; + RECT 7437.5 19895.0 7372.5 19960.0 ; + RECT 7437.5 19835.0 7372.5 19900.0 ; + RECT 7622.5 19895.0 7405.0 19960.0 ; + RECT 7437.5 19867.5 7372.5 19927.5 ; + RECT 7405.0 19835.0 7190.0 19900.0 ; + RECT 7437.5 21210.0 7372.5 21275.0 ; + RECT 7437.5 21270.0 7372.5 21335.0 ; + RECT 7622.5 21210.0 7405.0 21275.0 ; + RECT 7437.5 21242.5 7372.5 21302.5 ; + RECT 7405.0 21270.0 7190.0 21335.0 ; + RECT 7437.5 22585.0 7372.5 22650.0 ; + RECT 7437.5 22525.0 7372.5 22590.0 ; + RECT 7622.5 22585.0 7405.0 22650.0 ; + RECT 7437.5 22557.5 7372.5 22617.5 ; + RECT 7405.0 22525.0 7190.0 22590.0 ; + RECT 7437.5 23900.0 7372.5 23965.0 ; + RECT 7437.5 23960.0 7372.5 24025.0 ; + RECT 7622.5 23900.0 7405.0 23965.0 ; + RECT 7437.5 23932.5 7372.5 23992.5 ; + RECT 7405.0 23960.0 7190.0 24025.0 ; + RECT 7437.5 25275.0 7372.5 25340.0 ; + RECT 7437.5 25215.0 7372.5 25280.0 ; + RECT 7622.5 25275.0 7405.0 25340.0 ; + RECT 7437.5 25247.5 7372.5 25307.5 ; + RECT 7405.0 25215.0 7190.0 25280.0 ; + RECT 7437.5 26590.0 7372.5 26655.0 ; + RECT 7437.5 26650.0 7372.5 26715.0 ; + RECT 7622.5 26590.0 7405.0 26655.0 ; + RECT 7437.5 26622.5 7372.5 26682.5 ; + RECT 7405.0 26650.0 7190.0 26715.0 ; + RECT 10382.5 17710.0 10317.5 17895.0 ; + RECT 10382.5 16550.0 10317.5 16735.0 ; + RECT 10742.5 16667.5 10677.5 16517.5 ; + RECT 10742.5 17552.5 10677.5 17927.5 ; + RECT 10552.5 16667.5 10487.5 17552.5 ; + RECT 10742.5 17552.5 10677.5 17687.5 ; + RECT 10552.5 17552.5 10487.5 17687.5 ; + RECT 10552.5 17552.5 10487.5 17687.5 ; + RECT 10742.5 17552.5 10677.5 17687.5 ; + RECT 10742.5 16667.5 10677.5 16802.5 ; + RECT 10552.5 16667.5 10487.5 16802.5 ; + RECT 10552.5 16667.5 10487.5 16802.5 ; + RECT 10742.5 16667.5 10677.5 16802.5 ; + RECT 10382.5 17642.5 10317.5 17777.5 ; + RECT 10382.5 16667.5 10317.5 16802.5 ; + RECT 10685.0 17110.0 10620.0 17245.0 ; + RECT 10685.0 17110.0 10620.0 17245.0 ; + RECT 10520.0 17145.0 10455.0 17210.0 ; + RECT 10810.0 17862.5 10250.0 17927.5 ; + RECT 10810.0 16517.5 10250.0 16582.5 ; + RECT 10382.5 18080.0 10317.5 17895.0 ; + RECT 10382.5 19240.0 10317.5 19055.0 ; + RECT 10742.5 19122.5 10677.5 19272.5 ; + RECT 10742.5 18237.5 10677.5 17862.5 ; + RECT 10552.5 19122.5 10487.5 18237.5 ; + RECT 10742.5 18237.5 10677.5 18102.5 ; + RECT 10552.5 18237.5 10487.5 18102.5 ; + RECT 10552.5 18237.5 10487.5 18102.5 ; + RECT 10742.5 18237.5 10677.5 18102.5 ; + RECT 10742.5 19122.5 10677.5 18987.5 ; + RECT 10552.5 19122.5 10487.5 18987.5 ; + RECT 10552.5 19122.5 10487.5 18987.5 ; + RECT 10742.5 19122.5 10677.5 18987.5 ; + RECT 10382.5 18147.5 10317.5 18012.5 ; + RECT 10382.5 19122.5 10317.5 18987.5 ; + RECT 10685.0 18680.0 10620.0 18545.0 ; + RECT 10685.0 18680.0 10620.0 18545.0 ; + RECT 10520.0 18645.0 10455.0 18580.0 ; + RECT 10810.0 17927.5 10250.0 17862.5 ; + RECT 10810.0 19272.5 10250.0 19207.5 ; + RECT 10382.5 20400.0 10317.5 20585.0 ; + RECT 10382.5 19240.0 10317.5 19425.0 ; + RECT 10742.5 19357.5 10677.5 19207.5 ; + RECT 10742.5 20242.5 10677.5 20617.5 ; + RECT 10552.5 19357.5 10487.5 20242.5 ; + RECT 10742.5 20242.5 10677.5 20377.5 ; + RECT 10552.5 20242.5 10487.5 20377.5 ; + RECT 10552.5 20242.5 10487.5 20377.5 ; + RECT 10742.5 20242.5 10677.5 20377.5 ; + RECT 10742.5 19357.5 10677.5 19492.5 ; + RECT 10552.5 19357.5 10487.5 19492.5 ; + RECT 10552.5 19357.5 10487.5 19492.5 ; + RECT 10742.5 19357.5 10677.5 19492.5 ; + RECT 10382.5 20332.5 10317.5 20467.5 ; + RECT 10382.5 19357.5 10317.5 19492.5 ; + RECT 10685.0 19800.0 10620.0 19935.0 ; + RECT 10685.0 19800.0 10620.0 19935.0 ; + RECT 10520.0 19835.0 10455.0 19900.0 ; + RECT 10810.0 20552.5 10250.0 20617.5 ; + RECT 10810.0 19207.5 10250.0 19272.5 ; + RECT 6887.5 17710.0 6822.5 17895.0 ; + RECT 6887.5 16550.0 6822.5 16735.0 ; + RECT 7247.5 16667.5 7182.5 16517.5 ; + RECT 7247.5 17552.5 7182.5 17927.5 ; + RECT 7057.5 16667.5 6992.5 17552.5 ; + RECT 7247.5 17552.5 7182.5 17687.5 ; + RECT 7057.5 17552.5 6992.5 17687.5 ; + RECT 7057.5 17552.5 6992.5 17687.5 ; + RECT 7247.5 17552.5 7182.5 17687.5 ; + RECT 7247.5 16667.5 7182.5 16802.5 ; + RECT 7057.5 16667.5 6992.5 16802.5 ; + RECT 7057.5 16667.5 6992.5 16802.5 ; + RECT 7247.5 16667.5 7182.5 16802.5 ; + RECT 6887.5 17642.5 6822.5 17777.5 ; + RECT 6887.5 16667.5 6822.5 16802.5 ; + RECT 7190.0 17110.0 7125.0 17245.0 ; + RECT 7190.0 17110.0 7125.0 17245.0 ; + RECT 7025.0 17145.0 6960.0 17210.0 ; + RECT 7315.0 17862.5 6755.0 17927.5 ; + RECT 7315.0 16517.5 6755.0 16582.5 ; + RECT 6887.5 18080.0 6822.5 17895.0 ; + RECT 6887.5 19240.0 6822.5 19055.0 ; + RECT 7247.5 19122.5 7182.5 19272.5 ; + RECT 7247.5 18237.5 7182.5 17862.5 ; + RECT 7057.5 19122.5 6992.5 18237.5 ; + RECT 7247.5 18237.5 7182.5 18102.5 ; + RECT 7057.5 18237.5 6992.5 18102.5 ; + RECT 7057.5 18237.5 6992.5 18102.5 ; + RECT 7247.5 18237.5 7182.5 18102.5 ; + RECT 7247.5 19122.5 7182.5 18987.5 ; + RECT 7057.5 19122.5 6992.5 18987.5 ; + RECT 7057.5 19122.5 6992.5 18987.5 ; + RECT 7247.5 19122.5 7182.5 18987.5 ; + RECT 6887.5 18147.5 6822.5 18012.5 ; + RECT 6887.5 19122.5 6822.5 18987.5 ; + RECT 7190.0 18680.0 7125.0 18545.0 ; + RECT 7190.0 18680.0 7125.0 18545.0 ; + RECT 7025.0 18645.0 6960.0 18580.0 ; + RECT 7315.0 17927.5 6755.0 17862.5 ; + RECT 7315.0 19272.5 6755.0 19207.5 ; + RECT 6887.5 20400.0 6822.5 20585.0 ; + RECT 6887.5 19240.0 6822.5 19425.0 ; + RECT 7247.5 19357.5 7182.5 19207.5 ; + RECT 7247.5 20242.5 7182.5 20617.5 ; + RECT 7057.5 19357.5 6992.5 20242.5 ; + RECT 7247.5 20242.5 7182.5 20377.5 ; + RECT 7057.5 20242.5 6992.5 20377.5 ; + RECT 7057.5 20242.5 6992.5 20377.5 ; + RECT 7247.5 20242.5 7182.5 20377.5 ; + RECT 7247.5 19357.5 7182.5 19492.5 ; + RECT 7057.5 19357.5 6992.5 19492.5 ; + RECT 7057.5 19357.5 6992.5 19492.5 ; + RECT 7247.5 19357.5 7182.5 19492.5 ; + RECT 6887.5 20332.5 6822.5 20467.5 ; + RECT 6887.5 19357.5 6822.5 19492.5 ; + RECT 7190.0 19800.0 7125.0 19935.0 ; + RECT 7190.0 19800.0 7125.0 19935.0 ; + RECT 7025.0 19835.0 6960.0 19900.0 ; + RECT 7315.0 20552.5 6755.0 20617.5 ; + RECT 7315.0 19207.5 6755.0 19272.5 ; + RECT 6887.5 20770.0 6822.5 20585.0 ; + RECT 6887.5 21930.0 6822.5 21745.0 ; + RECT 7247.5 21812.5 7182.5 21962.5 ; + RECT 7247.5 20927.5 7182.5 20552.5 ; + RECT 7057.5 21812.5 6992.5 20927.5 ; + RECT 7247.5 20927.5 7182.5 20792.5 ; + RECT 7057.5 20927.5 6992.5 20792.5 ; + RECT 7057.5 20927.5 6992.5 20792.5 ; + RECT 7247.5 20927.5 7182.5 20792.5 ; + RECT 7247.5 21812.5 7182.5 21677.5 ; + RECT 7057.5 21812.5 6992.5 21677.5 ; + RECT 7057.5 21812.5 6992.5 21677.5 ; + RECT 7247.5 21812.5 7182.5 21677.5 ; + RECT 6887.5 20837.5 6822.5 20702.5 ; + RECT 6887.5 21812.5 6822.5 21677.5 ; + RECT 7190.0 21370.0 7125.0 21235.0 ; + RECT 7190.0 21370.0 7125.0 21235.0 ; + RECT 7025.0 21335.0 6960.0 21270.0 ; + RECT 7315.0 20617.5 6755.0 20552.5 ; + RECT 7315.0 21962.5 6755.0 21897.5 ; + RECT 6887.5 23090.0 6822.5 23275.0 ; + RECT 6887.5 21930.0 6822.5 22115.0 ; + RECT 7247.5 22047.5 7182.5 21897.5 ; + RECT 7247.5 22932.5 7182.5 23307.5 ; + RECT 7057.5 22047.5 6992.5 22932.5 ; + RECT 7247.5 22932.5 7182.5 23067.5 ; + RECT 7057.5 22932.5 6992.5 23067.5 ; + RECT 7057.5 22932.5 6992.5 23067.5 ; + RECT 7247.5 22932.5 7182.5 23067.5 ; + RECT 7247.5 22047.5 7182.5 22182.5 ; + RECT 7057.5 22047.5 6992.5 22182.5 ; + RECT 7057.5 22047.5 6992.5 22182.5 ; + RECT 7247.5 22047.5 7182.5 22182.5 ; + RECT 6887.5 23022.5 6822.5 23157.5 ; + RECT 6887.5 22047.5 6822.5 22182.5 ; + RECT 7190.0 22490.0 7125.0 22625.0 ; + RECT 7190.0 22490.0 7125.0 22625.0 ; + RECT 7025.0 22525.0 6960.0 22590.0 ; + RECT 7315.0 23242.5 6755.0 23307.5 ; + RECT 7315.0 21897.5 6755.0 21962.5 ; + RECT 6887.5 23460.0 6822.5 23275.0 ; + RECT 6887.5 24620.0 6822.5 24435.0 ; + RECT 7247.5 24502.5 7182.5 24652.5 ; + RECT 7247.5 23617.5 7182.5 23242.5 ; + RECT 7057.5 24502.5 6992.5 23617.5 ; + RECT 7247.5 23617.5 7182.5 23482.5 ; + RECT 7057.5 23617.5 6992.5 23482.5 ; + RECT 7057.5 23617.5 6992.5 23482.5 ; + RECT 7247.5 23617.5 7182.5 23482.5 ; + RECT 7247.5 24502.5 7182.5 24367.5 ; + RECT 7057.5 24502.5 6992.5 24367.5 ; + RECT 7057.5 24502.5 6992.5 24367.5 ; + RECT 7247.5 24502.5 7182.5 24367.5 ; + RECT 6887.5 23527.5 6822.5 23392.5 ; + RECT 6887.5 24502.5 6822.5 24367.5 ; + RECT 7190.0 24060.0 7125.0 23925.0 ; + RECT 7190.0 24060.0 7125.0 23925.0 ; + RECT 7025.0 24025.0 6960.0 23960.0 ; + RECT 7315.0 23307.5 6755.0 23242.5 ; + RECT 7315.0 24652.5 6755.0 24587.5 ; + RECT 6887.5 25780.0 6822.5 25965.0 ; + RECT 6887.5 24620.0 6822.5 24805.0 ; + RECT 7247.5 24737.5 7182.5 24587.5 ; + RECT 7247.5 25622.5 7182.5 25997.5 ; + RECT 7057.5 24737.5 6992.5 25622.5 ; + RECT 7247.5 25622.5 7182.5 25757.5 ; + RECT 7057.5 25622.5 6992.5 25757.5 ; + RECT 7057.5 25622.5 6992.5 25757.5 ; + RECT 7247.5 25622.5 7182.5 25757.5 ; + RECT 7247.5 24737.5 7182.5 24872.5 ; + RECT 7057.5 24737.5 6992.5 24872.5 ; + RECT 7057.5 24737.5 6992.5 24872.5 ; + RECT 7247.5 24737.5 7182.5 24872.5 ; + RECT 6887.5 25712.5 6822.5 25847.5 ; + RECT 6887.5 24737.5 6822.5 24872.5 ; + RECT 7190.0 25180.0 7125.0 25315.0 ; + RECT 7190.0 25180.0 7125.0 25315.0 ; + RECT 7025.0 25215.0 6960.0 25280.0 ; + RECT 7315.0 25932.5 6755.0 25997.5 ; + RECT 7315.0 24587.5 6755.0 24652.5 ; + RECT 6887.5 26150.0 6822.5 25965.0 ; + RECT 6887.5 27310.0 6822.5 27125.0 ; + RECT 7247.5 27192.5 7182.5 27342.5 ; + RECT 7247.5 26307.5 7182.5 25932.5 ; + RECT 7057.5 27192.5 6992.5 26307.5 ; + RECT 7247.5 26307.5 7182.5 26172.5 ; + RECT 7057.5 26307.5 6992.5 26172.5 ; + RECT 7057.5 26307.5 6992.5 26172.5 ; + RECT 7247.5 26307.5 7182.5 26172.5 ; + RECT 7247.5 27192.5 7182.5 27057.5 ; + RECT 7057.5 27192.5 6992.5 27057.5 ; + RECT 7057.5 27192.5 6992.5 27057.5 ; + RECT 7247.5 27192.5 7182.5 27057.5 ; + RECT 6887.5 26217.5 6822.5 26082.5 ; + RECT 6887.5 27192.5 6822.5 27057.5 ; + RECT 7190.0 26750.0 7125.0 26615.0 ; + RECT 7190.0 26750.0 7125.0 26615.0 ; + RECT 7025.0 26715.0 6960.0 26650.0 ; + RECT 7315.0 25997.5 6755.0 25932.5 ; + RECT 7315.0 27342.5 6755.0 27277.5 ; + RECT 8257.5 16712.5 8192.5 16517.5 ; + RECT 8257.5 17552.5 8192.5 17927.5 ; + RECT 7877.5 17552.5 7812.5 17927.5 ; + RECT 7517.5 17710.0 7452.5 17895.0 ; + RECT 7517.5 16550.0 7452.5 16735.0 ; + RECT 8257.5 17552.5 8192.5 17687.5 ; + RECT 8067.5 17552.5 8002.5 17687.5 ; + RECT 8067.5 17552.5 8002.5 17687.5 ; + RECT 8257.5 17552.5 8192.5 17687.5 ; + RECT 8067.5 17552.5 8002.5 17687.5 ; + RECT 7877.5 17552.5 7812.5 17687.5 ; + RECT 7877.5 17552.5 7812.5 17687.5 ; + RECT 8067.5 17552.5 8002.5 17687.5 ; + RECT 7877.5 17552.5 7812.5 17687.5 ; + RECT 7687.5 17552.5 7622.5 17687.5 ; + RECT 7687.5 17552.5 7622.5 17687.5 ; + RECT 7877.5 17552.5 7812.5 17687.5 ; + RECT 8257.5 16712.5 8192.5 16847.5 ; + RECT 8067.5 16712.5 8002.5 16847.5 ; + RECT 8067.5 16712.5 8002.5 16847.5 ; + RECT 8257.5 16712.5 8192.5 16847.5 ; + RECT 8067.5 16712.5 8002.5 16847.5 ; + RECT 7877.5 16712.5 7812.5 16847.5 ; + RECT 7877.5 16712.5 7812.5 16847.5 ; + RECT 8067.5 16712.5 8002.5 16847.5 ; + RECT 7877.5 16712.5 7812.5 16847.5 ; + RECT 7687.5 16712.5 7622.5 16847.5 ; + RECT 7687.5 16712.5 7622.5 16847.5 ; + RECT 7877.5 16712.5 7812.5 16847.5 ; + RECT 7517.5 17642.5 7452.5 17777.5 ; + RECT 7517.5 16667.5 7452.5 16802.5 ; + RECT 7682.5 16925.0 7817.5 16990.0 ; + RECT 7872.5 17065.0 8007.5 17130.0 ; + RECT 8062.5 17205.0 8197.5 17270.0 ; + RECT 8067.5 17552.5 8002.5 17687.5 ; + RECT 7687.5 17552.5 7622.5 17687.5 ; + RECT 7687.5 16712.5 7622.5 16847.5 ; + RECT 7687.5 17170.0 7622.5 17305.0 ; + RECT 8197.5 17205.0 8062.5 17270.0 ; + RECT 8007.5 17065.0 7872.5 17130.0 ; + RECT 7817.5 16925.0 7682.5 16990.0 ; + RECT 7687.5 17170.0 7622.5 17305.0 ; + RECT 8325.0 17862.5 7315.0 17927.5 ; + RECT 8325.0 16517.5 7315.0 16582.5 ; + RECT 8257.5 19077.5 8192.5 19272.5 ; + RECT 8257.5 18237.5 8192.5 17862.5 ; + RECT 7877.5 18237.5 7812.5 17862.5 ; + RECT 7517.5 18080.0 7452.5 17895.0 ; + RECT 7517.5 19240.0 7452.5 19055.0 ; + RECT 8257.5 18237.5 8192.5 18102.5 ; + RECT 8067.5 18237.5 8002.5 18102.5 ; + RECT 8067.5 18237.5 8002.5 18102.5 ; + RECT 8257.5 18237.5 8192.5 18102.5 ; + RECT 8067.5 18237.5 8002.5 18102.5 ; + RECT 7877.5 18237.5 7812.5 18102.5 ; + RECT 7877.5 18237.5 7812.5 18102.5 ; + RECT 8067.5 18237.5 8002.5 18102.5 ; + RECT 7877.5 18237.5 7812.5 18102.5 ; + RECT 7687.5 18237.5 7622.5 18102.5 ; + RECT 7687.5 18237.5 7622.5 18102.5 ; + RECT 7877.5 18237.5 7812.5 18102.5 ; + RECT 8257.5 19077.5 8192.5 18942.5 ; + RECT 8067.5 19077.5 8002.5 18942.5 ; + RECT 8067.5 19077.5 8002.5 18942.5 ; + RECT 8257.5 19077.5 8192.5 18942.5 ; + RECT 8067.5 19077.5 8002.5 18942.5 ; + RECT 7877.5 19077.5 7812.5 18942.5 ; + RECT 7877.5 19077.5 7812.5 18942.5 ; + RECT 8067.5 19077.5 8002.5 18942.5 ; + RECT 7877.5 19077.5 7812.5 18942.5 ; + RECT 7687.5 19077.5 7622.5 18942.5 ; + RECT 7687.5 19077.5 7622.5 18942.5 ; + RECT 7877.5 19077.5 7812.5 18942.5 ; + RECT 7517.5 18147.5 7452.5 18012.5 ; + RECT 7517.5 19122.5 7452.5 18987.5 ; + RECT 7682.5 18865.0 7817.5 18800.0 ; + RECT 7872.5 18725.0 8007.5 18660.0 ; + RECT 8062.5 18585.0 8197.5 18520.0 ; + RECT 8067.5 18237.5 8002.5 18102.5 ; + RECT 7687.5 18237.5 7622.5 18102.5 ; + RECT 7687.5 19077.5 7622.5 18942.5 ; + RECT 7687.5 18620.0 7622.5 18485.0 ; + RECT 8197.5 18585.0 8062.5 18520.0 ; + RECT 8007.5 18725.0 7872.5 18660.0 ; + RECT 7817.5 18865.0 7682.5 18800.0 ; + RECT 7687.5 18620.0 7622.5 18485.0 ; + RECT 8325.0 17927.5 7315.0 17862.5 ; + RECT 8325.0 19272.5 7315.0 19207.5 ; + RECT 8257.5 19402.5 8192.5 19207.5 ; + RECT 8257.5 20242.5 8192.5 20617.5 ; + RECT 7877.5 20242.5 7812.5 20617.5 ; + RECT 7517.5 20400.0 7452.5 20585.0 ; + RECT 7517.5 19240.0 7452.5 19425.0 ; + RECT 8257.5 20242.5 8192.5 20377.5 ; + RECT 8067.5 20242.5 8002.5 20377.5 ; + RECT 8067.5 20242.5 8002.5 20377.5 ; + RECT 8257.5 20242.5 8192.5 20377.5 ; + RECT 8067.5 20242.5 8002.5 20377.5 ; + RECT 7877.5 20242.5 7812.5 20377.5 ; + RECT 7877.5 20242.5 7812.5 20377.5 ; + RECT 8067.5 20242.5 8002.5 20377.5 ; + RECT 7877.5 20242.5 7812.5 20377.5 ; + RECT 7687.5 20242.5 7622.5 20377.5 ; + RECT 7687.5 20242.5 7622.5 20377.5 ; + RECT 7877.5 20242.5 7812.5 20377.5 ; + RECT 8257.5 19402.5 8192.5 19537.5 ; + RECT 8067.5 19402.5 8002.5 19537.5 ; + RECT 8067.5 19402.5 8002.5 19537.5 ; + RECT 8257.5 19402.5 8192.5 19537.5 ; + RECT 8067.5 19402.5 8002.5 19537.5 ; + RECT 7877.5 19402.5 7812.5 19537.5 ; + RECT 7877.5 19402.5 7812.5 19537.5 ; + RECT 8067.5 19402.5 8002.5 19537.5 ; + RECT 7877.5 19402.5 7812.5 19537.5 ; + RECT 7687.5 19402.5 7622.5 19537.5 ; + RECT 7687.5 19402.5 7622.5 19537.5 ; + RECT 7877.5 19402.5 7812.5 19537.5 ; + RECT 7517.5 20332.5 7452.5 20467.5 ; + RECT 7517.5 19357.5 7452.5 19492.5 ; + RECT 7682.5 19615.0 7817.5 19680.0 ; + RECT 7872.5 19755.0 8007.5 19820.0 ; + RECT 8062.5 19895.0 8197.5 19960.0 ; + RECT 8067.5 20242.5 8002.5 20377.5 ; + RECT 7687.5 20242.5 7622.5 20377.5 ; + RECT 7687.5 19402.5 7622.5 19537.5 ; + RECT 7687.5 19860.0 7622.5 19995.0 ; + RECT 8197.5 19895.0 8062.5 19960.0 ; + RECT 8007.5 19755.0 7872.5 19820.0 ; + RECT 7817.5 19615.0 7682.5 19680.0 ; + RECT 7687.5 19860.0 7622.5 19995.0 ; + RECT 8325.0 20552.5 7315.0 20617.5 ; + RECT 8325.0 19207.5 7315.0 19272.5 ; + RECT 8257.5 21767.5 8192.5 21962.5 ; + RECT 8257.5 20927.5 8192.5 20552.5 ; + RECT 7877.5 20927.5 7812.5 20552.5 ; + RECT 7517.5 20770.0 7452.5 20585.0 ; + RECT 7517.5 21930.0 7452.5 21745.0 ; + RECT 8257.5 20927.5 8192.5 20792.5 ; + RECT 8067.5 20927.5 8002.5 20792.5 ; + RECT 8067.5 20927.5 8002.5 20792.5 ; + RECT 8257.5 20927.5 8192.5 20792.5 ; + RECT 8067.5 20927.5 8002.5 20792.5 ; + RECT 7877.5 20927.5 7812.5 20792.5 ; + RECT 7877.5 20927.5 7812.5 20792.5 ; + RECT 8067.5 20927.5 8002.5 20792.5 ; + RECT 7877.5 20927.5 7812.5 20792.5 ; + RECT 7687.5 20927.5 7622.5 20792.5 ; + RECT 7687.5 20927.5 7622.5 20792.5 ; + RECT 7877.5 20927.5 7812.5 20792.5 ; + RECT 8257.5 21767.5 8192.5 21632.5 ; + RECT 8067.5 21767.5 8002.5 21632.5 ; + RECT 8067.5 21767.5 8002.5 21632.5 ; + RECT 8257.5 21767.5 8192.5 21632.5 ; + RECT 8067.5 21767.5 8002.5 21632.5 ; + RECT 7877.5 21767.5 7812.5 21632.5 ; + RECT 7877.5 21767.5 7812.5 21632.5 ; + RECT 8067.5 21767.5 8002.5 21632.5 ; + RECT 7877.5 21767.5 7812.5 21632.5 ; + RECT 7687.5 21767.5 7622.5 21632.5 ; + RECT 7687.5 21767.5 7622.5 21632.5 ; + RECT 7877.5 21767.5 7812.5 21632.5 ; + RECT 7517.5 20837.5 7452.5 20702.5 ; + RECT 7517.5 21812.5 7452.5 21677.5 ; + RECT 7682.5 21555.0 7817.5 21490.0 ; + RECT 7872.5 21415.0 8007.5 21350.0 ; + RECT 8062.5 21275.0 8197.5 21210.0 ; + RECT 8067.5 20927.5 8002.5 20792.5 ; + RECT 7687.5 20927.5 7622.5 20792.5 ; + RECT 7687.5 21767.5 7622.5 21632.5 ; + RECT 7687.5 21310.0 7622.5 21175.0 ; + RECT 8197.5 21275.0 8062.5 21210.0 ; + RECT 8007.5 21415.0 7872.5 21350.0 ; + RECT 7817.5 21555.0 7682.5 21490.0 ; + RECT 7687.5 21310.0 7622.5 21175.0 ; + RECT 8325.0 20617.5 7315.0 20552.5 ; + RECT 8325.0 21962.5 7315.0 21897.5 ; + RECT 8257.5 22092.5 8192.5 21897.5 ; + RECT 8257.5 22932.5 8192.5 23307.5 ; + RECT 7877.5 22932.5 7812.5 23307.5 ; + RECT 7517.5 23090.0 7452.5 23275.0 ; + RECT 7517.5 21930.0 7452.5 22115.0 ; + RECT 8257.5 22932.5 8192.5 23067.5 ; + RECT 8067.5 22932.5 8002.5 23067.5 ; + RECT 8067.5 22932.5 8002.5 23067.5 ; + RECT 8257.5 22932.5 8192.5 23067.5 ; + RECT 8067.5 22932.5 8002.5 23067.5 ; + RECT 7877.5 22932.5 7812.5 23067.5 ; + RECT 7877.5 22932.5 7812.5 23067.5 ; + RECT 8067.5 22932.5 8002.5 23067.5 ; + RECT 7877.5 22932.5 7812.5 23067.5 ; + RECT 7687.5 22932.5 7622.5 23067.5 ; + RECT 7687.5 22932.5 7622.5 23067.5 ; + RECT 7877.5 22932.5 7812.5 23067.5 ; + RECT 8257.5 22092.5 8192.5 22227.5 ; + RECT 8067.5 22092.5 8002.5 22227.5 ; + RECT 8067.5 22092.5 8002.5 22227.5 ; + RECT 8257.5 22092.5 8192.5 22227.5 ; + RECT 8067.5 22092.5 8002.5 22227.5 ; + RECT 7877.5 22092.5 7812.5 22227.5 ; + RECT 7877.5 22092.5 7812.5 22227.5 ; + RECT 8067.5 22092.5 8002.5 22227.5 ; + RECT 7877.5 22092.5 7812.5 22227.5 ; + RECT 7687.5 22092.5 7622.5 22227.5 ; + RECT 7687.5 22092.5 7622.5 22227.5 ; + RECT 7877.5 22092.5 7812.5 22227.5 ; + RECT 7517.5 23022.5 7452.5 23157.5 ; + RECT 7517.5 22047.5 7452.5 22182.5 ; + RECT 7682.5 22305.0 7817.5 22370.0 ; + RECT 7872.5 22445.0 8007.5 22510.0 ; + RECT 8062.5 22585.0 8197.5 22650.0 ; + RECT 8067.5 22932.5 8002.5 23067.5 ; + RECT 7687.5 22932.5 7622.5 23067.5 ; + RECT 7687.5 22092.5 7622.5 22227.5 ; + RECT 7687.5 22550.0 7622.5 22685.0 ; + RECT 8197.5 22585.0 8062.5 22650.0 ; + RECT 8007.5 22445.0 7872.5 22510.0 ; + RECT 7817.5 22305.0 7682.5 22370.0 ; + RECT 7687.5 22550.0 7622.5 22685.0 ; + RECT 8325.0 23242.5 7315.0 23307.5 ; + RECT 8325.0 21897.5 7315.0 21962.5 ; + RECT 8257.5 24457.5 8192.5 24652.5 ; + RECT 8257.5 23617.5 8192.5 23242.5 ; + RECT 7877.5 23617.5 7812.5 23242.5 ; + RECT 7517.5 23460.0 7452.5 23275.0 ; + RECT 7517.5 24620.0 7452.5 24435.0 ; + RECT 8257.5 23617.5 8192.5 23482.5 ; + RECT 8067.5 23617.5 8002.5 23482.5 ; + RECT 8067.5 23617.5 8002.5 23482.5 ; + RECT 8257.5 23617.5 8192.5 23482.5 ; + RECT 8067.5 23617.5 8002.5 23482.5 ; + RECT 7877.5 23617.5 7812.5 23482.5 ; + RECT 7877.5 23617.5 7812.5 23482.5 ; + RECT 8067.5 23617.5 8002.5 23482.5 ; + RECT 7877.5 23617.5 7812.5 23482.5 ; + RECT 7687.5 23617.5 7622.5 23482.5 ; + RECT 7687.5 23617.5 7622.5 23482.5 ; + RECT 7877.5 23617.5 7812.5 23482.5 ; + RECT 8257.5 24457.5 8192.5 24322.5 ; + RECT 8067.5 24457.5 8002.5 24322.5 ; + RECT 8067.5 24457.5 8002.5 24322.5 ; + RECT 8257.5 24457.5 8192.5 24322.5 ; + RECT 8067.5 24457.5 8002.5 24322.5 ; + RECT 7877.5 24457.5 7812.5 24322.5 ; + RECT 7877.5 24457.5 7812.5 24322.5 ; + RECT 8067.5 24457.5 8002.5 24322.5 ; + RECT 7877.5 24457.5 7812.5 24322.5 ; + RECT 7687.5 24457.5 7622.5 24322.5 ; + RECT 7687.5 24457.5 7622.5 24322.5 ; + RECT 7877.5 24457.5 7812.5 24322.5 ; + RECT 7517.5 23527.5 7452.5 23392.5 ; + RECT 7517.5 24502.5 7452.5 24367.5 ; + RECT 7682.5 24245.0 7817.5 24180.0 ; + RECT 7872.5 24105.0 8007.5 24040.0 ; + RECT 8062.5 23965.0 8197.5 23900.0 ; + RECT 8067.5 23617.5 8002.5 23482.5 ; + RECT 7687.5 23617.5 7622.5 23482.5 ; + RECT 7687.5 24457.5 7622.5 24322.5 ; + RECT 7687.5 24000.0 7622.5 23865.0 ; + RECT 8197.5 23965.0 8062.5 23900.0 ; + RECT 8007.5 24105.0 7872.5 24040.0 ; + RECT 7817.5 24245.0 7682.5 24180.0 ; + RECT 7687.5 24000.0 7622.5 23865.0 ; + RECT 8325.0 23307.5 7315.0 23242.5 ; + RECT 8325.0 24652.5 7315.0 24587.5 ; + RECT 8257.5 24782.5 8192.5 24587.5 ; + RECT 8257.5 25622.5 8192.5 25997.5 ; + RECT 7877.5 25622.5 7812.5 25997.5 ; + RECT 7517.5 25780.0 7452.5 25965.0 ; + RECT 7517.5 24620.0 7452.5 24805.0 ; + RECT 8257.5 25622.5 8192.5 25757.5 ; + RECT 8067.5 25622.5 8002.5 25757.5 ; + RECT 8067.5 25622.5 8002.5 25757.5 ; + RECT 8257.5 25622.5 8192.5 25757.5 ; + RECT 8067.5 25622.5 8002.5 25757.5 ; + RECT 7877.5 25622.5 7812.5 25757.5 ; + RECT 7877.5 25622.5 7812.5 25757.5 ; + RECT 8067.5 25622.5 8002.5 25757.5 ; + RECT 7877.5 25622.5 7812.5 25757.5 ; + RECT 7687.5 25622.5 7622.5 25757.5 ; + RECT 7687.5 25622.5 7622.5 25757.5 ; + RECT 7877.5 25622.5 7812.5 25757.5 ; + RECT 8257.5 24782.5 8192.5 24917.5 ; + RECT 8067.5 24782.5 8002.5 24917.5 ; + RECT 8067.5 24782.5 8002.5 24917.5 ; + RECT 8257.5 24782.5 8192.5 24917.5 ; + RECT 8067.5 24782.5 8002.5 24917.5 ; + RECT 7877.5 24782.5 7812.5 24917.5 ; + RECT 7877.5 24782.5 7812.5 24917.5 ; + RECT 8067.5 24782.5 8002.5 24917.5 ; + RECT 7877.5 24782.5 7812.5 24917.5 ; + RECT 7687.5 24782.5 7622.5 24917.5 ; + RECT 7687.5 24782.5 7622.5 24917.5 ; + RECT 7877.5 24782.5 7812.5 24917.5 ; + RECT 7517.5 25712.5 7452.5 25847.5 ; + RECT 7517.5 24737.5 7452.5 24872.5 ; + RECT 7682.5 24995.0 7817.5 25060.0 ; + RECT 7872.5 25135.0 8007.5 25200.0 ; + RECT 8062.5 25275.0 8197.5 25340.0 ; + RECT 8067.5 25622.5 8002.5 25757.5 ; + RECT 7687.5 25622.5 7622.5 25757.5 ; + RECT 7687.5 24782.5 7622.5 24917.5 ; + RECT 7687.5 25240.0 7622.5 25375.0 ; + RECT 8197.5 25275.0 8062.5 25340.0 ; + RECT 8007.5 25135.0 7872.5 25200.0 ; + RECT 7817.5 24995.0 7682.5 25060.0 ; + RECT 7687.5 25240.0 7622.5 25375.0 ; + RECT 8325.0 25932.5 7315.0 25997.5 ; + RECT 8325.0 24587.5 7315.0 24652.5 ; + RECT 8257.5 27147.5 8192.5 27342.5 ; + RECT 8257.5 26307.5 8192.5 25932.5 ; + RECT 7877.5 26307.5 7812.5 25932.5 ; + RECT 7517.5 26150.0 7452.5 25965.0 ; + RECT 7517.5 27310.0 7452.5 27125.0 ; + RECT 8257.5 26307.5 8192.5 26172.5 ; + RECT 8067.5 26307.5 8002.5 26172.5 ; + RECT 8067.5 26307.5 8002.5 26172.5 ; + RECT 8257.5 26307.5 8192.5 26172.5 ; + RECT 8067.5 26307.5 8002.5 26172.5 ; + RECT 7877.5 26307.5 7812.5 26172.5 ; + RECT 7877.5 26307.5 7812.5 26172.5 ; + RECT 8067.5 26307.5 8002.5 26172.5 ; + RECT 7877.5 26307.5 7812.5 26172.5 ; + RECT 7687.5 26307.5 7622.5 26172.5 ; + RECT 7687.5 26307.5 7622.5 26172.5 ; + RECT 7877.5 26307.5 7812.5 26172.5 ; + RECT 8257.5 27147.5 8192.5 27012.5 ; + RECT 8067.5 27147.5 8002.5 27012.5 ; + RECT 8067.5 27147.5 8002.5 27012.5 ; + RECT 8257.5 27147.5 8192.5 27012.5 ; + RECT 8067.5 27147.5 8002.5 27012.5 ; + RECT 7877.5 27147.5 7812.5 27012.5 ; + RECT 7877.5 27147.5 7812.5 27012.5 ; + RECT 8067.5 27147.5 8002.5 27012.5 ; + RECT 7877.5 27147.5 7812.5 27012.5 ; + RECT 7687.5 27147.5 7622.5 27012.5 ; + RECT 7687.5 27147.5 7622.5 27012.5 ; + RECT 7877.5 27147.5 7812.5 27012.5 ; + RECT 7517.5 26217.5 7452.5 26082.5 ; + RECT 7517.5 27192.5 7452.5 27057.5 ; + RECT 7682.5 26935.0 7817.5 26870.0 ; + RECT 7872.5 26795.0 8007.5 26730.0 ; + RECT 8062.5 26655.0 8197.5 26590.0 ; + RECT 8067.5 26307.5 8002.5 26172.5 ; + RECT 7687.5 26307.5 7622.5 26172.5 ; + RECT 7687.5 27147.5 7622.5 27012.5 ; + RECT 7687.5 26690.0 7622.5 26555.0 ; + RECT 8197.5 26655.0 8062.5 26590.0 ; + RECT 8007.5 26795.0 7872.5 26730.0 ; + RECT 7817.5 26935.0 7682.5 26870.0 ; + RECT 7687.5 26690.0 7622.5 26555.0 ; + RECT 8325.0 25997.5 7315.0 25932.5 ; + RECT 8325.0 27342.5 7315.0 27277.5 ; + RECT 9872.5 17667.5 10007.5 17732.5 ; + RECT 11532.5 17145.0 11667.5 17210.0 ; + RECT 9597.5 19012.5 9732.5 19077.5 ; + RECT 11257.5 18580.0 11392.5 18645.0 ; + RECT 9322.5 20357.5 9457.5 20422.5 ; + RECT 10982.5 19835.0 11117.5 19900.0 ; + RECT 11532.5 20687.5 11667.5 20752.5 ; + RECT 9047.5 20687.5 9182.5 20752.5 ; + RECT 11257.5 22032.5 11392.5 22097.5 ; + RECT 8772.5 22032.5 8907.5 22097.5 ; + RECT 10982.5 23377.5 11117.5 23442.5 ; + RECT 8497.5 23377.5 8632.5 23442.5 ; + RECT 9872.5 17205.0 10007.5 17270.0 ; + RECT 9597.5 17065.0 9732.5 17130.0 ; + RECT 9322.5 16925.0 9457.5 16990.0 ; + RECT 9047.5 18520.0 9182.5 18585.0 ; + RECT 9597.5 18660.0 9732.5 18725.0 ; + RECT 9322.5 18800.0 9457.5 18865.0 ; + RECT 9872.5 19895.0 10007.5 19960.0 ; + RECT 8772.5 19755.0 8907.5 19820.0 ; + RECT 9322.5 19615.0 9457.5 19680.0 ; + RECT 9047.5 21210.0 9182.5 21275.0 ; + RECT 8772.5 21350.0 8907.5 21415.0 ; + RECT 9322.5 21490.0 9457.5 21555.0 ; + RECT 9872.5 22585.0 10007.5 22650.0 ; + RECT 9597.5 22445.0 9732.5 22510.0 ; + RECT 8497.5 22305.0 8632.5 22370.0 ; + RECT 9047.5 23900.0 9182.5 23965.0 ; + RECT 9597.5 24040.0 9732.5 24105.0 ; + RECT 8497.5 24180.0 8632.5 24245.0 ; + RECT 9872.5 25275.0 10007.5 25340.0 ; + RECT 8772.5 25135.0 8907.5 25200.0 ; + RECT 8497.5 24995.0 8632.5 25060.0 ; + RECT 9047.5 26590.0 9182.5 26655.0 ; + RECT 8772.5 26730.0 8907.5 26795.0 ; + RECT 8497.5 26870.0 8632.5 26935.0 ; + RECT 6960.0 17145.0 6755.0 17210.0 ; + RECT 6960.0 18580.0 6755.0 18645.0 ; + RECT 6960.0 19835.0 6755.0 19900.0 ; + RECT 6960.0 21270.0 6755.0 21335.0 ; + RECT 6960.0 22525.0 6755.0 22590.0 ; + RECT 6960.0 23960.0 6755.0 24025.0 ; + RECT 6960.0 25215.0 6755.0 25280.0 ; + RECT 6960.0 26650.0 6755.0 26715.0 ; + RECT 11635.0 17862.5 6755.0 17927.5 ; + RECT 11635.0 20552.5 6755.0 20617.5 ; + RECT 11635.0 23242.5 6755.0 23307.5 ; + RECT 11635.0 25932.5 6755.0 25997.5 ; + RECT 11635.0 16517.5 6755.0 16582.5 ; + RECT 11635.0 19207.5 6755.0 19272.5 ; + RECT 11635.0 21897.5 6755.0 21962.5 ; + RECT 11635.0 24587.5 6755.0 24652.5 ; + RECT 11635.0 27277.5 6755.0 27342.5 ; + RECT 6822.5 27472.5 6887.5 27277.5 ; + RECT 6822.5 28312.5 6887.5 28687.5 ; + RECT 7202.5 28312.5 7267.5 28687.5 ; + RECT 7372.5 28470.0 7437.5 28655.0 ; + RECT 7372.5 27310.0 7437.5 27495.0 ; + RECT 6822.5 28312.5 6887.5 28447.5 ; + RECT 7012.5 28312.5 7077.5 28447.5 ; + RECT 7012.5 28312.5 7077.5 28447.5 ; + RECT 6822.5 28312.5 6887.5 28447.5 ; + RECT 7012.5 28312.5 7077.5 28447.5 ; + RECT 7202.5 28312.5 7267.5 28447.5 ; + RECT 7202.5 28312.5 7267.5 28447.5 ; + RECT 7012.5 28312.5 7077.5 28447.5 ; + RECT 6822.5 27472.5 6887.5 27607.5 ; + RECT 7012.5 27472.5 7077.5 27607.5 ; + RECT 7012.5 27472.5 7077.5 27607.5 ; + RECT 6822.5 27472.5 6887.5 27607.5 ; + RECT 7012.5 27472.5 7077.5 27607.5 ; + RECT 7202.5 27472.5 7267.5 27607.5 ; + RECT 7202.5 27472.5 7267.5 27607.5 ; + RECT 7012.5 27472.5 7077.5 27607.5 ; + RECT 7372.5 28402.5 7437.5 28537.5 ; + RECT 7372.5 27427.5 7437.5 27562.5 ; + RECT 7207.5 27702.5 7072.5 27767.5 ; + RECT 6950.0 27917.5 6815.0 27982.5 ; + RECT 7012.5 28312.5 7077.5 28447.5 ; + RECT 7202.5 27472.5 7267.5 27607.5 ; + RECT 7302.5 27917.5 7167.5 27982.5 ; + RECT 6815.0 27917.5 6950.0 27982.5 ; + RECT 7072.5 27702.5 7207.5 27767.5 ; + RECT 7167.5 27917.5 7302.5 27982.5 ; + RECT 6755.0 28622.5 7675.0 28687.5 ; + RECT 6755.0 27277.5 7675.0 27342.5 ; + RECT 6822.5 29837.5 6887.5 30032.5 ; + RECT 6822.5 28997.5 6887.5 28622.5 ; + RECT 7202.5 28997.5 7267.5 28622.5 ; + RECT 7372.5 28840.0 7437.5 28655.0 ; + RECT 7372.5 30000.0 7437.5 29815.0 ; + RECT 6822.5 28997.5 6887.5 28862.5 ; + RECT 7012.5 28997.5 7077.5 28862.5 ; + RECT 7012.5 28997.5 7077.5 28862.5 ; + RECT 6822.5 28997.5 6887.5 28862.5 ; + RECT 7012.5 28997.5 7077.5 28862.5 ; + RECT 7202.5 28997.5 7267.5 28862.5 ; + RECT 7202.5 28997.5 7267.5 28862.5 ; + RECT 7012.5 28997.5 7077.5 28862.5 ; + RECT 6822.5 29837.5 6887.5 29702.5 ; + RECT 7012.5 29837.5 7077.5 29702.5 ; + RECT 7012.5 29837.5 7077.5 29702.5 ; + RECT 6822.5 29837.5 6887.5 29702.5 ; + RECT 7012.5 29837.5 7077.5 29702.5 ; + RECT 7202.5 29837.5 7267.5 29702.5 ; + RECT 7202.5 29837.5 7267.5 29702.5 ; + RECT 7012.5 29837.5 7077.5 29702.5 ; + RECT 7372.5 28907.5 7437.5 28772.5 ; + RECT 7372.5 29882.5 7437.5 29747.5 ; + RECT 7207.5 29607.5 7072.5 29542.5 ; + RECT 6950.0 29392.5 6815.0 29327.5 ; + RECT 7012.5 28997.5 7077.5 28862.5 ; + RECT 7202.5 29837.5 7267.5 29702.5 ; + RECT 7302.5 29392.5 7167.5 29327.5 ; + RECT 6815.0 29392.5 6950.0 29327.5 ; + RECT 7072.5 29607.5 7207.5 29542.5 ; + RECT 7167.5 29392.5 7302.5 29327.5 ; + RECT 6755.0 28687.5 7675.0 28622.5 ; + RECT 6755.0 30032.5 7675.0 29967.5 ; + RECT 6822.5 30162.5 6887.5 29967.5 ; + RECT 6822.5 31002.5 6887.5 31377.5 ; + RECT 7202.5 31002.5 7267.5 31377.5 ; + RECT 7372.5 31160.0 7437.5 31345.0 ; + RECT 7372.5 30000.0 7437.5 30185.0 ; + RECT 6822.5 31002.5 6887.5 31137.5 ; + RECT 7012.5 31002.5 7077.5 31137.5 ; + RECT 7012.5 31002.5 7077.5 31137.5 ; + RECT 6822.5 31002.5 6887.5 31137.5 ; + RECT 7012.5 31002.5 7077.5 31137.5 ; + RECT 7202.5 31002.5 7267.5 31137.5 ; + RECT 7202.5 31002.5 7267.5 31137.5 ; + RECT 7012.5 31002.5 7077.5 31137.5 ; + RECT 6822.5 30162.5 6887.5 30297.5 ; + RECT 7012.5 30162.5 7077.5 30297.5 ; + RECT 7012.5 30162.5 7077.5 30297.5 ; + RECT 6822.5 30162.5 6887.5 30297.5 ; + RECT 7012.5 30162.5 7077.5 30297.5 ; + RECT 7202.5 30162.5 7267.5 30297.5 ; + RECT 7202.5 30162.5 7267.5 30297.5 ; + RECT 7012.5 30162.5 7077.5 30297.5 ; + RECT 7372.5 31092.5 7437.5 31227.5 ; + RECT 7372.5 30117.5 7437.5 30252.5 ; + RECT 7207.5 30392.5 7072.5 30457.5 ; + RECT 6950.0 30607.5 6815.0 30672.5 ; + RECT 7012.5 31002.5 7077.5 31137.5 ; + RECT 7202.5 30162.5 7267.5 30297.5 ; + RECT 7302.5 30607.5 7167.5 30672.5 ; + RECT 6815.0 30607.5 6950.0 30672.5 ; + RECT 7072.5 30392.5 7207.5 30457.5 ; + RECT 7167.5 30607.5 7302.5 30672.5 ; + RECT 6755.0 31312.5 7675.0 31377.5 ; + RECT 6755.0 29967.5 7675.0 30032.5 ; + RECT 6822.5 32527.5 6887.5 32722.5 ; + RECT 6822.5 31687.5 6887.5 31312.5 ; + RECT 7202.5 31687.5 7267.5 31312.5 ; + RECT 7372.5 31530.0 7437.5 31345.0 ; + RECT 7372.5 32690.0 7437.5 32505.0 ; + RECT 6822.5 31687.5 6887.5 31552.5 ; + RECT 7012.5 31687.5 7077.5 31552.5 ; + RECT 7012.5 31687.5 7077.5 31552.5 ; + RECT 6822.5 31687.5 6887.5 31552.5 ; + RECT 7012.5 31687.5 7077.5 31552.5 ; + RECT 7202.5 31687.5 7267.5 31552.5 ; + RECT 7202.5 31687.5 7267.5 31552.5 ; + RECT 7012.5 31687.5 7077.5 31552.5 ; + RECT 6822.5 32527.5 6887.5 32392.5 ; + RECT 7012.5 32527.5 7077.5 32392.5 ; + RECT 7012.5 32527.5 7077.5 32392.5 ; + RECT 6822.5 32527.5 6887.5 32392.5 ; + RECT 7012.5 32527.5 7077.5 32392.5 ; + RECT 7202.5 32527.5 7267.5 32392.5 ; + RECT 7202.5 32527.5 7267.5 32392.5 ; + RECT 7012.5 32527.5 7077.5 32392.5 ; + RECT 7372.5 31597.5 7437.5 31462.5 ; + RECT 7372.5 32572.5 7437.5 32437.5 ; + RECT 7207.5 32297.5 7072.5 32232.5 ; + RECT 6950.0 32082.5 6815.0 32017.5 ; + RECT 7012.5 31687.5 7077.5 31552.5 ; + RECT 7202.5 32527.5 7267.5 32392.5 ; + RECT 7302.5 32082.5 7167.5 32017.5 ; + RECT 6815.0 32082.5 6950.0 32017.5 ; + RECT 7072.5 32297.5 7207.5 32232.5 ; + RECT 7167.5 32082.5 7302.5 32017.5 ; + RECT 6755.0 31377.5 7675.0 31312.5 ; + RECT 6755.0 32722.5 7675.0 32657.5 ; + RECT 6822.5 32852.5 6887.5 32657.5 ; + RECT 6822.5 33692.5 6887.5 34067.5 ; + RECT 7202.5 33692.5 7267.5 34067.5 ; + RECT 7372.5 33850.0 7437.5 34035.0 ; + RECT 7372.5 32690.0 7437.5 32875.0 ; + RECT 6822.5 33692.5 6887.5 33827.5 ; + RECT 7012.5 33692.5 7077.5 33827.5 ; + RECT 7012.5 33692.5 7077.5 33827.5 ; + RECT 6822.5 33692.5 6887.5 33827.5 ; + RECT 7012.5 33692.5 7077.5 33827.5 ; + RECT 7202.5 33692.5 7267.5 33827.5 ; + RECT 7202.5 33692.5 7267.5 33827.5 ; + RECT 7012.5 33692.5 7077.5 33827.5 ; + RECT 6822.5 32852.5 6887.5 32987.5 ; + RECT 7012.5 32852.5 7077.5 32987.5 ; + RECT 7012.5 32852.5 7077.5 32987.5 ; + RECT 6822.5 32852.5 6887.5 32987.5 ; + RECT 7012.5 32852.5 7077.5 32987.5 ; + RECT 7202.5 32852.5 7267.5 32987.5 ; + RECT 7202.5 32852.5 7267.5 32987.5 ; + RECT 7012.5 32852.5 7077.5 32987.5 ; + RECT 7372.5 33782.5 7437.5 33917.5 ; + RECT 7372.5 32807.5 7437.5 32942.5 ; + RECT 7207.5 33082.5 7072.5 33147.5 ; + RECT 6950.0 33297.5 6815.0 33362.5 ; + RECT 7012.5 33692.5 7077.5 33827.5 ; + RECT 7202.5 32852.5 7267.5 32987.5 ; + RECT 7302.5 33297.5 7167.5 33362.5 ; + RECT 6815.0 33297.5 6950.0 33362.5 ; + RECT 7072.5 33082.5 7207.5 33147.5 ; + RECT 7167.5 33297.5 7302.5 33362.5 ; + RECT 6755.0 34002.5 7675.0 34067.5 ; + RECT 6755.0 32657.5 7675.0 32722.5 ; + RECT 6822.5 35217.5 6887.5 35412.5 ; + RECT 6822.5 34377.5 6887.5 34002.5 ; + RECT 7202.5 34377.5 7267.5 34002.5 ; + RECT 7372.5 34220.0 7437.5 34035.0 ; + RECT 7372.5 35380.0 7437.5 35195.0 ; + RECT 6822.5 34377.5 6887.5 34242.5 ; + RECT 7012.5 34377.5 7077.5 34242.5 ; + RECT 7012.5 34377.5 7077.5 34242.5 ; + RECT 6822.5 34377.5 6887.5 34242.5 ; + RECT 7012.5 34377.5 7077.5 34242.5 ; + RECT 7202.5 34377.5 7267.5 34242.5 ; + RECT 7202.5 34377.5 7267.5 34242.5 ; + RECT 7012.5 34377.5 7077.5 34242.5 ; + RECT 6822.5 35217.5 6887.5 35082.5 ; + RECT 7012.5 35217.5 7077.5 35082.5 ; + RECT 7012.5 35217.5 7077.5 35082.5 ; + RECT 6822.5 35217.5 6887.5 35082.5 ; + RECT 7012.5 35217.5 7077.5 35082.5 ; + RECT 7202.5 35217.5 7267.5 35082.5 ; + RECT 7202.5 35217.5 7267.5 35082.5 ; + RECT 7012.5 35217.5 7077.5 35082.5 ; + RECT 7372.5 34287.5 7437.5 34152.5 ; + RECT 7372.5 35262.5 7437.5 35127.5 ; + RECT 7207.5 34987.5 7072.5 34922.5 ; + RECT 6950.0 34772.5 6815.0 34707.5 ; + RECT 7012.5 34377.5 7077.5 34242.5 ; + RECT 7202.5 35217.5 7267.5 35082.5 ; + RECT 7302.5 34772.5 7167.5 34707.5 ; + RECT 6815.0 34772.5 6950.0 34707.5 ; + RECT 7072.5 34987.5 7207.5 34922.5 ; + RECT 7167.5 34772.5 7302.5 34707.5 ; + RECT 6755.0 34067.5 7675.0 34002.5 ; + RECT 6755.0 35412.5 7675.0 35347.5 ; + RECT 6822.5 35542.5 6887.5 35347.5 ; + RECT 6822.5 36382.5 6887.5 36757.5 ; + RECT 7202.5 36382.5 7267.5 36757.5 ; + RECT 7372.5 36540.0 7437.5 36725.0 ; + RECT 7372.5 35380.0 7437.5 35565.0 ; + RECT 6822.5 36382.5 6887.5 36517.5 ; + RECT 7012.5 36382.5 7077.5 36517.5 ; + RECT 7012.5 36382.5 7077.5 36517.5 ; + RECT 6822.5 36382.5 6887.5 36517.5 ; + RECT 7012.5 36382.5 7077.5 36517.5 ; + RECT 7202.5 36382.5 7267.5 36517.5 ; + RECT 7202.5 36382.5 7267.5 36517.5 ; + RECT 7012.5 36382.5 7077.5 36517.5 ; + RECT 6822.5 35542.5 6887.5 35677.5 ; + RECT 7012.5 35542.5 7077.5 35677.5 ; + RECT 7012.5 35542.5 7077.5 35677.5 ; + RECT 6822.5 35542.5 6887.5 35677.5 ; + RECT 7012.5 35542.5 7077.5 35677.5 ; + RECT 7202.5 35542.5 7267.5 35677.5 ; + RECT 7202.5 35542.5 7267.5 35677.5 ; + RECT 7012.5 35542.5 7077.5 35677.5 ; + RECT 7372.5 36472.5 7437.5 36607.5 ; + RECT 7372.5 35497.5 7437.5 35632.5 ; + RECT 7207.5 35772.5 7072.5 35837.5 ; + RECT 6950.0 35987.5 6815.0 36052.5 ; + RECT 7012.5 36382.5 7077.5 36517.5 ; + RECT 7202.5 35542.5 7267.5 35677.5 ; + RECT 7302.5 35987.5 7167.5 36052.5 ; + RECT 6815.0 35987.5 6950.0 36052.5 ; + RECT 7072.5 35772.5 7207.5 35837.5 ; + RECT 7167.5 35987.5 7302.5 36052.5 ; + RECT 6755.0 36692.5 7675.0 36757.5 ; + RECT 6755.0 35347.5 7675.0 35412.5 ; + RECT 6822.5 37907.5 6887.5 38102.5 ; + RECT 6822.5 37067.5 6887.5 36692.5 ; + RECT 7202.5 37067.5 7267.5 36692.5 ; + RECT 7372.5 36910.0 7437.5 36725.0 ; + RECT 7372.5 38070.0 7437.5 37885.0 ; + RECT 6822.5 37067.5 6887.5 36932.5 ; + RECT 7012.5 37067.5 7077.5 36932.5 ; + RECT 7012.5 37067.5 7077.5 36932.5 ; + RECT 6822.5 37067.5 6887.5 36932.5 ; + RECT 7012.5 37067.5 7077.5 36932.5 ; + RECT 7202.5 37067.5 7267.5 36932.5 ; + RECT 7202.5 37067.5 7267.5 36932.5 ; + RECT 7012.5 37067.5 7077.5 36932.5 ; + RECT 6822.5 37907.5 6887.5 37772.5 ; + RECT 7012.5 37907.5 7077.5 37772.5 ; + RECT 7012.5 37907.5 7077.5 37772.5 ; + RECT 6822.5 37907.5 6887.5 37772.5 ; + RECT 7012.5 37907.5 7077.5 37772.5 ; + RECT 7202.5 37907.5 7267.5 37772.5 ; + RECT 7202.5 37907.5 7267.5 37772.5 ; + RECT 7012.5 37907.5 7077.5 37772.5 ; + RECT 7372.5 36977.5 7437.5 36842.5 ; + RECT 7372.5 37952.5 7437.5 37817.5 ; + RECT 7207.5 37677.5 7072.5 37612.5 ; + RECT 6950.0 37462.5 6815.0 37397.5 ; + RECT 7012.5 37067.5 7077.5 36932.5 ; + RECT 7202.5 37907.5 7267.5 37772.5 ; + RECT 7302.5 37462.5 7167.5 37397.5 ; + RECT 6815.0 37462.5 6950.0 37397.5 ; + RECT 7072.5 37677.5 7207.5 37612.5 ; + RECT 7167.5 37462.5 7302.5 37397.5 ; + RECT 6755.0 36757.5 7675.0 36692.5 ; + RECT 6755.0 38102.5 7675.0 38037.5 ; + RECT 6822.5 38232.5 6887.5 38037.5 ; + RECT 6822.5 39072.5 6887.5 39447.5 ; + RECT 7202.5 39072.5 7267.5 39447.5 ; + RECT 7372.5 39230.0 7437.5 39415.0 ; + RECT 7372.5 38070.0 7437.5 38255.0 ; + RECT 6822.5 39072.5 6887.5 39207.5 ; + RECT 7012.5 39072.5 7077.5 39207.5 ; + RECT 7012.5 39072.5 7077.5 39207.5 ; + RECT 6822.5 39072.5 6887.5 39207.5 ; + RECT 7012.5 39072.5 7077.5 39207.5 ; + RECT 7202.5 39072.5 7267.5 39207.5 ; + RECT 7202.5 39072.5 7267.5 39207.5 ; + RECT 7012.5 39072.5 7077.5 39207.5 ; + RECT 6822.5 38232.5 6887.5 38367.5 ; + RECT 7012.5 38232.5 7077.5 38367.5 ; + RECT 7012.5 38232.5 7077.5 38367.5 ; + RECT 6822.5 38232.5 6887.5 38367.5 ; + RECT 7012.5 38232.5 7077.5 38367.5 ; + RECT 7202.5 38232.5 7267.5 38367.5 ; + RECT 7202.5 38232.5 7267.5 38367.5 ; + RECT 7012.5 38232.5 7077.5 38367.5 ; + RECT 7372.5 39162.5 7437.5 39297.5 ; + RECT 7372.5 38187.5 7437.5 38322.5 ; + RECT 7207.5 38462.5 7072.5 38527.5 ; + RECT 6950.0 38677.5 6815.0 38742.5 ; + RECT 7012.5 39072.5 7077.5 39207.5 ; + RECT 7202.5 38232.5 7267.5 38367.5 ; + RECT 7302.5 38677.5 7167.5 38742.5 ; + RECT 6815.0 38677.5 6950.0 38742.5 ; + RECT 7072.5 38462.5 7207.5 38527.5 ; + RECT 7167.5 38677.5 7302.5 38742.5 ; + RECT 6755.0 39382.5 7675.0 39447.5 ; + RECT 6755.0 38037.5 7675.0 38102.5 ; + RECT 6822.5 40597.5 6887.5 40792.5 ; + RECT 6822.5 39757.5 6887.5 39382.5 ; + RECT 7202.5 39757.5 7267.5 39382.5 ; + RECT 7372.5 39600.0 7437.5 39415.0 ; + RECT 7372.5 40760.0 7437.5 40575.0 ; + RECT 6822.5 39757.5 6887.5 39622.5 ; + RECT 7012.5 39757.5 7077.5 39622.5 ; + RECT 7012.5 39757.5 7077.5 39622.5 ; + RECT 6822.5 39757.5 6887.5 39622.5 ; + RECT 7012.5 39757.5 7077.5 39622.5 ; + RECT 7202.5 39757.5 7267.5 39622.5 ; + RECT 7202.5 39757.5 7267.5 39622.5 ; + RECT 7012.5 39757.5 7077.5 39622.5 ; + RECT 6822.5 40597.5 6887.5 40462.5 ; + RECT 7012.5 40597.5 7077.5 40462.5 ; + RECT 7012.5 40597.5 7077.5 40462.5 ; + RECT 6822.5 40597.5 6887.5 40462.5 ; + RECT 7012.5 40597.5 7077.5 40462.5 ; + RECT 7202.5 40597.5 7267.5 40462.5 ; + RECT 7202.5 40597.5 7267.5 40462.5 ; + RECT 7012.5 40597.5 7077.5 40462.5 ; + RECT 7372.5 39667.5 7437.5 39532.5 ; + RECT 7372.5 40642.5 7437.5 40507.5 ; + RECT 7207.5 40367.5 7072.5 40302.5 ; + RECT 6950.0 40152.5 6815.0 40087.5 ; + RECT 7012.5 39757.5 7077.5 39622.5 ; + RECT 7202.5 40597.5 7267.5 40462.5 ; + RECT 7302.5 40152.5 7167.5 40087.5 ; + RECT 6815.0 40152.5 6950.0 40087.5 ; + RECT 7072.5 40367.5 7207.5 40302.5 ; + RECT 7167.5 40152.5 7302.5 40087.5 ; + RECT 6755.0 39447.5 7675.0 39382.5 ; + RECT 6755.0 40792.5 7675.0 40727.5 ; + RECT 6822.5 40922.5 6887.5 40727.5 ; + RECT 6822.5 41762.5 6887.5 42137.5 ; + RECT 7202.5 41762.5 7267.5 42137.5 ; + RECT 7372.5 41920.0 7437.5 42105.0 ; + RECT 7372.5 40760.0 7437.5 40945.0 ; + RECT 6822.5 41762.5 6887.5 41897.5 ; + RECT 7012.5 41762.5 7077.5 41897.5 ; + RECT 7012.5 41762.5 7077.5 41897.5 ; + RECT 6822.5 41762.5 6887.5 41897.5 ; + RECT 7012.5 41762.5 7077.5 41897.5 ; + RECT 7202.5 41762.5 7267.5 41897.5 ; + RECT 7202.5 41762.5 7267.5 41897.5 ; + RECT 7012.5 41762.5 7077.5 41897.5 ; + RECT 6822.5 40922.5 6887.5 41057.5 ; + RECT 7012.5 40922.5 7077.5 41057.5 ; + RECT 7012.5 40922.5 7077.5 41057.5 ; + RECT 6822.5 40922.5 6887.5 41057.5 ; + RECT 7012.5 40922.5 7077.5 41057.5 ; + RECT 7202.5 40922.5 7267.5 41057.5 ; + RECT 7202.5 40922.5 7267.5 41057.5 ; + RECT 7012.5 40922.5 7077.5 41057.5 ; + RECT 7372.5 41852.5 7437.5 41987.5 ; + RECT 7372.5 40877.5 7437.5 41012.5 ; + RECT 7207.5 41152.5 7072.5 41217.5 ; + RECT 6950.0 41367.5 6815.0 41432.5 ; + RECT 7012.5 41762.5 7077.5 41897.5 ; + RECT 7202.5 40922.5 7267.5 41057.5 ; + RECT 7302.5 41367.5 7167.5 41432.5 ; + RECT 6815.0 41367.5 6950.0 41432.5 ; + RECT 7072.5 41152.5 7207.5 41217.5 ; + RECT 7167.5 41367.5 7302.5 41432.5 ; + RECT 6755.0 42072.5 7675.0 42137.5 ; + RECT 6755.0 40727.5 7675.0 40792.5 ; + RECT 6822.5 43287.5 6887.5 43482.5 ; + RECT 6822.5 42447.5 6887.5 42072.5 ; + RECT 7202.5 42447.5 7267.5 42072.5 ; + RECT 7372.5 42290.0 7437.5 42105.0 ; + RECT 7372.5 43450.0 7437.5 43265.0 ; + RECT 6822.5 42447.5 6887.5 42312.5 ; + RECT 7012.5 42447.5 7077.5 42312.5 ; + RECT 7012.5 42447.5 7077.5 42312.5 ; + RECT 6822.5 42447.5 6887.5 42312.5 ; + RECT 7012.5 42447.5 7077.5 42312.5 ; + RECT 7202.5 42447.5 7267.5 42312.5 ; + RECT 7202.5 42447.5 7267.5 42312.5 ; + RECT 7012.5 42447.5 7077.5 42312.5 ; + RECT 6822.5 43287.5 6887.5 43152.5 ; + RECT 7012.5 43287.5 7077.5 43152.5 ; + RECT 7012.5 43287.5 7077.5 43152.5 ; + RECT 6822.5 43287.5 6887.5 43152.5 ; + RECT 7012.5 43287.5 7077.5 43152.5 ; + RECT 7202.5 43287.5 7267.5 43152.5 ; + RECT 7202.5 43287.5 7267.5 43152.5 ; + RECT 7012.5 43287.5 7077.5 43152.5 ; + RECT 7372.5 42357.5 7437.5 42222.5 ; + RECT 7372.5 43332.5 7437.5 43197.5 ; + RECT 7207.5 43057.5 7072.5 42992.5 ; + RECT 6950.0 42842.5 6815.0 42777.5 ; + RECT 7012.5 42447.5 7077.5 42312.5 ; + RECT 7202.5 43287.5 7267.5 43152.5 ; + RECT 7302.5 42842.5 7167.5 42777.5 ; + RECT 6815.0 42842.5 6950.0 42777.5 ; + RECT 7072.5 43057.5 7207.5 42992.5 ; + RECT 7167.5 42842.5 7302.5 42777.5 ; + RECT 6755.0 42137.5 7675.0 42072.5 ; + RECT 6755.0 43482.5 7675.0 43417.5 ; + RECT 6822.5 43612.5 6887.5 43417.5 ; + RECT 6822.5 44452.5 6887.5 44827.5 ; + RECT 7202.5 44452.5 7267.5 44827.5 ; + RECT 7372.5 44610.0 7437.5 44795.0 ; + RECT 7372.5 43450.0 7437.5 43635.0 ; + RECT 6822.5 44452.5 6887.5 44587.5 ; + RECT 7012.5 44452.5 7077.5 44587.5 ; + RECT 7012.5 44452.5 7077.5 44587.5 ; + RECT 6822.5 44452.5 6887.5 44587.5 ; + RECT 7012.5 44452.5 7077.5 44587.5 ; + RECT 7202.5 44452.5 7267.5 44587.5 ; + RECT 7202.5 44452.5 7267.5 44587.5 ; + RECT 7012.5 44452.5 7077.5 44587.5 ; + RECT 6822.5 43612.5 6887.5 43747.5 ; + RECT 7012.5 43612.5 7077.5 43747.5 ; + RECT 7012.5 43612.5 7077.5 43747.5 ; + RECT 6822.5 43612.5 6887.5 43747.5 ; + RECT 7012.5 43612.5 7077.5 43747.5 ; + RECT 7202.5 43612.5 7267.5 43747.5 ; + RECT 7202.5 43612.5 7267.5 43747.5 ; + RECT 7012.5 43612.5 7077.5 43747.5 ; + RECT 7372.5 44542.5 7437.5 44677.5 ; + RECT 7372.5 43567.5 7437.5 43702.5 ; + RECT 7207.5 43842.5 7072.5 43907.5 ; + RECT 6950.0 44057.5 6815.0 44122.5 ; + RECT 7012.5 44452.5 7077.5 44587.5 ; + RECT 7202.5 43612.5 7267.5 43747.5 ; + RECT 7302.5 44057.5 7167.5 44122.5 ; + RECT 6815.0 44057.5 6950.0 44122.5 ; + RECT 7072.5 43842.5 7207.5 43907.5 ; + RECT 7167.5 44057.5 7302.5 44122.5 ; + RECT 6755.0 44762.5 7675.0 44827.5 ; + RECT 6755.0 43417.5 7675.0 43482.5 ; + RECT 6822.5 45977.5 6887.5 46172.5 ; + RECT 6822.5 45137.5 6887.5 44762.5 ; + RECT 7202.5 45137.5 7267.5 44762.5 ; + RECT 7372.5 44980.0 7437.5 44795.0 ; + RECT 7372.5 46140.0 7437.5 45955.0 ; + RECT 6822.5 45137.5 6887.5 45002.5 ; + RECT 7012.5 45137.5 7077.5 45002.5 ; + RECT 7012.5 45137.5 7077.5 45002.5 ; + RECT 6822.5 45137.5 6887.5 45002.5 ; + RECT 7012.5 45137.5 7077.5 45002.5 ; + RECT 7202.5 45137.5 7267.5 45002.5 ; + RECT 7202.5 45137.5 7267.5 45002.5 ; + RECT 7012.5 45137.5 7077.5 45002.5 ; + RECT 6822.5 45977.5 6887.5 45842.5 ; + RECT 7012.5 45977.5 7077.5 45842.5 ; + RECT 7012.5 45977.5 7077.5 45842.5 ; + RECT 6822.5 45977.5 6887.5 45842.5 ; + RECT 7012.5 45977.5 7077.5 45842.5 ; + RECT 7202.5 45977.5 7267.5 45842.5 ; + RECT 7202.5 45977.5 7267.5 45842.5 ; + RECT 7012.5 45977.5 7077.5 45842.5 ; + RECT 7372.5 45047.5 7437.5 44912.5 ; + RECT 7372.5 46022.5 7437.5 45887.5 ; + RECT 7207.5 45747.5 7072.5 45682.5 ; + RECT 6950.0 45532.5 6815.0 45467.5 ; + RECT 7012.5 45137.5 7077.5 45002.5 ; + RECT 7202.5 45977.5 7267.5 45842.5 ; + RECT 7302.5 45532.5 7167.5 45467.5 ; + RECT 6815.0 45532.5 6950.0 45467.5 ; + RECT 7072.5 45747.5 7207.5 45682.5 ; + RECT 7167.5 45532.5 7302.5 45467.5 ; + RECT 6755.0 44827.5 7675.0 44762.5 ; + RECT 6755.0 46172.5 7675.0 46107.5 ; + RECT 6822.5 46302.5 6887.5 46107.5 ; + RECT 6822.5 47142.5 6887.5 47517.5 ; + RECT 7202.5 47142.5 7267.5 47517.5 ; + RECT 7372.5 47300.0 7437.5 47485.0 ; + RECT 7372.5 46140.0 7437.5 46325.0 ; + RECT 6822.5 47142.5 6887.5 47277.5 ; + RECT 7012.5 47142.5 7077.5 47277.5 ; + RECT 7012.5 47142.5 7077.5 47277.5 ; + RECT 6822.5 47142.5 6887.5 47277.5 ; + RECT 7012.5 47142.5 7077.5 47277.5 ; + RECT 7202.5 47142.5 7267.5 47277.5 ; + RECT 7202.5 47142.5 7267.5 47277.5 ; + RECT 7012.5 47142.5 7077.5 47277.5 ; + RECT 6822.5 46302.5 6887.5 46437.5 ; + RECT 7012.5 46302.5 7077.5 46437.5 ; + RECT 7012.5 46302.5 7077.5 46437.5 ; + RECT 6822.5 46302.5 6887.5 46437.5 ; + RECT 7012.5 46302.5 7077.5 46437.5 ; + RECT 7202.5 46302.5 7267.5 46437.5 ; + RECT 7202.5 46302.5 7267.5 46437.5 ; + RECT 7012.5 46302.5 7077.5 46437.5 ; + RECT 7372.5 47232.5 7437.5 47367.5 ; + RECT 7372.5 46257.5 7437.5 46392.5 ; + RECT 7207.5 46532.5 7072.5 46597.5 ; + RECT 6950.0 46747.5 6815.0 46812.5 ; + RECT 7012.5 47142.5 7077.5 47277.5 ; + RECT 7202.5 46302.5 7267.5 46437.5 ; + RECT 7302.5 46747.5 7167.5 46812.5 ; + RECT 6815.0 46747.5 6950.0 46812.5 ; + RECT 7072.5 46532.5 7207.5 46597.5 ; + RECT 7167.5 46747.5 7302.5 46812.5 ; + RECT 6755.0 47452.5 7675.0 47517.5 ; + RECT 6755.0 46107.5 7675.0 46172.5 ; + RECT 6822.5 48667.5 6887.5 48862.5 ; + RECT 6822.5 47827.5 6887.5 47452.5 ; + RECT 7202.5 47827.5 7267.5 47452.5 ; + RECT 7372.5 47670.0 7437.5 47485.0 ; + RECT 7372.5 48830.0 7437.5 48645.0 ; + RECT 6822.5 47827.5 6887.5 47692.5 ; + RECT 7012.5 47827.5 7077.5 47692.5 ; + RECT 7012.5 47827.5 7077.5 47692.5 ; + RECT 6822.5 47827.5 6887.5 47692.5 ; + RECT 7012.5 47827.5 7077.5 47692.5 ; + RECT 7202.5 47827.5 7267.5 47692.5 ; + RECT 7202.5 47827.5 7267.5 47692.5 ; + RECT 7012.5 47827.5 7077.5 47692.5 ; + RECT 6822.5 48667.5 6887.5 48532.5 ; + RECT 7012.5 48667.5 7077.5 48532.5 ; + RECT 7012.5 48667.5 7077.5 48532.5 ; + RECT 6822.5 48667.5 6887.5 48532.5 ; + RECT 7012.5 48667.5 7077.5 48532.5 ; + RECT 7202.5 48667.5 7267.5 48532.5 ; + RECT 7202.5 48667.5 7267.5 48532.5 ; + RECT 7012.5 48667.5 7077.5 48532.5 ; + RECT 7372.5 47737.5 7437.5 47602.5 ; + RECT 7372.5 48712.5 7437.5 48577.5 ; + RECT 7207.5 48437.5 7072.5 48372.5 ; + RECT 6950.0 48222.5 6815.0 48157.5 ; + RECT 7012.5 47827.5 7077.5 47692.5 ; + RECT 7202.5 48667.5 7267.5 48532.5 ; + RECT 7302.5 48222.5 7167.5 48157.5 ; + RECT 6815.0 48222.5 6950.0 48157.5 ; + RECT 7072.5 48437.5 7207.5 48372.5 ; + RECT 7167.5 48222.5 7302.5 48157.5 ; + RECT 6755.0 47517.5 7675.0 47452.5 ; + RECT 6755.0 48862.5 7675.0 48797.5 ; + RECT 6822.5 48992.5 6887.5 48797.5 ; + RECT 6822.5 49832.5 6887.5 50207.5 ; + RECT 7202.5 49832.5 7267.5 50207.5 ; + RECT 7372.5 49990.0 7437.5 50175.0 ; + RECT 7372.5 48830.0 7437.5 49015.0 ; + RECT 6822.5 49832.5 6887.5 49967.5 ; + RECT 7012.5 49832.5 7077.5 49967.5 ; + RECT 7012.5 49832.5 7077.5 49967.5 ; + RECT 6822.5 49832.5 6887.5 49967.5 ; + RECT 7012.5 49832.5 7077.5 49967.5 ; + RECT 7202.5 49832.5 7267.5 49967.5 ; + RECT 7202.5 49832.5 7267.5 49967.5 ; + RECT 7012.5 49832.5 7077.5 49967.5 ; + RECT 6822.5 48992.5 6887.5 49127.5 ; + RECT 7012.5 48992.5 7077.5 49127.5 ; + RECT 7012.5 48992.5 7077.5 49127.5 ; + RECT 6822.5 48992.5 6887.5 49127.5 ; + RECT 7012.5 48992.5 7077.5 49127.5 ; + RECT 7202.5 48992.5 7267.5 49127.5 ; + RECT 7202.5 48992.5 7267.5 49127.5 ; + RECT 7012.5 48992.5 7077.5 49127.5 ; + RECT 7372.5 49922.5 7437.5 50057.5 ; + RECT 7372.5 48947.5 7437.5 49082.5 ; + RECT 7207.5 49222.5 7072.5 49287.5 ; + RECT 6950.0 49437.5 6815.0 49502.5 ; + RECT 7012.5 49832.5 7077.5 49967.5 ; + RECT 7202.5 48992.5 7267.5 49127.5 ; + RECT 7302.5 49437.5 7167.5 49502.5 ; + RECT 6815.0 49437.5 6950.0 49502.5 ; + RECT 7072.5 49222.5 7207.5 49287.5 ; + RECT 7167.5 49437.5 7302.5 49502.5 ; + RECT 6755.0 50142.5 7675.0 50207.5 ; + RECT 6755.0 48797.5 7675.0 48862.5 ; + RECT 6822.5 51357.5 6887.5 51552.5 ; + RECT 6822.5 50517.5 6887.5 50142.5 ; + RECT 7202.5 50517.5 7267.5 50142.5 ; + RECT 7372.5 50360.0 7437.5 50175.0 ; + RECT 7372.5 51520.0 7437.5 51335.0 ; + RECT 6822.5 50517.5 6887.5 50382.5 ; + RECT 7012.5 50517.5 7077.5 50382.5 ; + RECT 7012.5 50517.5 7077.5 50382.5 ; + RECT 6822.5 50517.5 6887.5 50382.5 ; + RECT 7012.5 50517.5 7077.5 50382.5 ; + RECT 7202.5 50517.5 7267.5 50382.5 ; + RECT 7202.5 50517.5 7267.5 50382.5 ; + RECT 7012.5 50517.5 7077.5 50382.5 ; + RECT 6822.5 51357.5 6887.5 51222.5 ; + RECT 7012.5 51357.5 7077.5 51222.5 ; + RECT 7012.5 51357.5 7077.5 51222.5 ; + RECT 6822.5 51357.5 6887.5 51222.5 ; + RECT 7012.5 51357.5 7077.5 51222.5 ; + RECT 7202.5 51357.5 7267.5 51222.5 ; + RECT 7202.5 51357.5 7267.5 51222.5 ; + RECT 7012.5 51357.5 7077.5 51222.5 ; + RECT 7372.5 50427.5 7437.5 50292.5 ; + RECT 7372.5 51402.5 7437.5 51267.5 ; + RECT 7207.5 51127.5 7072.5 51062.5 ; + RECT 6950.0 50912.5 6815.0 50847.5 ; + RECT 7012.5 50517.5 7077.5 50382.5 ; + RECT 7202.5 51357.5 7267.5 51222.5 ; + RECT 7302.5 50912.5 7167.5 50847.5 ; + RECT 6815.0 50912.5 6950.0 50847.5 ; + RECT 7072.5 51127.5 7207.5 51062.5 ; + RECT 7167.5 50912.5 7302.5 50847.5 ; + RECT 6755.0 50207.5 7675.0 50142.5 ; + RECT 6755.0 51552.5 7675.0 51487.5 ; + RECT 6822.5 51682.5 6887.5 51487.5 ; + RECT 6822.5 52522.5 6887.5 52897.5 ; + RECT 7202.5 52522.5 7267.5 52897.5 ; + RECT 7372.5 52680.0 7437.5 52865.0 ; + RECT 7372.5 51520.0 7437.5 51705.0 ; + RECT 6822.5 52522.5 6887.5 52657.5 ; + RECT 7012.5 52522.5 7077.5 52657.5 ; + RECT 7012.5 52522.5 7077.5 52657.5 ; + RECT 6822.5 52522.5 6887.5 52657.5 ; + RECT 7012.5 52522.5 7077.5 52657.5 ; + RECT 7202.5 52522.5 7267.5 52657.5 ; + RECT 7202.5 52522.5 7267.5 52657.5 ; + RECT 7012.5 52522.5 7077.5 52657.5 ; + RECT 6822.5 51682.5 6887.5 51817.5 ; + RECT 7012.5 51682.5 7077.5 51817.5 ; + RECT 7012.5 51682.5 7077.5 51817.5 ; + RECT 6822.5 51682.5 6887.5 51817.5 ; + RECT 7012.5 51682.5 7077.5 51817.5 ; + RECT 7202.5 51682.5 7267.5 51817.5 ; + RECT 7202.5 51682.5 7267.5 51817.5 ; + RECT 7012.5 51682.5 7077.5 51817.5 ; + RECT 7372.5 52612.5 7437.5 52747.5 ; + RECT 7372.5 51637.5 7437.5 51772.5 ; + RECT 7207.5 51912.5 7072.5 51977.5 ; + RECT 6950.0 52127.5 6815.0 52192.5 ; + RECT 7012.5 52522.5 7077.5 52657.5 ; + RECT 7202.5 51682.5 7267.5 51817.5 ; + RECT 7302.5 52127.5 7167.5 52192.5 ; + RECT 6815.0 52127.5 6950.0 52192.5 ; + RECT 7072.5 51912.5 7207.5 51977.5 ; + RECT 7167.5 52127.5 7302.5 52192.5 ; + RECT 6755.0 52832.5 7675.0 52897.5 ; + RECT 6755.0 51487.5 7675.0 51552.5 ; + RECT 6822.5 54047.5 6887.5 54242.5 ; + RECT 6822.5 53207.5 6887.5 52832.5 ; + RECT 7202.5 53207.5 7267.5 52832.5 ; + RECT 7372.5 53050.0 7437.5 52865.0 ; + RECT 7372.5 54210.0 7437.5 54025.0 ; + RECT 6822.5 53207.5 6887.5 53072.5 ; + RECT 7012.5 53207.5 7077.5 53072.5 ; + RECT 7012.5 53207.5 7077.5 53072.5 ; + RECT 6822.5 53207.5 6887.5 53072.5 ; + RECT 7012.5 53207.5 7077.5 53072.5 ; + RECT 7202.5 53207.5 7267.5 53072.5 ; + RECT 7202.5 53207.5 7267.5 53072.5 ; + RECT 7012.5 53207.5 7077.5 53072.5 ; + RECT 6822.5 54047.5 6887.5 53912.5 ; + RECT 7012.5 54047.5 7077.5 53912.5 ; + RECT 7012.5 54047.5 7077.5 53912.5 ; + RECT 6822.5 54047.5 6887.5 53912.5 ; + RECT 7012.5 54047.5 7077.5 53912.5 ; + RECT 7202.5 54047.5 7267.5 53912.5 ; + RECT 7202.5 54047.5 7267.5 53912.5 ; + RECT 7012.5 54047.5 7077.5 53912.5 ; + RECT 7372.5 53117.5 7437.5 52982.5 ; + RECT 7372.5 54092.5 7437.5 53957.5 ; + RECT 7207.5 53817.5 7072.5 53752.5 ; + RECT 6950.0 53602.5 6815.0 53537.5 ; + RECT 7012.5 53207.5 7077.5 53072.5 ; + RECT 7202.5 54047.5 7267.5 53912.5 ; + RECT 7302.5 53602.5 7167.5 53537.5 ; + RECT 6815.0 53602.5 6950.0 53537.5 ; + RECT 7072.5 53817.5 7207.5 53752.5 ; + RECT 7167.5 53602.5 7302.5 53537.5 ; + RECT 6755.0 52897.5 7675.0 52832.5 ; + RECT 6755.0 54242.5 7675.0 54177.5 ; + RECT 6822.5 54372.5 6887.5 54177.5 ; + RECT 6822.5 55212.5 6887.5 55587.5 ; + RECT 7202.5 55212.5 7267.5 55587.5 ; + RECT 7372.5 55370.0 7437.5 55555.0 ; + RECT 7372.5 54210.0 7437.5 54395.0 ; + RECT 6822.5 55212.5 6887.5 55347.5 ; + RECT 7012.5 55212.5 7077.5 55347.5 ; + RECT 7012.5 55212.5 7077.5 55347.5 ; + RECT 6822.5 55212.5 6887.5 55347.5 ; + RECT 7012.5 55212.5 7077.5 55347.5 ; + RECT 7202.5 55212.5 7267.5 55347.5 ; + RECT 7202.5 55212.5 7267.5 55347.5 ; + RECT 7012.5 55212.5 7077.5 55347.5 ; + RECT 6822.5 54372.5 6887.5 54507.5 ; + RECT 7012.5 54372.5 7077.5 54507.5 ; + RECT 7012.5 54372.5 7077.5 54507.5 ; + RECT 6822.5 54372.5 6887.5 54507.5 ; + RECT 7012.5 54372.5 7077.5 54507.5 ; + RECT 7202.5 54372.5 7267.5 54507.5 ; + RECT 7202.5 54372.5 7267.5 54507.5 ; + RECT 7012.5 54372.5 7077.5 54507.5 ; + RECT 7372.5 55302.5 7437.5 55437.5 ; + RECT 7372.5 54327.5 7437.5 54462.5 ; + RECT 7207.5 54602.5 7072.5 54667.5 ; + RECT 6950.0 54817.5 6815.0 54882.5 ; + RECT 7012.5 55212.5 7077.5 55347.5 ; + RECT 7202.5 54372.5 7267.5 54507.5 ; + RECT 7302.5 54817.5 7167.5 54882.5 ; + RECT 6815.0 54817.5 6950.0 54882.5 ; + RECT 7072.5 54602.5 7207.5 54667.5 ; + RECT 7167.5 54817.5 7302.5 54882.5 ; + RECT 6755.0 55522.5 7675.0 55587.5 ; + RECT 6755.0 54177.5 7675.0 54242.5 ; + RECT 6822.5 56737.5 6887.5 56932.5 ; + RECT 6822.5 55897.5 6887.5 55522.5 ; + RECT 7202.5 55897.5 7267.5 55522.5 ; + RECT 7372.5 55740.0 7437.5 55555.0 ; + RECT 7372.5 56900.0 7437.5 56715.0 ; + RECT 6822.5 55897.5 6887.5 55762.5 ; + RECT 7012.5 55897.5 7077.5 55762.5 ; + RECT 7012.5 55897.5 7077.5 55762.5 ; + RECT 6822.5 55897.5 6887.5 55762.5 ; + RECT 7012.5 55897.5 7077.5 55762.5 ; + RECT 7202.5 55897.5 7267.5 55762.5 ; + RECT 7202.5 55897.5 7267.5 55762.5 ; + RECT 7012.5 55897.5 7077.5 55762.5 ; + RECT 6822.5 56737.5 6887.5 56602.5 ; + RECT 7012.5 56737.5 7077.5 56602.5 ; + RECT 7012.5 56737.5 7077.5 56602.5 ; + RECT 6822.5 56737.5 6887.5 56602.5 ; + RECT 7012.5 56737.5 7077.5 56602.5 ; + RECT 7202.5 56737.5 7267.5 56602.5 ; + RECT 7202.5 56737.5 7267.5 56602.5 ; + RECT 7012.5 56737.5 7077.5 56602.5 ; + RECT 7372.5 55807.5 7437.5 55672.5 ; + RECT 7372.5 56782.5 7437.5 56647.5 ; + RECT 7207.5 56507.5 7072.5 56442.5 ; + RECT 6950.0 56292.5 6815.0 56227.5 ; + RECT 7012.5 55897.5 7077.5 55762.5 ; + RECT 7202.5 56737.5 7267.5 56602.5 ; + RECT 7302.5 56292.5 7167.5 56227.5 ; + RECT 6815.0 56292.5 6950.0 56227.5 ; + RECT 7072.5 56507.5 7207.5 56442.5 ; + RECT 7167.5 56292.5 7302.5 56227.5 ; + RECT 6755.0 55587.5 7675.0 55522.5 ; + RECT 6755.0 56932.5 7675.0 56867.5 ; + RECT 6822.5 57062.5 6887.5 56867.5 ; + RECT 6822.5 57902.5 6887.5 58277.5 ; + RECT 7202.5 57902.5 7267.5 58277.5 ; + RECT 7372.5 58060.0 7437.5 58245.0 ; + RECT 7372.5 56900.0 7437.5 57085.0 ; + RECT 6822.5 57902.5 6887.5 58037.5 ; + RECT 7012.5 57902.5 7077.5 58037.5 ; + RECT 7012.5 57902.5 7077.5 58037.5 ; + RECT 6822.5 57902.5 6887.5 58037.5 ; + RECT 7012.5 57902.5 7077.5 58037.5 ; + RECT 7202.5 57902.5 7267.5 58037.5 ; + RECT 7202.5 57902.5 7267.5 58037.5 ; + RECT 7012.5 57902.5 7077.5 58037.5 ; + RECT 6822.5 57062.5 6887.5 57197.5 ; + RECT 7012.5 57062.5 7077.5 57197.5 ; + RECT 7012.5 57062.5 7077.5 57197.5 ; + RECT 6822.5 57062.5 6887.5 57197.5 ; + RECT 7012.5 57062.5 7077.5 57197.5 ; + RECT 7202.5 57062.5 7267.5 57197.5 ; + RECT 7202.5 57062.5 7267.5 57197.5 ; + RECT 7012.5 57062.5 7077.5 57197.5 ; + RECT 7372.5 57992.5 7437.5 58127.5 ; + RECT 7372.5 57017.5 7437.5 57152.5 ; + RECT 7207.5 57292.5 7072.5 57357.5 ; + RECT 6950.0 57507.5 6815.0 57572.5 ; + RECT 7012.5 57902.5 7077.5 58037.5 ; + RECT 7202.5 57062.5 7267.5 57197.5 ; + RECT 7302.5 57507.5 7167.5 57572.5 ; + RECT 6815.0 57507.5 6950.0 57572.5 ; + RECT 7072.5 57292.5 7207.5 57357.5 ; + RECT 7167.5 57507.5 7302.5 57572.5 ; + RECT 6755.0 58212.5 7675.0 58277.5 ; + RECT 6755.0 56867.5 7675.0 56932.5 ; + RECT 6822.5 59427.5 6887.5 59622.5 ; + RECT 6822.5 58587.5 6887.5 58212.5 ; + RECT 7202.5 58587.5 7267.5 58212.5 ; + RECT 7372.5 58430.0 7437.5 58245.0 ; + RECT 7372.5 59590.0 7437.5 59405.0 ; + RECT 6822.5 58587.5 6887.5 58452.5 ; + RECT 7012.5 58587.5 7077.5 58452.5 ; + RECT 7012.5 58587.5 7077.5 58452.5 ; + RECT 6822.5 58587.5 6887.5 58452.5 ; + RECT 7012.5 58587.5 7077.5 58452.5 ; + RECT 7202.5 58587.5 7267.5 58452.5 ; + RECT 7202.5 58587.5 7267.5 58452.5 ; + RECT 7012.5 58587.5 7077.5 58452.5 ; + RECT 6822.5 59427.5 6887.5 59292.5 ; + RECT 7012.5 59427.5 7077.5 59292.5 ; + RECT 7012.5 59427.5 7077.5 59292.5 ; + RECT 6822.5 59427.5 6887.5 59292.5 ; + RECT 7012.5 59427.5 7077.5 59292.5 ; + RECT 7202.5 59427.5 7267.5 59292.5 ; + RECT 7202.5 59427.5 7267.5 59292.5 ; + RECT 7012.5 59427.5 7077.5 59292.5 ; + RECT 7372.5 58497.5 7437.5 58362.5 ; + RECT 7372.5 59472.5 7437.5 59337.5 ; + RECT 7207.5 59197.5 7072.5 59132.5 ; + RECT 6950.0 58982.5 6815.0 58917.5 ; + RECT 7012.5 58587.5 7077.5 58452.5 ; + RECT 7202.5 59427.5 7267.5 59292.5 ; + RECT 7302.5 58982.5 7167.5 58917.5 ; + RECT 6815.0 58982.5 6950.0 58917.5 ; + RECT 7072.5 59197.5 7207.5 59132.5 ; + RECT 7167.5 58982.5 7302.5 58917.5 ; + RECT 6755.0 58277.5 7675.0 58212.5 ; + RECT 6755.0 59622.5 7675.0 59557.5 ; + RECT 6822.5 59752.5 6887.5 59557.5 ; + RECT 6822.5 60592.5 6887.5 60967.5 ; + RECT 7202.5 60592.5 7267.5 60967.5 ; + RECT 7372.5 60750.0 7437.5 60935.0 ; + RECT 7372.5 59590.0 7437.5 59775.0 ; + RECT 6822.5 60592.5 6887.5 60727.5 ; + RECT 7012.5 60592.5 7077.5 60727.5 ; + RECT 7012.5 60592.5 7077.5 60727.5 ; + RECT 6822.5 60592.5 6887.5 60727.5 ; + RECT 7012.5 60592.5 7077.5 60727.5 ; + RECT 7202.5 60592.5 7267.5 60727.5 ; + RECT 7202.5 60592.5 7267.5 60727.5 ; + RECT 7012.5 60592.5 7077.5 60727.5 ; + RECT 6822.5 59752.5 6887.5 59887.5 ; + RECT 7012.5 59752.5 7077.5 59887.5 ; + RECT 7012.5 59752.5 7077.5 59887.5 ; + RECT 6822.5 59752.5 6887.5 59887.5 ; + RECT 7012.5 59752.5 7077.5 59887.5 ; + RECT 7202.5 59752.5 7267.5 59887.5 ; + RECT 7202.5 59752.5 7267.5 59887.5 ; + RECT 7012.5 59752.5 7077.5 59887.5 ; + RECT 7372.5 60682.5 7437.5 60817.5 ; + RECT 7372.5 59707.5 7437.5 59842.5 ; + RECT 7207.5 59982.5 7072.5 60047.5 ; + RECT 6950.0 60197.5 6815.0 60262.5 ; + RECT 7012.5 60592.5 7077.5 60727.5 ; + RECT 7202.5 59752.5 7267.5 59887.5 ; + RECT 7302.5 60197.5 7167.5 60262.5 ; + RECT 6815.0 60197.5 6950.0 60262.5 ; + RECT 7072.5 59982.5 7207.5 60047.5 ; + RECT 7167.5 60197.5 7302.5 60262.5 ; + RECT 6755.0 60902.5 7675.0 60967.5 ; + RECT 6755.0 59557.5 7675.0 59622.5 ; + RECT 6822.5 62117.5 6887.5 62312.5 ; + RECT 6822.5 61277.5 6887.5 60902.5 ; + RECT 7202.5 61277.5 7267.5 60902.5 ; + RECT 7372.5 61120.0 7437.5 60935.0 ; + RECT 7372.5 62280.0 7437.5 62095.0 ; + RECT 6822.5 61277.5 6887.5 61142.5 ; + RECT 7012.5 61277.5 7077.5 61142.5 ; + RECT 7012.5 61277.5 7077.5 61142.5 ; + RECT 6822.5 61277.5 6887.5 61142.5 ; + RECT 7012.5 61277.5 7077.5 61142.5 ; + RECT 7202.5 61277.5 7267.5 61142.5 ; + RECT 7202.5 61277.5 7267.5 61142.5 ; + RECT 7012.5 61277.5 7077.5 61142.5 ; + RECT 6822.5 62117.5 6887.5 61982.5 ; + RECT 7012.5 62117.5 7077.5 61982.5 ; + RECT 7012.5 62117.5 7077.5 61982.5 ; + RECT 6822.5 62117.5 6887.5 61982.5 ; + RECT 7012.5 62117.5 7077.5 61982.5 ; + RECT 7202.5 62117.5 7267.5 61982.5 ; + RECT 7202.5 62117.5 7267.5 61982.5 ; + RECT 7012.5 62117.5 7077.5 61982.5 ; + RECT 7372.5 61187.5 7437.5 61052.5 ; + RECT 7372.5 62162.5 7437.5 62027.5 ; + RECT 7207.5 61887.5 7072.5 61822.5 ; + RECT 6950.0 61672.5 6815.0 61607.5 ; + RECT 7012.5 61277.5 7077.5 61142.5 ; + RECT 7202.5 62117.5 7267.5 61982.5 ; + RECT 7302.5 61672.5 7167.5 61607.5 ; + RECT 6815.0 61672.5 6950.0 61607.5 ; + RECT 7072.5 61887.5 7207.5 61822.5 ; + RECT 7167.5 61672.5 7302.5 61607.5 ; + RECT 6755.0 60967.5 7675.0 60902.5 ; + RECT 6755.0 62312.5 7675.0 62247.5 ; + RECT 6822.5 62442.5 6887.5 62247.5 ; + RECT 6822.5 63282.5 6887.5 63657.5 ; + RECT 7202.5 63282.5 7267.5 63657.5 ; + RECT 7372.5 63440.0 7437.5 63625.0 ; + RECT 7372.5 62280.0 7437.5 62465.0 ; + RECT 6822.5 63282.5 6887.5 63417.5 ; + RECT 7012.5 63282.5 7077.5 63417.5 ; + RECT 7012.5 63282.5 7077.5 63417.5 ; + RECT 6822.5 63282.5 6887.5 63417.5 ; + RECT 7012.5 63282.5 7077.5 63417.5 ; + RECT 7202.5 63282.5 7267.5 63417.5 ; + RECT 7202.5 63282.5 7267.5 63417.5 ; + RECT 7012.5 63282.5 7077.5 63417.5 ; + RECT 6822.5 62442.5 6887.5 62577.5 ; + RECT 7012.5 62442.5 7077.5 62577.5 ; + RECT 7012.5 62442.5 7077.5 62577.5 ; + RECT 6822.5 62442.5 6887.5 62577.5 ; + RECT 7012.5 62442.5 7077.5 62577.5 ; + RECT 7202.5 62442.5 7267.5 62577.5 ; + RECT 7202.5 62442.5 7267.5 62577.5 ; + RECT 7012.5 62442.5 7077.5 62577.5 ; + RECT 7372.5 63372.5 7437.5 63507.5 ; + RECT 7372.5 62397.5 7437.5 62532.5 ; + RECT 7207.5 62672.5 7072.5 62737.5 ; + RECT 6950.0 62887.5 6815.0 62952.5 ; + RECT 7012.5 63282.5 7077.5 63417.5 ; + RECT 7202.5 62442.5 7267.5 62577.5 ; + RECT 7302.5 62887.5 7167.5 62952.5 ; + RECT 6815.0 62887.5 6950.0 62952.5 ; + RECT 7072.5 62672.5 7207.5 62737.5 ; + RECT 7167.5 62887.5 7302.5 62952.5 ; + RECT 6755.0 63592.5 7675.0 63657.5 ; + RECT 6755.0 62247.5 7675.0 62312.5 ; + RECT 6822.5 64807.5 6887.5 65002.5 ; + RECT 6822.5 63967.5 6887.5 63592.5 ; + RECT 7202.5 63967.5 7267.5 63592.5 ; + RECT 7372.5 63810.0 7437.5 63625.0 ; + RECT 7372.5 64970.0 7437.5 64785.0 ; + RECT 6822.5 63967.5 6887.5 63832.5 ; + RECT 7012.5 63967.5 7077.5 63832.5 ; + RECT 7012.5 63967.5 7077.5 63832.5 ; + RECT 6822.5 63967.5 6887.5 63832.5 ; + RECT 7012.5 63967.5 7077.5 63832.5 ; + RECT 7202.5 63967.5 7267.5 63832.5 ; + RECT 7202.5 63967.5 7267.5 63832.5 ; + RECT 7012.5 63967.5 7077.5 63832.5 ; + RECT 6822.5 64807.5 6887.5 64672.5 ; + RECT 7012.5 64807.5 7077.5 64672.5 ; + RECT 7012.5 64807.5 7077.5 64672.5 ; + RECT 6822.5 64807.5 6887.5 64672.5 ; + RECT 7012.5 64807.5 7077.5 64672.5 ; + RECT 7202.5 64807.5 7267.5 64672.5 ; + RECT 7202.5 64807.5 7267.5 64672.5 ; + RECT 7012.5 64807.5 7077.5 64672.5 ; + RECT 7372.5 63877.5 7437.5 63742.5 ; + RECT 7372.5 64852.5 7437.5 64717.5 ; + RECT 7207.5 64577.5 7072.5 64512.5 ; + RECT 6950.0 64362.5 6815.0 64297.5 ; + RECT 7012.5 63967.5 7077.5 63832.5 ; + RECT 7202.5 64807.5 7267.5 64672.5 ; + RECT 7302.5 64362.5 7167.5 64297.5 ; + RECT 6815.0 64362.5 6950.0 64297.5 ; + RECT 7072.5 64577.5 7207.5 64512.5 ; + RECT 7167.5 64362.5 7302.5 64297.5 ; + RECT 6755.0 63657.5 7675.0 63592.5 ; + RECT 6755.0 65002.5 7675.0 64937.5 ; + RECT 6822.5 65132.5 6887.5 64937.5 ; + RECT 6822.5 65972.5 6887.5 66347.5 ; + RECT 7202.5 65972.5 7267.5 66347.5 ; + RECT 7372.5 66130.0 7437.5 66315.0 ; + RECT 7372.5 64970.0 7437.5 65155.0 ; + RECT 6822.5 65972.5 6887.5 66107.5 ; + RECT 7012.5 65972.5 7077.5 66107.5 ; + RECT 7012.5 65972.5 7077.5 66107.5 ; + RECT 6822.5 65972.5 6887.5 66107.5 ; + RECT 7012.5 65972.5 7077.5 66107.5 ; + RECT 7202.5 65972.5 7267.5 66107.5 ; + RECT 7202.5 65972.5 7267.5 66107.5 ; + RECT 7012.5 65972.5 7077.5 66107.5 ; + RECT 6822.5 65132.5 6887.5 65267.5 ; + RECT 7012.5 65132.5 7077.5 65267.5 ; + RECT 7012.5 65132.5 7077.5 65267.5 ; + RECT 6822.5 65132.5 6887.5 65267.5 ; + RECT 7012.5 65132.5 7077.5 65267.5 ; + RECT 7202.5 65132.5 7267.5 65267.5 ; + RECT 7202.5 65132.5 7267.5 65267.5 ; + RECT 7012.5 65132.5 7077.5 65267.5 ; + RECT 7372.5 66062.5 7437.5 66197.5 ; + RECT 7372.5 65087.5 7437.5 65222.5 ; + RECT 7207.5 65362.5 7072.5 65427.5 ; + RECT 6950.0 65577.5 6815.0 65642.5 ; + RECT 7012.5 65972.5 7077.5 66107.5 ; + RECT 7202.5 65132.5 7267.5 65267.5 ; + RECT 7302.5 65577.5 7167.5 65642.5 ; + RECT 6815.0 65577.5 6950.0 65642.5 ; + RECT 7072.5 65362.5 7207.5 65427.5 ; + RECT 7167.5 65577.5 7302.5 65642.5 ; + RECT 6755.0 66282.5 7675.0 66347.5 ; + RECT 6755.0 64937.5 7675.0 65002.5 ; + RECT 6822.5 67497.5 6887.5 67692.5 ; + RECT 6822.5 66657.5 6887.5 66282.5 ; + RECT 7202.5 66657.5 7267.5 66282.5 ; + RECT 7372.5 66500.0 7437.5 66315.0 ; + RECT 7372.5 67660.0 7437.5 67475.0 ; + RECT 6822.5 66657.5 6887.5 66522.5 ; + RECT 7012.5 66657.5 7077.5 66522.5 ; + RECT 7012.5 66657.5 7077.5 66522.5 ; + RECT 6822.5 66657.5 6887.5 66522.5 ; + RECT 7012.5 66657.5 7077.5 66522.5 ; + RECT 7202.5 66657.5 7267.5 66522.5 ; + RECT 7202.5 66657.5 7267.5 66522.5 ; + RECT 7012.5 66657.5 7077.5 66522.5 ; + RECT 6822.5 67497.5 6887.5 67362.5 ; + RECT 7012.5 67497.5 7077.5 67362.5 ; + RECT 7012.5 67497.5 7077.5 67362.5 ; + RECT 6822.5 67497.5 6887.5 67362.5 ; + RECT 7012.5 67497.5 7077.5 67362.5 ; + RECT 7202.5 67497.5 7267.5 67362.5 ; + RECT 7202.5 67497.5 7267.5 67362.5 ; + RECT 7012.5 67497.5 7077.5 67362.5 ; + RECT 7372.5 66567.5 7437.5 66432.5 ; + RECT 7372.5 67542.5 7437.5 67407.5 ; + RECT 7207.5 67267.5 7072.5 67202.5 ; + RECT 6950.0 67052.5 6815.0 66987.5 ; + RECT 7012.5 66657.5 7077.5 66522.5 ; + RECT 7202.5 67497.5 7267.5 67362.5 ; + RECT 7302.5 67052.5 7167.5 66987.5 ; + RECT 6815.0 67052.5 6950.0 66987.5 ; + RECT 7072.5 67267.5 7207.5 67202.5 ; + RECT 7167.5 67052.5 7302.5 66987.5 ; + RECT 6755.0 66347.5 7675.0 66282.5 ; + RECT 6755.0 67692.5 7675.0 67627.5 ; + RECT 6822.5 67822.5 6887.5 67627.5 ; + RECT 6822.5 68662.5 6887.5 69037.5 ; + RECT 7202.5 68662.5 7267.5 69037.5 ; + RECT 7372.5 68820.0 7437.5 69005.0 ; + RECT 7372.5 67660.0 7437.5 67845.0 ; + RECT 6822.5 68662.5 6887.5 68797.5 ; + RECT 7012.5 68662.5 7077.5 68797.5 ; + RECT 7012.5 68662.5 7077.5 68797.5 ; + RECT 6822.5 68662.5 6887.5 68797.5 ; + RECT 7012.5 68662.5 7077.5 68797.5 ; + RECT 7202.5 68662.5 7267.5 68797.5 ; + RECT 7202.5 68662.5 7267.5 68797.5 ; + RECT 7012.5 68662.5 7077.5 68797.5 ; + RECT 6822.5 67822.5 6887.5 67957.5 ; + RECT 7012.5 67822.5 7077.5 67957.5 ; + RECT 7012.5 67822.5 7077.5 67957.5 ; + RECT 6822.5 67822.5 6887.5 67957.5 ; + RECT 7012.5 67822.5 7077.5 67957.5 ; + RECT 7202.5 67822.5 7267.5 67957.5 ; + RECT 7202.5 67822.5 7267.5 67957.5 ; + RECT 7012.5 67822.5 7077.5 67957.5 ; + RECT 7372.5 68752.5 7437.5 68887.5 ; + RECT 7372.5 67777.5 7437.5 67912.5 ; + RECT 7207.5 68052.5 7072.5 68117.5 ; + RECT 6950.0 68267.5 6815.0 68332.5 ; + RECT 7012.5 68662.5 7077.5 68797.5 ; + RECT 7202.5 67822.5 7267.5 67957.5 ; + RECT 7302.5 68267.5 7167.5 68332.5 ; + RECT 6815.0 68267.5 6950.0 68332.5 ; + RECT 7072.5 68052.5 7207.5 68117.5 ; + RECT 7167.5 68267.5 7302.5 68332.5 ; + RECT 6755.0 68972.5 7675.0 69037.5 ; + RECT 6755.0 67627.5 7675.0 67692.5 ; + RECT 6822.5 70187.5 6887.5 70382.5 ; + RECT 6822.5 69347.5 6887.5 68972.5 ; + RECT 7202.5 69347.5 7267.5 68972.5 ; + RECT 7372.5 69190.0 7437.5 69005.0 ; + RECT 7372.5 70350.0 7437.5 70165.0 ; + RECT 6822.5 69347.5 6887.5 69212.5 ; + RECT 7012.5 69347.5 7077.5 69212.5 ; + RECT 7012.5 69347.5 7077.5 69212.5 ; + RECT 6822.5 69347.5 6887.5 69212.5 ; + RECT 7012.5 69347.5 7077.5 69212.5 ; + RECT 7202.5 69347.5 7267.5 69212.5 ; + RECT 7202.5 69347.5 7267.5 69212.5 ; + RECT 7012.5 69347.5 7077.5 69212.5 ; + RECT 6822.5 70187.5 6887.5 70052.5 ; + RECT 7012.5 70187.5 7077.5 70052.5 ; + RECT 7012.5 70187.5 7077.5 70052.5 ; + RECT 6822.5 70187.5 6887.5 70052.5 ; + RECT 7012.5 70187.5 7077.5 70052.5 ; + RECT 7202.5 70187.5 7267.5 70052.5 ; + RECT 7202.5 70187.5 7267.5 70052.5 ; + RECT 7012.5 70187.5 7077.5 70052.5 ; + RECT 7372.5 69257.5 7437.5 69122.5 ; + RECT 7372.5 70232.5 7437.5 70097.5 ; + RECT 7207.5 69957.5 7072.5 69892.5 ; + RECT 6950.0 69742.5 6815.0 69677.5 ; + RECT 7012.5 69347.5 7077.5 69212.5 ; + RECT 7202.5 70187.5 7267.5 70052.5 ; + RECT 7302.5 69742.5 7167.5 69677.5 ; + RECT 6815.0 69742.5 6950.0 69677.5 ; + RECT 7072.5 69957.5 7207.5 69892.5 ; + RECT 7167.5 69742.5 7302.5 69677.5 ; + RECT 6755.0 69037.5 7675.0 68972.5 ; + RECT 6755.0 70382.5 7675.0 70317.5 ; + RECT 8102.5 28470.0 8167.5 28655.0 ; + RECT 8102.5 27310.0 8167.5 27495.0 ; + RECT 7742.5 27427.5 7807.5 27277.5 ; + RECT 7742.5 28312.5 7807.5 28687.5 ; + RECT 7932.5 27427.5 7997.5 28312.5 ; + RECT 7742.5 28312.5 7807.5 28447.5 ; + RECT 7932.5 28312.5 7997.5 28447.5 ; + RECT 7932.5 28312.5 7997.5 28447.5 ; + RECT 7742.5 28312.5 7807.5 28447.5 ; + RECT 7742.5 27427.5 7807.5 27562.5 ; + RECT 7932.5 27427.5 7997.5 27562.5 ; + RECT 7932.5 27427.5 7997.5 27562.5 ; + RECT 7742.5 27427.5 7807.5 27562.5 ; + RECT 8102.5 28402.5 8167.5 28537.5 ; + RECT 8102.5 27427.5 8167.5 27562.5 ; + RECT 7800.0 27870.0 7865.0 28005.0 ; + RECT 7800.0 27870.0 7865.0 28005.0 ; + RECT 7965.0 27905.0 8030.0 27970.0 ; + RECT 7675.0 28622.5 8235.0 28687.5 ; + RECT 7675.0 27277.5 8235.0 27342.5 ; + RECT 8102.5 28840.0 8167.5 28655.0 ; + RECT 8102.5 30000.0 8167.5 29815.0 ; + RECT 7742.5 29882.5 7807.5 30032.5 ; + RECT 7742.5 28997.5 7807.5 28622.5 ; + RECT 7932.5 29882.5 7997.5 28997.5 ; + RECT 7742.5 28997.5 7807.5 28862.5 ; + RECT 7932.5 28997.5 7997.5 28862.5 ; + RECT 7932.5 28997.5 7997.5 28862.5 ; + RECT 7742.5 28997.5 7807.5 28862.5 ; + RECT 7742.5 29882.5 7807.5 29747.5 ; + RECT 7932.5 29882.5 7997.5 29747.5 ; + RECT 7932.5 29882.5 7997.5 29747.5 ; + RECT 7742.5 29882.5 7807.5 29747.5 ; + RECT 8102.5 28907.5 8167.5 28772.5 ; + RECT 8102.5 29882.5 8167.5 29747.5 ; + RECT 7800.0 29440.0 7865.0 29305.0 ; + RECT 7800.0 29440.0 7865.0 29305.0 ; + RECT 7965.0 29405.0 8030.0 29340.0 ; + RECT 7675.0 28687.5 8235.0 28622.5 ; + RECT 7675.0 30032.5 8235.0 29967.5 ; + RECT 8102.5 31160.0 8167.5 31345.0 ; + RECT 8102.5 30000.0 8167.5 30185.0 ; + RECT 7742.5 30117.5 7807.5 29967.5 ; + RECT 7742.5 31002.5 7807.5 31377.5 ; + RECT 7932.5 30117.5 7997.5 31002.5 ; + RECT 7742.5 31002.5 7807.5 31137.5 ; + RECT 7932.5 31002.5 7997.5 31137.5 ; + RECT 7932.5 31002.5 7997.5 31137.5 ; + RECT 7742.5 31002.5 7807.5 31137.5 ; + RECT 7742.5 30117.5 7807.5 30252.5 ; + RECT 7932.5 30117.5 7997.5 30252.5 ; + RECT 7932.5 30117.5 7997.5 30252.5 ; + RECT 7742.5 30117.5 7807.5 30252.5 ; + RECT 8102.5 31092.5 8167.5 31227.5 ; + RECT 8102.5 30117.5 8167.5 30252.5 ; + RECT 7800.0 30560.0 7865.0 30695.0 ; + RECT 7800.0 30560.0 7865.0 30695.0 ; + RECT 7965.0 30595.0 8030.0 30660.0 ; + RECT 7675.0 31312.5 8235.0 31377.5 ; + RECT 7675.0 29967.5 8235.0 30032.5 ; + RECT 8102.5 31530.0 8167.5 31345.0 ; + RECT 8102.5 32690.0 8167.5 32505.0 ; + RECT 7742.5 32572.5 7807.5 32722.5 ; + RECT 7742.5 31687.5 7807.5 31312.5 ; + RECT 7932.5 32572.5 7997.5 31687.5 ; + RECT 7742.5 31687.5 7807.5 31552.5 ; + RECT 7932.5 31687.5 7997.5 31552.5 ; + RECT 7932.5 31687.5 7997.5 31552.5 ; + RECT 7742.5 31687.5 7807.5 31552.5 ; + RECT 7742.5 32572.5 7807.5 32437.5 ; + RECT 7932.5 32572.5 7997.5 32437.5 ; + RECT 7932.5 32572.5 7997.5 32437.5 ; + RECT 7742.5 32572.5 7807.5 32437.5 ; + RECT 8102.5 31597.5 8167.5 31462.5 ; + RECT 8102.5 32572.5 8167.5 32437.5 ; + RECT 7800.0 32130.0 7865.0 31995.0 ; + RECT 7800.0 32130.0 7865.0 31995.0 ; + RECT 7965.0 32095.0 8030.0 32030.0 ; + RECT 7675.0 31377.5 8235.0 31312.5 ; + RECT 7675.0 32722.5 8235.0 32657.5 ; + RECT 8102.5 33850.0 8167.5 34035.0 ; + RECT 8102.5 32690.0 8167.5 32875.0 ; + RECT 7742.5 32807.5 7807.5 32657.5 ; + RECT 7742.5 33692.5 7807.5 34067.5 ; + RECT 7932.5 32807.5 7997.5 33692.5 ; + RECT 7742.5 33692.5 7807.5 33827.5 ; + RECT 7932.5 33692.5 7997.5 33827.5 ; + RECT 7932.5 33692.5 7997.5 33827.5 ; + RECT 7742.5 33692.5 7807.5 33827.5 ; + RECT 7742.5 32807.5 7807.5 32942.5 ; + RECT 7932.5 32807.5 7997.5 32942.5 ; + RECT 7932.5 32807.5 7997.5 32942.5 ; + RECT 7742.5 32807.5 7807.5 32942.5 ; + RECT 8102.5 33782.5 8167.5 33917.5 ; + RECT 8102.5 32807.5 8167.5 32942.5 ; + RECT 7800.0 33250.0 7865.0 33385.0 ; + RECT 7800.0 33250.0 7865.0 33385.0 ; + RECT 7965.0 33285.0 8030.0 33350.0 ; + RECT 7675.0 34002.5 8235.0 34067.5 ; + RECT 7675.0 32657.5 8235.0 32722.5 ; + RECT 8102.5 34220.0 8167.5 34035.0 ; + RECT 8102.5 35380.0 8167.5 35195.0 ; + RECT 7742.5 35262.5 7807.5 35412.5 ; + RECT 7742.5 34377.5 7807.5 34002.5 ; + RECT 7932.5 35262.5 7997.5 34377.5 ; + RECT 7742.5 34377.5 7807.5 34242.5 ; + RECT 7932.5 34377.5 7997.5 34242.5 ; + RECT 7932.5 34377.5 7997.5 34242.5 ; + RECT 7742.5 34377.5 7807.5 34242.5 ; + RECT 7742.5 35262.5 7807.5 35127.5 ; + RECT 7932.5 35262.5 7997.5 35127.5 ; + RECT 7932.5 35262.5 7997.5 35127.5 ; + RECT 7742.5 35262.5 7807.5 35127.5 ; + RECT 8102.5 34287.5 8167.5 34152.5 ; + RECT 8102.5 35262.5 8167.5 35127.5 ; + RECT 7800.0 34820.0 7865.0 34685.0 ; + RECT 7800.0 34820.0 7865.0 34685.0 ; + RECT 7965.0 34785.0 8030.0 34720.0 ; + RECT 7675.0 34067.5 8235.0 34002.5 ; + RECT 7675.0 35412.5 8235.0 35347.5 ; + RECT 8102.5 36540.0 8167.5 36725.0 ; + RECT 8102.5 35380.0 8167.5 35565.0 ; + RECT 7742.5 35497.5 7807.5 35347.5 ; + RECT 7742.5 36382.5 7807.5 36757.5 ; + RECT 7932.5 35497.5 7997.5 36382.5 ; + RECT 7742.5 36382.5 7807.5 36517.5 ; + RECT 7932.5 36382.5 7997.5 36517.5 ; + RECT 7932.5 36382.5 7997.5 36517.5 ; + RECT 7742.5 36382.5 7807.5 36517.5 ; + RECT 7742.5 35497.5 7807.5 35632.5 ; + RECT 7932.5 35497.5 7997.5 35632.5 ; + RECT 7932.5 35497.5 7997.5 35632.5 ; + RECT 7742.5 35497.5 7807.5 35632.5 ; + RECT 8102.5 36472.5 8167.5 36607.5 ; + RECT 8102.5 35497.5 8167.5 35632.5 ; + RECT 7800.0 35940.0 7865.0 36075.0 ; + RECT 7800.0 35940.0 7865.0 36075.0 ; + RECT 7965.0 35975.0 8030.0 36040.0 ; + RECT 7675.0 36692.5 8235.0 36757.5 ; + RECT 7675.0 35347.5 8235.0 35412.5 ; + RECT 8102.5 36910.0 8167.5 36725.0 ; + RECT 8102.5 38070.0 8167.5 37885.0 ; + RECT 7742.5 37952.5 7807.5 38102.5 ; + RECT 7742.5 37067.5 7807.5 36692.5 ; + RECT 7932.5 37952.5 7997.5 37067.5 ; + RECT 7742.5 37067.5 7807.5 36932.5 ; + RECT 7932.5 37067.5 7997.5 36932.5 ; + RECT 7932.5 37067.5 7997.5 36932.5 ; + RECT 7742.5 37067.5 7807.5 36932.5 ; + RECT 7742.5 37952.5 7807.5 37817.5 ; + RECT 7932.5 37952.5 7997.5 37817.5 ; + RECT 7932.5 37952.5 7997.5 37817.5 ; + RECT 7742.5 37952.5 7807.5 37817.5 ; + RECT 8102.5 36977.5 8167.5 36842.5 ; + RECT 8102.5 37952.5 8167.5 37817.5 ; + RECT 7800.0 37510.0 7865.0 37375.0 ; + RECT 7800.0 37510.0 7865.0 37375.0 ; + RECT 7965.0 37475.0 8030.0 37410.0 ; + RECT 7675.0 36757.5 8235.0 36692.5 ; + RECT 7675.0 38102.5 8235.0 38037.5 ; + RECT 8102.5 39230.0 8167.5 39415.0 ; + RECT 8102.5 38070.0 8167.5 38255.0 ; + RECT 7742.5 38187.5 7807.5 38037.5 ; + RECT 7742.5 39072.5 7807.5 39447.5 ; + RECT 7932.5 38187.5 7997.5 39072.5 ; + RECT 7742.5 39072.5 7807.5 39207.5 ; + RECT 7932.5 39072.5 7997.5 39207.5 ; + RECT 7932.5 39072.5 7997.5 39207.5 ; + RECT 7742.5 39072.5 7807.5 39207.5 ; + RECT 7742.5 38187.5 7807.5 38322.5 ; + RECT 7932.5 38187.5 7997.5 38322.5 ; + RECT 7932.5 38187.5 7997.5 38322.5 ; + RECT 7742.5 38187.5 7807.5 38322.5 ; + RECT 8102.5 39162.5 8167.5 39297.5 ; + RECT 8102.5 38187.5 8167.5 38322.5 ; + RECT 7800.0 38630.0 7865.0 38765.0 ; + RECT 7800.0 38630.0 7865.0 38765.0 ; + RECT 7965.0 38665.0 8030.0 38730.0 ; + RECT 7675.0 39382.5 8235.0 39447.5 ; + RECT 7675.0 38037.5 8235.0 38102.5 ; + RECT 8102.5 39600.0 8167.5 39415.0 ; + RECT 8102.5 40760.0 8167.5 40575.0 ; + RECT 7742.5 40642.5 7807.5 40792.5 ; + RECT 7742.5 39757.5 7807.5 39382.5 ; + RECT 7932.5 40642.5 7997.5 39757.5 ; + RECT 7742.5 39757.5 7807.5 39622.5 ; + RECT 7932.5 39757.5 7997.5 39622.5 ; + RECT 7932.5 39757.5 7997.5 39622.5 ; + RECT 7742.5 39757.5 7807.5 39622.5 ; + RECT 7742.5 40642.5 7807.5 40507.5 ; + RECT 7932.5 40642.5 7997.5 40507.5 ; + RECT 7932.5 40642.5 7997.5 40507.5 ; + RECT 7742.5 40642.5 7807.5 40507.5 ; + RECT 8102.5 39667.5 8167.5 39532.5 ; + RECT 8102.5 40642.5 8167.5 40507.5 ; + RECT 7800.0 40200.0 7865.0 40065.0 ; + RECT 7800.0 40200.0 7865.0 40065.0 ; + RECT 7965.0 40165.0 8030.0 40100.0 ; + RECT 7675.0 39447.5 8235.0 39382.5 ; + RECT 7675.0 40792.5 8235.0 40727.5 ; + RECT 8102.5 41920.0 8167.5 42105.0 ; + RECT 8102.5 40760.0 8167.5 40945.0 ; + RECT 7742.5 40877.5 7807.5 40727.5 ; + RECT 7742.5 41762.5 7807.5 42137.5 ; + RECT 7932.5 40877.5 7997.5 41762.5 ; + RECT 7742.5 41762.5 7807.5 41897.5 ; + RECT 7932.5 41762.5 7997.5 41897.5 ; + RECT 7932.5 41762.5 7997.5 41897.5 ; + RECT 7742.5 41762.5 7807.5 41897.5 ; + RECT 7742.5 40877.5 7807.5 41012.5 ; + RECT 7932.5 40877.5 7997.5 41012.5 ; + RECT 7932.5 40877.5 7997.5 41012.5 ; + RECT 7742.5 40877.5 7807.5 41012.5 ; + RECT 8102.5 41852.5 8167.5 41987.5 ; + RECT 8102.5 40877.5 8167.5 41012.5 ; + RECT 7800.0 41320.0 7865.0 41455.0 ; + RECT 7800.0 41320.0 7865.0 41455.0 ; + RECT 7965.0 41355.0 8030.0 41420.0 ; + RECT 7675.0 42072.5 8235.0 42137.5 ; + RECT 7675.0 40727.5 8235.0 40792.5 ; + RECT 8102.5 42290.0 8167.5 42105.0 ; + RECT 8102.5 43450.0 8167.5 43265.0 ; + RECT 7742.5 43332.5 7807.5 43482.5 ; + RECT 7742.5 42447.5 7807.5 42072.5 ; + RECT 7932.5 43332.5 7997.5 42447.5 ; + RECT 7742.5 42447.5 7807.5 42312.5 ; + RECT 7932.5 42447.5 7997.5 42312.5 ; + RECT 7932.5 42447.5 7997.5 42312.5 ; + RECT 7742.5 42447.5 7807.5 42312.5 ; + RECT 7742.5 43332.5 7807.5 43197.5 ; + RECT 7932.5 43332.5 7997.5 43197.5 ; + RECT 7932.5 43332.5 7997.5 43197.5 ; + RECT 7742.5 43332.5 7807.5 43197.5 ; + RECT 8102.5 42357.5 8167.5 42222.5 ; + RECT 8102.5 43332.5 8167.5 43197.5 ; + RECT 7800.0 42890.0 7865.0 42755.0 ; + RECT 7800.0 42890.0 7865.0 42755.0 ; + RECT 7965.0 42855.0 8030.0 42790.0 ; + RECT 7675.0 42137.5 8235.0 42072.5 ; + RECT 7675.0 43482.5 8235.0 43417.5 ; + RECT 8102.5 44610.0 8167.5 44795.0 ; + RECT 8102.5 43450.0 8167.5 43635.0 ; + RECT 7742.5 43567.5 7807.5 43417.5 ; + RECT 7742.5 44452.5 7807.5 44827.5 ; + RECT 7932.5 43567.5 7997.5 44452.5 ; + RECT 7742.5 44452.5 7807.5 44587.5 ; + RECT 7932.5 44452.5 7997.5 44587.5 ; + RECT 7932.5 44452.5 7997.5 44587.5 ; + RECT 7742.5 44452.5 7807.5 44587.5 ; + RECT 7742.5 43567.5 7807.5 43702.5 ; + RECT 7932.5 43567.5 7997.5 43702.5 ; + RECT 7932.5 43567.5 7997.5 43702.5 ; + RECT 7742.5 43567.5 7807.5 43702.5 ; + RECT 8102.5 44542.5 8167.5 44677.5 ; + RECT 8102.5 43567.5 8167.5 43702.5 ; + RECT 7800.0 44010.0 7865.0 44145.0 ; + RECT 7800.0 44010.0 7865.0 44145.0 ; + RECT 7965.0 44045.0 8030.0 44110.0 ; + RECT 7675.0 44762.5 8235.0 44827.5 ; + RECT 7675.0 43417.5 8235.0 43482.5 ; + RECT 8102.5 44980.0 8167.5 44795.0 ; + RECT 8102.5 46140.0 8167.5 45955.0 ; + RECT 7742.5 46022.5 7807.5 46172.5 ; + RECT 7742.5 45137.5 7807.5 44762.5 ; + RECT 7932.5 46022.5 7997.5 45137.5 ; + RECT 7742.5 45137.5 7807.5 45002.5 ; + RECT 7932.5 45137.5 7997.5 45002.5 ; + RECT 7932.5 45137.5 7997.5 45002.5 ; + RECT 7742.5 45137.5 7807.5 45002.5 ; + RECT 7742.5 46022.5 7807.5 45887.5 ; + RECT 7932.5 46022.5 7997.5 45887.5 ; + RECT 7932.5 46022.5 7997.5 45887.5 ; + RECT 7742.5 46022.5 7807.5 45887.5 ; + RECT 8102.5 45047.5 8167.5 44912.5 ; + RECT 8102.5 46022.5 8167.5 45887.5 ; + RECT 7800.0 45580.0 7865.0 45445.0 ; + RECT 7800.0 45580.0 7865.0 45445.0 ; + RECT 7965.0 45545.0 8030.0 45480.0 ; + RECT 7675.0 44827.5 8235.0 44762.5 ; + RECT 7675.0 46172.5 8235.0 46107.5 ; + RECT 8102.5 47300.0 8167.5 47485.0 ; + RECT 8102.5 46140.0 8167.5 46325.0 ; + RECT 7742.5 46257.5 7807.5 46107.5 ; + RECT 7742.5 47142.5 7807.5 47517.5 ; + RECT 7932.5 46257.5 7997.5 47142.5 ; + RECT 7742.5 47142.5 7807.5 47277.5 ; + RECT 7932.5 47142.5 7997.5 47277.5 ; + RECT 7932.5 47142.5 7997.5 47277.5 ; + RECT 7742.5 47142.5 7807.5 47277.5 ; + RECT 7742.5 46257.5 7807.5 46392.5 ; + RECT 7932.5 46257.5 7997.5 46392.5 ; + RECT 7932.5 46257.5 7997.5 46392.5 ; + RECT 7742.5 46257.5 7807.5 46392.5 ; + RECT 8102.5 47232.5 8167.5 47367.5 ; + RECT 8102.5 46257.5 8167.5 46392.5 ; + RECT 7800.0 46700.0 7865.0 46835.0 ; + RECT 7800.0 46700.0 7865.0 46835.0 ; + RECT 7965.0 46735.0 8030.0 46800.0 ; + RECT 7675.0 47452.5 8235.0 47517.5 ; + RECT 7675.0 46107.5 8235.0 46172.5 ; + RECT 8102.5 47670.0 8167.5 47485.0 ; + RECT 8102.5 48830.0 8167.5 48645.0 ; + RECT 7742.5 48712.5 7807.5 48862.5 ; + RECT 7742.5 47827.5 7807.5 47452.5 ; + RECT 7932.5 48712.5 7997.5 47827.5 ; + RECT 7742.5 47827.5 7807.5 47692.5 ; + RECT 7932.5 47827.5 7997.5 47692.5 ; + RECT 7932.5 47827.5 7997.5 47692.5 ; + RECT 7742.5 47827.5 7807.5 47692.5 ; + RECT 7742.5 48712.5 7807.5 48577.5 ; + RECT 7932.5 48712.5 7997.5 48577.5 ; + RECT 7932.5 48712.5 7997.5 48577.5 ; + RECT 7742.5 48712.5 7807.5 48577.5 ; + RECT 8102.5 47737.5 8167.5 47602.5 ; + RECT 8102.5 48712.5 8167.5 48577.5 ; + RECT 7800.0 48270.0 7865.0 48135.0 ; + RECT 7800.0 48270.0 7865.0 48135.0 ; + RECT 7965.0 48235.0 8030.0 48170.0 ; + RECT 7675.0 47517.5 8235.0 47452.5 ; + RECT 7675.0 48862.5 8235.0 48797.5 ; + RECT 8102.5 49990.0 8167.5 50175.0 ; + RECT 8102.5 48830.0 8167.5 49015.0 ; + RECT 7742.5 48947.5 7807.5 48797.5 ; + RECT 7742.5 49832.5 7807.5 50207.5 ; + RECT 7932.5 48947.5 7997.5 49832.5 ; + RECT 7742.5 49832.5 7807.5 49967.5 ; + RECT 7932.5 49832.5 7997.5 49967.5 ; + RECT 7932.5 49832.5 7997.5 49967.5 ; + RECT 7742.5 49832.5 7807.5 49967.5 ; + RECT 7742.5 48947.5 7807.5 49082.5 ; + RECT 7932.5 48947.5 7997.5 49082.5 ; + RECT 7932.5 48947.5 7997.5 49082.5 ; + RECT 7742.5 48947.5 7807.5 49082.5 ; + RECT 8102.5 49922.5 8167.5 50057.5 ; + RECT 8102.5 48947.5 8167.5 49082.5 ; + RECT 7800.0 49390.0 7865.0 49525.0 ; + RECT 7800.0 49390.0 7865.0 49525.0 ; + RECT 7965.0 49425.0 8030.0 49490.0 ; + RECT 7675.0 50142.5 8235.0 50207.5 ; + RECT 7675.0 48797.5 8235.0 48862.5 ; + RECT 8102.5 50360.0 8167.5 50175.0 ; + RECT 8102.5 51520.0 8167.5 51335.0 ; + RECT 7742.5 51402.5 7807.5 51552.5 ; + RECT 7742.5 50517.5 7807.5 50142.5 ; + RECT 7932.5 51402.5 7997.5 50517.5 ; + RECT 7742.5 50517.5 7807.5 50382.5 ; + RECT 7932.5 50517.5 7997.5 50382.5 ; + RECT 7932.5 50517.5 7997.5 50382.5 ; + RECT 7742.5 50517.5 7807.5 50382.5 ; + RECT 7742.5 51402.5 7807.5 51267.5 ; + RECT 7932.5 51402.5 7997.5 51267.5 ; + RECT 7932.5 51402.5 7997.5 51267.5 ; + RECT 7742.5 51402.5 7807.5 51267.5 ; + RECT 8102.5 50427.5 8167.5 50292.5 ; + RECT 8102.5 51402.5 8167.5 51267.5 ; + RECT 7800.0 50960.0 7865.0 50825.0 ; + RECT 7800.0 50960.0 7865.0 50825.0 ; + RECT 7965.0 50925.0 8030.0 50860.0 ; + RECT 7675.0 50207.5 8235.0 50142.5 ; + RECT 7675.0 51552.5 8235.0 51487.5 ; + RECT 8102.5 52680.0 8167.5 52865.0 ; + RECT 8102.5 51520.0 8167.5 51705.0 ; + RECT 7742.5 51637.5 7807.5 51487.5 ; + RECT 7742.5 52522.5 7807.5 52897.5 ; + RECT 7932.5 51637.5 7997.5 52522.5 ; + RECT 7742.5 52522.5 7807.5 52657.5 ; + RECT 7932.5 52522.5 7997.5 52657.5 ; + RECT 7932.5 52522.5 7997.5 52657.5 ; + RECT 7742.5 52522.5 7807.5 52657.5 ; + RECT 7742.5 51637.5 7807.5 51772.5 ; + RECT 7932.5 51637.5 7997.5 51772.5 ; + RECT 7932.5 51637.5 7997.5 51772.5 ; + RECT 7742.5 51637.5 7807.5 51772.5 ; + RECT 8102.5 52612.5 8167.5 52747.5 ; + RECT 8102.5 51637.5 8167.5 51772.5 ; + RECT 7800.0 52080.0 7865.0 52215.0 ; + RECT 7800.0 52080.0 7865.0 52215.0 ; + RECT 7965.0 52115.0 8030.0 52180.0 ; + RECT 7675.0 52832.5 8235.0 52897.5 ; + RECT 7675.0 51487.5 8235.0 51552.5 ; + RECT 8102.5 53050.0 8167.5 52865.0 ; + RECT 8102.5 54210.0 8167.5 54025.0 ; + RECT 7742.5 54092.5 7807.5 54242.5 ; + RECT 7742.5 53207.5 7807.5 52832.5 ; + RECT 7932.5 54092.5 7997.5 53207.5 ; + RECT 7742.5 53207.5 7807.5 53072.5 ; + RECT 7932.5 53207.5 7997.5 53072.5 ; + RECT 7932.5 53207.5 7997.5 53072.5 ; + RECT 7742.5 53207.5 7807.5 53072.5 ; + RECT 7742.5 54092.5 7807.5 53957.5 ; + RECT 7932.5 54092.5 7997.5 53957.5 ; + RECT 7932.5 54092.5 7997.5 53957.5 ; + RECT 7742.5 54092.5 7807.5 53957.5 ; + RECT 8102.5 53117.5 8167.5 52982.5 ; + RECT 8102.5 54092.5 8167.5 53957.5 ; + RECT 7800.0 53650.0 7865.0 53515.0 ; + RECT 7800.0 53650.0 7865.0 53515.0 ; + RECT 7965.0 53615.0 8030.0 53550.0 ; + RECT 7675.0 52897.5 8235.0 52832.5 ; + RECT 7675.0 54242.5 8235.0 54177.5 ; + RECT 8102.5 55370.0 8167.5 55555.0 ; + RECT 8102.5 54210.0 8167.5 54395.0 ; + RECT 7742.5 54327.5 7807.5 54177.5 ; + RECT 7742.5 55212.5 7807.5 55587.5 ; + RECT 7932.5 54327.5 7997.5 55212.5 ; + RECT 7742.5 55212.5 7807.5 55347.5 ; + RECT 7932.5 55212.5 7997.5 55347.5 ; + RECT 7932.5 55212.5 7997.5 55347.5 ; + RECT 7742.5 55212.5 7807.5 55347.5 ; + RECT 7742.5 54327.5 7807.5 54462.5 ; + RECT 7932.5 54327.5 7997.5 54462.5 ; + RECT 7932.5 54327.5 7997.5 54462.5 ; + RECT 7742.5 54327.5 7807.5 54462.5 ; + RECT 8102.5 55302.5 8167.5 55437.5 ; + RECT 8102.5 54327.5 8167.5 54462.5 ; + RECT 7800.0 54770.0 7865.0 54905.0 ; + RECT 7800.0 54770.0 7865.0 54905.0 ; + RECT 7965.0 54805.0 8030.0 54870.0 ; + RECT 7675.0 55522.5 8235.0 55587.5 ; + RECT 7675.0 54177.5 8235.0 54242.5 ; + RECT 8102.5 55740.0 8167.5 55555.0 ; + RECT 8102.5 56900.0 8167.5 56715.0 ; + RECT 7742.5 56782.5 7807.5 56932.5 ; + RECT 7742.5 55897.5 7807.5 55522.5 ; + RECT 7932.5 56782.5 7997.5 55897.5 ; + RECT 7742.5 55897.5 7807.5 55762.5 ; + RECT 7932.5 55897.5 7997.5 55762.5 ; + RECT 7932.5 55897.5 7997.5 55762.5 ; + RECT 7742.5 55897.5 7807.5 55762.5 ; + RECT 7742.5 56782.5 7807.5 56647.5 ; + RECT 7932.5 56782.5 7997.5 56647.5 ; + RECT 7932.5 56782.5 7997.5 56647.5 ; + RECT 7742.5 56782.5 7807.5 56647.5 ; + RECT 8102.5 55807.5 8167.5 55672.5 ; + RECT 8102.5 56782.5 8167.5 56647.5 ; + RECT 7800.0 56340.0 7865.0 56205.0 ; + RECT 7800.0 56340.0 7865.0 56205.0 ; + RECT 7965.0 56305.0 8030.0 56240.0 ; + RECT 7675.0 55587.5 8235.0 55522.5 ; + RECT 7675.0 56932.5 8235.0 56867.5 ; + RECT 8102.5 58060.0 8167.5 58245.0 ; + RECT 8102.5 56900.0 8167.5 57085.0 ; + RECT 7742.5 57017.5 7807.5 56867.5 ; + RECT 7742.5 57902.5 7807.5 58277.5 ; + RECT 7932.5 57017.5 7997.5 57902.5 ; + RECT 7742.5 57902.5 7807.5 58037.5 ; + RECT 7932.5 57902.5 7997.5 58037.5 ; + RECT 7932.5 57902.5 7997.5 58037.5 ; + RECT 7742.5 57902.5 7807.5 58037.5 ; + RECT 7742.5 57017.5 7807.5 57152.5 ; + RECT 7932.5 57017.5 7997.5 57152.5 ; + RECT 7932.5 57017.5 7997.5 57152.5 ; + RECT 7742.5 57017.5 7807.5 57152.5 ; + RECT 8102.5 57992.5 8167.5 58127.5 ; + RECT 8102.5 57017.5 8167.5 57152.5 ; + RECT 7800.0 57460.0 7865.0 57595.0 ; + RECT 7800.0 57460.0 7865.0 57595.0 ; + RECT 7965.0 57495.0 8030.0 57560.0 ; + RECT 7675.0 58212.5 8235.0 58277.5 ; + RECT 7675.0 56867.5 8235.0 56932.5 ; + RECT 8102.5 58430.0 8167.5 58245.0 ; + RECT 8102.5 59590.0 8167.5 59405.0 ; + RECT 7742.5 59472.5 7807.5 59622.5 ; + RECT 7742.5 58587.5 7807.5 58212.5 ; + RECT 7932.5 59472.5 7997.5 58587.5 ; + RECT 7742.5 58587.5 7807.5 58452.5 ; + RECT 7932.5 58587.5 7997.5 58452.5 ; + RECT 7932.5 58587.5 7997.5 58452.5 ; + RECT 7742.5 58587.5 7807.5 58452.5 ; + RECT 7742.5 59472.5 7807.5 59337.5 ; + RECT 7932.5 59472.5 7997.5 59337.5 ; + RECT 7932.5 59472.5 7997.5 59337.5 ; + RECT 7742.5 59472.5 7807.5 59337.5 ; + RECT 8102.5 58497.5 8167.5 58362.5 ; + RECT 8102.5 59472.5 8167.5 59337.5 ; + RECT 7800.0 59030.0 7865.0 58895.0 ; + RECT 7800.0 59030.0 7865.0 58895.0 ; + RECT 7965.0 58995.0 8030.0 58930.0 ; + RECT 7675.0 58277.5 8235.0 58212.5 ; + RECT 7675.0 59622.5 8235.0 59557.5 ; + RECT 8102.5 60750.0 8167.5 60935.0 ; + RECT 8102.5 59590.0 8167.5 59775.0 ; + RECT 7742.5 59707.5 7807.5 59557.5 ; + RECT 7742.5 60592.5 7807.5 60967.5 ; + RECT 7932.5 59707.5 7997.5 60592.5 ; + RECT 7742.5 60592.5 7807.5 60727.5 ; + RECT 7932.5 60592.5 7997.5 60727.5 ; + RECT 7932.5 60592.5 7997.5 60727.5 ; + RECT 7742.5 60592.5 7807.5 60727.5 ; + RECT 7742.5 59707.5 7807.5 59842.5 ; + RECT 7932.5 59707.5 7997.5 59842.5 ; + RECT 7932.5 59707.5 7997.5 59842.5 ; + RECT 7742.5 59707.5 7807.5 59842.5 ; + RECT 8102.5 60682.5 8167.5 60817.5 ; + RECT 8102.5 59707.5 8167.5 59842.5 ; + RECT 7800.0 60150.0 7865.0 60285.0 ; + RECT 7800.0 60150.0 7865.0 60285.0 ; + RECT 7965.0 60185.0 8030.0 60250.0 ; + RECT 7675.0 60902.5 8235.0 60967.5 ; + RECT 7675.0 59557.5 8235.0 59622.5 ; + RECT 8102.5 61120.0 8167.5 60935.0 ; + RECT 8102.5 62280.0 8167.5 62095.0 ; + RECT 7742.5 62162.5 7807.5 62312.5 ; + RECT 7742.5 61277.5 7807.5 60902.5 ; + RECT 7932.5 62162.5 7997.5 61277.5 ; + RECT 7742.5 61277.5 7807.5 61142.5 ; + RECT 7932.5 61277.5 7997.5 61142.5 ; + RECT 7932.5 61277.5 7997.5 61142.5 ; + RECT 7742.5 61277.5 7807.5 61142.5 ; + RECT 7742.5 62162.5 7807.5 62027.5 ; + RECT 7932.5 62162.5 7997.5 62027.5 ; + RECT 7932.5 62162.5 7997.5 62027.5 ; + RECT 7742.5 62162.5 7807.5 62027.5 ; + RECT 8102.5 61187.5 8167.5 61052.5 ; + RECT 8102.5 62162.5 8167.5 62027.5 ; + RECT 7800.0 61720.0 7865.0 61585.0 ; + RECT 7800.0 61720.0 7865.0 61585.0 ; + RECT 7965.0 61685.0 8030.0 61620.0 ; + RECT 7675.0 60967.5 8235.0 60902.5 ; + RECT 7675.0 62312.5 8235.0 62247.5 ; + RECT 8102.5 63440.0 8167.5 63625.0 ; + RECT 8102.5 62280.0 8167.5 62465.0 ; + RECT 7742.5 62397.5 7807.5 62247.5 ; + RECT 7742.5 63282.5 7807.5 63657.5 ; + RECT 7932.5 62397.5 7997.5 63282.5 ; + RECT 7742.5 63282.5 7807.5 63417.5 ; + RECT 7932.5 63282.5 7997.5 63417.5 ; + RECT 7932.5 63282.5 7997.5 63417.5 ; + RECT 7742.5 63282.5 7807.5 63417.5 ; + RECT 7742.5 62397.5 7807.5 62532.5 ; + RECT 7932.5 62397.5 7997.5 62532.5 ; + RECT 7932.5 62397.5 7997.5 62532.5 ; + RECT 7742.5 62397.5 7807.5 62532.5 ; + RECT 8102.5 63372.5 8167.5 63507.5 ; + RECT 8102.5 62397.5 8167.5 62532.5 ; + RECT 7800.0 62840.0 7865.0 62975.0 ; + RECT 7800.0 62840.0 7865.0 62975.0 ; + RECT 7965.0 62875.0 8030.0 62940.0 ; + RECT 7675.0 63592.5 8235.0 63657.5 ; + RECT 7675.0 62247.5 8235.0 62312.5 ; + RECT 8102.5 63810.0 8167.5 63625.0 ; + RECT 8102.5 64970.0 8167.5 64785.0 ; + RECT 7742.5 64852.5 7807.5 65002.5 ; + RECT 7742.5 63967.5 7807.5 63592.5 ; + RECT 7932.5 64852.5 7997.5 63967.5 ; + RECT 7742.5 63967.5 7807.5 63832.5 ; + RECT 7932.5 63967.5 7997.5 63832.5 ; + RECT 7932.5 63967.5 7997.5 63832.5 ; + RECT 7742.5 63967.5 7807.5 63832.5 ; + RECT 7742.5 64852.5 7807.5 64717.5 ; + RECT 7932.5 64852.5 7997.5 64717.5 ; + RECT 7932.5 64852.5 7997.5 64717.5 ; + RECT 7742.5 64852.5 7807.5 64717.5 ; + RECT 8102.5 63877.5 8167.5 63742.5 ; + RECT 8102.5 64852.5 8167.5 64717.5 ; + RECT 7800.0 64410.0 7865.0 64275.0 ; + RECT 7800.0 64410.0 7865.0 64275.0 ; + RECT 7965.0 64375.0 8030.0 64310.0 ; + RECT 7675.0 63657.5 8235.0 63592.5 ; + RECT 7675.0 65002.5 8235.0 64937.5 ; + RECT 8102.5 66130.0 8167.5 66315.0 ; + RECT 8102.5 64970.0 8167.5 65155.0 ; + RECT 7742.5 65087.5 7807.5 64937.5 ; + RECT 7742.5 65972.5 7807.5 66347.5 ; + RECT 7932.5 65087.5 7997.5 65972.5 ; + RECT 7742.5 65972.5 7807.5 66107.5 ; + RECT 7932.5 65972.5 7997.5 66107.5 ; + RECT 7932.5 65972.5 7997.5 66107.5 ; + RECT 7742.5 65972.5 7807.5 66107.5 ; + RECT 7742.5 65087.5 7807.5 65222.5 ; + RECT 7932.5 65087.5 7997.5 65222.5 ; + RECT 7932.5 65087.5 7997.5 65222.5 ; + RECT 7742.5 65087.5 7807.5 65222.5 ; + RECT 8102.5 66062.5 8167.5 66197.5 ; + RECT 8102.5 65087.5 8167.5 65222.5 ; + RECT 7800.0 65530.0 7865.0 65665.0 ; + RECT 7800.0 65530.0 7865.0 65665.0 ; + RECT 7965.0 65565.0 8030.0 65630.0 ; + RECT 7675.0 66282.5 8235.0 66347.5 ; + RECT 7675.0 64937.5 8235.0 65002.5 ; + RECT 8102.5 66500.0 8167.5 66315.0 ; + RECT 8102.5 67660.0 8167.5 67475.0 ; + RECT 7742.5 67542.5 7807.5 67692.5 ; + RECT 7742.5 66657.5 7807.5 66282.5 ; + RECT 7932.5 67542.5 7997.5 66657.5 ; + RECT 7742.5 66657.5 7807.5 66522.5 ; + RECT 7932.5 66657.5 7997.5 66522.5 ; + RECT 7932.5 66657.5 7997.5 66522.5 ; + RECT 7742.5 66657.5 7807.5 66522.5 ; + RECT 7742.5 67542.5 7807.5 67407.5 ; + RECT 7932.5 67542.5 7997.5 67407.5 ; + RECT 7932.5 67542.5 7997.5 67407.5 ; + RECT 7742.5 67542.5 7807.5 67407.5 ; + RECT 8102.5 66567.5 8167.5 66432.5 ; + RECT 8102.5 67542.5 8167.5 67407.5 ; + RECT 7800.0 67100.0 7865.0 66965.0 ; + RECT 7800.0 67100.0 7865.0 66965.0 ; + RECT 7965.0 67065.0 8030.0 67000.0 ; + RECT 7675.0 66347.5 8235.0 66282.5 ; + RECT 7675.0 67692.5 8235.0 67627.5 ; + RECT 8102.5 68820.0 8167.5 69005.0 ; + RECT 8102.5 67660.0 8167.5 67845.0 ; + RECT 7742.5 67777.5 7807.5 67627.5 ; + RECT 7742.5 68662.5 7807.5 69037.5 ; + RECT 7932.5 67777.5 7997.5 68662.5 ; + RECT 7742.5 68662.5 7807.5 68797.5 ; + RECT 7932.5 68662.5 7997.5 68797.5 ; + RECT 7932.5 68662.5 7997.5 68797.5 ; + RECT 7742.5 68662.5 7807.5 68797.5 ; + RECT 7742.5 67777.5 7807.5 67912.5 ; + RECT 7932.5 67777.5 7997.5 67912.5 ; + RECT 7932.5 67777.5 7997.5 67912.5 ; + RECT 7742.5 67777.5 7807.5 67912.5 ; + RECT 8102.5 68752.5 8167.5 68887.5 ; + RECT 8102.5 67777.5 8167.5 67912.5 ; + RECT 7800.0 68220.0 7865.0 68355.0 ; + RECT 7800.0 68220.0 7865.0 68355.0 ; + RECT 7965.0 68255.0 8030.0 68320.0 ; + RECT 7675.0 68972.5 8235.0 69037.5 ; + RECT 7675.0 67627.5 8235.0 67692.5 ; + RECT 8102.5 69190.0 8167.5 69005.0 ; + RECT 8102.5 70350.0 8167.5 70165.0 ; + RECT 7742.5 70232.5 7807.5 70382.5 ; + RECT 7742.5 69347.5 7807.5 68972.5 ; + RECT 7932.5 70232.5 7997.5 69347.5 ; + RECT 7742.5 69347.5 7807.5 69212.5 ; + RECT 7932.5 69347.5 7997.5 69212.5 ; + RECT 7932.5 69347.5 7997.5 69212.5 ; + RECT 7742.5 69347.5 7807.5 69212.5 ; + RECT 7742.5 70232.5 7807.5 70097.5 ; + RECT 7932.5 70232.5 7997.5 70097.5 ; + RECT 7932.5 70232.5 7997.5 70097.5 ; + RECT 7742.5 70232.5 7807.5 70097.5 ; + RECT 8102.5 69257.5 8167.5 69122.5 ; + RECT 8102.5 70232.5 8167.5 70097.5 ; + RECT 7800.0 69790.0 7865.0 69655.0 ; + RECT 7800.0 69790.0 7865.0 69655.0 ; + RECT 7965.0 69755.0 8030.0 69690.0 ; + RECT 7675.0 69037.5 8235.0 68972.5 ; + RECT 7675.0 70382.5 8235.0 70317.5 ; + RECT 4757.5 11765.0 4622.5 11830.0 ; + RECT 4932.5 13200.0 4797.5 13265.0 ; + RECT 5107.5 14455.0 4972.5 14520.0 ; + RECT 5282.5 15890.0 5147.5 15955.0 ; + RECT 5457.5 17145.0 5322.5 17210.0 ; + RECT 5632.5 18580.0 5497.5 18645.0 ; + RECT 5807.5 19835.0 5672.5 19900.0 ; + RECT 5982.5 21270.0 5847.5 21335.0 ; + RECT 6157.5 22525.0 6022.5 22590.0 ; + RECT 6332.5 23960.0 6197.5 24025.0 ; + RECT 6507.5 25215.0 6372.5 25280.0 ; + RECT 6682.5 26650.0 6547.5 26715.0 ; + RECT 4757.5 27917.5 4622.5 27982.5 ; + RECT 5457.5 27702.5 5322.5 27767.5 ; + RECT 4757.5 29327.5 4622.5 29392.5 ; + RECT 5632.5 29542.5 5497.5 29607.5 ; + RECT 4757.5 30607.5 4622.5 30672.5 ; + RECT 5807.5 30392.5 5672.5 30457.5 ; + RECT 4757.5 32017.5 4622.5 32082.5 ; + RECT 5982.5 32232.5 5847.5 32297.5 ; + RECT 4757.5 33297.5 4622.5 33362.5 ; + RECT 6157.5 33082.5 6022.5 33147.5 ; + RECT 4757.5 34707.5 4622.5 34772.5 ; + RECT 6332.5 34922.5 6197.5 34987.5 ; + RECT 4757.5 35987.5 4622.5 36052.5 ; + RECT 6507.5 35772.5 6372.5 35837.5 ; + RECT 4757.5 37397.5 4622.5 37462.5 ; + RECT 6682.5 37612.5 6547.5 37677.5 ; + RECT 4932.5 38677.5 4797.5 38742.5 ; + RECT 5457.5 38462.5 5322.5 38527.5 ; + RECT 4932.5 40087.5 4797.5 40152.5 ; + RECT 5632.5 40302.5 5497.5 40367.5 ; + RECT 4932.5 41367.5 4797.5 41432.5 ; + RECT 5807.5 41152.5 5672.5 41217.5 ; + RECT 4932.5 42777.5 4797.5 42842.5 ; + RECT 5982.5 42992.5 5847.5 43057.5 ; + RECT 4932.5 44057.5 4797.5 44122.5 ; + RECT 6157.5 43842.5 6022.5 43907.5 ; + RECT 4932.5 45467.5 4797.5 45532.5 ; + RECT 6332.5 45682.5 6197.5 45747.5 ; + RECT 4932.5 46747.5 4797.5 46812.5 ; + RECT 6507.5 46532.5 6372.5 46597.5 ; + RECT 4932.5 48157.5 4797.5 48222.5 ; + RECT 6682.5 48372.5 6547.5 48437.5 ; + RECT 5107.5 49437.5 4972.5 49502.5 ; + RECT 5457.5 49222.5 5322.5 49287.5 ; + RECT 5107.5 50847.5 4972.5 50912.5 ; + RECT 5632.5 51062.5 5497.5 51127.5 ; + RECT 5107.5 52127.5 4972.5 52192.5 ; + RECT 5807.5 51912.5 5672.5 51977.5 ; + RECT 5107.5 53537.5 4972.5 53602.5 ; + RECT 5982.5 53752.5 5847.5 53817.5 ; + RECT 5107.5 54817.5 4972.5 54882.5 ; + RECT 6157.5 54602.5 6022.5 54667.5 ; + RECT 5107.5 56227.5 4972.5 56292.5 ; + RECT 6332.5 56442.5 6197.5 56507.5 ; + RECT 5107.5 57507.5 4972.5 57572.5 ; + RECT 6507.5 57292.5 6372.5 57357.5 ; + RECT 5107.5 58917.5 4972.5 58982.5 ; + RECT 6682.5 59132.5 6547.5 59197.5 ; + RECT 5282.5 60197.5 5147.5 60262.5 ; + RECT 5457.5 59982.5 5322.5 60047.5 ; + RECT 5282.5 61607.5 5147.5 61672.5 ; + RECT 5632.5 61822.5 5497.5 61887.5 ; + RECT 5282.5 62887.5 5147.5 62952.5 ; + RECT 5807.5 62672.5 5672.5 62737.5 ; + RECT 5282.5 64297.5 5147.5 64362.5 ; + RECT 5982.5 64512.5 5847.5 64577.5 ; + RECT 5282.5 65577.5 5147.5 65642.5 ; + RECT 6157.5 65362.5 6022.5 65427.5 ; + RECT 5282.5 66987.5 5147.5 67052.5 ; + RECT 6332.5 67202.5 6197.5 67267.5 ; + RECT 5282.5 68267.5 5147.5 68332.5 ; + RECT 6507.5 68052.5 6372.5 68117.5 ; + RECT 5282.5 69677.5 5147.5 69742.5 ; + RECT 6682.5 69892.5 6547.5 69957.5 ; + RECT 7965.0 27905.0 8030.0 27970.0 ; + RECT 7965.0 29340.0 8030.0 29405.0 ; + RECT 7965.0 30595.0 8030.0 30660.0 ; + RECT 7965.0 32030.0 8030.0 32095.0 ; + RECT 7965.0 33285.0 8030.0 33350.0 ; + RECT 7965.0 34720.0 8030.0 34785.0 ; + RECT 7965.0 35975.0 8030.0 36040.0 ; + RECT 7965.0 37410.0 8030.0 37475.0 ; + RECT 7965.0 38665.0 8030.0 38730.0 ; + RECT 7965.0 40100.0 8030.0 40165.0 ; + RECT 7965.0 41355.0 8030.0 41420.0 ; + RECT 7965.0 42790.0 8030.0 42855.0 ; + RECT 7965.0 44045.0 8030.0 44110.0 ; + RECT 7965.0 45480.0 8030.0 45545.0 ; + RECT 7965.0 46735.0 8030.0 46800.0 ; + RECT 7965.0 48170.0 8030.0 48235.0 ; + RECT 7965.0 49425.0 8030.0 49490.0 ; + RECT 7965.0 50860.0 8030.0 50925.0 ; + RECT 7965.0 52115.0 8030.0 52180.0 ; + RECT 7965.0 53550.0 8030.0 53615.0 ; + RECT 7965.0 54805.0 8030.0 54870.0 ; + RECT 7965.0 56240.0 8030.0 56305.0 ; + RECT 7965.0 57495.0 8030.0 57560.0 ; + RECT 7965.0 58930.0 8030.0 58995.0 ; + RECT 7965.0 60185.0 8030.0 60250.0 ; + RECT 7965.0 61620.0 8030.0 61685.0 ; + RECT 7965.0 62875.0 8030.0 62940.0 ; + RECT 7965.0 64310.0 8030.0 64375.0 ; + RECT 7965.0 65565.0 8030.0 65630.0 ; + RECT 7965.0 67000.0 8030.0 67065.0 ; + RECT 7965.0 68255.0 8030.0 68320.0 ; + RECT 7965.0 69690.0 8030.0 69755.0 ; + RECT 4655.0 12482.5 11635.0 12547.5 ; + RECT 4655.0 15172.5 11635.0 15237.5 ; + RECT 4655.0 17862.5 11635.0 17927.5 ; + RECT 4655.0 20552.5 11635.0 20617.5 ; + RECT 4655.0 23242.5 11635.0 23307.5 ; + RECT 4655.0 25932.5 11635.0 25997.5 ; + RECT 4655.0 28622.5 11635.0 28687.5 ; + RECT 4655.0 31312.5 11635.0 31377.5 ; + RECT 4655.0 34002.5 11635.0 34067.5 ; + RECT 4655.0 36692.5 11635.0 36757.5 ; + RECT 4655.0 39382.5 11635.0 39447.5 ; + RECT 4655.0 42072.5 11635.0 42137.5 ; + RECT 4655.0 44762.5 11635.0 44827.5 ; + RECT 4655.0 47452.5 11635.0 47517.5 ; + RECT 4655.0 50142.5 11635.0 50207.5 ; + RECT 4655.0 52832.5 11635.0 52897.5 ; + RECT 4655.0 55522.5 11635.0 55587.5 ; + RECT 4655.0 58212.5 11635.0 58277.5 ; + RECT 4655.0 60902.5 11635.0 60967.5 ; + RECT 4655.0 63592.5 11635.0 63657.5 ; + RECT 4655.0 66282.5 11635.0 66347.5 ; + RECT 4655.0 68972.5 11635.0 69037.5 ; + RECT 4655.0 11137.5 11635.0 11202.5 ; + RECT 4655.0 13827.5 11635.0 13892.5 ; + RECT 4655.0 16517.5 11635.0 16582.5 ; + RECT 4655.0 19207.5 11635.0 19272.5 ; + RECT 4655.0 21897.5 11635.0 21962.5 ; + RECT 4655.0 24587.5 11635.0 24652.5 ; + RECT 4655.0 27277.5 11635.0 27342.5 ; + RECT 4655.0 29967.5 11635.0 30032.5 ; + RECT 4655.0 32657.5 11635.0 32722.5 ; + RECT 4655.0 35347.5 11635.0 35412.5 ; + RECT 4655.0 38037.5 11635.0 38102.5 ; + RECT 4655.0 40727.5 11635.0 40792.5 ; + RECT 4655.0 43417.5 11635.0 43482.5 ; + RECT 4655.0 46107.5 11635.0 46172.5 ; + RECT 4655.0 48797.5 11635.0 48862.5 ; + RECT 4655.0 51487.5 11635.0 51552.5 ; + RECT 4655.0 54177.5 11635.0 54242.5 ; + RECT 4655.0 56867.5 11635.0 56932.5 ; + RECT 4655.0 59557.5 11635.0 59622.5 ; + RECT 4655.0 62247.5 11635.0 62312.5 ; + RECT 4655.0 64937.5 11635.0 65002.5 ; + RECT 4655.0 67627.5 11635.0 67692.5 ; + RECT 4655.0 70317.5 11635.0 70382.5 ; + RECT 8465.0 27905.0 8815.0 27970.0 ; + RECT 8980.0 27917.5 9045.0 27982.5 ; + RECT 8980.0 27905.0 9045.0 27970.0 ; + RECT 8980.0 27950.0 9045.0 27970.0 ; + RECT 9012.5 27917.5 9310.0 27982.5 ; + RECT 9310.0 27917.5 9445.0 27982.5 ; + RECT 10015.0 27917.5 10080.0 27982.5 ; + RECT 10015.0 27905.0 10080.0 27970.0 ; + RECT 9797.5 27917.5 10047.5 27982.5 ; + RECT 10015.0 27937.5 10080.0 27950.0 ; + RECT 10047.5 27905.0 10295.0 27970.0 ; + RECT 8465.0 29340.0 8815.0 29405.0 ; + RECT 8980.0 29327.5 9045.0 29392.5 ; + RECT 8980.0 29340.0 9045.0 29405.0 ; + RECT 8980.0 29360.0 9045.0 29405.0 ; + RECT 9012.5 29327.5 9310.0 29392.5 ; + RECT 9310.0 29327.5 9445.0 29392.5 ; + RECT 10015.0 29327.5 10080.0 29392.5 ; + RECT 10015.0 29340.0 10080.0 29405.0 ; + RECT 9797.5 29327.5 10047.5 29392.5 ; + RECT 10015.0 29360.0 10080.0 29372.5 ; + RECT 10047.5 29340.0 10295.0 29405.0 ; + RECT 8465.0 30595.0 8815.0 30660.0 ; + RECT 8980.0 30607.5 9045.0 30672.5 ; + RECT 8980.0 30595.0 9045.0 30660.0 ; + RECT 8980.0 30640.0 9045.0 30660.0 ; + RECT 9012.5 30607.5 9310.0 30672.5 ; + RECT 9310.0 30607.5 9445.0 30672.5 ; + RECT 10015.0 30607.5 10080.0 30672.5 ; + RECT 10015.0 30595.0 10080.0 30660.0 ; + RECT 9797.5 30607.5 10047.5 30672.5 ; + RECT 10015.0 30627.5 10080.0 30640.0 ; + RECT 10047.5 30595.0 10295.0 30660.0 ; + RECT 8465.0 32030.0 8815.0 32095.0 ; + RECT 8980.0 32017.5 9045.0 32082.5 ; + RECT 8980.0 32030.0 9045.0 32095.0 ; + RECT 8980.0 32050.0 9045.0 32095.0 ; + RECT 9012.5 32017.5 9310.0 32082.5 ; + RECT 9310.0 32017.5 9445.0 32082.5 ; + RECT 10015.0 32017.5 10080.0 32082.5 ; + RECT 10015.0 32030.0 10080.0 32095.0 ; + RECT 9797.5 32017.5 10047.5 32082.5 ; + RECT 10015.0 32050.0 10080.0 32062.5 ; + RECT 10047.5 32030.0 10295.0 32095.0 ; + RECT 8465.0 33285.0 8815.0 33350.0 ; + RECT 8980.0 33297.5 9045.0 33362.5 ; + RECT 8980.0 33285.0 9045.0 33350.0 ; + RECT 8980.0 33330.0 9045.0 33350.0 ; + RECT 9012.5 33297.5 9310.0 33362.5 ; + RECT 9310.0 33297.5 9445.0 33362.5 ; + RECT 10015.0 33297.5 10080.0 33362.5 ; + RECT 10015.0 33285.0 10080.0 33350.0 ; + RECT 9797.5 33297.5 10047.5 33362.5 ; + RECT 10015.0 33317.5 10080.0 33330.0 ; + RECT 10047.5 33285.0 10295.0 33350.0 ; + RECT 8465.0 34720.0 8815.0 34785.0 ; + RECT 8980.0 34707.5 9045.0 34772.5 ; + RECT 8980.0 34720.0 9045.0 34785.0 ; + RECT 8980.0 34740.0 9045.0 34785.0 ; + RECT 9012.5 34707.5 9310.0 34772.5 ; + RECT 9310.0 34707.5 9445.0 34772.5 ; + RECT 10015.0 34707.5 10080.0 34772.5 ; + RECT 10015.0 34720.0 10080.0 34785.0 ; + RECT 9797.5 34707.5 10047.5 34772.5 ; + RECT 10015.0 34740.0 10080.0 34752.5 ; + RECT 10047.5 34720.0 10295.0 34785.0 ; + RECT 8465.0 35975.0 8815.0 36040.0 ; + RECT 8980.0 35987.5 9045.0 36052.5 ; + RECT 8980.0 35975.0 9045.0 36040.0 ; + RECT 8980.0 36020.0 9045.0 36040.0 ; + RECT 9012.5 35987.5 9310.0 36052.5 ; + RECT 9310.0 35987.5 9445.0 36052.5 ; + RECT 10015.0 35987.5 10080.0 36052.5 ; + RECT 10015.0 35975.0 10080.0 36040.0 ; + RECT 9797.5 35987.5 10047.5 36052.5 ; + RECT 10015.0 36007.5 10080.0 36020.0 ; + RECT 10047.5 35975.0 10295.0 36040.0 ; + RECT 8465.0 37410.0 8815.0 37475.0 ; + RECT 8980.0 37397.5 9045.0 37462.5 ; + RECT 8980.0 37410.0 9045.0 37475.0 ; + RECT 8980.0 37430.0 9045.0 37475.0 ; + RECT 9012.5 37397.5 9310.0 37462.5 ; + RECT 9310.0 37397.5 9445.0 37462.5 ; + RECT 10015.0 37397.5 10080.0 37462.5 ; + RECT 10015.0 37410.0 10080.0 37475.0 ; + RECT 9797.5 37397.5 10047.5 37462.5 ; + RECT 10015.0 37430.0 10080.0 37442.5 ; + RECT 10047.5 37410.0 10295.0 37475.0 ; + RECT 8465.0 38665.0 8815.0 38730.0 ; + RECT 8980.0 38677.5 9045.0 38742.5 ; + RECT 8980.0 38665.0 9045.0 38730.0 ; + RECT 8980.0 38710.0 9045.0 38730.0 ; + RECT 9012.5 38677.5 9310.0 38742.5 ; + RECT 9310.0 38677.5 9445.0 38742.5 ; + RECT 10015.0 38677.5 10080.0 38742.5 ; + RECT 10015.0 38665.0 10080.0 38730.0 ; + RECT 9797.5 38677.5 10047.5 38742.5 ; + RECT 10015.0 38697.5 10080.0 38710.0 ; + RECT 10047.5 38665.0 10295.0 38730.0 ; + RECT 8465.0 40100.0 8815.0 40165.0 ; + RECT 8980.0 40087.5 9045.0 40152.5 ; + RECT 8980.0 40100.0 9045.0 40165.0 ; + RECT 8980.0 40120.0 9045.0 40165.0 ; + RECT 9012.5 40087.5 9310.0 40152.5 ; + RECT 9310.0 40087.5 9445.0 40152.5 ; + RECT 10015.0 40087.5 10080.0 40152.5 ; + RECT 10015.0 40100.0 10080.0 40165.0 ; + RECT 9797.5 40087.5 10047.5 40152.5 ; + RECT 10015.0 40120.0 10080.0 40132.5 ; + RECT 10047.5 40100.0 10295.0 40165.0 ; + RECT 8465.0 41355.0 8815.0 41420.0 ; + RECT 8980.0 41367.5 9045.0 41432.5 ; + RECT 8980.0 41355.0 9045.0 41420.0 ; + RECT 8980.0 41400.0 9045.0 41420.0 ; + RECT 9012.5 41367.5 9310.0 41432.5 ; + RECT 9310.0 41367.5 9445.0 41432.5 ; + RECT 10015.0 41367.5 10080.0 41432.5 ; + RECT 10015.0 41355.0 10080.0 41420.0 ; + RECT 9797.5 41367.5 10047.5 41432.5 ; + RECT 10015.0 41387.5 10080.0 41400.0 ; + RECT 10047.5 41355.0 10295.0 41420.0 ; + RECT 8465.0 42790.0 8815.0 42855.0 ; + RECT 8980.0 42777.5 9045.0 42842.5 ; + RECT 8980.0 42790.0 9045.0 42855.0 ; + RECT 8980.0 42810.0 9045.0 42855.0 ; + RECT 9012.5 42777.5 9310.0 42842.5 ; + RECT 9310.0 42777.5 9445.0 42842.5 ; + RECT 10015.0 42777.5 10080.0 42842.5 ; + RECT 10015.0 42790.0 10080.0 42855.0 ; + RECT 9797.5 42777.5 10047.5 42842.5 ; + RECT 10015.0 42810.0 10080.0 42822.5 ; + RECT 10047.5 42790.0 10295.0 42855.0 ; + RECT 8465.0 44045.0 8815.0 44110.0 ; + RECT 8980.0 44057.5 9045.0 44122.5 ; + RECT 8980.0 44045.0 9045.0 44110.0 ; + RECT 8980.0 44090.0 9045.0 44110.0 ; + RECT 9012.5 44057.5 9310.0 44122.5 ; + RECT 9310.0 44057.5 9445.0 44122.5 ; + RECT 10015.0 44057.5 10080.0 44122.5 ; + RECT 10015.0 44045.0 10080.0 44110.0 ; + RECT 9797.5 44057.5 10047.5 44122.5 ; + RECT 10015.0 44077.5 10080.0 44090.0 ; + RECT 10047.5 44045.0 10295.0 44110.0 ; + RECT 8465.0 45480.0 8815.0 45545.0 ; + RECT 8980.0 45467.5 9045.0 45532.5 ; + RECT 8980.0 45480.0 9045.0 45545.0 ; + RECT 8980.0 45500.0 9045.0 45545.0 ; + RECT 9012.5 45467.5 9310.0 45532.5 ; + RECT 9310.0 45467.5 9445.0 45532.5 ; + RECT 10015.0 45467.5 10080.0 45532.5 ; + RECT 10015.0 45480.0 10080.0 45545.0 ; + RECT 9797.5 45467.5 10047.5 45532.5 ; + RECT 10015.0 45500.0 10080.0 45512.5 ; + RECT 10047.5 45480.0 10295.0 45545.0 ; + RECT 8465.0 46735.0 8815.0 46800.0 ; + RECT 8980.0 46747.5 9045.0 46812.5 ; + RECT 8980.0 46735.0 9045.0 46800.0 ; + RECT 8980.0 46780.0 9045.0 46800.0 ; + RECT 9012.5 46747.5 9310.0 46812.5 ; + RECT 9310.0 46747.5 9445.0 46812.5 ; + RECT 10015.0 46747.5 10080.0 46812.5 ; + RECT 10015.0 46735.0 10080.0 46800.0 ; + RECT 9797.5 46747.5 10047.5 46812.5 ; + RECT 10015.0 46767.5 10080.0 46780.0 ; + RECT 10047.5 46735.0 10295.0 46800.0 ; + RECT 8465.0 48170.0 8815.0 48235.0 ; + RECT 8980.0 48157.5 9045.0 48222.5 ; + RECT 8980.0 48170.0 9045.0 48235.0 ; + RECT 8980.0 48190.0 9045.0 48235.0 ; + RECT 9012.5 48157.5 9310.0 48222.5 ; + RECT 9310.0 48157.5 9445.0 48222.5 ; + RECT 10015.0 48157.5 10080.0 48222.5 ; + RECT 10015.0 48170.0 10080.0 48235.0 ; + RECT 9797.5 48157.5 10047.5 48222.5 ; + RECT 10015.0 48190.0 10080.0 48202.5 ; + RECT 10047.5 48170.0 10295.0 48235.0 ; + RECT 8465.0 49425.0 8815.0 49490.0 ; + RECT 8980.0 49437.5 9045.0 49502.5 ; + RECT 8980.0 49425.0 9045.0 49490.0 ; + RECT 8980.0 49470.0 9045.0 49490.0 ; + RECT 9012.5 49437.5 9310.0 49502.5 ; + RECT 9310.0 49437.5 9445.0 49502.5 ; + RECT 10015.0 49437.5 10080.0 49502.5 ; + RECT 10015.0 49425.0 10080.0 49490.0 ; + RECT 9797.5 49437.5 10047.5 49502.5 ; + RECT 10015.0 49457.5 10080.0 49470.0 ; + RECT 10047.5 49425.0 10295.0 49490.0 ; + RECT 8465.0 50860.0 8815.0 50925.0 ; + RECT 8980.0 50847.5 9045.0 50912.5 ; + RECT 8980.0 50860.0 9045.0 50925.0 ; + RECT 8980.0 50880.0 9045.0 50925.0 ; + RECT 9012.5 50847.5 9310.0 50912.5 ; + RECT 9310.0 50847.5 9445.0 50912.5 ; + RECT 10015.0 50847.5 10080.0 50912.5 ; + RECT 10015.0 50860.0 10080.0 50925.0 ; + RECT 9797.5 50847.5 10047.5 50912.5 ; + RECT 10015.0 50880.0 10080.0 50892.5 ; + RECT 10047.5 50860.0 10295.0 50925.0 ; + RECT 8465.0 52115.0 8815.0 52180.0 ; + RECT 8980.0 52127.5 9045.0 52192.5 ; + RECT 8980.0 52115.0 9045.0 52180.0 ; + RECT 8980.0 52160.0 9045.0 52180.0 ; + RECT 9012.5 52127.5 9310.0 52192.5 ; + RECT 9310.0 52127.5 9445.0 52192.5 ; + RECT 10015.0 52127.5 10080.0 52192.5 ; + RECT 10015.0 52115.0 10080.0 52180.0 ; + RECT 9797.5 52127.5 10047.5 52192.5 ; + RECT 10015.0 52147.5 10080.0 52160.0 ; + RECT 10047.5 52115.0 10295.0 52180.0 ; + RECT 8465.0 53550.0 8815.0 53615.0 ; + RECT 8980.0 53537.5 9045.0 53602.5 ; + RECT 8980.0 53550.0 9045.0 53615.0 ; + RECT 8980.0 53570.0 9045.0 53615.0 ; + RECT 9012.5 53537.5 9310.0 53602.5 ; + RECT 9310.0 53537.5 9445.0 53602.5 ; + RECT 10015.0 53537.5 10080.0 53602.5 ; + RECT 10015.0 53550.0 10080.0 53615.0 ; + RECT 9797.5 53537.5 10047.5 53602.5 ; + RECT 10015.0 53570.0 10080.0 53582.5 ; + RECT 10047.5 53550.0 10295.0 53615.0 ; + RECT 8465.0 54805.0 8815.0 54870.0 ; + RECT 8980.0 54817.5 9045.0 54882.5 ; + RECT 8980.0 54805.0 9045.0 54870.0 ; + RECT 8980.0 54850.0 9045.0 54870.0 ; + RECT 9012.5 54817.5 9310.0 54882.5 ; + RECT 9310.0 54817.5 9445.0 54882.5 ; + RECT 10015.0 54817.5 10080.0 54882.5 ; + RECT 10015.0 54805.0 10080.0 54870.0 ; + RECT 9797.5 54817.5 10047.5 54882.5 ; + RECT 10015.0 54837.5 10080.0 54850.0 ; + RECT 10047.5 54805.0 10295.0 54870.0 ; + RECT 8465.0 56240.0 8815.0 56305.0 ; + RECT 8980.0 56227.5 9045.0 56292.5 ; + RECT 8980.0 56240.0 9045.0 56305.0 ; + RECT 8980.0 56260.0 9045.0 56305.0 ; + RECT 9012.5 56227.5 9310.0 56292.5 ; + RECT 9310.0 56227.5 9445.0 56292.5 ; + RECT 10015.0 56227.5 10080.0 56292.5 ; + RECT 10015.0 56240.0 10080.0 56305.0 ; + RECT 9797.5 56227.5 10047.5 56292.5 ; + RECT 10015.0 56260.0 10080.0 56272.5 ; + RECT 10047.5 56240.0 10295.0 56305.0 ; + RECT 8465.0 57495.0 8815.0 57560.0 ; + RECT 8980.0 57507.5 9045.0 57572.5 ; + RECT 8980.0 57495.0 9045.0 57560.0 ; + RECT 8980.0 57540.0 9045.0 57560.0 ; + RECT 9012.5 57507.5 9310.0 57572.5 ; + RECT 9310.0 57507.5 9445.0 57572.5 ; + RECT 10015.0 57507.5 10080.0 57572.5 ; + RECT 10015.0 57495.0 10080.0 57560.0 ; + RECT 9797.5 57507.5 10047.5 57572.5 ; + RECT 10015.0 57527.5 10080.0 57540.0 ; + RECT 10047.5 57495.0 10295.0 57560.0 ; + RECT 8465.0 58930.0 8815.0 58995.0 ; + RECT 8980.0 58917.5 9045.0 58982.5 ; + RECT 8980.0 58930.0 9045.0 58995.0 ; + RECT 8980.0 58950.0 9045.0 58995.0 ; + RECT 9012.5 58917.5 9310.0 58982.5 ; + RECT 9310.0 58917.5 9445.0 58982.5 ; + RECT 10015.0 58917.5 10080.0 58982.5 ; + RECT 10015.0 58930.0 10080.0 58995.0 ; + RECT 9797.5 58917.5 10047.5 58982.5 ; + RECT 10015.0 58950.0 10080.0 58962.5 ; + RECT 10047.5 58930.0 10295.0 58995.0 ; + RECT 8465.0 60185.0 8815.0 60250.0 ; + RECT 8980.0 60197.5 9045.0 60262.5 ; + RECT 8980.0 60185.0 9045.0 60250.0 ; + RECT 8980.0 60230.0 9045.0 60250.0 ; + RECT 9012.5 60197.5 9310.0 60262.5 ; + RECT 9310.0 60197.5 9445.0 60262.5 ; + RECT 10015.0 60197.5 10080.0 60262.5 ; + RECT 10015.0 60185.0 10080.0 60250.0 ; + RECT 9797.5 60197.5 10047.5 60262.5 ; + RECT 10015.0 60217.5 10080.0 60230.0 ; + RECT 10047.5 60185.0 10295.0 60250.0 ; + RECT 8465.0 61620.0 8815.0 61685.0 ; + RECT 8980.0 61607.5 9045.0 61672.5 ; + RECT 8980.0 61620.0 9045.0 61685.0 ; + RECT 8980.0 61640.0 9045.0 61685.0 ; + RECT 9012.5 61607.5 9310.0 61672.5 ; + RECT 9310.0 61607.5 9445.0 61672.5 ; + RECT 10015.0 61607.5 10080.0 61672.5 ; + RECT 10015.0 61620.0 10080.0 61685.0 ; + RECT 9797.5 61607.5 10047.5 61672.5 ; + RECT 10015.0 61640.0 10080.0 61652.5 ; + RECT 10047.5 61620.0 10295.0 61685.0 ; + RECT 8465.0 62875.0 8815.0 62940.0 ; + RECT 8980.0 62887.5 9045.0 62952.5 ; + RECT 8980.0 62875.0 9045.0 62940.0 ; + RECT 8980.0 62920.0 9045.0 62940.0 ; + RECT 9012.5 62887.5 9310.0 62952.5 ; + RECT 9310.0 62887.5 9445.0 62952.5 ; + RECT 10015.0 62887.5 10080.0 62952.5 ; + RECT 10015.0 62875.0 10080.0 62940.0 ; + RECT 9797.5 62887.5 10047.5 62952.5 ; + RECT 10015.0 62907.5 10080.0 62920.0 ; + RECT 10047.5 62875.0 10295.0 62940.0 ; + RECT 8465.0 64310.0 8815.0 64375.0 ; + RECT 8980.0 64297.5 9045.0 64362.5 ; + RECT 8980.0 64310.0 9045.0 64375.0 ; + RECT 8980.0 64330.0 9045.0 64375.0 ; + RECT 9012.5 64297.5 9310.0 64362.5 ; + RECT 9310.0 64297.5 9445.0 64362.5 ; + RECT 10015.0 64297.5 10080.0 64362.5 ; + RECT 10015.0 64310.0 10080.0 64375.0 ; + RECT 9797.5 64297.5 10047.5 64362.5 ; + RECT 10015.0 64330.0 10080.0 64342.5 ; + RECT 10047.5 64310.0 10295.0 64375.0 ; + RECT 8465.0 65565.0 8815.0 65630.0 ; + RECT 8980.0 65577.5 9045.0 65642.5 ; + RECT 8980.0 65565.0 9045.0 65630.0 ; + RECT 8980.0 65610.0 9045.0 65630.0 ; + RECT 9012.5 65577.5 9310.0 65642.5 ; + RECT 9310.0 65577.5 9445.0 65642.5 ; + RECT 10015.0 65577.5 10080.0 65642.5 ; + RECT 10015.0 65565.0 10080.0 65630.0 ; + RECT 9797.5 65577.5 10047.5 65642.5 ; + RECT 10015.0 65597.5 10080.0 65610.0 ; + RECT 10047.5 65565.0 10295.0 65630.0 ; + RECT 8465.0 67000.0 8815.0 67065.0 ; + RECT 8980.0 66987.5 9045.0 67052.5 ; + RECT 8980.0 67000.0 9045.0 67065.0 ; + RECT 8980.0 67020.0 9045.0 67065.0 ; + RECT 9012.5 66987.5 9310.0 67052.5 ; + RECT 9310.0 66987.5 9445.0 67052.5 ; + RECT 10015.0 66987.5 10080.0 67052.5 ; + RECT 10015.0 67000.0 10080.0 67065.0 ; + RECT 9797.5 66987.5 10047.5 67052.5 ; + RECT 10015.0 67020.0 10080.0 67032.5 ; + RECT 10047.5 67000.0 10295.0 67065.0 ; + RECT 8465.0 68255.0 8815.0 68320.0 ; + RECT 8980.0 68267.5 9045.0 68332.5 ; + RECT 8980.0 68255.0 9045.0 68320.0 ; + RECT 8980.0 68300.0 9045.0 68320.0 ; + RECT 9012.5 68267.5 9310.0 68332.5 ; + RECT 9310.0 68267.5 9445.0 68332.5 ; + RECT 10015.0 68267.5 10080.0 68332.5 ; + RECT 10015.0 68255.0 10080.0 68320.0 ; + RECT 9797.5 68267.5 10047.5 68332.5 ; + RECT 10015.0 68287.5 10080.0 68300.0 ; + RECT 10047.5 68255.0 10295.0 68320.0 ; + RECT 8465.0 69690.0 8815.0 69755.0 ; + RECT 8980.0 69677.5 9045.0 69742.5 ; + RECT 8980.0 69690.0 9045.0 69755.0 ; + RECT 8980.0 69710.0 9045.0 69755.0 ; + RECT 9012.5 69677.5 9310.0 69742.5 ; + RECT 9310.0 69677.5 9445.0 69742.5 ; + RECT 10015.0 69677.5 10080.0 69742.5 ; + RECT 10015.0 69690.0 10080.0 69755.0 ; + RECT 9797.5 69677.5 10047.5 69742.5 ; + RECT 10015.0 69710.0 10080.0 69722.5 ; + RECT 10047.5 69690.0 10295.0 69755.0 ; + RECT 9117.5 28470.0 9182.5 28655.0 ; + RECT 9117.5 27310.0 9182.5 27495.0 ; + RECT 8757.5 27427.5 8822.5 27277.5 ; + RECT 8757.5 28312.5 8822.5 28687.5 ; + RECT 8947.5 27427.5 9012.5 28312.5 ; + RECT 8757.5 28312.5 8822.5 28447.5 ; + RECT 8947.5 28312.5 9012.5 28447.5 ; + RECT 8947.5 28312.5 9012.5 28447.5 ; + RECT 8757.5 28312.5 8822.5 28447.5 ; + RECT 8757.5 27427.5 8822.5 27562.5 ; + RECT 8947.5 27427.5 9012.5 27562.5 ; + RECT 8947.5 27427.5 9012.5 27562.5 ; + RECT 8757.5 27427.5 8822.5 27562.5 ; + RECT 9117.5 28402.5 9182.5 28537.5 ; + RECT 9117.5 27427.5 9182.5 27562.5 ; + RECT 8815.0 27870.0 8880.0 28005.0 ; + RECT 8815.0 27870.0 8880.0 28005.0 ; + RECT 8980.0 27905.0 9045.0 27970.0 ; + RECT 8690.0 28622.5 9250.0 28687.5 ; + RECT 8690.0 27277.5 9250.0 27342.5 ; + RECT 9317.5 27472.5 9382.5 27277.5 ; + RECT 9317.5 28312.5 9382.5 28687.5 ; + RECT 9697.5 28312.5 9762.5 28687.5 ; + RECT 9867.5 28470.0 9932.5 28655.0 ; + RECT 9867.5 27310.0 9932.5 27495.0 ; + RECT 9317.5 28312.5 9382.5 28447.5 ; + RECT 9507.5 28312.5 9572.5 28447.5 ; + RECT 9507.5 28312.5 9572.5 28447.5 ; + RECT 9317.5 28312.5 9382.5 28447.5 ; + RECT 9507.5 28312.5 9572.5 28447.5 ; + RECT 9697.5 28312.5 9762.5 28447.5 ; + RECT 9697.5 28312.5 9762.5 28447.5 ; + RECT 9507.5 28312.5 9572.5 28447.5 ; + RECT 9317.5 27472.5 9382.5 27607.5 ; + RECT 9507.5 27472.5 9572.5 27607.5 ; + RECT 9507.5 27472.5 9572.5 27607.5 ; + RECT 9317.5 27472.5 9382.5 27607.5 ; + RECT 9507.5 27472.5 9572.5 27607.5 ; + RECT 9697.5 27472.5 9762.5 27607.5 ; + RECT 9697.5 27472.5 9762.5 27607.5 ; + RECT 9507.5 27472.5 9572.5 27607.5 ; + RECT 9867.5 28402.5 9932.5 28537.5 ; + RECT 9867.5 27427.5 9932.5 27562.5 ; + RECT 9702.5 27702.5 9567.5 27767.5 ; + RECT 9445.0 27917.5 9310.0 27982.5 ; + RECT 9507.5 28312.5 9572.5 28447.5 ; + RECT 9697.5 27472.5 9762.5 27607.5 ; + RECT 9797.5 27917.5 9662.5 27982.5 ; + RECT 9310.0 27917.5 9445.0 27982.5 ; + RECT 9567.5 27702.5 9702.5 27767.5 ; + RECT 9662.5 27917.5 9797.5 27982.5 ; + RECT 9250.0 28622.5 10170.0 28687.5 ; + RECT 9250.0 27277.5 10170.0 27342.5 ; + RECT 10597.5 28470.0 10662.5 28655.0 ; + RECT 10597.5 27310.0 10662.5 27495.0 ; + RECT 10237.5 27427.5 10302.5 27277.5 ; + RECT 10237.5 28312.5 10302.5 28687.5 ; + RECT 10427.5 27427.5 10492.5 28312.5 ; + RECT 10237.5 28312.5 10302.5 28447.5 ; + RECT 10427.5 28312.5 10492.5 28447.5 ; + RECT 10427.5 28312.5 10492.5 28447.5 ; + RECT 10237.5 28312.5 10302.5 28447.5 ; + RECT 10237.5 27427.5 10302.5 27562.5 ; + RECT 10427.5 27427.5 10492.5 27562.5 ; + RECT 10427.5 27427.5 10492.5 27562.5 ; + RECT 10237.5 27427.5 10302.5 27562.5 ; + RECT 10597.5 28402.5 10662.5 28537.5 ; + RECT 10597.5 27427.5 10662.5 27562.5 ; + RECT 10295.0 27870.0 10360.0 28005.0 ; + RECT 10295.0 27870.0 10360.0 28005.0 ; + RECT 10460.0 27905.0 10525.0 27970.0 ; + RECT 10170.0 28622.5 10730.0 28687.5 ; + RECT 10170.0 27277.5 10730.0 27342.5 ; + RECT 8432.5 27870.0 8497.5 28005.0 ; + RECT 8572.5 27597.5 8637.5 27732.5 ; + RECT 9567.5 27702.5 9432.5 27767.5 ; + RECT 9117.5 28840.0 9182.5 28655.0 ; + RECT 9117.5 30000.0 9182.5 29815.0 ; + RECT 8757.5 29882.5 8822.5 30032.5 ; + RECT 8757.5 28997.5 8822.5 28622.5 ; + RECT 8947.5 29882.5 9012.5 28997.5 ; + RECT 8757.5 28997.5 8822.5 28862.5 ; + RECT 8947.5 28997.5 9012.5 28862.5 ; + RECT 8947.5 28997.5 9012.5 28862.5 ; + RECT 8757.5 28997.5 8822.5 28862.5 ; + RECT 8757.5 29882.5 8822.5 29747.5 ; + RECT 8947.5 29882.5 9012.5 29747.5 ; + RECT 8947.5 29882.5 9012.5 29747.5 ; + RECT 8757.5 29882.5 8822.5 29747.5 ; + RECT 9117.5 28907.5 9182.5 28772.5 ; + RECT 9117.5 29882.5 9182.5 29747.5 ; + RECT 8815.0 29440.0 8880.0 29305.0 ; + RECT 8815.0 29440.0 8880.0 29305.0 ; + RECT 8980.0 29405.0 9045.0 29340.0 ; + RECT 8690.0 28687.5 9250.0 28622.5 ; + RECT 8690.0 30032.5 9250.0 29967.5 ; + RECT 9317.5 29837.5 9382.5 30032.5 ; + RECT 9317.5 28997.5 9382.5 28622.5 ; + RECT 9697.5 28997.5 9762.5 28622.5 ; + RECT 9867.5 28840.0 9932.5 28655.0 ; + RECT 9867.5 30000.0 9932.5 29815.0 ; + RECT 9317.5 28997.5 9382.5 28862.5 ; + RECT 9507.5 28997.5 9572.5 28862.5 ; + RECT 9507.5 28997.5 9572.5 28862.5 ; + RECT 9317.5 28997.5 9382.5 28862.5 ; + RECT 9507.5 28997.5 9572.5 28862.5 ; + RECT 9697.5 28997.5 9762.5 28862.5 ; + RECT 9697.5 28997.5 9762.5 28862.5 ; + RECT 9507.5 28997.5 9572.5 28862.5 ; + RECT 9317.5 29837.5 9382.5 29702.5 ; + RECT 9507.5 29837.5 9572.5 29702.5 ; + RECT 9507.5 29837.5 9572.5 29702.5 ; + RECT 9317.5 29837.5 9382.5 29702.5 ; + RECT 9507.5 29837.5 9572.5 29702.5 ; + RECT 9697.5 29837.5 9762.5 29702.5 ; + RECT 9697.5 29837.5 9762.5 29702.5 ; + RECT 9507.5 29837.5 9572.5 29702.5 ; + RECT 9867.5 28907.5 9932.5 28772.5 ; + RECT 9867.5 29882.5 9932.5 29747.5 ; + RECT 9702.5 29607.5 9567.5 29542.5 ; + RECT 9445.0 29392.5 9310.0 29327.5 ; + RECT 9507.5 28997.5 9572.5 28862.5 ; + RECT 9697.5 29837.5 9762.5 29702.5 ; + RECT 9797.5 29392.5 9662.5 29327.5 ; + RECT 9310.0 29392.5 9445.0 29327.5 ; + RECT 9567.5 29607.5 9702.5 29542.5 ; + RECT 9662.5 29392.5 9797.5 29327.5 ; + RECT 9250.0 28687.5 10170.0 28622.5 ; + RECT 9250.0 30032.5 10170.0 29967.5 ; + RECT 10597.5 28840.0 10662.5 28655.0 ; + RECT 10597.5 30000.0 10662.5 29815.0 ; + RECT 10237.5 29882.5 10302.5 30032.5 ; + RECT 10237.5 28997.5 10302.5 28622.5 ; + RECT 10427.5 29882.5 10492.5 28997.5 ; + RECT 10237.5 28997.5 10302.5 28862.5 ; + RECT 10427.5 28997.5 10492.5 28862.5 ; + RECT 10427.5 28997.5 10492.5 28862.5 ; + RECT 10237.5 28997.5 10302.5 28862.5 ; + RECT 10237.5 29882.5 10302.5 29747.5 ; + RECT 10427.5 29882.5 10492.5 29747.5 ; + RECT 10427.5 29882.5 10492.5 29747.5 ; + RECT 10237.5 29882.5 10302.5 29747.5 ; + RECT 10597.5 28907.5 10662.5 28772.5 ; + RECT 10597.5 29882.5 10662.5 29747.5 ; + RECT 10295.0 29440.0 10360.0 29305.0 ; + RECT 10295.0 29440.0 10360.0 29305.0 ; + RECT 10460.0 29405.0 10525.0 29340.0 ; + RECT 10170.0 28687.5 10730.0 28622.5 ; + RECT 10170.0 30032.5 10730.0 29967.5 ; + RECT 8432.5 29305.0 8497.5 29440.0 ; + RECT 8572.5 29577.5 8637.5 29712.5 ; + RECT 9567.5 29542.5 9432.5 29607.5 ; + RECT 9117.5 31160.0 9182.5 31345.0 ; + RECT 9117.5 30000.0 9182.5 30185.0 ; + RECT 8757.5 30117.5 8822.5 29967.5 ; + RECT 8757.5 31002.5 8822.5 31377.5 ; + RECT 8947.5 30117.5 9012.5 31002.5 ; + RECT 8757.5 31002.5 8822.5 31137.5 ; + RECT 8947.5 31002.5 9012.5 31137.5 ; + RECT 8947.5 31002.5 9012.5 31137.5 ; + RECT 8757.5 31002.5 8822.5 31137.5 ; + RECT 8757.5 30117.5 8822.5 30252.5 ; + RECT 8947.5 30117.5 9012.5 30252.5 ; + RECT 8947.5 30117.5 9012.5 30252.5 ; + RECT 8757.5 30117.5 8822.5 30252.5 ; + RECT 9117.5 31092.5 9182.5 31227.5 ; + RECT 9117.5 30117.5 9182.5 30252.5 ; + RECT 8815.0 30560.0 8880.0 30695.0 ; + RECT 8815.0 30560.0 8880.0 30695.0 ; + RECT 8980.0 30595.0 9045.0 30660.0 ; + RECT 8690.0 31312.5 9250.0 31377.5 ; + RECT 8690.0 29967.5 9250.0 30032.5 ; + RECT 9317.5 30162.5 9382.5 29967.5 ; + RECT 9317.5 31002.5 9382.5 31377.5 ; + RECT 9697.5 31002.5 9762.5 31377.5 ; + RECT 9867.5 31160.0 9932.5 31345.0 ; + RECT 9867.5 30000.0 9932.5 30185.0 ; + RECT 9317.5 31002.5 9382.5 31137.5 ; + RECT 9507.5 31002.5 9572.5 31137.5 ; + RECT 9507.5 31002.5 9572.5 31137.5 ; + RECT 9317.5 31002.5 9382.5 31137.5 ; + RECT 9507.5 31002.5 9572.5 31137.5 ; + RECT 9697.5 31002.5 9762.5 31137.5 ; + RECT 9697.5 31002.5 9762.5 31137.5 ; + RECT 9507.5 31002.5 9572.5 31137.5 ; + RECT 9317.5 30162.5 9382.5 30297.5 ; + RECT 9507.5 30162.5 9572.5 30297.5 ; + RECT 9507.5 30162.5 9572.5 30297.5 ; + RECT 9317.5 30162.5 9382.5 30297.5 ; + RECT 9507.5 30162.5 9572.5 30297.5 ; + RECT 9697.5 30162.5 9762.5 30297.5 ; + RECT 9697.5 30162.5 9762.5 30297.5 ; + RECT 9507.5 30162.5 9572.5 30297.5 ; + RECT 9867.5 31092.5 9932.5 31227.5 ; + RECT 9867.5 30117.5 9932.5 30252.5 ; + RECT 9702.5 30392.5 9567.5 30457.5 ; + RECT 9445.0 30607.5 9310.0 30672.5 ; + RECT 9507.5 31002.5 9572.5 31137.5 ; + RECT 9697.5 30162.5 9762.5 30297.5 ; + RECT 9797.5 30607.5 9662.5 30672.5 ; + RECT 9310.0 30607.5 9445.0 30672.5 ; + RECT 9567.5 30392.5 9702.5 30457.5 ; + RECT 9662.5 30607.5 9797.5 30672.5 ; + RECT 9250.0 31312.5 10170.0 31377.5 ; + RECT 9250.0 29967.5 10170.0 30032.5 ; + RECT 10597.5 31160.0 10662.5 31345.0 ; + RECT 10597.5 30000.0 10662.5 30185.0 ; + RECT 10237.5 30117.5 10302.5 29967.5 ; + RECT 10237.5 31002.5 10302.5 31377.5 ; + RECT 10427.5 30117.5 10492.5 31002.5 ; + RECT 10237.5 31002.5 10302.5 31137.5 ; + RECT 10427.5 31002.5 10492.5 31137.5 ; + RECT 10427.5 31002.5 10492.5 31137.5 ; + RECT 10237.5 31002.5 10302.5 31137.5 ; + RECT 10237.5 30117.5 10302.5 30252.5 ; + RECT 10427.5 30117.5 10492.5 30252.5 ; + RECT 10427.5 30117.5 10492.5 30252.5 ; + RECT 10237.5 30117.5 10302.5 30252.5 ; + RECT 10597.5 31092.5 10662.5 31227.5 ; + RECT 10597.5 30117.5 10662.5 30252.5 ; + RECT 10295.0 30560.0 10360.0 30695.0 ; + RECT 10295.0 30560.0 10360.0 30695.0 ; + RECT 10460.0 30595.0 10525.0 30660.0 ; + RECT 10170.0 31312.5 10730.0 31377.5 ; + RECT 10170.0 29967.5 10730.0 30032.5 ; + RECT 8432.5 30560.0 8497.5 30695.0 ; + RECT 8572.5 30287.5 8637.5 30422.5 ; + RECT 9567.5 30392.5 9432.5 30457.5 ; + RECT 9117.5 31530.0 9182.5 31345.0 ; + RECT 9117.5 32690.0 9182.5 32505.0 ; + RECT 8757.5 32572.5 8822.5 32722.5 ; + RECT 8757.5 31687.5 8822.5 31312.5 ; + RECT 8947.5 32572.5 9012.5 31687.5 ; + RECT 8757.5 31687.5 8822.5 31552.5 ; + RECT 8947.5 31687.5 9012.5 31552.5 ; + RECT 8947.5 31687.5 9012.5 31552.5 ; + RECT 8757.5 31687.5 8822.5 31552.5 ; + RECT 8757.5 32572.5 8822.5 32437.5 ; + RECT 8947.5 32572.5 9012.5 32437.5 ; + RECT 8947.5 32572.5 9012.5 32437.5 ; + RECT 8757.5 32572.5 8822.5 32437.5 ; + RECT 9117.5 31597.5 9182.5 31462.5 ; + RECT 9117.5 32572.5 9182.5 32437.5 ; + RECT 8815.0 32130.0 8880.0 31995.0 ; + RECT 8815.0 32130.0 8880.0 31995.0 ; + RECT 8980.0 32095.0 9045.0 32030.0 ; + RECT 8690.0 31377.5 9250.0 31312.5 ; + RECT 8690.0 32722.5 9250.0 32657.5 ; + RECT 9317.5 32527.5 9382.5 32722.5 ; + RECT 9317.5 31687.5 9382.5 31312.5 ; + RECT 9697.5 31687.5 9762.5 31312.5 ; + RECT 9867.5 31530.0 9932.5 31345.0 ; + RECT 9867.5 32690.0 9932.5 32505.0 ; + RECT 9317.5 31687.5 9382.5 31552.5 ; + RECT 9507.5 31687.5 9572.5 31552.5 ; + RECT 9507.5 31687.5 9572.5 31552.5 ; + RECT 9317.5 31687.5 9382.5 31552.5 ; + RECT 9507.5 31687.5 9572.5 31552.5 ; + RECT 9697.5 31687.5 9762.5 31552.5 ; + RECT 9697.5 31687.5 9762.5 31552.5 ; + RECT 9507.5 31687.5 9572.5 31552.5 ; + RECT 9317.5 32527.5 9382.5 32392.5 ; + RECT 9507.5 32527.5 9572.5 32392.5 ; + RECT 9507.5 32527.5 9572.5 32392.5 ; + RECT 9317.5 32527.5 9382.5 32392.5 ; + RECT 9507.5 32527.5 9572.5 32392.5 ; + RECT 9697.5 32527.5 9762.5 32392.5 ; + RECT 9697.5 32527.5 9762.5 32392.5 ; + RECT 9507.5 32527.5 9572.5 32392.5 ; + RECT 9867.5 31597.5 9932.5 31462.5 ; + RECT 9867.5 32572.5 9932.5 32437.5 ; + RECT 9702.5 32297.5 9567.5 32232.5 ; + RECT 9445.0 32082.5 9310.0 32017.5 ; + RECT 9507.5 31687.5 9572.5 31552.5 ; + RECT 9697.5 32527.5 9762.5 32392.5 ; + RECT 9797.5 32082.5 9662.5 32017.5 ; + RECT 9310.0 32082.5 9445.0 32017.5 ; + RECT 9567.5 32297.5 9702.5 32232.5 ; + RECT 9662.5 32082.5 9797.5 32017.5 ; + RECT 9250.0 31377.5 10170.0 31312.5 ; + RECT 9250.0 32722.5 10170.0 32657.5 ; + RECT 10597.5 31530.0 10662.5 31345.0 ; + RECT 10597.5 32690.0 10662.5 32505.0 ; + RECT 10237.5 32572.5 10302.5 32722.5 ; + RECT 10237.5 31687.5 10302.5 31312.5 ; + RECT 10427.5 32572.5 10492.5 31687.5 ; + RECT 10237.5 31687.5 10302.5 31552.5 ; + RECT 10427.5 31687.5 10492.5 31552.5 ; + RECT 10427.5 31687.5 10492.5 31552.5 ; + RECT 10237.5 31687.5 10302.5 31552.5 ; + RECT 10237.5 32572.5 10302.5 32437.5 ; + RECT 10427.5 32572.5 10492.5 32437.5 ; + RECT 10427.5 32572.5 10492.5 32437.5 ; + RECT 10237.5 32572.5 10302.5 32437.5 ; + RECT 10597.5 31597.5 10662.5 31462.5 ; + RECT 10597.5 32572.5 10662.5 32437.5 ; + RECT 10295.0 32130.0 10360.0 31995.0 ; + RECT 10295.0 32130.0 10360.0 31995.0 ; + RECT 10460.0 32095.0 10525.0 32030.0 ; + RECT 10170.0 31377.5 10730.0 31312.5 ; + RECT 10170.0 32722.5 10730.0 32657.5 ; + RECT 8432.5 31995.0 8497.5 32130.0 ; + RECT 8572.5 32267.5 8637.5 32402.5 ; + RECT 9567.5 32232.5 9432.5 32297.5 ; + RECT 9117.5 33850.0 9182.5 34035.0 ; + RECT 9117.5 32690.0 9182.5 32875.0 ; + RECT 8757.5 32807.5 8822.5 32657.5 ; + RECT 8757.5 33692.5 8822.5 34067.5 ; + RECT 8947.5 32807.5 9012.5 33692.5 ; + RECT 8757.5 33692.5 8822.5 33827.5 ; + RECT 8947.5 33692.5 9012.5 33827.5 ; + RECT 8947.5 33692.5 9012.5 33827.5 ; + RECT 8757.5 33692.5 8822.5 33827.5 ; + RECT 8757.5 32807.5 8822.5 32942.5 ; + RECT 8947.5 32807.5 9012.5 32942.5 ; + RECT 8947.5 32807.5 9012.5 32942.5 ; + RECT 8757.5 32807.5 8822.5 32942.5 ; + RECT 9117.5 33782.5 9182.5 33917.5 ; + RECT 9117.5 32807.5 9182.5 32942.5 ; + RECT 8815.0 33250.0 8880.0 33385.0 ; + RECT 8815.0 33250.0 8880.0 33385.0 ; + RECT 8980.0 33285.0 9045.0 33350.0 ; + RECT 8690.0 34002.5 9250.0 34067.5 ; + RECT 8690.0 32657.5 9250.0 32722.5 ; + RECT 9317.5 32852.5 9382.5 32657.5 ; + RECT 9317.5 33692.5 9382.5 34067.5 ; + RECT 9697.5 33692.5 9762.5 34067.5 ; + RECT 9867.5 33850.0 9932.5 34035.0 ; + RECT 9867.5 32690.0 9932.5 32875.0 ; + RECT 9317.5 33692.5 9382.5 33827.5 ; + RECT 9507.5 33692.5 9572.5 33827.5 ; + RECT 9507.5 33692.5 9572.5 33827.5 ; + RECT 9317.5 33692.5 9382.5 33827.5 ; + RECT 9507.5 33692.5 9572.5 33827.5 ; + RECT 9697.5 33692.5 9762.5 33827.5 ; + RECT 9697.5 33692.5 9762.5 33827.5 ; + RECT 9507.5 33692.5 9572.5 33827.5 ; + RECT 9317.5 32852.5 9382.5 32987.5 ; + RECT 9507.5 32852.5 9572.5 32987.5 ; + RECT 9507.5 32852.5 9572.5 32987.5 ; + RECT 9317.5 32852.5 9382.5 32987.5 ; + RECT 9507.5 32852.5 9572.5 32987.5 ; + RECT 9697.5 32852.5 9762.5 32987.5 ; + RECT 9697.5 32852.5 9762.5 32987.5 ; + RECT 9507.5 32852.5 9572.5 32987.5 ; + RECT 9867.5 33782.5 9932.5 33917.5 ; + RECT 9867.5 32807.5 9932.5 32942.5 ; + RECT 9702.5 33082.5 9567.5 33147.5 ; + RECT 9445.0 33297.5 9310.0 33362.5 ; + RECT 9507.5 33692.5 9572.5 33827.5 ; + RECT 9697.5 32852.5 9762.5 32987.5 ; + RECT 9797.5 33297.5 9662.5 33362.5 ; + RECT 9310.0 33297.5 9445.0 33362.5 ; + RECT 9567.5 33082.5 9702.5 33147.5 ; + RECT 9662.5 33297.5 9797.5 33362.5 ; + RECT 9250.0 34002.5 10170.0 34067.5 ; + RECT 9250.0 32657.5 10170.0 32722.5 ; + RECT 10597.5 33850.0 10662.5 34035.0 ; + RECT 10597.5 32690.0 10662.5 32875.0 ; + RECT 10237.5 32807.5 10302.5 32657.5 ; + RECT 10237.5 33692.5 10302.5 34067.5 ; + RECT 10427.5 32807.5 10492.5 33692.5 ; + RECT 10237.5 33692.5 10302.5 33827.5 ; + RECT 10427.5 33692.5 10492.5 33827.5 ; + RECT 10427.5 33692.5 10492.5 33827.5 ; + RECT 10237.5 33692.5 10302.5 33827.5 ; + RECT 10237.5 32807.5 10302.5 32942.5 ; + RECT 10427.5 32807.5 10492.5 32942.5 ; + RECT 10427.5 32807.5 10492.5 32942.5 ; + RECT 10237.5 32807.5 10302.5 32942.5 ; + RECT 10597.5 33782.5 10662.5 33917.5 ; + RECT 10597.5 32807.5 10662.5 32942.5 ; + RECT 10295.0 33250.0 10360.0 33385.0 ; + RECT 10295.0 33250.0 10360.0 33385.0 ; + RECT 10460.0 33285.0 10525.0 33350.0 ; + RECT 10170.0 34002.5 10730.0 34067.5 ; + RECT 10170.0 32657.5 10730.0 32722.5 ; + RECT 8432.5 33250.0 8497.5 33385.0 ; + RECT 8572.5 32977.5 8637.5 33112.5 ; + RECT 9567.5 33082.5 9432.5 33147.5 ; + RECT 9117.5 34220.0 9182.5 34035.0 ; + RECT 9117.5 35380.0 9182.5 35195.0 ; + RECT 8757.5 35262.5 8822.5 35412.5 ; + RECT 8757.5 34377.5 8822.5 34002.5 ; + RECT 8947.5 35262.5 9012.5 34377.5 ; + RECT 8757.5 34377.5 8822.5 34242.5 ; + RECT 8947.5 34377.5 9012.5 34242.5 ; + RECT 8947.5 34377.5 9012.5 34242.5 ; + RECT 8757.5 34377.5 8822.5 34242.5 ; + RECT 8757.5 35262.5 8822.5 35127.5 ; + RECT 8947.5 35262.5 9012.5 35127.5 ; + RECT 8947.5 35262.5 9012.5 35127.5 ; + RECT 8757.5 35262.5 8822.5 35127.5 ; + RECT 9117.5 34287.5 9182.5 34152.5 ; + RECT 9117.5 35262.5 9182.5 35127.5 ; + RECT 8815.0 34820.0 8880.0 34685.0 ; + RECT 8815.0 34820.0 8880.0 34685.0 ; + RECT 8980.0 34785.0 9045.0 34720.0 ; + RECT 8690.0 34067.5 9250.0 34002.5 ; + RECT 8690.0 35412.5 9250.0 35347.5 ; + RECT 9317.5 35217.5 9382.5 35412.5 ; + RECT 9317.5 34377.5 9382.5 34002.5 ; + RECT 9697.5 34377.5 9762.5 34002.5 ; + RECT 9867.5 34220.0 9932.5 34035.0 ; + RECT 9867.5 35380.0 9932.5 35195.0 ; + RECT 9317.5 34377.5 9382.5 34242.5 ; + RECT 9507.5 34377.5 9572.5 34242.5 ; + RECT 9507.5 34377.5 9572.5 34242.5 ; + RECT 9317.5 34377.5 9382.5 34242.5 ; + RECT 9507.5 34377.5 9572.5 34242.5 ; + RECT 9697.5 34377.5 9762.5 34242.5 ; + RECT 9697.5 34377.5 9762.5 34242.5 ; + RECT 9507.5 34377.5 9572.5 34242.5 ; + RECT 9317.5 35217.5 9382.5 35082.5 ; + RECT 9507.5 35217.5 9572.5 35082.5 ; + RECT 9507.5 35217.5 9572.5 35082.5 ; + RECT 9317.5 35217.5 9382.5 35082.5 ; + RECT 9507.5 35217.5 9572.5 35082.5 ; + RECT 9697.5 35217.5 9762.5 35082.5 ; + RECT 9697.5 35217.5 9762.5 35082.5 ; + RECT 9507.5 35217.5 9572.5 35082.5 ; + RECT 9867.5 34287.5 9932.5 34152.5 ; + RECT 9867.5 35262.5 9932.5 35127.5 ; + RECT 9702.5 34987.5 9567.5 34922.5 ; + RECT 9445.0 34772.5 9310.0 34707.5 ; + RECT 9507.5 34377.5 9572.5 34242.5 ; + RECT 9697.5 35217.5 9762.5 35082.5 ; + RECT 9797.5 34772.5 9662.5 34707.5 ; + RECT 9310.0 34772.5 9445.0 34707.5 ; + RECT 9567.5 34987.5 9702.5 34922.5 ; + RECT 9662.5 34772.5 9797.5 34707.5 ; + RECT 9250.0 34067.5 10170.0 34002.5 ; + RECT 9250.0 35412.5 10170.0 35347.5 ; + RECT 10597.5 34220.0 10662.5 34035.0 ; + RECT 10597.5 35380.0 10662.5 35195.0 ; + RECT 10237.5 35262.5 10302.5 35412.5 ; + RECT 10237.5 34377.5 10302.5 34002.5 ; + RECT 10427.5 35262.5 10492.5 34377.5 ; + RECT 10237.5 34377.5 10302.5 34242.5 ; + RECT 10427.5 34377.5 10492.5 34242.5 ; + RECT 10427.5 34377.5 10492.5 34242.5 ; + RECT 10237.5 34377.5 10302.5 34242.5 ; + RECT 10237.5 35262.5 10302.5 35127.5 ; + RECT 10427.5 35262.5 10492.5 35127.5 ; + RECT 10427.5 35262.5 10492.5 35127.5 ; + RECT 10237.5 35262.5 10302.5 35127.5 ; + RECT 10597.5 34287.5 10662.5 34152.5 ; + RECT 10597.5 35262.5 10662.5 35127.5 ; + RECT 10295.0 34820.0 10360.0 34685.0 ; + RECT 10295.0 34820.0 10360.0 34685.0 ; + RECT 10460.0 34785.0 10525.0 34720.0 ; + RECT 10170.0 34067.5 10730.0 34002.5 ; + RECT 10170.0 35412.5 10730.0 35347.5 ; + RECT 8432.5 34685.0 8497.5 34820.0 ; + RECT 8572.5 34957.5 8637.5 35092.5 ; + RECT 9567.5 34922.5 9432.5 34987.5 ; + RECT 9117.5 36540.0 9182.5 36725.0 ; + RECT 9117.5 35380.0 9182.5 35565.0 ; + RECT 8757.5 35497.5 8822.5 35347.5 ; + RECT 8757.5 36382.5 8822.5 36757.5 ; + RECT 8947.5 35497.5 9012.5 36382.5 ; + RECT 8757.5 36382.5 8822.5 36517.5 ; + RECT 8947.5 36382.5 9012.5 36517.5 ; + RECT 8947.5 36382.5 9012.5 36517.5 ; + RECT 8757.5 36382.5 8822.5 36517.5 ; + RECT 8757.5 35497.5 8822.5 35632.5 ; + RECT 8947.5 35497.5 9012.5 35632.5 ; + RECT 8947.5 35497.5 9012.5 35632.5 ; + RECT 8757.5 35497.5 8822.5 35632.5 ; + RECT 9117.5 36472.5 9182.5 36607.5 ; + RECT 9117.5 35497.5 9182.5 35632.5 ; + RECT 8815.0 35940.0 8880.0 36075.0 ; + RECT 8815.0 35940.0 8880.0 36075.0 ; + RECT 8980.0 35975.0 9045.0 36040.0 ; + RECT 8690.0 36692.5 9250.0 36757.5 ; + RECT 8690.0 35347.5 9250.0 35412.5 ; + RECT 9317.5 35542.5 9382.5 35347.5 ; + RECT 9317.5 36382.5 9382.5 36757.5 ; + RECT 9697.5 36382.5 9762.5 36757.5 ; + RECT 9867.5 36540.0 9932.5 36725.0 ; + RECT 9867.5 35380.0 9932.5 35565.0 ; + RECT 9317.5 36382.5 9382.5 36517.5 ; + RECT 9507.5 36382.5 9572.5 36517.5 ; + RECT 9507.5 36382.5 9572.5 36517.5 ; + RECT 9317.5 36382.5 9382.5 36517.5 ; + RECT 9507.5 36382.5 9572.5 36517.5 ; + RECT 9697.5 36382.5 9762.5 36517.5 ; + RECT 9697.5 36382.5 9762.5 36517.5 ; + RECT 9507.5 36382.5 9572.5 36517.5 ; + RECT 9317.5 35542.5 9382.5 35677.5 ; + RECT 9507.5 35542.5 9572.5 35677.5 ; + RECT 9507.5 35542.5 9572.5 35677.5 ; + RECT 9317.5 35542.5 9382.5 35677.5 ; + RECT 9507.5 35542.5 9572.5 35677.5 ; + RECT 9697.5 35542.5 9762.5 35677.5 ; + RECT 9697.5 35542.5 9762.5 35677.5 ; + RECT 9507.5 35542.5 9572.5 35677.5 ; + RECT 9867.5 36472.5 9932.5 36607.5 ; + RECT 9867.5 35497.5 9932.5 35632.5 ; + RECT 9702.5 35772.5 9567.5 35837.5 ; + RECT 9445.0 35987.5 9310.0 36052.5 ; + RECT 9507.5 36382.5 9572.5 36517.5 ; + RECT 9697.5 35542.5 9762.5 35677.5 ; + RECT 9797.5 35987.5 9662.5 36052.5 ; + RECT 9310.0 35987.5 9445.0 36052.5 ; + RECT 9567.5 35772.5 9702.5 35837.5 ; + RECT 9662.5 35987.5 9797.5 36052.5 ; + RECT 9250.0 36692.5 10170.0 36757.5 ; + RECT 9250.0 35347.5 10170.0 35412.5 ; + RECT 10597.5 36540.0 10662.5 36725.0 ; + RECT 10597.5 35380.0 10662.5 35565.0 ; + RECT 10237.5 35497.5 10302.5 35347.5 ; + RECT 10237.5 36382.5 10302.5 36757.5 ; + RECT 10427.5 35497.5 10492.5 36382.5 ; + RECT 10237.5 36382.5 10302.5 36517.5 ; + RECT 10427.5 36382.5 10492.5 36517.5 ; + RECT 10427.5 36382.5 10492.5 36517.5 ; + RECT 10237.5 36382.5 10302.5 36517.5 ; + RECT 10237.5 35497.5 10302.5 35632.5 ; + RECT 10427.5 35497.5 10492.5 35632.5 ; + RECT 10427.5 35497.5 10492.5 35632.5 ; + RECT 10237.5 35497.5 10302.5 35632.5 ; + RECT 10597.5 36472.5 10662.5 36607.5 ; + RECT 10597.5 35497.5 10662.5 35632.5 ; + RECT 10295.0 35940.0 10360.0 36075.0 ; + RECT 10295.0 35940.0 10360.0 36075.0 ; + RECT 10460.0 35975.0 10525.0 36040.0 ; + RECT 10170.0 36692.5 10730.0 36757.5 ; + RECT 10170.0 35347.5 10730.0 35412.5 ; + RECT 8432.5 35940.0 8497.5 36075.0 ; + RECT 8572.5 35667.5 8637.5 35802.5 ; + RECT 9567.5 35772.5 9432.5 35837.5 ; + RECT 9117.5 36910.0 9182.5 36725.0 ; + RECT 9117.5 38070.0 9182.5 37885.0 ; + RECT 8757.5 37952.5 8822.5 38102.5 ; + RECT 8757.5 37067.5 8822.5 36692.5 ; + RECT 8947.5 37952.5 9012.5 37067.5 ; + RECT 8757.5 37067.5 8822.5 36932.5 ; + RECT 8947.5 37067.5 9012.5 36932.5 ; + RECT 8947.5 37067.5 9012.5 36932.5 ; + RECT 8757.5 37067.5 8822.5 36932.5 ; + RECT 8757.5 37952.5 8822.5 37817.5 ; + RECT 8947.5 37952.5 9012.5 37817.5 ; + RECT 8947.5 37952.5 9012.5 37817.5 ; + RECT 8757.5 37952.5 8822.5 37817.5 ; + RECT 9117.5 36977.5 9182.5 36842.5 ; + RECT 9117.5 37952.5 9182.5 37817.5 ; + RECT 8815.0 37510.0 8880.0 37375.0 ; + RECT 8815.0 37510.0 8880.0 37375.0 ; + RECT 8980.0 37475.0 9045.0 37410.0 ; + RECT 8690.0 36757.5 9250.0 36692.5 ; + RECT 8690.0 38102.5 9250.0 38037.5 ; + RECT 9317.5 37907.5 9382.5 38102.5 ; + RECT 9317.5 37067.5 9382.5 36692.5 ; + RECT 9697.5 37067.5 9762.5 36692.5 ; + RECT 9867.5 36910.0 9932.5 36725.0 ; + RECT 9867.5 38070.0 9932.5 37885.0 ; + RECT 9317.5 37067.5 9382.5 36932.5 ; + RECT 9507.5 37067.5 9572.5 36932.5 ; + RECT 9507.5 37067.5 9572.5 36932.5 ; + RECT 9317.5 37067.5 9382.5 36932.5 ; + RECT 9507.5 37067.5 9572.5 36932.5 ; + RECT 9697.5 37067.5 9762.5 36932.5 ; + RECT 9697.5 37067.5 9762.5 36932.5 ; + RECT 9507.5 37067.5 9572.5 36932.5 ; + RECT 9317.5 37907.5 9382.5 37772.5 ; + RECT 9507.5 37907.5 9572.5 37772.5 ; + RECT 9507.5 37907.5 9572.5 37772.5 ; + RECT 9317.5 37907.5 9382.5 37772.5 ; + RECT 9507.5 37907.5 9572.5 37772.5 ; + RECT 9697.5 37907.5 9762.5 37772.5 ; + RECT 9697.5 37907.5 9762.5 37772.5 ; + RECT 9507.5 37907.5 9572.5 37772.5 ; + RECT 9867.5 36977.5 9932.5 36842.5 ; + RECT 9867.5 37952.5 9932.5 37817.5 ; + RECT 9702.5 37677.5 9567.5 37612.5 ; + RECT 9445.0 37462.5 9310.0 37397.5 ; + RECT 9507.5 37067.5 9572.5 36932.5 ; + RECT 9697.5 37907.5 9762.5 37772.5 ; + RECT 9797.5 37462.5 9662.5 37397.5 ; + RECT 9310.0 37462.5 9445.0 37397.5 ; + RECT 9567.5 37677.5 9702.5 37612.5 ; + RECT 9662.5 37462.5 9797.5 37397.5 ; + RECT 9250.0 36757.5 10170.0 36692.5 ; + RECT 9250.0 38102.5 10170.0 38037.5 ; + RECT 10597.5 36910.0 10662.5 36725.0 ; + RECT 10597.5 38070.0 10662.5 37885.0 ; + RECT 10237.5 37952.5 10302.5 38102.5 ; + RECT 10237.5 37067.5 10302.5 36692.5 ; + RECT 10427.5 37952.5 10492.5 37067.5 ; + RECT 10237.5 37067.5 10302.5 36932.5 ; + RECT 10427.5 37067.5 10492.5 36932.5 ; + RECT 10427.5 37067.5 10492.5 36932.5 ; + RECT 10237.5 37067.5 10302.5 36932.5 ; + RECT 10237.5 37952.5 10302.5 37817.5 ; + RECT 10427.5 37952.5 10492.5 37817.5 ; + RECT 10427.5 37952.5 10492.5 37817.5 ; + RECT 10237.5 37952.5 10302.5 37817.5 ; + RECT 10597.5 36977.5 10662.5 36842.5 ; + RECT 10597.5 37952.5 10662.5 37817.5 ; + RECT 10295.0 37510.0 10360.0 37375.0 ; + RECT 10295.0 37510.0 10360.0 37375.0 ; + RECT 10460.0 37475.0 10525.0 37410.0 ; + RECT 10170.0 36757.5 10730.0 36692.5 ; + RECT 10170.0 38102.5 10730.0 38037.5 ; + RECT 8432.5 37375.0 8497.5 37510.0 ; + RECT 8572.5 37647.5 8637.5 37782.5 ; + RECT 9567.5 37612.5 9432.5 37677.5 ; + RECT 9117.5 39230.0 9182.5 39415.0 ; + RECT 9117.5 38070.0 9182.5 38255.0 ; + RECT 8757.5 38187.5 8822.5 38037.5 ; + RECT 8757.5 39072.5 8822.5 39447.5 ; + RECT 8947.5 38187.5 9012.5 39072.5 ; + RECT 8757.5 39072.5 8822.5 39207.5 ; + RECT 8947.5 39072.5 9012.5 39207.5 ; + RECT 8947.5 39072.5 9012.5 39207.5 ; + RECT 8757.5 39072.5 8822.5 39207.5 ; + RECT 8757.5 38187.5 8822.5 38322.5 ; + RECT 8947.5 38187.5 9012.5 38322.5 ; + RECT 8947.5 38187.5 9012.5 38322.5 ; + RECT 8757.5 38187.5 8822.5 38322.5 ; + RECT 9117.5 39162.5 9182.5 39297.5 ; + RECT 9117.5 38187.5 9182.5 38322.5 ; + RECT 8815.0 38630.0 8880.0 38765.0 ; + RECT 8815.0 38630.0 8880.0 38765.0 ; + RECT 8980.0 38665.0 9045.0 38730.0 ; + RECT 8690.0 39382.5 9250.0 39447.5 ; + RECT 8690.0 38037.5 9250.0 38102.5 ; + RECT 9317.5 38232.5 9382.5 38037.5 ; + RECT 9317.5 39072.5 9382.5 39447.5 ; + RECT 9697.5 39072.5 9762.5 39447.5 ; + RECT 9867.5 39230.0 9932.5 39415.0 ; + RECT 9867.5 38070.0 9932.5 38255.0 ; + RECT 9317.5 39072.5 9382.5 39207.5 ; + RECT 9507.5 39072.5 9572.5 39207.5 ; + RECT 9507.5 39072.5 9572.5 39207.5 ; + RECT 9317.5 39072.5 9382.5 39207.5 ; + RECT 9507.5 39072.5 9572.5 39207.5 ; + RECT 9697.5 39072.5 9762.5 39207.5 ; + RECT 9697.5 39072.5 9762.5 39207.5 ; + RECT 9507.5 39072.5 9572.5 39207.5 ; + RECT 9317.5 38232.5 9382.5 38367.5 ; + RECT 9507.5 38232.5 9572.5 38367.5 ; + RECT 9507.5 38232.5 9572.5 38367.5 ; + RECT 9317.5 38232.5 9382.5 38367.5 ; + RECT 9507.5 38232.5 9572.5 38367.5 ; + RECT 9697.5 38232.5 9762.5 38367.5 ; + RECT 9697.5 38232.5 9762.5 38367.5 ; + RECT 9507.5 38232.5 9572.5 38367.5 ; + RECT 9867.5 39162.5 9932.5 39297.5 ; + RECT 9867.5 38187.5 9932.5 38322.5 ; + RECT 9702.5 38462.5 9567.5 38527.5 ; + RECT 9445.0 38677.5 9310.0 38742.5 ; + RECT 9507.5 39072.5 9572.5 39207.5 ; + RECT 9697.5 38232.5 9762.5 38367.5 ; + RECT 9797.5 38677.5 9662.5 38742.5 ; + RECT 9310.0 38677.5 9445.0 38742.5 ; + RECT 9567.5 38462.5 9702.5 38527.5 ; + RECT 9662.5 38677.5 9797.5 38742.5 ; + RECT 9250.0 39382.5 10170.0 39447.5 ; + RECT 9250.0 38037.5 10170.0 38102.5 ; + RECT 10597.5 39230.0 10662.5 39415.0 ; + RECT 10597.5 38070.0 10662.5 38255.0 ; + RECT 10237.5 38187.5 10302.5 38037.5 ; + RECT 10237.5 39072.5 10302.5 39447.5 ; + RECT 10427.5 38187.5 10492.5 39072.5 ; + RECT 10237.5 39072.5 10302.5 39207.5 ; + RECT 10427.5 39072.5 10492.5 39207.5 ; + RECT 10427.5 39072.5 10492.5 39207.5 ; + RECT 10237.5 39072.5 10302.5 39207.5 ; + RECT 10237.5 38187.5 10302.5 38322.5 ; + RECT 10427.5 38187.5 10492.5 38322.5 ; + RECT 10427.5 38187.5 10492.5 38322.5 ; + RECT 10237.5 38187.5 10302.5 38322.5 ; + RECT 10597.5 39162.5 10662.5 39297.5 ; + RECT 10597.5 38187.5 10662.5 38322.5 ; + RECT 10295.0 38630.0 10360.0 38765.0 ; + RECT 10295.0 38630.0 10360.0 38765.0 ; + RECT 10460.0 38665.0 10525.0 38730.0 ; + RECT 10170.0 39382.5 10730.0 39447.5 ; + RECT 10170.0 38037.5 10730.0 38102.5 ; + RECT 8432.5 38630.0 8497.5 38765.0 ; + RECT 8572.5 38357.5 8637.5 38492.5 ; + RECT 9567.5 38462.5 9432.5 38527.5 ; + RECT 9117.5 39600.0 9182.5 39415.0 ; + RECT 9117.5 40760.0 9182.5 40575.0 ; + RECT 8757.5 40642.5 8822.5 40792.5 ; + RECT 8757.5 39757.5 8822.5 39382.5 ; + RECT 8947.5 40642.5 9012.5 39757.5 ; + RECT 8757.5 39757.5 8822.5 39622.5 ; + RECT 8947.5 39757.5 9012.5 39622.5 ; + RECT 8947.5 39757.5 9012.5 39622.5 ; + RECT 8757.5 39757.5 8822.5 39622.5 ; + RECT 8757.5 40642.5 8822.5 40507.5 ; + RECT 8947.5 40642.5 9012.5 40507.5 ; + RECT 8947.5 40642.5 9012.5 40507.5 ; + RECT 8757.5 40642.5 8822.5 40507.5 ; + RECT 9117.5 39667.5 9182.5 39532.5 ; + RECT 9117.5 40642.5 9182.5 40507.5 ; + RECT 8815.0 40200.0 8880.0 40065.0 ; + RECT 8815.0 40200.0 8880.0 40065.0 ; + RECT 8980.0 40165.0 9045.0 40100.0 ; + RECT 8690.0 39447.5 9250.0 39382.5 ; + RECT 8690.0 40792.5 9250.0 40727.5 ; + RECT 9317.5 40597.5 9382.5 40792.5 ; + RECT 9317.5 39757.5 9382.5 39382.5 ; + RECT 9697.5 39757.5 9762.5 39382.5 ; + RECT 9867.5 39600.0 9932.5 39415.0 ; + RECT 9867.5 40760.0 9932.5 40575.0 ; + RECT 9317.5 39757.5 9382.5 39622.5 ; + RECT 9507.5 39757.5 9572.5 39622.5 ; + RECT 9507.5 39757.5 9572.5 39622.5 ; + RECT 9317.5 39757.5 9382.5 39622.5 ; + RECT 9507.5 39757.5 9572.5 39622.5 ; + RECT 9697.5 39757.5 9762.5 39622.5 ; + RECT 9697.5 39757.5 9762.5 39622.5 ; + RECT 9507.5 39757.5 9572.5 39622.5 ; + RECT 9317.5 40597.5 9382.5 40462.5 ; + RECT 9507.5 40597.5 9572.5 40462.5 ; + RECT 9507.5 40597.5 9572.5 40462.5 ; + RECT 9317.5 40597.5 9382.5 40462.5 ; + RECT 9507.5 40597.5 9572.5 40462.5 ; + RECT 9697.5 40597.5 9762.5 40462.5 ; + RECT 9697.5 40597.5 9762.5 40462.5 ; + RECT 9507.5 40597.5 9572.5 40462.5 ; + RECT 9867.5 39667.5 9932.5 39532.5 ; + RECT 9867.5 40642.5 9932.5 40507.5 ; + RECT 9702.5 40367.5 9567.5 40302.5 ; + RECT 9445.0 40152.5 9310.0 40087.5 ; + RECT 9507.5 39757.5 9572.5 39622.5 ; + RECT 9697.5 40597.5 9762.5 40462.5 ; + RECT 9797.5 40152.5 9662.5 40087.5 ; + RECT 9310.0 40152.5 9445.0 40087.5 ; + RECT 9567.5 40367.5 9702.5 40302.5 ; + RECT 9662.5 40152.5 9797.5 40087.5 ; + RECT 9250.0 39447.5 10170.0 39382.5 ; + RECT 9250.0 40792.5 10170.0 40727.5 ; + RECT 10597.5 39600.0 10662.5 39415.0 ; + RECT 10597.5 40760.0 10662.5 40575.0 ; + RECT 10237.5 40642.5 10302.5 40792.5 ; + RECT 10237.5 39757.5 10302.5 39382.5 ; + RECT 10427.5 40642.5 10492.5 39757.5 ; + RECT 10237.5 39757.5 10302.5 39622.5 ; + RECT 10427.5 39757.5 10492.5 39622.5 ; + RECT 10427.5 39757.5 10492.5 39622.5 ; + RECT 10237.5 39757.5 10302.5 39622.5 ; + RECT 10237.5 40642.5 10302.5 40507.5 ; + RECT 10427.5 40642.5 10492.5 40507.5 ; + RECT 10427.5 40642.5 10492.5 40507.5 ; + RECT 10237.5 40642.5 10302.5 40507.5 ; + RECT 10597.5 39667.5 10662.5 39532.5 ; + RECT 10597.5 40642.5 10662.5 40507.5 ; + RECT 10295.0 40200.0 10360.0 40065.0 ; + RECT 10295.0 40200.0 10360.0 40065.0 ; + RECT 10460.0 40165.0 10525.0 40100.0 ; + RECT 10170.0 39447.5 10730.0 39382.5 ; + RECT 10170.0 40792.5 10730.0 40727.5 ; + RECT 8432.5 40065.0 8497.5 40200.0 ; + RECT 8572.5 40337.5 8637.5 40472.5 ; + RECT 9567.5 40302.5 9432.5 40367.5 ; + RECT 9117.5 41920.0 9182.5 42105.0 ; + RECT 9117.5 40760.0 9182.5 40945.0 ; + RECT 8757.5 40877.5 8822.5 40727.5 ; + RECT 8757.5 41762.5 8822.5 42137.5 ; + RECT 8947.5 40877.5 9012.5 41762.5 ; + RECT 8757.5 41762.5 8822.5 41897.5 ; + RECT 8947.5 41762.5 9012.5 41897.5 ; + RECT 8947.5 41762.5 9012.5 41897.5 ; + RECT 8757.5 41762.5 8822.5 41897.5 ; + RECT 8757.5 40877.5 8822.5 41012.5 ; + RECT 8947.5 40877.5 9012.5 41012.5 ; + RECT 8947.5 40877.5 9012.5 41012.5 ; + RECT 8757.5 40877.5 8822.5 41012.5 ; + RECT 9117.5 41852.5 9182.5 41987.5 ; + RECT 9117.5 40877.5 9182.5 41012.5 ; + RECT 8815.0 41320.0 8880.0 41455.0 ; + RECT 8815.0 41320.0 8880.0 41455.0 ; + RECT 8980.0 41355.0 9045.0 41420.0 ; + RECT 8690.0 42072.5 9250.0 42137.5 ; + RECT 8690.0 40727.5 9250.0 40792.5 ; + RECT 9317.5 40922.5 9382.5 40727.5 ; + RECT 9317.5 41762.5 9382.5 42137.5 ; + RECT 9697.5 41762.5 9762.5 42137.5 ; + RECT 9867.5 41920.0 9932.5 42105.0 ; + RECT 9867.5 40760.0 9932.5 40945.0 ; + RECT 9317.5 41762.5 9382.5 41897.5 ; + RECT 9507.5 41762.5 9572.5 41897.5 ; + RECT 9507.5 41762.5 9572.5 41897.5 ; + RECT 9317.5 41762.5 9382.5 41897.5 ; + RECT 9507.5 41762.5 9572.5 41897.5 ; + RECT 9697.5 41762.5 9762.5 41897.5 ; + RECT 9697.5 41762.5 9762.5 41897.5 ; + RECT 9507.5 41762.5 9572.5 41897.5 ; + RECT 9317.5 40922.5 9382.5 41057.5 ; + RECT 9507.5 40922.5 9572.5 41057.5 ; + RECT 9507.5 40922.5 9572.5 41057.5 ; + RECT 9317.5 40922.5 9382.5 41057.5 ; + RECT 9507.5 40922.5 9572.5 41057.5 ; + RECT 9697.5 40922.5 9762.5 41057.5 ; + RECT 9697.5 40922.5 9762.5 41057.5 ; + RECT 9507.5 40922.5 9572.5 41057.5 ; + RECT 9867.5 41852.5 9932.5 41987.5 ; + RECT 9867.5 40877.5 9932.5 41012.5 ; + RECT 9702.5 41152.5 9567.5 41217.5 ; + RECT 9445.0 41367.5 9310.0 41432.5 ; + RECT 9507.5 41762.5 9572.5 41897.5 ; + RECT 9697.5 40922.5 9762.5 41057.5 ; + RECT 9797.5 41367.5 9662.5 41432.5 ; + RECT 9310.0 41367.5 9445.0 41432.5 ; + RECT 9567.5 41152.5 9702.5 41217.5 ; + RECT 9662.5 41367.5 9797.5 41432.5 ; + RECT 9250.0 42072.5 10170.0 42137.5 ; + RECT 9250.0 40727.5 10170.0 40792.5 ; + RECT 10597.5 41920.0 10662.5 42105.0 ; + RECT 10597.5 40760.0 10662.5 40945.0 ; + RECT 10237.5 40877.5 10302.5 40727.5 ; + RECT 10237.5 41762.5 10302.5 42137.5 ; + RECT 10427.5 40877.5 10492.5 41762.5 ; + RECT 10237.5 41762.5 10302.5 41897.5 ; + RECT 10427.5 41762.5 10492.5 41897.5 ; + RECT 10427.5 41762.5 10492.5 41897.5 ; + RECT 10237.5 41762.5 10302.5 41897.5 ; + RECT 10237.5 40877.5 10302.5 41012.5 ; + RECT 10427.5 40877.5 10492.5 41012.5 ; + RECT 10427.5 40877.5 10492.5 41012.5 ; + RECT 10237.5 40877.5 10302.5 41012.5 ; + RECT 10597.5 41852.5 10662.5 41987.5 ; + RECT 10597.5 40877.5 10662.5 41012.5 ; + RECT 10295.0 41320.0 10360.0 41455.0 ; + RECT 10295.0 41320.0 10360.0 41455.0 ; + RECT 10460.0 41355.0 10525.0 41420.0 ; + RECT 10170.0 42072.5 10730.0 42137.5 ; + RECT 10170.0 40727.5 10730.0 40792.5 ; + RECT 8432.5 41320.0 8497.5 41455.0 ; + RECT 8572.5 41047.5 8637.5 41182.5 ; + RECT 9567.5 41152.5 9432.5 41217.5 ; + RECT 9117.5 42290.0 9182.5 42105.0 ; + RECT 9117.5 43450.0 9182.5 43265.0 ; + RECT 8757.5 43332.5 8822.5 43482.5 ; + RECT 8757.5 42447.5 8822.5 42072.5 ; + RECT 8947.5 43332.5 9012.5 42447.5 ; + RECT 8757.5 42447.5 8822.5 42312.5 ; + RECT 8947.5 42447.5 9012.5 42312.5 ; + RECT 8947.5 42447.5 9012.5 42312.5 ; + RECT 8757.5 42447.5 8822.5 42312.5 ; + RECT 8757.5 43332.5 8822.5 43197.5 ; + RECT 8947.5 43332.5 9012.5 43197.5 ; + RECT 8947.5 43332.5 9012.5 43197.5 ; + RECT 8757.5 43332.5 8822.5 43197.5 ; + RECT 9117.5 42357.5 9182.5 42222.5 ; + RECT 9117.5 43332.5 9182.5 43197.5 ; + RECT 8815.0 42890.0 8880.0 42755.0 ; + RECT 8815.0 42890.0 8880.0 42755.0 ; + RECT 8980.0 42855.0 9045.0 42790.0 ; + RECT 8690.0 42137.5 9250.0 42072.5 ; + RECT 8690.0 43482.5 9250.0 43417.5 ; + RECT 9317.5 43287.5 9382.5 43482.5 ; + RECT 9317.5 42447.5 9382.5 42072.5 ; + RECT 9697.5 42447.5 9762.5 42072.5 ; + RECT 9867.5 42290.0 9932.5 42105.0 ; + RECT 9867.5 43450.0 9932.5 43265.0 ; + RECT 9317.5 42447.5 9382.5 42312.5 ; + RECT 9507.5 42447.5 9572.5 42312.5 ; + RECT 9507.5 42447.5 9572.5 42312.5 ; + RECT 9317.5 42447.5 9382.5 42312.5 ; + RECT 9507.5 42447.5 9572.5 42312.5 ; + RECT 9697.5 42447.5 9762.5 42312.5 ; + RECT 9697.5 42447.5 9762.5 42312.5 ; + RECT 9507.5 42447.5 9572.5 42312.5 ; + RECT 9317.5 43287.5 9382.5 43152.5 ; + RECT 9507.5 43287.5 9572.5 43152.5 ; + RECT 9507.5 43287.5 9572.5 43152.5 ; + RECT 9317.5 43287.5 9382.5 43152.5 ; + RECT 9507.5 43287.5 9572.5 43152.5 ; + RECT 9697.5 43287.5 9762.5 43152.5 ; + RECT 9697.5 43287.5 9762.5 43152.5 ; + RECT 9507.5 43287.5 9572.5 43152.5 ; + RECT 9867.5 42357.5 9932.5 42222.5 ; + RECT 9867.5 43332.5 9932.5 43197.5 ; + RECT 9702.5 43057.5 9567.5 42992.5 ; + RECT 9445.0 42842.5 9310.0 42777.5 ; + RECT 9507.5 42447.5 9572.5 42312.5 ; + RECT 9697.5 43287.5 9762.5 43152.5 ; + RECT 9797.5 42842.5 9662.5 42777.5 ; + RECT 9310.0 42842.5 9445.0 42777.5 ; + RECT 9567.5 43057.5 9702.5 42992.5 ; + RECT 9662.5 42842.5 9797.5 42777.5 ; + RECT 9250.0 42137.5 10170.0 42072.5 ; + RECT 9250.0 43482.5 10170.0 43417.5 ; + RECT 10597.5 42290.0 10662.5 42105.0 ; + RECT 10597.5 43450.0 10662.5 43265.0 ; + RECT 10237.5 43332.5 10302.5 43482.5 ; + RECT 10237.5 42447.5 10302.5 42072.5 ; + RECT 10427.5 43332.5 10492.5 42447.5 ; + RECT 10237.5 42447.5 10302.5 42312.5 ; + RECT 10427.5 42447.5 10492.5 42312.5 ; + RECT 10427.5 42447.5 10492.5 42312.5 ; + RECT 10237.5 42447.5 10302.5 42312.5 ; + RECT 10237.5 43332.5 10302.5 43197.5 ; + RECT 10427.5 43332.5 10492.5 43197.5 ; + RECT 10427.5 43332.5 10492.5 43197.5 ; + RECT 10237.5 43332.5 10302.5 43197.5 ; + RECT 10597.5 42357.5 10662.5 42222.5 ; + RECT 10597.5 43332.5 10662.5 43197.5 ; + RECT 10295.0 42890.0 10360.0 42755.0 ; + RECT 10295.0 42890.0 10360.0 42755.0 ; + RECT 10460.0 42855.0 10525.0 42790.0 ; + RECT 10170.0 42137.5 10730.0 42072.5 ; + RECT 10170.0 43482.5 10730.0 43417.5 ; + RECT 8432.5 42755.0 8497.5 42890.0 ; + RECT 8572.5 43027.5 8637.5 43162.5 ; + RECT 9567.5 42992.5 9432.5 43057.5 ; + RECT 9117.5 44610.0 9182.5 44795.0 ; + RECT 9117.5 43450.0 9182.5 43635.0 ; + RECT 8757.5 43567.5 8822.5 43417.5 ; + RECT 8757.5 44452.5 8822.5 44827.5 ; + RECT 8947.5 43567.5 9012.5 44452.5 ; + RECT 8757.5 44452.5 8822.5 44587.5 ; + RECT 8947.5 44452.5 9012.5 44587.5 ; + RECT 8947.5 44452.5 9012.5 44587.5 ; + RECT 8757.5 44452.5 8822.5 44587.5 ; + RECT 8757.5 43567.5 8822.5 43702.5 ; + RECT 8947.5 43567.5 9012.5 43702.5 ; + RECT 8947.5 43567.5 9012.5 43702.5 ; + RECT 8757.5 43567.5 8822.5 43702.5 ; + RECT 9117.5 44542.5 9182.5 44677.5 ; + RECT 9117.5 43567.5 9182.5 43702.5 ; + RECT 8815.0 44010.0 8880.0 44145.0 ; + RECT 8815.0 44010.0 8880.0 44145.0 ; + RECT 8980.0 44045.0 9045.0 44110.0 ; + RECT 8690.0 44762.5 9250.0 44827.5 ; + RECT 8690.0 43417.5 9250.0 43482.5 ; + RECT 9317.5 43612.5 9382.5 43417.5 ; + RECT 9317.5 44452.5 9382.5 44827.5 ; + RECT 9697.5 44452.5 9762.5 44827.5 ; + RECT 9867.5 44610.0 9932.5 44795.0 ; + RECT 9867.5 43450.0 9932.5 43635.0 ; + RECT 9317.5 44452.5 9382.5 44587.5 ; + RECT 9507.5 44452.5 9572.5 44587.5 ; + RECT 9507.5 44452.5 9572.5 44587.5 ; + RECT 9317.5 44452.5 9382.5 44587.5 ; + RECT 9507.5 44452.5 9572.5 44587.5 ; + RECT 9697.5 44452.5 9762.5 44587.5 ; + RECT 9697.5 44452.5 9762.5 44587.5 ; + RECT 9507.5 44452.5 9572.5 44587.5 ; + RECT 9317.5 43612.5 9382.5 43747.5 ; + RECT 9507.5 43612.5 9572.5 43747.5 ; + RECT 9507.5 43612.5 9572.5 43747.5 ; + RECT 9317.5 43612.5 9382.5 43747.5 ; + RECT 9507.5 43612.5 9572.5 43747.5 ; + RECT 9697.5 43612.5 9762.5 43747.5 ; + RECT 9697.5 43612.5 9762.5 43747.5 ; + RECT 9507.5 43612.5 9572.5 43747.5 ; + RECT 9867.5 44542.5 9932.5 44677.5 ; + RECT 9867.5 43567.5 9932.5 43702.5 ; + RECT 9702.5 43842.5 9567.5 43907.5 ; + RECT 9445.0 44057.5 9310.0 44122.5 ; + RECT 9507.5 44452.5 9572.5 44587.5 ; + RECT 9697.5 43612.5 9762.5 43747.5 ; + RECT 9797.5 44057.5 9662.5 44122.5 ; + RECT 9310.0 44057.5 9445.0 44122.5 ; + RECT 9567.5 43842.5 9702.5 43907.5 ; + RECT 9662.5 44057.5 9797.5 44122.5 ; + RECT 9250.0 44762.5 10170.0 44827.5 ; + RECT 9250.0 43417.5 10170.0 43482.5 ; + RECT 10597.5 44610.0 10662.5 44795.0 ; + RECT 10597.5 43450.0 10662.5 43635.0 ; + RECT 10237.5 43567.5 10302.5 43417.5 ; + RECT 10237.5 44452.5 10302.5 44827.5 ; + RECT 10427.5 43567.5 10492.5 44452.5 ; + RECT 10237.5 44452.5 10302.5 44587.5 ; + RECT 10427.5 44452.5 10492.5 44587.5 ; + RECT 10427.5 44452.5 10492.5 44587.5 ; + RECT 10237.5 44452.5 10302.5 44587.5 ; + RECT 10237.5 43567.5 10302.5 43702.5 ; + RECT 10427.5 43567.5 10492.5 43702.5 ; + RECT 10427.5 43567.5 10492.5 43702.5 ; + RECT 10237.5 43567.5 10302.5 43702.5 ; + RECT 10597.5 44542.5 10662.5 44677.5 ; + RECT 10597.5 43567.5 10662.5 43702.5 ; + RECT 10295.0 44010.0 10360.0 44145.0 ; + RECT 10295.0 44010.0 10360.0 44145.0 ; + RECT 10460.0 44045.0 10525.0 44110.0 ; + RECT 10170.0 44762.5 10730.0 44827.5 ; + RECT 10170.0 43417.5 10730.0 43482.5 ; + RECT 8432.5 44010.0 8497.5 44145.0 ; + RECT 8572.5 43737.5 8637.5 43872.5 ; + RECT 9567.5 43842.5 9432.5 43907.5 ; + RECT 9117.5 44980.0 9182.5 44795.0 ; + RECT 9117.5 46140.0 9182.5 45955.0 ; + RECT 8757.5 46022.5 8822.5 46172.5 ; + RECT 8757.5 45137.5 8822.5 44762.5 ; + RECT 8947.5 46022.5 9012.5 45137.5 ; + RECT 8757.5 45137.5 8822.5 45002.5 ; + RECT 8947.5 45137.5 9012.5 45002.5 ; + RECT 8947.5 45137.5 9012.5 45002.5 ; + RECT 8757.5 45137.5 8822.5 45002.5 ; + RECT 8757.5 46022.5 8822.5 45887.5 ; + RECT 8947.5 46022.5 9012.5 45887.5 ; + RECT 8947.5 46022.5 9012.5 45887.5 ; + RECT 8757.5 46022.5 8822.5 45887.5 ; + RECT 9117.5 45047.5 9182.5 44912.5 ; + RECT 9117.5 46022.5 9182.5 45887.5 ; + RECT 8815.0 45580.0 8880.0 45445.0 ; + RECT 8815.0 45580.0 8880.0 45445.0 ; + RECT 8980.0 45545.0 9045.0 45480.0 ; + RECT 8690.0 44827.5 9250.0 44762.5 ; + RECT 8690.0 46172.5 9250.0 46107.5 ; + RECT 9317.5 45977.5 9382.5 46172.5 ; + RECT 9317.5 45137.5 9382.5 44762.5 ; + RECT 9697.5 45137.5 9762.5 44762.5 ; + RECT 9867.5 44980.0 9932.5 44795.0 ; + RECT 9867.5 46140.0 9932.5 45955.0 ; + RECT 9317.5 45137.5 9382.5 45002.5 ; + RECT 9507.5 45137.5 9572.5 45002.5 ; + RECT 9507.5 45137.5 9572.5 45002.5 ; + RECT 9317.5 45137.5 9382.5 45002.5 ; + RECT 9507.5 45137.5 9572.5 45002.5 ; + RECT 9697.5 45137.5 9762.5 45002.5 ; + RECT 9697.5 45137.5 9762.5 45002.5 ; + RECT 9507.5 45137.5 9572.5 45002.5 ; + RECT 9317.5 45977.5 9382.5 45842.5 ; + RECT 9507.5 45977.5 9572.5 45842.5 ; + RECT 9507.5 45977.5 9572.5 45842.5 ; + RECT 9317.5 45977.5 9382.5 45842.5 ; + RECT 9507.5 45977.5 9572.5 45842.5 ; + RECT 9697.5 45977.5 9762.5 45842.5 ; + RECT 9697.5 45977.5 9762.5 45842.5 ; + RECT 9507.5 45977.5 9572.5 45842.5 ; + RECT 9867.5 45047.5 9932.5 44912.5 ; + RECT 9867.5 46022.5 9932.5 45887.5 ; + RECT 9702.5 45747.5 9567.5 45682.5 ; + RECT 9445.0 45532.5 9310.0 45467.5 ; + RECT 9507.5 45137.5 9572.5 45002.5 ; + RECT 9697.5 45977.5 9762.5 45842.5 ; + RECT 9797.5 45532.5 9662.5 45467.5 ; + RECT 9310.0 45532.5 9445.0 45467.5 ; + RECT 9567.5 45747.5 9702.5 45682.5 ; + RECT 9662.5 45532.5 9797.5 45467.5 ; + RECT 9250.0 44827.5 10170.0 44762.5 ; + RECT 9250.0 46172.5 10170.0 46107.5 ; + RECT 10597.5 44980.0 10662.5 44795.0 ; + RECT 10597.5 46140.0 10662.5 45955.0 ; + RECT 10237.5 46022.5 10302.5 46172.5 ; + RECT 10237.5 45137.5 10302.5 44762.5 ; + RECT 10427.5 46022.5 10492.5 45137.5 ; + RECT 10237.5 45137.5 10302.5 45002.5 ; + RECT 10427.5 45137.5 10492.5 45002.5 ; + RECT 10427.5 45137.5 10492.5 45002.5 ; + RECT 10237.5 45137.5 10302.5 45002.5 ; + RECT 10237.5 46022.5 10302.5 45887.5 ; + RECT 10427.5 46022.5 10492.5 45887.5 ; + RECT 10427.5 46022.5 10492.5 45887.5 ; + RECT 10237.5 46022.5 10302.5 45887.5 ; + RECT 10597.5 45047.5 10662.5 44912.5 ; + RECT 10597.5 46022.5 10662.5 45887.5 ; + RECT 10295.0 45580.0 10360.0 45445.0 ; + RECT 10295.0 45580.0 10360.0 45445.0 ; + RECT 10460.0 45545.0 10525.0 45480.0 ; + RECT 10170.0 44827.5 10730.0 44762.5 ; + RECT 10170.0 46172.5 10730.0 46107.5 ; + RECT 8432.5 45445.0 8497.5 45580.0 ; + RECT 8572.5 45717.5 8637.5 45852.5 ; + RECT 9567.5 45682.5 9432.5 45747.5 ; + RECT 9117.5 47300.0 9182.5 47485.0 ; + RECT 9117.5 46140.0 9182.5 46325.0 ; + RECT 8757.5 46257.5 8822.5 46107.5 ; + RECT 8757.5 47142.5 8822.5 47517.5 ; + RECT 8947.5 46257.5 9012.5 47142.5 ; + RECT 8757.5 47142.5 8822.5 47277.5 ; + RECT 8947.5 47142.5 9012.5 47277.5 ; + RECT 8947.5 47142.5 9012.5 47277.5 ; + RECT 8757.5 47142.5 8822.5 47277.5 ; + RECT 8757.5 46257.5 8822.5 46392.5 ; + RECT 8947.5 46257.5 9012.5 46392.5 ; + RECT 8947.5 46257.5 9012.5 46392.5 ; + RECT 8757.5 46257.5 8822.5 46392.5 ; + RECT 9117.5 47232.5 9182.5 47367.5 ; + RECT 9117.5 46257.5 9182.5 46392.5 ; + RECT 8815.0 46700.0 8880.0 46835.0 ; + RECT 8815.0 46700.0 8880.0 46835.0 ; + RECT 8980.0 46735.0 9045.0 46800.0 ; + RECT 8690.0 47452.5 9250.0 47517.5 ; + RECT 8690.0 46107.5 9250.0 46172.5 ; + RECT 9317.5 46302.5 9382.5 46107.5 ; + RECT 9317.5 47142.5 9382.5 47517.5 ; + RECT 9697.5 47142.5 9762.5 47517.5 ; + RECT 9867.5 47300.0 9932.5 47485.0 ; + RECT 9867.5 46140.0 9932.5 46325.0 ; + RECT 9317.5 47142.5 9382.5 47277.5 ; + RECT 9507.5 47142.5 9572.5 47277.5 ; + RECT 9507.5 47142.5 9572.5 47277.5 ; + RECT 9317.5 47142.5 9382.5 47277.5 ; + RECT 9507.5 47142.5 9572.5 47277.5 ; + RECT 9697.5 47142.5 9762.5 47277.5 ; + RECT 9697.5 47142.5 9762.5 47277.5 ; + RECT 9507.5 47142.5 9572.5 47277.5 ; + RECT 9317.5 46302.5 9382.5 46437.5 ; + RECT 9507.5 46302.5 9572.5 46437.5 ; + RECT 9507.5 46302.5 9572.5 46437.5 ; + RECT 9317.5 46302.5 9382.5 46437.5 ; + RECT 9507.5 46302.5 9572.5 46437.5 ; + RECT 9697.5 46302.5 9762.5 46437.5 ; + RECT 9697.5 46302.5 9762.5 46437.5 ; + RECT 9507.5 46302.5 9572.5 46437.5 ; + RECT 9867.5 47232.5 9932.5 47367.5 ; + RECT 9867.5 46257.5 9932.5 46392.5 ; + RECT 9702.5 46532.5 9567.5 46597.5 ; + RECT 9445.0 46747.5 9310.0 46812.5 ; + RECT 9507.5 47142.5 9572.5 47277.5 ; + RECT 9697.5 46302.5 9762.5 46437.5 ; + RECT 9797.5 46747.5 9662.5 46812.5 ; + RECT 9310.0 46747.5 9445.0 46812.5 ; + RECT 9567.5 46532.5 9702.5 46597.5 ; + RECT 9662.5 46747.5 9797.5 46812.5 ; + RECT 9250.0 47452.5 10170.0 47517.5 ; + RECT 9250.0 46107.5 10170.0 46172.5 ; + RECT 10597.5 47300.0 10662.5 47485.0 ; + RECT 10597.5 46140.0 10662.5 46325.0 ; + RECT 10237.5 46257.5 10302.5 46107.5 ; + RECT 10237.5 47142.5 10302.5 47517.5 ; + RECT 10427.5 46257.5 10492.5 47142.5 ; + RECT 10237.5 47142.5 10302.5 47277.5 ; + RECT 10427.5 47142.5 10492.5 47277.5 ; + RECT 10427.5 47142.5 10492.5 47277.5 ; + RECT 10237.5 47142.5 10302.5 47277.5 ; + RECT 10237.5 46257.5 10302.5 46392.5 ; + RECT 10427.5 46257.5 10492.5 46392.5 ; + RECT 10427.5 46257.5 10492.5 46392.5 ; + RECT 10237.5 46257.5 10302.5 46392.5 ; + RECT 10597.5 47232.5 10662.5 47367.5 ; + RECT 10597.5 46257.5 10662.5 46392.5 ; + RECT 10295.0 46700.0 10360.0 46835.0 ; + RECT 10295.0 46700.0 10360.0 46835.0 ; + RECT 10460.0 46735.0 10525.0 46800.0 ; + RECT 10170.0 47452.5 10730.0 47517.5 ; + RECT 10170.0 46107.5 10730.0 46172.5 ; + RECT 8432.5 46700.0 8497.5 46835.0 ; + RECT 8572.5 46427.5 8637.5 46562.5 ; + RECT 9567.5 46532.5 9432.5 46597.5 ; + RECT 9117.5 47670.0 9182.5 47485.0 ; + RECT 9117.5 48830.0 9182.5 48645.0 ; + RECT 8757.5 48712.5 8822.5 48862.5 ; + RECT 8757.5 47827.5 8822.5 47452.5 ; + RECT 8947.5 48712.5 9012.5 47827.5 ; + RECT 8757.5 47827.5 8822.5 47692.5 ; + RECT 8947.5 47827.5 9012.5 47692.5 ; + RECT 8947.5 47827.5 9012.5 47692.5 ; + RECT 8757.5 47827.5 8822.5 47692.5 ; + RECT 8757.5 48712.5 8822.5 48577.5 ; + RECT 8947.5 48712.5 9012.5 48577.5 ; + RECT 8947.5 48712.5 9012.5 48577.5 ; + RECT 8757.5 48712.5 8822.5 48577.5 ; + RECT 9117.5 47737.5 9182.5 47602.5 ; + RECT 9117.5 48712.5 9182.5 48577.5 ; + RECT 8815.0 48270.0 8880.0 48135.0 ; + RECT 8815.0 48270.0 8880.0 48135.0 ; + RECT 8980.0 48235.0 9045.0 48170.0 ; + RECT 8690.0 47517.5 9250.0 47452.5 ; + RECT 8690.0 48862.5 9250.0 48797.5 ; + RECT 9317.5 48667.5 9382.5 48862.5 ; + RECT 9317.5 47827.5 9382.5 47452.5 ; + RECT 9697.5 47827.5 9762.5 47452.5 ; + RECT 9867.5 47670.0 9932.5 47485.0 ; + RECT 9867.5 48830.0 9932.5 48645.0 ; + RECT 9317.5 47827.5 9382.5 47692.5 ; + RECT 9507.5 47827.5 9572.5 47692.5 ; + RECT 9507.5 47827.5 9572.5 47692.5 ; + RECT 9317.5 47827.5 9382.5 47692.5 ; + RECT 9507.5 47827.5 9572.5 47692.5 ; + RECT 9697.5 47827.5 9762.5 47692.5 ; + RECT 9697.5 47827.5 9762.5 47692.5 ; + RECT 9507.5 47827.5 9572.5 47692.5 ; + RECT 9317.5 48667.5 9382.5 48532.5 ; + RECT 9507.5 48667.5 9572.5 48532.5 ; + RECT 9507.5 48667.5 9572.5 48532.5 ; + RECT 9317.5 48667.5 9382.5 48532.5 ; + RECT 9507.5 48667.5 9572.5 48532.5 ; + RECT 9697.5 48667.5 9762.5 48532.5 ; + RECT 9697.5 48667.5 9762.5 48532.5 ; + RECT 9507.5 48667.5 9572.5 48532.5 ; + RECT 9867.5 47737.5 9932.5 47602.5 ; + RECT 9867.5 48712.5 9932.5 48577.5 ; + RECT 9702.5 48437.5 9567.5 48372.5 ; + RECT 9445.0 48222.5 9310.0 48157.5 ; + RECT 9507.5 47827.5 9572.5 47692.5 ; + RECT 9697.5 48667.5 9762.5 48532.5 ; + RECT 9797.5 48222.5 9662.5 48157.5 ; + RECT 9310.0 48222.5 9445.0 48157.5 ; + RECT 9567.5 48437.5 9702.5 48372.5 ; + RECT 9662.5 48222.5 9797.5 48157.5 ; + RECT 9250.0 47517.5 10170.0 47452.5 ; + RECT 9250.0 48862.5 10170.0 48797.5 ; + RECT 10597.5 47670.0 10662.5 47485.0 ; + RECT 10597.5 48830.0 10662.5 48645.0 ; + RECT 10237.5 48712.5 10302.5 48862.5 ; + RECT 10237.5 47827.5 10302.5 47452.5 ; + RECT 10427.5 48712.5 10492.5 47827.5 ; + RECT 10237.5 47827.5 10302.5 47692.5 ; + RECT 10427.5 47827.5 10492.5 47692.5 ; + RECT 10427.5 47827.5 10492.5 47692.5 ; + RECT 10237.5 47827.5 10302.5 47692.5 ; + RECT 10237.5 48712.5 10302.5 48577.5 ; + RECT 10427.5 48712.5 10492.5 48577.5 ; + RECT 10427.5 48712.5 10492.5 48577.5 ; + RECT 10237.5 48712.5 10302.5 48577.5 ; + RECT 10597.5 47737.5 10662.5 47602.5 ; + RECT 10597.5 48712.5 10662.5 48577.5 ; + RECT 10295.0 48270.0 10360.0 48135.0 ; + RECT 10295.0 48270.0 10360.0 48135.0 ; + RECT 10460.0 48235.0 10525.0 48170.0 ; + RECT 10170.0 47517.5 10730.0 47452.5 ; + RECT 10170.0 48862.5 10730.0 48797.5 ; + RECT 8432.5 48135.0 8497.5 48270.0 ; + RECT 8572.5 48407.5 8637.5 48542.5 ; + RECT 9567.5 48372.5 9432.5 48437.5 ; + RECT 9117.5 49990.0 9182.5 50175.0 ; + RECT 9117.5 48830.0 9182.5 49015.0 ; + RECT 8757.5 48947.5 8822.5 48797.5 ; + RECT 8757.5 49832.5 8822.5 50207.5 ; + RECT 8947.5 48947.5 9012.5 49832.5 ; + RECT 8757.5 49832.5 8822.5 49967.5 ; + RECT 8947.5 49832.5 9012.5 49967.5 ; + RECT 8947.5 49832.5 9012.5 49967.5 ; + RECT 8757.5 49832.5 8822.5 49967.5 ; + RECT 8757.5 48947.5 8822.5 49082.5 ; + RECT 8947.5 48947.5 9012.5 49082.5 ; + RECT 8947.5 48947.5 9012.5 49082.5 ; + RECT 8757.5 48947.5 8822.5 49082.5 ; + RECT 9117.5 49922.5 9182.5 50057.5 ; + RECT 9117.5 48947.5 9182.5 49082.5 ; + RECT 8815.0 49390.0 8880.0 49525.0 ; + RECT 8815.0 49390.0 8880.0 49525.0 ; + RECT 8980.0 49425.0 9045.0 49490.0 ; + RECT 8690.0 50142.5 9250.0 50207.5 ; + RECT 8690.0 48797.5 9250.0 48862.5 ; + RECT 9317.5 48992.5 9382.5 48797.5 ; + RECT 9317.5 49832.5 9382.5 50207.5 ; + RECT 9697.5 49832.5 9762.5 50207.5 ; + RECT 9867.5 49990.0 9932.5 50175.0 ; + RECT 9867.5 48830.0 9932.5 49015.0 ; + RECT 9317.5 49832.5 9382.5 49967.5 ; + RECT 9507.5 49832.5 9572.5 49967.5 ; + RECT 9507.5 49832.5 9572.5 49967.5 ; + RECT 9317.5 49832.5 9382.5 49967.5 ; + RECT 9507.5 49832.5 9572.5 49967.5 ; + RECT 9697.5 49832.5 9762.5 49967.5 ; + RECT 9697.5 49832.5 9762.5 49967.5 ; + RECT 9507.5 49832.5 9572.5 49967.5 ; + RECT 9317.5 48992.5 9382.5 49127.5 ; + RECT 9507.5 48992.5 9572.5 49127.5 ; + RECT 9507.5 48992.5 9572.5 49127.5 ; + RECT 9317.5 48992.5 9382.5 49127.5 ; + RECT 9507.5 48992.5 9572.5 49127.5 ; + RECT 9697.5 48992.5 9762.5 49127.5 ; + RECT 9697.5 48992.5 9762.5 49127.5 ; + RECT 9507.5 48992.5 9572.5 49127.5 ; + RECT 9867.5 49922.5 9932.5 50057.5 ; + RECT 9867.5 48947.5 9932.5 49082.5 ; + RECT 9702.5 49222.5 9567.5 49287.5 ; + RECT 9445.0 49437.5 9310.0 49502.5 ; + RECT 9507.5 49832.5 9572.5 49967.5 ; + RECT 9697.5 48992.5 9762.5 49127.5 ; + RECT 9797.5 49437.5 9662.5 49502.5 ; + RECT 9310.0 49437.5 9445.0 49502.5 ; + RECT 9567.5 49222.5 9702.5 49287.5 ; + RECT 9662.5 49437.5 9797.5 49502.5 ; + RECT 9250.0 50142.5 10170.0 50207.5 ; + RECT 9250.0 48797.5 10170.0 48862.5 ; + RECT 10597.5 49990.0 10662.5 50175.0 ; + RECT 10597.5 48830.0 10662.5 49015.0 ; + RECT 10237.5 48947.5 10302.5 48797.5 ; + RECT 10237.5 49832.5 10302.5 50207.5 ; + RECT 10427.5 48947.5 10492.5 49832.5 ; + RECT 10237.5 49832.5 10302.5 49967.5 ; + RECT 10427.5 49832.5 10492.5 49967.5 ; + RECT 10427.5 49832.5 10492.5 49967.5 ; + RECT 10237.5 49832.5 10302.5 49967.5 ; + RECT 10237.5 48947.5 10302.5 49082.5 ; + RECT 10427.5 48947.5 10492.5 49082.5 ; + RECT 10427.5 48947.5 10492.5 49082.5 ; + RECT 10237.5 48947.5 10302.5 49082.5 ; + RECT 10597.5 49922.5 10662.5 50057.5 ; + RECT 10597.5 48947.5 10662.5 49082.5 ; + RECT 10295.0 49390.0 10360.0 49525.0 ; + RECT 10295.0 49390.0 10360.0 49525.0 ; + RECT 10460.0 49425.0 10525.0 49490.0 ; + RECT 10170.0 50142.5 10730.0 50207.5 ; + RECT 10170.0 48797.5 10730.0 48862.5 ; + RECT 8432.5 49390.0 8497.5 49525.0 ; + RECT 8572.5 49117.5 8637.5 49252.5 ; + RECT 9567.5 49222.5 9432.5 49287.5 ; + RECT 9117.5 50360.0 9182.5 50175.0 ; + RECT 9117.5 51520.0 9182.5 51335.0 ; + RECT 8757.5 51402.5 8822.5 51552.5 ; + RECT 8757.5 50517.5 8822.5 50142.5 ; + RECT 8947.5 51402.5 9012.5 50517.5 ; + RECT 8757.5 50517.5 8822.5 50382.5 ; + RECT 8947.5 50517.5 9012.5 50382.5 ; + RECT 8947.5 50517.5 9012.5 50382.5 ; + RECT 8757.5 50517.5 8822.5 50382.5 ; + RECT 8757.5 51402.5 8822.5 51267.5 ; + RECT 8947.5 51402.5 9012.5 51267.5 ; + RECT 8947.5 51402.5 9012.5 51267.5 ; + RECT 8757.5 51402.5 8822.5 51267.5 ; + RECT 9117.5 50427.5 9182.5 50292.5 ; + RECT 9117.5 51402.5 9182.5 51267.5 ; + RECT 8815.0 50960.0 8880.0 50825.0 ; + RECT 8815.0 50960.0 8880.0 50825.0 ; + RECT 8980.0 50925.0 9045.0 50860.0 ; + RECT 8690.0 50207.5 9250.0 50142.5 ; + RECT 8690.0 51552.5 9250.0 51487.5 ; + RECT 9317.5 51357.5 9382.5 51552.5 ; + RECT 9317.5 50517.5 9382.5 50142.5 ; + RECT 9697.5 50517.5 9762.5 50142.5 ; + RECT 9867.5 50360.0 9932.5 50175.0 ; + RECT 9867.5 51520.0 9932.5 51335.0 ; + RECT 9317.5 50517.5 9382.5 50382.5 ; + RECT 9507.5 50517.5 9572.5 50382.5 ; + RECT 9507.5 50517.5 9572.5 50382.5 ; + RECT 9317.5 50517.5 9382.5 50382.5 ; + RECT 9507.5 50517.5 9572.5 50382.5 ; + RECT 9697.5 50517.5 9762.5 50382.5 ; + RECT 9697.5 50517.5 9762.5 50382.5 ; + RECT 9507.5 50517.5 9572.5 50382.5 ; + RECT 9317.5 51357.5 9382.5 51222.5 ; + RECT 9507.5 51357.5 9572.5 51222.5 ; + RECT 9507.5 51357.5 9572.5 51222.5 ; + RECT 9317.5 51357.5 9382.5 51222.5 ; + RECT 9507.5 51357.5 9572.5 51222.5 ; + RECT 9697.5 51357.5 9762.5 51222.5 ; + RECT 9697.5 51357.5 9762.5 51222.5 ; + RECT 9507.5 51357.5 9572.5 51222.5 ; + RECT 9867.5 50427.5 9932.5 50292.5 ; + RECT 9867.5 51402.5 9932.5 51267.5 ; + RECT 9702.5 51127.5 9567.5 51062.5 ; + RECT 9445.0 50912.5 9310.0 50847.5 ; + RECT 9507.5 50517.5 9572.5 50382.5 ; + RECT 9697.5 51357.5 9762.5 51222.5 ; + RECT 9797.5 50912.5 9662.5 50847.5 ; + RECT 9310.0 50912.5 9445.0 50847.5 ; + RECT 9567.5 51127.5 9702.5 51062.5 ; + RECT 9662.5 50912.5 9797.5 50847.5 ; + RECT 9250.0 50207.5 10170.0 50142.5 ; + RECT 9250.0 51552.5 10170.0 51487.5 ; + RECT 10597.5 50360.0 10662.5 50175.0 ; + RECT 10597.5 51520.0 10662.5 51335.0 ; + RECT 10237.5 51402.5 10302.5 51552.5 ; + RECT 10237.5 50517.5 10302.5 50142.5 ; + RECT 10427.5 51402.5 10492.5 50517.5 ; + RECT 10237.5 50517.5 10302.5 50382.5 ; + RECT 10427.5 50517.5 10492.5 50382.5 ; + RECT 10427.5 50517.5 10492.5 50382.5 ; + RECT 10237.5 50517.5 10302.5 50382.5 ; + RECT 10237.5 51402.5 10302.5 51267.5 ; + RECT 10427.5 51402.5 10492.5 51267.5 ; + RECT 10427.5 51402.5 10492.5 51267.5 ; + RECT 10237.5 51402.5 10302.5 51267.5 ; + RECT 10597.5 50427.5 10662.5 50292.5 ; + RECT 10597.5 51402.5 10662.5 51267.5 ; + RECT 10295.0 50960.0 10360.0 50825.0 ; + RECT 10295.0 50960.0 10360.0 50825.0 ; + RECT 10460.0 50925.0 10525.0 50860.0 ; + RECT 10170.0 50207.5 10730.0 50142.5 ; + RECT 10170.0 51552.5 10730.0 51487.5 ; + RECT 8432.5 50825.0 8497.5 50960.0 ; + RECT 8572.5 51097.5 8637.5 51232.5 ; + RECT 9567.5 51062.5 9432.5 51127.5 ; + RECT 9117.5 52680.0 9182.5 52865.0 ; + RECT 9117.5 51520.0 9182.5 51705.0 ; + RECT 8757.5 51637.5 8822.5 51487.5 ; + RECT 8757.5 52522.5 8822.5 52897.5 ; + RECT 8947.5 51637.5 9012.5 52522.5 ; + RECT 8757.5 52522.5 8822.5 52657.5 ; + RECT 8947.5 52522.5 9012.5 52657.5 ; + RECT 8947.5 52522.5 9012.5 52657.5 ; + RECT 8757.5 52522.5 8822.5 52657.5 ; + RECT 8757.5 51637.5 8822.5 51772.5 ; + RECT 8947.5 51637.5 9012.5 51772.5 ; + RECT 8947.5 51637.5 9012.5 51772.5 ; + RECT 8757.5 51637.5 8822.5 51772.5 ; + RECT 9117.5 52612.5 9182.5 52747.5 ; + RECT 9117.5 51637.5 9182.5 51772.5 ; + RECT 8815.0 52080.0 8880.0 52215.0 ; + RECT 8815.0 52080.0 8880.0 52215.0 ; + RECT 8980.0 52115.0 9045.0 52180.0 ; + RECT 8690.0 52832.5 9250.0 52897.5 ; + RECT 8690.0 51487.5 9250.0 51552.5 ; + RECT 9317.5 51682.5 9382.5 51487.5 ; + RECT 9317.5 52522.5 9382.5 52897.5 ; + RECT 9697.5 52522.5 9762.5 52897.5 ; + RECT 9867.5 52680.0 9932.5 52865.0 ; + RECT 9867.5 51520.0 9932.5 51705.0 ; + RECT 9317.5 52522.5 9382.5 52657.5 ; + RECT 9507.5 52522.5 9572.5 52657.5 ; + RECT 9507.5 52522.5 9572.5 52657.5 ; + RECT 9317.5 52522.5 9382.5 52657.5 ; + RECT 9507.5 52522.5 9572.5 52657.5 ; + RECT 9697.5 52522.5 9762.5 52657.5 ; + RECT 9697.5 52522.5 9762.5 52657.5 ; + RECT 9507.5 52522.5 9572.5 52657.5 ; + RECT 9317.5 51682.5 9382.5 51817.5 ; + RECT 9507.5 51682.5 9572.5 51817.5 ; + RECT 9507.5 51682.5 9572.5 51817.5 ; + RECT 9317.5 51682.5 9382.5 51817.5 ; + RECT 9507.5 51682.5 9572.5 51817.5 ; + RECT 9697.5 51682.5 9762.5 51817.5 ; + RECT 9697.5 51682.5 9762.5 51817.5 ; + RECT 9507.5 51682.5 9572.5 51817.5 ; + RECT 9867.5 52612.5 9932.5 52747.5 ; + RECT 9867.5 51637.5 9932.5 51772.5 ; + RECT 9702.5 51912.5 9567.5 51977.5 ; + RECT 9445.0 52127.5 9310.0 52192.5 ; + RECT 9507.5 52522.5 9572.5 52657.5 ; + RECT 9697.5 51682.5 9762.5 51817.5 ; + RECT 9797.5 52127.5 9662.5 52192.5 ; + RECT 9310.0 52127.5 9445.0 52192.5 ; + RECT 9567.5 51912.5 9702.5 51977.5 ; + RECT 9662.5 52127.5 9797.5 52192.5 ; + RECT 9250.0 52832.5 10170.0 52897.5 ; + RECT 9250.0 51487.5 10170.0 51552.5 ; + RECT 10597.5 52680.0 10662.5 52865.0 ; + RECT 10597.5 51520.0 10662.5 51705.0 ; + RECT 10237.5 51637.5 10302.5 51487.5 ; + RECT 10237.5 52522.5 10302.5 52897.5 ; + RECT 10427.5 51637.5 10492.5 52522.5 ; + RECT 10237.5 52522.5 10302.5 52657.5 ; + RECT 10427.5 52522.5 10492.5 52657.5 ; + RECT 10427.5 52522.5 10492.5 52657.5 ; + RECT 10237.5 52522.5 10302.5 52657.5 ; + RECT 10237.5 51637.5 10302.5 51772.5 ; + RECT 10427.5 51637.5 10492.5 51772.5 ; + RECT 10427.5 51637.5 10492.5 51772.5 ; + RECT 10237.5 51637.5 10302.5 51772.5 ; + RECT 10597.5 52612.5 10662.5 52747.5 ; + RECT 10597.5 51637.5 10662.5 51772.5 ; + RECT 10295.0 52080.0 10360.0 52215.0 ; + RECT 10295.0 52080.0 10360.0 52215.0 ; + RECT 10460.0 52115.0 10525.0 52180.0 ; + RECT 10170.0 52832.5 10730.0 52897.5 ; + RECT 10170.0 51487.5 10730.0 51552.5 ; + RECT 8432.5 52080.0 8497.5 52215.0 ; + RECT 8572.5 51807.5 8637.5 51942.5 ; + RECT 9567.5 51912.5 9432.5 51977.5 ; + RECT 9117.5 53050.0 9182.5 52865.0 ; + RECT 9117.5 54210.0 9182.5 54025.0 ; + RECT 8757.5 54092.5 8822.5 54242.5 ; + RECT 8757.5 53207.5 8822.5 52832.5 ; + RECT 8947.5 54092.5 9012.5 53207.5 ; + RECT 8757.5 53207.5 8822.5 53072.5 ; + RECT 8947.5 53207.5 9012.5 53072.5 ; + RECT 8947.5 53207.5 9012.5 53072.5 ; + RECT 8757.5 53207.5 8822.5 53072.5 ; + RECT 8757.5 54092.5 8822.5 53957.5 ; + RECT 8947.5 54092.5 9012.5 53957.5 ; + RECT 8947.5 54092.5 9012.5 53957.5 ; + RECT 8757.5 54092.5 8822.5 53957.5 ; + RECT 9117.5 53117.5 9182.5 52982.5 ; + RECT 9117.5 54092.5 9182.5 53957.5 ; + RECT 8815.0 53650.0 8880.0 53515.0 ; + RECT 8815.0 53650.0 8880.0 53515.0 ; + RECT 8980.0 53615.0 9045.0 53550.0 ; + RECT 8690.0 52897.5 9250.0 52832.5 ; + RECT 8690.0 54242.5 9250.0 54177.5 ; + RECT 9317.5 54047.5 9382.5 54242.5 ; + RECT 9317.5 53207.5 9382.5 52832.5 ; + RECT 9697.5 53207.5 9762.5 52832.5 ; + RECT 9867.5 53050.0 9932.5 52865.0 ; + RECT 9867.5 54210.0 9932.5 54025.0 ; + RECT 9317.5 53207.5 9382.5 53072.5 ; + RECT 9507.5 53207.5 9572.5 53072.5 ; + RECT 9507.5 53207.5 9572.5 53072.5 ; + RECT 9317.5 53207.5 9382.5 53072.5 ; + RECT 9507.5 53207.5 9572.5 53072.5 ; + RECT 9697.5 53207.5 9762.5 53072.5 ; + RECT 9697.5 53207.5 9762.5 53072.5 ; + RECT 9507.5 53207.5 9572.5 53072.5 ; + RECT 9317.5 54047.5 9382.5 53912.5 ; + RECT 9507.5 54047.5 9572.5 53912.5 ; + RECT 9507.5 54047.5 9572.5 53912.5 ; + RECT 9317.5 54047.5 9382.5 53912.5 ; + RECT 9507.5 54047.5 9572.5 53912.5 ; + RECT 9697.5 54047.5 9762.5 53912.5 ; + RECT 9697.5 54047.5 9762.5 53912.5 ; + RECT 9507.5 54047.5 9572.5 53912.5 ; + RECT 9867.5 53117.5 9932.5 52982.5 ; + RECT 9867.5 54092.5 9932.5 53957.5 ; + RECT 9702.5 53817.5 9567.5 53752.5 ; + RECT 9445.0 53602.5 9310.0 53537.5 ; + RECT 9507.5 53207.5 9572.5 53072.5 ; + RECT 9697.5 54047.5 9762.5 53912.5 ; + RECT 9797.5 53602.5 9662.5 53537.5 ; + RECT 9310.0 53602.5 9445.0 53537.5 ; + RECT 9567.5 53817.5 9702.5 53752.5 ; + RECT 9662.5 53602.5 9797.5 53537.5 ; + RECT 9250.0 52897.5 10170.0 52832.5 ; + RECT 9250.0 54242.5 10170.0 54177.5 ; + RECT 10597.5 53050.0 10662.5 52865.0 ; + RECT 10597.5 54210.0 10662.5 54025.0 ; + RECT 10237.5 54092.5 10302.5 54242.5 ; + RECT 10237.5 53207.5 10302.5 52832.5 ; + RECT 10427.5 54092.5 10492.5 53207.5 ; + RECT 10237.5 53207.5 10302.5 53072.5 ; + RECT 10427.5 53207.5 10492.5 53072.5 ; + RECT 10427.5 53207.5 10492.5 53072.5 ; + RECT 10237.5 53207.5 10302.5 53072.5 ; + RECT 10237.5 54092.5 10302.5 53957.5 ; + RECT 10427.5 54092.5 10492.5 53957.5 ; + RECT 10427.5 54092.5 10492.5 53957.5 ; + RECT 10237.5 54092.5 10302.5 53957.5 ; + RECT 10597.5 53117.5 10662.5 52982.5 ; + RECT 10597.5 54092.5 10662.5 53957.5 ; + RECT 10295.0 53650.0 10360.0 53515.0 ; + RECT 10295.0 53650.0 10360.0 53515.0 ; + RECT 10460.0 53615.0 10525.0 53550.0 ; + RECT 10170.0 52897.5 10730.0 52832.5 ; + RECT 10170.0 54242.5 10730.0 54177.5 ; + RECT 8432.5 53515.0 8497.5 53650.0 ; + RECT 8572.5 53787.5 8637.5 53922.5 ; + RECT 9567.5 53752.5 9432.5 53817.5 ; + RECT 9117.5 55370.0 9182.5 55555.0 ; + RECT 9117.5 54210.0 9182.5 54395.0 ; + RECT 8757.5 54327.5 8822.5 54177.5 ; + RECT 8757.5 55212.5 8822.5 55587.5 ; + RECT 8947.5 54327.5 9012.5 55212.5 ; + RECT 8757.5 55212.5 8822.5 55347.5 ; + RECT 8947.5 55212.5 9012.5 55347.5 ; + RECT 8947.5 55212.5 9012.5 55347.5 ; + RECT 8757.5 55212.5 8822.5 55347.5 ; + RECT 8757.5 54327.5 8822.5 54462.5 ; + RECT 8947.5 54327.5 9012.5 54462.5 ; + RECT 8947.5 54327.5 9012.5 54462.5 ; + RECT 8757.5 54327.5 8822.5 54462.5 ; + RECT 9117.5 55302.5 9182.5 55437.5 ; + RECT 9117.5 54327.5 9182.5 54462.5 ; + RECT 8815.0 54770.0 8880.0 54905.0 ; + RECT 8815.0 54770.0 8880.0 54905.0 ; + RECT 8980.0 54805.0 9045.0 54870.0 ; + RECT 8690.0 55522.5 9250.0 55587.5 ; + RECT 8690.0 54177.5 9250.0 54242.5 ; + RECT 9317.5 54372.5 9382.5 54177.5 ; + RECT 9317.5 55212.5 9382.5 55587.5 ; + RECT 9697.5 55212.5 9762.5 55587.5 ; + RECT 9867.5 55370.0 9932.5 55555.0 ; + RECT 9867.5 54210.0 9932.5 54395.0 ; + RECT 9317.5 55212.5 9382.5 55347.5 ; + RECT 9507.5 55212.5 9572.5 55347.5 ; + RECT 9507.5 55212.5 9572.5 55347.5 ; + RECT 9317.5 55212.5 9382.5 55347.5 ; + RECT 9507.5 55212.5 9572.5 55347.5 ; + RECT 9697.5 55212.5 9762.5 55347.5 ; + RECT 9697.5 55212.5 9762.5 55347.5 ; + RECT 9507.5 55212.5 9572.5 55347.5 ; + RECT 9317.5 54372.5 9382.5 54507.5 ; + RECT 9507.5 54372.5 9572.5 54507.5 ; + RECT 9507.5 54372.5 9572.5 54507.5 ; + RECT 9317.5 54372.5 9382.5 54507.5 ; + RECT 9507.5 54372.5 9572.5 54507.5 ; + RECT 9697.5 54372.5 9762.5 54507.5 ; + RECT 9697.5 54372.5 9762.5 54507.5 ; + RECT 9507.5 54372.5 9572.5 54507.5 ; + RECT 9867.5 55302.5 9932.5 55437.5 ; + RECT 9867.5 54327.5 9932.5 54462.5 ; + RECT 9702.5 54602.5 9567.5 54667.5 ; + RECT 9445.0 54817.5 9310.0 54882.5 ; + RECT 9507.5 55212.5 9572.5 55347.5 ; + RECT 9697.5 54372.5 9762.5 54507.5 ; + RECT 9797.5 54817.5 9662.5 54882.5 ; + RECT 9310.0 54817.5 9445.0 54882.5 ; + RECT 9567.5 54602.5 9702.5 54667.5 ; + RECT 9662.5 54817.5 9797.5 54882.5 ; + RECT 9250.0 55522.5 10170.0 55587.5 ; + RECT 9250.0 54177.5 10170.0 54242.5 ; + RECT 10597.5 55370.0 10662.5 55555.0 ; + RECT 10597.5 54210.0 10662.5 54395.0 ; + RECT 10237.5 54327.5 10302.5 54177.5 ; + RECT 10237.5 55212.5 10302.5 55587.5 ; + RECT 10427.5 54327.5 10492.5 55212.5 ; + RECT 10237.5 55212.5 10302.5 55347.5 ; + RECT 10427.5 55212.5 10492.5 55347.5 ; + RECT 10427.5 55212.5 10492.5 55347.5 ; + RECT 10237.5 55212.5 10302.5 55347.5 ; + RECT 10237.5 54327.5 10302.5 54462.5 ; + RECT 10427.5 54327.5 10492.5 54462.5 ; + RECT 10427.5 54327.5 10492.5 54462.5 ; + RECT 10237.5 54327.5 10302.5 54462.5 ; + RECT 10597.5 55302.5 10662.5 55437.5 ; + RECT 10597.5 54327.5 10662.5 54462.5 ; + RECT 10295.0 54770.0 10360.0 54905.0 ; + RECT 10295.0 54770.0 10360.0 54905.0 ; + RECT 10460.0 54805.0 10525.0 54870.0 ; + RECT 10170.0 55522.5 10730.0 55587.5 ; + RECT 10170.0 54177.5 10730.0 54242.5 ; + RECT 8432.5 54770.0 8497.5 54905.0 ; + RECT 8572.5 54497.5 8637.5 54632.5 ; + RECT 9567.5 54602.5 9432.5 54667.5 ; + RECT 9117.5 55740.0 9182.5 55555.0 ; + RECT 9117.5 56900.0 9182.5 56715.0 ; + RECT 8757.5 56782.5 8822.5 56932.5 ; + RECT 8757.5 55897.5 8822.5 55522.5 ; + RECT 8947.5 56782.5 9012.5 55897.5 ; + RECT 8757.5 55897.5 8822.5 55762.5 ; + RECT 8947.5 55897.5 9012.5 55762.5 ; + RECT 8947.5 55897.5 9012.5 55762.5 ; + RECT 8757.5 55897.5 8822.5 55762.5 ; + RECT 8757.5 56782.5 8822.5 56647.5 ; + RECT 8947.5 56782.5 9012.5 56647.5 ; + RECT 8947.5 56782.5 9012.5 56647.5 ; + RECT 8757.5 56782.5 8822.5 56647.5 ; + RECT 9117.5 55807.5 9182.5 55672.5 ; + RECT 9117.5 56782.5 9182.5 56647.5 ; + RECT 8815.0 56340.0 8880.0 56205.0 ; + RECT 8815.0 56340.0 8880.0 56205.0 ; + RECT 8980.0 56305.0 9045.0 56240.0 ; + RECT 8690.0 55587.5 9250.0 55522.5 ; + RECT 8690.0 56932.5 9250.0 56867.5 ; + RECT 9317.5 56737.5 9382.5 56932.5 ; + RECT 9317.5 55897.5 9382.5 55522.5 ; + RECT 9697.5 55897.5 9762.5 55522.5 ; + RECT 9867.5 55740.0 9932.5 55555.0 ; + RECT 9867.5 56900.0 9932.5 56715.0 ; + RECT 9317.5 55897.5 9382.5 55762.5 ; + RECT 9507.5 55897.5 9572.5 55762.5 ; + RECT 9507.5 55897.5 9572.5 55762.5 ; + RECT 9317.5 55897.5 9382.5 55762.5 ; + RECT 9507.5 55897.5 9572.5 55762.5 ; + RECT 9697.5 55897.5 9762.5 55762.5 ; + RECT 9697.5 55897.5 9762.5 55762.5 ; + RECT 9507.5 55897.5 9572.5 55762.5 ; + RECT 9317.5 56737.5 9382.5 56602.5 ; + RECT 9507.5 56737.5 9572.5 56602.5 ; + RECT 9507.5 56737.5 9572.5 56602.5 ; + RECT 9317.5 56737.5 9382.5 56602.5 ; + RECT 9507.5 56737.5 9572.5 56602.5 ; + RECT 9697.5 56737.5 9762.5 56602.5 ; + RECT 9697.5 56737.5 9762.5 56602.5 ; + RECT 9507.5 56737.5 9572.5 56602.5 ; + RECT 9867.5 55807.5 9932.5 55672.5 ; + RECT 9867.5 56782.5 9932.5 56647.5 ; + RECT 9702.5 56507.5 9567.5 56442.5 ; + RECT 9445.0 56292.5 9310.0 56227.5 ; + RECT 9507.5 55897.5 9572.5 55762.5 ; + RECT 9697.5 56737.5 9762.5 56602.5 ; + RECT 9797.5 56292.5 9662.5 56227.5 ; + RECT 9310.0 56292.5 9445.0 56227.5 ; + RECT 9567.5 56507.5 9702.5 56442.5 ; + RECT 9662.5 56292.5 9797.5 56227.5 ; + RECT 9250.0 55587.5 10170.0 55522.5 ; + RECT 9250.0 56932.5 10170.0 56867.5 ; + RECT 10597.5 55740.0 10662.5 55555.0 ; + RECT 10597.5 56900.0 10662.5 56715.0 ; + RECT 10237.5 56782.5 10302.5 56932.5 ; + RECT 10237.5 55897.5 10302.5 55522.5 ; + RECT 10427.5 56782.5 10492.5 55897.5 ; + RECT 10237.5 55897.5 10302.5 55762.5 ; + RECT 10427.5 55897.5 10492.5 55762.5 ; + RECT 10427.5 55897.5 10492.5 55762.5 ; + RECT 10237.5 55897.5 10302.5 55762.5 ; + RECT 10237.5 56782.5 10302.5 56647.5 ; + RECT 10427.5 56782.5 10492.5 56647.5 ; + RECT 10427.5 56782.5 10492.5 56647.5 ; + RECT 10237.5 56782.5 10302.5 56647.5 ; + RECT 10597.5 55807.5 10662.5 55672.5 ; + RECT 10597.5 56782.5 10662.5 56647.5 ; + RECT 10295.0 56340.0 10360.0 56205.0 ; + RECT 10295.0 56340.0 10360.0 56205.0 ; + RECT 10460.0 56305.0 10525.0 56240.0 ; + RECT 10170.0 55587.5 10730.0 55522.5 ; + RECT 10170.0 56932.5 10730.0 56867.5 ; + RECT 8432.5 56205.0 8497.5 56340.0 ; + RECT 8572.5 56477.5 8637.5 56612.5 ; + RECT 9567.5 56442.5 9432.5 56507.5 ; + RECT 9117.5 58060.0 9182.5 58245.0 ; + RECT 9117.5 56900.0 9182.5 57085.0 ; + RECT 8757.5 57017.5 8822.5 56867.5 ; + RECT 8757.5 57902.5 8822.5 58277.5 ; + RECT 8947.5 57017.5 9012.5 57902.5 ; + RECT 8757.5 57902.5 8822.5 58037.5 ; + RECT 8947.5 57902.5 9012.5 58037.5 ; + RECT 8947.5 57902.5 9012.5 58037.5 ; + RECT 8757.5 57902.5 8822.5 58037.5 ; + RECT 8757.5 57017.5 8822.5 57152.5 ; + RECT 8947.5 57017.5 9012.5 57152.5 ; + RECT 8947.5 57017.5 9012.5 57152.5 ; + RECT 8757.5 57017.5 8822.5 57152.5 ; + RECT 9117.5 57992.5 9182.5 58127.5 ; + RECT 9117.5 57017.5 9182.5 57152.5 ; + RECT 8815.0 57460.0 8880.0 57595.0 ; + RECT 8815.0 57460.0 8880.0 57595.0 ; + RECT 8980.0 57495.0 9045.0 57560.0 ; + RECT 8690.0 58212.5 9250.0 58277.5 ; + RECT 8690.0 56867.5 9250.0 56932.5 ; + RECT 9317.5 57062.5 9382.5 56867.5 ; + RECT 9317.5 57902.5 9382.5 58277.5 ; + RECT 9697.5 57902.5 9762.5 58277.5 ; + RECT 9867.5 58060.0 9932.5 58245.0 ; + RECT 9867.5 56900.0 9932.5 57085.0 ; + RECT 9317.5 57902.5 9382.5 58037.5 ; + RECT 9507.5 57902.5 9572.5 58037.5 ; + RECT 9507.5 57902.5 9572.5 58037.5 ; + RECT 9317.5 57902.5 9382.5 58037.5 ; + RECT 9507.5 57902.5 9572.5 58037.5 ; + RECT 9697.5 57902.5 9762.5 58037.5 ; + RECT 9697.5 57902.5 9762.5 58037.5 ; + RECT 9507.5 57902.5 9572.5 58037.5 ; + RECT 9317.5 57062.5 9382.5 57197.5 ; + RECT 9507.5 57062.5 9572.5 57197.5 ; + RECT 9507.5 57062.5 9572.5 57197.5 ; + RECT 9317.5 57062.5 9382.5 57197.5 ; + RECT 9507.5 57062.5 9572.5 57197.5 ; + RECT 9697.5 57062.5 9762.5 57197.5 ; + RECT 9697.5 57062.5 9762.5 57197.5 ; + RECT 9507.5 57062.5 9572.5 57197.5 ; + RECT 9867.5 57992.5 9932.5 58127.5 ; + RECT 9867.5 57017.5 9932.5 57152.5 ; + RECT 9702.5 57292.5 9567.5 57357.5 ; + RECT 9445.0 57507.5 9310.0 57572.5 ; + RECT 9507.5 57902.5 9572.5 58037.5 ; + RECT 9697.5 57062.5 9762.5 57197.5 ; + RECT 9797.5 57507.5 9662.5 57572.5 ; + RECT 9310.0 57507.5 9445.0 57572.5 ; + RECT 9567.5 57292.5 9702.5 57357.5 ; + RECT 9662.5 57507.5 9797.5 57572.5 ; + RECT 9250.0 58212.5 10170.0 58277.5 ; + RECT 9250.0 56867.5 10170.0 56932.5 ; + RECT 10597.5 58060.0 10662.5 58245.0 ; + RECT 10597.5 56900.0 10662.5 57085.0 ; + RECT 10237.5 57017.5 10302.5 56867.5 ; + RECT 10237.5 57902.5 10302.5 58277.5 ; + RECT 10427.5 57017.5 10492.5 57902.5 ; + RECT 10237.5 57902.5 10302.5 58037.5 ; + RECT 10427.5 57902.5 10492.5 58037.5 ; + RECT 10427.5 57902.5 10492.5 58037.5 ; + RECT 10237.5 57902.5 10302.5 58037.5 ; + RECT 10237.5 57017.5 10302.5 57152.5 ; + RECT 10427.5 57017.5 10492.5 57152.5 ; + RECT 10427.5 57017.5 10492.5 57152.5 ; + RECT 10237.5 57017.5 10302.5 57152.5 ; + RECT 10597.5 57992.5 10662.5 58127.5 ; + RECT 10597.5 57017.5 10662.5 57152.5 ; + RECT 10295.0 57460.0 10360.0 57595.0 ; + RECT 10295.0 57460.0 10360.0 57595.0 ; + RECT 10460.0 57495.0 10525.0 57560.0 ; + RECT 10170.0 58212.5 10730.0 58277.5 ; + RECT 10170.0 56867.5 10730.0 56932.5 ; + RECT 8432.5 57460.0 8497.5 57595.0 ; + RECT 8572.5 57187.5 8637.5 57322.5 ; + RECT 9567.5 57292.5 9432.5 57357.5 ; + RECT 9117.5 58430.0 9182.5 58245.0 ; + RECT 9117.5 59590.0 9182.5 59405.0 ; + RECT 8757.5 59472.5 8822.5 59622.5 ; + RECT 8757.5 58587.5 8822.5 58212.5 ; + RECT 8947.5 59472.5 9012.5 58587.5 ; + RECT 8757.5 58587.5 8822.5 58452.5 ; + RECT 8947.5 58587.5 9012.5 58452.5 ; + RECT 8947.5 58587.5 9012.5 58452.5 ; + RECT 8757.5 58587.5 8822.5 58452.5 ; + RECT 8757.5 59472.5 8822.5 59337.5 ; + RECT 8947.5 59472.5 9012.5 59337.5 ; + RECT 8947.5 59472.5 9012.5 59337.5 ; + RECT 8757.5 59472.5 8822.5 59337.5 ; + RECT 9117.5 58497.5 9182.5 58362.5 ; + RECT 9117.5 59472.5 9182.5 59337.5 ; + RECT 8815.0 59030.0 8880.0 58895.0 ; + RECT 8815.0 59030.0 8880.0 58895.0 ; + RECT 8980.0 58995.0 9045.0 58930.0 ; + RECT 8690.0 58277.5 9250.0 58212.5 ; + RECT 8690.0 59622.5 9250.0 59557.5 ; + RECT 9317.5 59427.5 9382.5 59622.5 ; + RECT 9317.5 58587.5 9382.5 58212.5 ; + RECT 9697.5 58587.5 9762.5 58212.5 ; + RECT 9867.5 58430.0 9932.5 58245.0 ; + RECT 9867.5 59590.0 9932.5 59405.0 ; + RECT 9317.5 58587.5 9382.5 58452.5 ; + RECT 9507.5 58587.5 9572.5 58452.5 ; + RECT 9507.5 58587.5 9572.5 58452.5 ; + RECT 9317.5 58587.5 9382.5 58452.5 ; + RECT 9507.5 58587.5 9572.5 58452.5 ; + RECT 9697.5 58587.5 9762.5 58452.5 ; + RECT 9697.5 58587.5 9762.5 58452.5 ; + RECT 9507.5 58587.5 9572.5 58452.5 ; + RECT 9317.5 59427.5 9382.5 59292.5 ; + RECT 9507.5 59427.5 9572.5 59292.5 ; + RECT 9507.5 59427.5 9572.5 59292.5 ; + RECT 9317.5 59427.5 9382.5 59292.5 ; + RECT 9507.5 59427.5 9572.5 59292.5 ; + RECT 9697.5 59427.5 9762.5 59292.5 ; + RECT 9697.5 59427.5 9762.5 59292.5 ; + RECT 9507.5 59427.5 9572.5 59292.5 ; + RECT 9867.5 58497.5 9932.5 58362.5 ; + RECT 9867.5 59472.5 9932.5 59337.5 ; + RECT 9702.5 59197.5 9567.5 59132.5 ; + RECT 9445.0 58982.5 9310.0 58917.5 ; + RECT 9507.5 58587.5 9572.5 58452.5 ; + RECT 9697.5 59427.5 9762.5 59292.5 ; + RECT 9797.5 58982.5 9662.5 58917.5 ; + RECT 9310.0 58982.5 9445.0 58917.5 ; + RECT 9567.5 59197.5 9702.5 59132.5 ; + RECT 9662.5 58982.5 9797.5 58917.5 ; + RECT 9250.0 58277.5 10170.0 58212.5 ; + RECT 9250.0 59622.5 10170.0 59557.5 ; + RECT 10597.5 58430.0 10662.5 58245.0 ; + RECT 10597.5 59590.0 10662.5 59405.0 ; + RECT 10237.5 59472.5 10302.5 59622.5 ; + RECT 10237.5 58587.5 10302.5 58212.5 ; + RECT 10427.5 59472.5 10492.5 58587.5 ; + RECT 10237.5 58587.5 10302.5 58452.5 ; + RECT 10427.5 58587.5 10492.5 58452.5 ; + RECT 10427.5 58587.5 10492.5 58452.5 ; + RECT 10237.5 58587.5 10302.5 58452.5 ; + RECT 10237.5 59472.5 10302.5 59337.5 ; + RECT 10427.5 59472.5 10492.5 59337.5 ; + RECT 10427.5 59472.5 10492.5 59337.5 ; + RECT 10237.5 59472.5 10302.5 59337.5 ; + RECT 10597.5 58497.5 10662.5 58362.5 ; + RECT 10597.5 59472.5 10662.5 59337.5 ; + RECT 10295.0 59030.0 10360.0 58895.0 ; + RECT 10295.0 59030.0 10360.0 58895.0 ; + RECT 10460.0 58995.0 10525.0 58930.0 ; + RECT 10170.0 58277.5 10730.0 58212.5 ; + RECT 10170.0 59622.5 10730.0 59557.5 ; + RECT 8432.5 58895.0 8497.5 59030.0 ; + RECT 8572.5 59167.5 8637.5 59302.5 ; + RECT 9567.5 59132.5 9432.5 59197.5 ; + RECT 9117.5 60750.0 9182.5 60935.0 ; + RECT 9117.5 59590.0 9182.5 59775.0 ; + RECT 8757.5 59707.5 8822.5 59557.5 ; + RECT 8757.5 60592.5 8822.5 60967.5 ; + RECT 8947.5 59707.5 9012.5 60592.5 ; + RECT 8757.5 60592.5 8822.5 60727.5 ; + RECT 8947.5 60592.5 9012.5 60727.5 ; + RECT 8947.5 60592.5 9012.5 60727.5 ; + RECT 8757.5 60592.5 8822.5 60727.5 ; + RECT 8757.5 59707.5 8822.5 59842.5 ; + RECT 8947.5 59707.5 9012.5 59842.5 ; + RECT 8947.5 59707.5 9012.5 59842.5 ; + RECT 8757.5 59707.5 8822.5 59842.5 ; + RECT 9117.5 60682.5 9182.5 60817.5 ; + RECT 9117.5 59707.5 9182.5 59842.5 ; + RECT 8815.0 60150.0 8880.0 60285.0 ; + RECT 8815.0 60150.0 8880.0 60285.0 ; + RECT 8980.0 60185.0 9045.0 60250.0 ; + RECT 8690.0 60902.5 9250.0 60967.5 ; + RECT 8690.0 59557.5 9250.0 59622.5 ; + RECT 9317.5 59752.5 9382.5 59557.5 ; + RECT 9317.5 60592.5 9382.5 60967.5 ; + RECT 9697.5 60592.5 9762.5 60967.5 ; + RECT 9867.5 60750.0 9932.5 60935.0 ; + RECT 9867.5 59590.0 9932.5 59775.0 ; + RECT 9317.5 60592.5 9382.5 60727.5 ; + RECT 9507.5 60592.5 9572.5 60727.5 ; + RECT 9507.5 60592.5 9572.5 60727.5 ; + RECT 9317.5 60592.5 9382.5 60727.5 ; + RECT 9507.5 60592.5 9572.5 60727.5 ; + RECT 9697.5 60592.5 9762.5 60727.5 ; + RECT 9697.5 60592.5 9762.5 60727.5 ; + RECT 9507.5 60592.5 9572.5 60727.5 ; + RECT 9317.5 59752.5 9382.5 59887.5 ; + RECT 9507.5 59752.5 9572.5 59887.5 ; + RECT 9507.5 59752.5 9572.5 59887.5 ; + RECT 9317.5 59752.5 9382.5 59887.5 ; + RECT 9507.5 59752.5 9572.5 59887.5 ; + RECT 9697.5 59752.5 9762.5 59887.5 ; + RECT 9697.5 59752.5 9762.5 59887.5 ; + RECT 9507.5 59752.5 9572.5 59887.5 ; + RECT 9867.5 60682.5 9932.5 60817.5 ; + RECT 9867.5 59707.5 9932.5 59842.5 ; + RECT 9702.5 59982.5 9567.5 60047.5 ; + RECT 9445.0 60197.5 9310.0 60262.5 ; + RECT 9507.5 60592.5 9572.5 60727.5 ; + RECT 9697.5 59752.5 9762.5 59887.5 ; + RECT 9797.5 60197.5 9662.5 60262.5 ; + RECT 9310.0 60197.5 9445.0 60262.5 ; + RECT 9567.5 59982.5 9702.5 60047.5 ; + RECT 9662.5 60197.5 9797.5 60262.5 ; + RECT 9250.0 60902.5 10170.0 60967.5 ; + RECT 9250.0 59557.5 10170.0 59622.5 ; + RECT 10597.5 60750.0 10662.5 60935.0 ; + RECT 10597.5 59590.0 10662.5 59775.0 ; + RECT 10237.5 59707.5 10302.5 59557.5 ; + RECT 10237.5 60592.5 10302.5 60967.5 ; + RECT 10427.5 59707.5 10492.5 60592.5 ; + RECT 10237.5 60592.5 10302.5 60727.5 ; + RECT 10427.5 60592.5 10492.5 60727.5 ; + RECT 10427.5 60592.5 10492.5 60727.5 ; + RECT 10237.5 60592.5 10302.5 60727.5 ; + RECT 10237.5 59707.5 10302.5 59842.5 ; + RECT 10427.5 59707.5 10492.5 59842.5 ; + RECT 10427.5 59707.5 10492.5 59842.5 ; + RECT 10237.5 59707.5 10302.5 59842.5 ; + RECT 10597.5 60682.5 10662.5 60817.5 ; + RECT 10597.5 59707.5 10662.5 59842.5 ; + RECT 10295.0 60150.0 10360.0 60285.0 ; + RECT 10295.0 60150.0 10360.0 60285.0 ; + RECT 10460.0 60185.0 10525.0 60250.0 ; + RECT 10170.0 60902.5 10730.0 60967.5 ; + RECT 10170.0 59557.5 10730.0 59622.5 ; + RECT 8432.5 60150.0 8497.5 60285.0 ; + RECT 8572.5 59877.5 8637.5 60012.5 ; + RECT 9567.5 59982.5 9432.5 60047.5 ; + RECT 9117.5 61120.0 9182.5 60935.0 ; + RECT 9117.5 62280.0 9182.5 62095.0 ; + RECT 8757.5 62162.5 8822.5 62312.5 ; + RECT 8757.5 61277.5 8822.5 60902.5 ; + RECT 8947.5 62162.5 9012.5 61277.5 ; + RECT 8757.5 61277.5 8822.5 61142.5 ; + RECT 8947.5 61277.5 9012.5 61142.5 ; + RECT 8947.5 61277.5 9012.5 61142.5 ; + RECT 8757.5 61277.5 8822.5 61142.5 ; + RECT 8757.5 62162.5 8822.5 62027.5 ; + RECT 8947.5 62162.5 9012.5 62027.5 ; + RECT 8947.5 62162.5 9012.5 62027.5 ; + RECT 8757.5 62162.5 8822.5 62027.5 ; + RECT 9117.5 61187.5 9182.5 61052.5 ; + RECT 9117.5 62162.5 9182.5 62027.5 ; + RECT 8815.0 61720.0 8880.0 61585.0 ; + RECT 8815.0 61720.0 8880.0 61585.0 ; + RECT 8980.0 61685.0 9045.0 61620.0 ; + RECT 8690.0 60967.5 9250.0 60902.5 ; + RECT 8690.0 62312.5 9250.0 62247.5 ; + RECT 9317.5 62117.5 9382.5 62312.5 ; + RECT 9317.5 61277.5 9382.5 60902.5 ; + RECT 9697.5 61277.5 9762.5 60902.5 ; + RECT 9867.5 61120.0 9932.5 60935.0 ; + RECT 9867.5 62280.0 9932.5 62095.0 ; + RECT 9317.5 61277.5 9382.5 61142.5 ; + RECT 9507.5 61277.5 9572.5 61142.5 ; + RECT 9507.5 61277.5 9572.5 61142.5 ; + RECT 9317.5 61277.5 9382.5 61142.5 ; + RECT 9507.5 61277.5 9572.5 61142.5 ; + RECT 9697.5 61277.5 9762.5 61142.5 ; + RECT 9697.5 61277.5 9762.5 61142.5 ; + RECT 9507.5 61277.5 9572.5 61142.5 ; + RECT 9317.5 62117.5 9382.5 61982.5 ; + RECT 9507.5 62117.5 9572.5 61982.5 ; + RECT 9507.5 62117.5 9572.5 61982.5 ; + RECT 9317.5 62117.5 9382.5 61982.5 ; + RECT 9507.5 62117.5 9572.5 61982.5 ; + RECT 9697.5 62117.5 9762.5 61982.5 ; + RECT 9697.5 62117.5 9762.5 61982.5 ; + RECT 9507.5 62117.5 9572.5 61982.5 ; + RECT 9867.5 61187.5 9932.5 61052.5 ; + RECT 9867.5 62162.5 9932.5 62027.5 ; + RECT 9702.5 61887.5 9567.5 61822.5 ; + RECT 9445.0 61672.5 9310.0 61607.5 ; + RECT 9507.5 61277.5 9572.5 61142.5 ; + RECT 9697.5 62117.5 9762.5 61982.5 ; + RECT 9797.5 61672.5 9662.5 61607.5 ; + RECT 9310.0 61672.5 9445.0 61607.5 ; + RECT 9567.5 61887.5 9702.5 61822.5 ; + RECT 9662.5 61672.5 9797.5 61607.5 ; + RECT 9250.0 60967.5 10170.0 60902.5 ; + RECT 9250.0 62312.5 10170.0 62247.5 ; + RECT 10597.5 61120.0 10662.5 60935.0 ; + RECT 10597.5 62280.0 10662.5 62095.0 ; + RECT 10237.5 62162.5 10302.5 62312.5 ; + RECT 10237.5 61277.5 10302.5 60902.5 ; + RECT 10427.5 62162.5 10492.5 61277.5 ; + RECT 10237.5 61277.5 10302.5 61142.5 ; + RECT 10427.5 61277.5 10492.5 61142.5 ; + RECT 10427.5 61277.5 10492.5 61142.5 ; + RECT 10237.5 61277.5 10302.5 61142.5 ; + RECT 10237.5 62162.5 10302.5 62027.5 ; + RECT 10427.5 62162.5 10492.5 62027.5 ; + RECT 10427.5 62162.5 10492.5 62027.5 ; + RECT 10237.5 62162.5 10302.5 62027.5 ; + RECT 10597.5 61187.5 10662.5 61052.5 ; + RECT 10597.5 62162.5 10662.5 62027.5 ; + RECT 10295.0 61720.0 10360.0 61585.0 ; + RECT 10295.0 61720.0 10360.0 61585.0 ; + RECT 10460.0 61685.0 10525.0 61620.0 ; + RECT 10170.0 60967.5 10730.0 60902.5 ; + RECT 10170.0 62312.5 10730.0 62247.5 ; + RECT 8432.5 61585.0 8497.5 61720.0 ; + RECT 8572.5 61857.5 8637.5 61992.5 ; + RECT 9567.5 61822.5 9432.5 61887.5 ; + RECT 9117.5 63440.0 9182.5 63625.0 ; + RECT 9117.5 62280.0 9182.5 62465.0 ; + RECT 8757.5 62397.5 8822.5 62247.5 ; + RECT 8757.5 63282.5 8822.5 63657.5 ; + RECT 8947.5 62397.5 9012.5 63282.5 ; + RECT 8757.5 63282.5 8822.5 63417.5 ; + RECT 8947.5 63282.5 9012.5 63417.5 ; + RECT 8947.5 63282.5 9012.5 63417.5 ; + RECT 8757.5 63282.5 8822.5 63417.5 ; + RECT 8757.5 62397.5 8822.5 62532.5 ; + RECT 8947.5 62397.5 9012.5 62532.5 ; + RECT 8947.5 62397.5 9012.5 62532.5 ; + RECT 8757.5 62397.5 8822.5 62532.5 ; + RECT 9117.5 63372.5 9182.5 63507.5 ; + RECT 9117.5 62397.5 9182.5 62532.5 ; + RECT 8815.0 62840.0 8880.0 62975.0 ; + RECT 8815.0 62840.0 8880.0 62975.0 ; + RECT 8980.0 62875.0 9045.0 62940.0 ; + RECT 8690.0 63592.5 9250.0 63657.5 ; + RECT 8690.0 62247.5 9250.0 62312.5 ; + RECT 9317.5 62442.5 9382.5 62247.5 ; + RECT 9317.5 63282.5 9382.5 63657.5 ; + RECT 9697.5 63282.5 9762.5 63657.5 ; + RECT 9867.5 63440.0 9932.5 63625.0 ; + RECT 9867.5 62280.0 9932.5 62465.0 ; + RECT 9317.5 63282.5 9382.5 63417.5 ; + RECT 9507.5 63282.5 9572.5 63417.5 ; + RECT 9507.5 63282.5 9572.5 63417.5 ; + RECT 9317.5 63282.5 9382.5 63417.5 ; + RECT 9507.5 63282.5 9572.5 63417.5 ; + RECT 9697.5 63282.5 9762.5 63417.5 ; + RECT 9697.5 63282.5 9762.5 63417.5 ; + RECT 9507.5 63282.5 9572.5 63417.5 ; + RECT 9317.5 62442.5 9382.5 62577.5 ; + RECT 9507.5 62442.5 9572.5 62577.5 ; + RECT 9507.5 62442.5 9572.5 62577.5 ; + RECT 9317.5 62442.5 9382.5 62577.5 ; + RECT 9507.5 62442.5 9572.5 62577.5 ; + RECT 9697.5 62442.5 9762.5 62577.5 ; + RECT 9697.5 62442.5 9762.5 62577.5 ; + RECT 9507.5 62442.5 9572.5 62577.5 ; + RECT 9867.5 63372.5 9932.5 63507.5 ; + RECT 9867.5 62397.5 9932.5 62532.5 ; + RECT 9702.5 62672.5 9567.5 62737.5 ; + RECT 9445.0 62887.5 9310.0 62952.5 ; + RECT 9507.5 63282.5 9572.5 63417.5 ; + RECT 9697.5 62442.5 9762.5 62577.5 ; + RECT 9797.5 62887.5 9662.5 62952.5 ; + RECT 9310.0 62887.5 9445.0 62952.5 ; + RECT 9567.5 62672.5 9702.5 62737.5 ; + RECT 9662.5 62887.5 9797.5 62952.5 ; + RECT 9250.0 63592.5 10170.0 63657.5 ; + RECT 9250.0 62247.5 10170.0 62312.5 ; + RECT 10597.5 63440.0 10662.5 63625.0 ; + RECT 10597.5 62280.0 10662.5 62465.0 ; + RECT 10237.5 62397.5 10302.5 62247.5 ; + RECT 10237.5 63282.5 10302.5 63657.5 ; + RECT 10427.5 62397.5 10492.5 63282.5 ; + RECT 10237.5 63282.5 10302.5 63417.5 ; + RECT 10427.5 63282.5 10492.5 63417.5 ; + RECT 10427.5 63282.5 10492.5 63417.5 ; + RECT 10237.5 63282.5 10302.5 63417.5 ; + RECT 10237.5 62397.5 10302.5 62532.5 ; + RECT 10427.5 62397.5 10492.5 62532.5 ; + RECT 10427.5 62397.5 10492.5 62532.5 ; + RECT 10237.5 62397.5 10302.5 62532.5 ; + RECT 10597.5 63372.5 10662.5 63507.5 ; + RECT 10597.5 62397.5 10662.5 62532.5 ; + RECT 10295.0 62840.0 10360.0 62975.0 ; + RECT 10295.0 62840.0 10360.0 62975.0 ; + RECT 10460.0 62875.0 10525.0 62940.0 ; + RECT 10170.0 63592.5 10730.0 63657.5 ; + RECT 10170.0 62247.5 10730.0 62312.5 ; + RECT 8432.5 62840.0 8497.5 62975.0 ; + RECT 8572.5 62567.5 8637.5 62702.5 ; + RECT 9567.5 62672.5 9432.5 62737.5 ; + RECT 9117.5 63810.0 9182.5 63625.0 ; + RECT 9117.5 64970.0 9182.5 64785.0 ; + RECT 8757.5 64852.5 8822.5 65002.5 ; + RECT 8757.5 63967.5 8822.5 63592.5 ; + RECT 8947.5 64852.5 9012.5 63967.5 ; + RECT 8757.5 63967.5 8822.5 63832.5 ; + RECT 8947.5 63967.5 9012.5 63832.5 ; + RECT 8947.5 63967.5 9012.5 63832.5 ; + RECT 8757.5 63967.5 8822.5 63832.5 ; + RECT 8757.5 64852.5 8822.5 64717.5 ; + RECT 8947.5 64852.5 9012.5 64717.5 ; + RECT 8947.5 64852.5 9012.5 64717.5 ; + RECT 8757.5 64852.5 8822.5 64717.5 ; + RECT 9117.5 63877.5 9182.5 63742.5 ; + RECT 9117.5 64852.5 9182.5 64717.5 ; + RECT 8815.0 64410.0 8880.0 64275.0 ; + RECT 8815.0 64410.0 8880.0 64275.0 ; + RECT 8980.0 64375.0 9045.0 64310.0 ; + RECT 8690.0 63657.5 9250.0 63592.5 ; + RECT 8690.0 65002.5 9250.0 64937.5 ; + RECT 9317.5 64807.5 9382.5 65002.5 ; + RECT 9317.5 63967.5 9382.5 63592.5 ; + RECT 9697.5 63967.5 9762.5 63592.5 ; + RECT 9867.5 63810.0 9932.5 63625.0 ; + RECT 9867.5 64970.0 9932.5 64785.0 ; + RECT 9317.5 63967.5 9382.5 63832.5 ; + RECT 9507.5 63967.5 9572.5 63832.5 ; + RECT 9507.5 63967.5 9572.5 63832.5 ; + RECT 9317.5 63967.5 9382.5 63832.5 ; + RECT 9507.5 63967.5 9572.5 63832.5 ; + RECT 9697.5 63967.5 9762.5 63832.5 ; + RECT 9697.5 63967.5 9762.5 63832.5 ; + RECT 9507.5 63967.5 9572.5 63832.5 ; + RECT 9317.5 64807.5 9382.5 64672.5 ; + RECT 9507.5 64807.5 9572.5 64672.5 ; + RECT 9507.5 64807.5 9572.5 64672.5 ; + RECT 9317.5 64807.5 9382.5 64672.5 ; + RECT 9507.5 64807.5 9572.5 64672.5 ; + RECT 9697.5 64807.5 9762.5 64672.5 ; + RECT 9697.5 64807.5 9762.5 64672.5 ; + RECT 9507.5 64807.5 9572.5 64672.5 ; + RECT 9867.5 63877.5 9932.5 63742.5 ; + RECT 9867.5 64852.5 9932.5 64717.5 ; + RECT 9702.5 64577.5 9567.5 64512.5 ; + RECT 9445.0 64362.5 9310.0 64297.5 ; + RECT 9507.5 63967.5 9572.5 63832.5 ; + RECT 9697.5 64807.5 9762.5 64672.5 ; + RECT 9797.5 64362.5 9662.5 64297.5 ; + RECT 9310.0 64362.5 9445.0 64297.5 ; + RECT 9567.5 64577.5 9702.5 64512.5 ; + RECT 9662.5 64362.5 9797.5 64297.5 ; + RECT 9250.0 63657.5 10170.0 63592.5 ; + RECT 9250.0 65002.5 10170.0 64937.5 ; + RECT 10597.5 63810.0 10662.5 63625.0 ; + RECT 10597.5 64970.0 10662.5 64785.0 ; + RECT 10237.5 64852.5 10302.5 65002.5 ; + RECT 10237.5 63967.5 10302.5 63592.5 ; + RECT 10427.5 64852.5 10492.5 63967.5 ; + RECT 10237.5 63967.5 10302.5 63832.5 ; + RECT 10427.5 63967.5 10492.5 63832.5 ; + RECT 10427.5 63967.5 10492.5 63832.5 ; + RECT 10237.5 63967.5 10302.5 63832.5 ; + RECT 10237.5 64852.5 10302.5 64717.5 ; + RECT 10427.5 64852.5 10492.5 64717.5 ; + RECT 10427.5 64852.5 10492.5 64717.5 ; + RECT 10237.5 64852.5 10302.5 64717.5 ; + RECT 10597.5 63877.5 10662.5 63742.5 ; + RECT 10597.5 64852.5 10662.5 64717.5 ; + RECT 10295.0 64410.0 10360.0 64275.0 ; + RECT 10295.0 64410.0 10360.0 64275.0 ; + RECT 10460.0 64375.0 10525.0 64310.0 ; + RECT 10170.0 63657.5 10730.0 63592.5 ; + RECT 10170.0 65002.5 10730.0 64937.5 ; + RECT 8432.5 64275.0 8497.5 64410.0 ; + RECT 8572.5 64547.5 8637.5 64682.5 ; + RECT 9567.5 64512.5 9432.5 64577.5 ; + RECT 9117.5 66130.0 9182.5 66315.0 ; + RECT 9117.5 64970.0 9182.5 65155.0 ; + RECT 8757.5 65087.5 8822.5 64937.5 ; + RECT 8757.5 65972.5 8822.5 66347.5 ; + RECT 8947.5 65087.5 9012.5 65972.5 ; + RECT 8757.5 65972.5 8822.5 66107.5 ; + RECT 8947.5 65972.5 9012.5 66107.5 ; + RECT 8947.5 65972.5 9012.5 66107.5 ; + RECT 8757.5 65972.5 8822.5 66107.5 ; + RECT 8757.5 65087.5 8822.5 65222.5 ; + RECT 8947.5 65087.5 9012.5 65222.5 ; + RECT 8947.5 65087.5 9012.5 65222.5 ; + RECT 8757.5 65087.5 8822.5 65222.5 ; + RECT 9117.5 66062.5 9182.5 66197.5 ; + RECT 9117.5 65087.5 9182.5 65222.5 ; + RECT 8815.0 65530.0 8880.0 65665.0 ; + RECT 8815.0 65530.0 8880.0 65665.0 ; + RECT 8980.0 65565.0 9045.0 65630.0 ; + RECT 8690.0 66282.5 9250.0 66347.5 ; + RECT 8690.0 64937.5 9250.0 65002.5 ; + RECT 9317.5 65132.5 9382.5 64937.5 ; + RECT 9317.5 65972.5 9382.5 66347.5 ; + RECT 9697.5 65972.5 9762.5 66347.5 ; + RECT 9867.5 66130.0 9932.5 66315.0 ; + RECT 9867.5 64970.0 9932.5 65155.0 ; + RECT 9317.5 65972.5 9382.5 66107.5 ; + RECT 9507.5 65972.5 9572.5 66107.5 ; + RECT 9507.5 65972.5 9572.5 66107.5 ; + RECT 9317.5 65972.5 9382.5 66107.5 ; + RECT 9507.5 65972.5 9572.5 66107.5 ; + RECT 9697.5 65972.5 9762.5 66107.5 ; + RECT 9697.5 65972.5 9762.5 66107.5 ; + RECT 9507.5 65972.5 9572.5 66107.5 ; + RECT 9317.5 65132.5 9382.5 65267.5 ; + RECT 9507.5 65132.5 9572.5 65267.5 ; + RECT 9507.5 65132.5 9572.5 65267.5 ; + RECT 9317.5 65132.5 9382.5 65267.5 ; + RECT 9507.5 65132.5 9572.5 65267.5 ; + RECT 9697.5 65132.5 9762.5 65267.5 ; + RECT 9697.5 65132.5 9762.5 65267.5 ; + RECT 9507.5 65132.5 9572.5 65267.5 ; + RECT 9867.5 66062.5 9932.5 66197.5 ; + RECT 9867.5 65087.5 9932.5 65222.5 ; + RECT 9702.5 65362.5 9567.5 65427.5 ; + RECT 9445.0 65577.5 9310.0 65642.5 ; + RECT 9507.5 65972.5 9572.5 66107.5 ; + RECT 9697.5 65132.5 9762.5 65267.5 ; + RECT 9797.5 65577.5 9662.5 65642.5 ; + RECT 9310.0 65577.5 9445.0 65642.5 ; + RECT 9567.5 65362.5 9702.5 65427.5 ; + RECT 9662.5 65577.5 9797.5 65642.5 ; + RECT 9250.0 66282.5 10170.0 66347.5 ; + RECT 9250.0 64937.5 10170.0 65002.5 ; + RECT 10597.5 66130.0 10662.5 66315.0 ; + RECT 10597.5 64970.0 10662.5 65155.0 ; + RECT 10237.5 65087.5 10302.5 64937.5 ; + RECT 10237.5 65972.5 10302.5 66347.5 ; + RECT 10427.5 65087.5 10492.5 65972.5 ; + RECT 10237.5 65972.5 10302.5 66107.5 ; + RECT 10427.5 65972.5 10492.5 66107.5 ; + RECT 10427.5 65972.5 10492.5 66107.5 ; + RECT 10237.5 65972.5 10302.5 66107.5 ; + RECT 10237.5 65087.5 10302.5 65222.5 ; + RECT 10427.5 65087.5 10492.5 65222.5 ; + RECT 10427.5 65087.5 10492.5 65222.5 ; + RECT 10237.5 65087.5 10302.5 65222.5 ; + RECT 10597.5 66062.5 10662.5 66197.5 ; + RECT 10597.5 65087.5 10662.5 65222.5 ; + RECT 10295.0 65530.0 10360.0 65665.0 ; + RECT 10295.0 65530.0 10360.0 65665.0 ; + RECT 10460.0 65565.0 10525.0 65630.0 ; + RECT 10170.0 66282.5 10730.0 66347.5 ; + RECT 10170.0 64937.5 10730.0 65002.5 ; + RECT 8432.5 65530.0 8497.5 65665.0 ; + RECT 8572.5 65257.5 8637.5 65392.5 ; + RECT 9567.5 65362.5 9432.5 65427.5 ; + RECT 9117.5 66500.0 9182.5 66315.0 ; + RECT 9117.5 67660.0 9182.5 67475.0 ; + RECT 8757.5 67542.5 8822.5 67692.5 ; + RECT 8757.5 66657.5 8822.5 66282.5 ; + RECT 8947.5 67542.5 9012.5 66657.5 ; + RECT 8757.5 66657.5 8822.5 66522.5 ; + RECT 8947.5 66657.5 9012.5 66522.5 ; + RECT 8947.5 66657.5 9012.5 66522.5 ; + RECT 8757.5 66657.5 8822.5 66522.5 ; + RECT 8757.5 67542.5 8822.5 67407.5 ; + RECT 8947.5 67542.5 9012.5 67407.5 ; + RECT 8947.5 67542.5 9012.5 67407.5 ; + RECT 8757.5 67542.5 8822.5 67407.5 ; + RECT 9117.5 66567.5 9182.5 66432.5 ; + RECT 9117.5 67542.5 9182.5 67407.5 ; + RECT 8815.0 67100.0 8880.0 66965.0 ; + RECT 8815.0 67100.0 8880.0 66965.0 ; + RECT 8980.0 67065.0 9045.0 67000.0 ; + RECT 8690.0 66347.5 9250.0 66282.5 ; + RECT 8690.0 67692.5 9250.0 67627.5 ; + RECT 9317.5 67497.5 9382.5 67692.5 ; + RECT 9317.5 66657.5 9382.5 66282.5 ; + RECT 9697.5 66657.5 9762.5 66282.5 ; + RECT 9867.5 66500.0 9932.5 66315.0 ; + RECT 9867.5 67660.0 9932.5 67475.0 ; + RECT 9317.5 66657.5 9382.5 66522.5 ; + RECT 9507.5 66657.5 9572.5 66522.5 ; + RECT 9507.5 66657.5 9572.5 66522.5 ; + RECT 9317.5 66657.5 9382.5 66522.5 ; + RECT 9507.5 66657.5 9572.5 66522.5 ; + RECT 9697.5 66657.5 9762.5 66522.5 ; + RECT 9697.5 66657.5 9762.5 66522.5 ; + RECT 9507.5 66657.5 9572.5 66522.5 ; + RECT 9317.5 67497.5 9382.5 67362.5 ; + RECT 9507.5 67497.5 9572.5 67362.5 ; + RECT 9507.5 67497.5 9572.5 67362.5 ; + RECT 9317.5 67497.5 9382.5 67362.5 ; + RECT 9507.5 67497.5 9572.5 67362.5 ; + RECT 9697.5 67497.5 9762.5 67362.5 ; + RECT 9697.5 67497.5 9762.5 67362.5 ; + RECT 9507.5 67497.5 9572.5 67362.5 ; + RECT 9867.5 66567.5 9932.5 66432.5 ; + RECT 9867.5 67542.5 9932.5 67407.5 ; + RECT 9702.5 67267.5 9567.5 67202.5 ; + RECT 9445.0 67052.5 9310.0 66987.5 ; + RECT 9507.5 66657.5 9572.5 66522.5 ; + RECT 9697.5 67497.5 9762.5 67362.5 ; + RECT 9797.5 67052.5 9662.5 66987.5 ; + RECT 9310.0 67052.5 9445.0 66987.5 ; + RECT 9567.5 67267.5 9702.5 67202.5 ; + RECT 9662.5 67052.5 9797.5 66987.5 ; + RECT 9250.0 66347.5 10170.0 66282.5 ; + RECT 9250.0 67692.5 10170.0 67627.5 ; + RECT 10597.5 66500.0 10662.5 66315.0 ; + RECT 10597.5 67660.0 10662.5 67475.0 ; + RECT 10237.5 67542.5 10302.5 67692.5 ; + RECT 10237.5 66657.5 10302.5 66282.5 ; + RECT 10427.5 67542.5 10492.5 66657.5 ; + RECT 10237.5 66657.5 10302.5 66522.5 ; + RECT 10427.5 66657.5 10492.5 66522.5 ; + RECT 10427.5 66657.5 10492.5 66522.5 ; + RECT 10237.5 66657.5 10302.5 66522.5 ; + RECT 10237.5 67542.5 10302.5 67407.5 ; + RECT 10427.5 67542.5 10492.5 67407.5 ; + RECT 10427.5 67542.5 10492.5 67407.5 ; + RECT 10237.5 67542.5 10302.5 67407.5 ; + RECT 10597.5 66567.5 10662.5 66432.5 ; + RECT 10597.5 67542.5 10662.5 67407.5 ; + RECT 10295.0 67100.0 10360.0 66965.0 ; + RECT 10295.0 67100.0 10360.0 66965.0 ; + RECT 10460.0 67065.0 10525.0 67000.0 ; + RECT 10170.0 66347.5 10730.0 66282.5 ; + RECT 10170.0 67692.5 10730.0 67627.5 ; + RECT 8432.5 66965.0 8497.5 67100.0 ; + RECT 8572.5 67237.5 8637.5 67372.5 ; + RECT 9567.5 67202.5 9432.5 67267.5 ; + RECT 9117.5 68820.0 9182.5 69005.0 ; + RECT 9117.5 67660.0 9182.5 67845.0 ; + RECT 8757.5 67777.5 8822.5 67627.5 ; + RECT 8757.5 68662.5 8822.5 69037.5 ; + RECT 8947.5 67777.5 9012.5 68662.5 ; + RECT 8757.5 68662.5 8822.5 68797.5 ; + RECT 8947.5 68662.5 9012.5 68797.5 ; + RECT 8947.5 68662.5 9012.5 68797.5 ; + RECT 8757.5 68662.5 8822.5 68797.5 ; + RECT 8757.5 67777.5 8822.5 67912.5 ; + RECT 8947.5 67777.5 9012.5 67912.5 ; + RECT 8947.5 67777.5 9012.5 67912.5 ; + RECT 8757.5 67777.5 8822.5 67912.5 ; + RECT 9117.5 68752.5 9182.5 68887.5 ; + RECT 9117.5 67777.5 9182.5 67912.5 ; + RECT 8815.0 68220.0 8880.0 68355.0 ; + RECT 8815.0 68220.0 8880.0 68355.0 ; + RECT 8980.0 68255.0 9045.0 68320.0 ; + RECT 8690.0 68972.5 9250.0 69037.5 ; + RECT 8690.0 67627.5 9250.0 67692.5 ; + RECT 9317.5 67822.5 9382.5 67627.5 ; + RECT 9317.5 68662.5 9382.5 69037.5 ; + RECT 9697.5 68662.5 9762.5 69037.5 ; + RECT 9867.5 68820.0 9932.5 69005.0 ; + RECT 9867.5 67660.0 9932.5 67845.0 ; + RECT 9317.5 68662.5 9382.5 68797.5 ; + RECT 9507.5 68662.5 9572.5 68797.5 ; + RECT 9507.5 68662.5 9572.5 68797.5 ; + RECT 9317.5 68662.5 9382.5 68797.5 ; + RECT 9507.5 68662.5 9572.5 68797.5 ; + RECT 9697.5 68662.5 9762.5 68797.5 ; + RECT 9697.5 68662.5 9762.5 68797.5 ; + RECT 9507.5 68662.5 9572.5 68797.5 ; + RECT 9317.5 67822.5 9382.5 67957.5 ; + RECT 9507.5 67822.5 9572.5 67957.5 ; + RECT 9507.5 67822.5 9572.5 67957.5 ; + RECT 9317.5 67822.5 9382.5 67957.5 ; + RECT 9507.5 67822.5 9572.5 67957.5 ; + RECT 9697.5 67822.5 9762.5 67957.5 ; + RECT 9697.5 67822.5 9762.5 67957.5 ; + RECT 9507.5 67822.5 9572.5 67957.5 ; + RECT 9867.5 68752.5 9932.5 68887.5 ; + RECT 9867.5 67777.5 9932.5 67912.5 ; + RECT 9702.5 68052.5 9567.5 68117.5 ; + RECT 9445.0 68267.5 9310.0 68332.5 ; + RECT 9507.5 68662.5 9572.5 68797.5 ; + RECT 9697.5 67822.5 9762.5 67957.5 ; + RECT 9797.5 68267.5 9662.5 68332.5 ; + RECT 9310.0 68267.5 9445.0 68332.5 ; + RECT 9567.5 68052.5 9702.5 68117.5 ; + RECT 9662.5 68267.5 9797.5 68332.5 ; + RECT 9250.0 68972.5 10170.0 69037.5 ; + RECT 9250.0 67627.5 10170.0 67692.5 ; + RECT 10597.5 68820.0 10662.5 69005.0 ; + RECT 10597.5 67660.0 10662.5 67845.0 ; + RECT 10237.5 67777.5 10302.5 67627.5 ; + RECT 10237.5 68662.5 10302.5 69037.5 ; + RECT 10427.5 67777.5 10492.5 68662.5 ; + RECT 10237.5 68662.5 10302.5 68797.5 ; + RECT 10427.5 68662.5 10492.5 68797.5 ; + RECT 10427.5 68662.5 10492.5 68797.5 ; + RECT 10237.5 68662.5 10302.5 68797.5 ; + RECT 10237.5 67777.5 10302.5 67912.5 ; + RECT 10427.5 67777.5 10492.5 67912.5 ; + RECT 10427.5 67777.5 10492.5 67912.5 ; + RECT 10237.5 67777.5 10302.5 67912.5 ; + RECT 10597.5 68752.5 10662.5 68887.5 ; + RECT 10597.5 67777.5 10662.5 67912.5 ; + RECT 10295.0 68220.0 10360.0 68355.0 ; + RECT 10295.0 68220.0 10360.0 68355.0 ; + RECT 10460.0 68255.0 10525.0 68320.0 ; + RECT 10170.0 68972.5 10730.0 69037.5 ; + RECT 10170.0 67627.5 10730.0 67692.5 ; + RECT 8432.5 68220.0 8497.5 68355.0 ; + RECT 8572.5 67947.5 8637.5 68082.5 ; + RECT 9567.5 68052.5 9432.5 68117.5 ; + RECT 9117.5 69190.0 9182.5 69005.0 ; + RECT 9117.5 70350.0 9182.5 70165.0 ; + RECT 8757.5 70232.5 8822.5 70382.5 ; + RECT 8757.5 69347.5 8822.5 68972.5 ; + RECT 8947.5 70232.5 9012.5 69347.5 ; + RECT 8757.5 69347.5 8822.5 69212.5 ; + RECT 8947.5 69347.5 9012.5 69212.5 ; + RECT 8947.5 69347.5 9012.5 69212.5 ; + RECT 8757.5 69347.5 8822.5 69212.5 ; + RECT 8757.5 70232.5 8822.5 70097.5 ; + RECT 8947.5 70232.5 9012.5 70097.5 ; + RECT 8947.5 70232.5 9012.5 70097.5 ; + RECT 8757.5 70232.5 8822.5 70097.5 ; + RECT 9117.5 69257.5 9182.5 69122.5 ; + RECT 9117.5 70232.5 9182.5 70097.5 ; + RECT 8815.0 69790.0 8880.0 69655.0 ; + RECT 8815.0 69790.0 8880.0 69655.0 ; + RECT 8980.0 69755.0 9045.0 69690.0 ; + RECT 8690.0 69037.5 9250.0 68972.5 ; + RECT 8690.0 70382.5 9250.0 70317.5 ; + RECT 9317.5 70187.5 9382.5 70382.5 ; + RECT 9317.5 69347.5 9382.5 68972.5 ; + RECT 9697.5 69347.5 9762.5 68972.5 ; + RECT 9867.5 69190.0 9932.5 69005.0 ; + RECT 9867.5 70350.0 9932.5 70165.0 ; + RECT 9317.5 69347.5 9382.5 69212.5 ; + RECT 9507.5 69347.5 9572.5 69212.5 ; + RECT 9507.5 69347.5 9572.5 69212.5 ; + RECT 9317.5 69347.5 9382.5 69212.5 ; + RECT 9507.5 69347.5 9572.5 69212.5 ; + RECT 9697.5 69347.5 9762.5 69212.5 ; + RECT 9697.5 69347.5 9762.5 69212.5 ; + RECT 9507.5 69347.5 9572.5 69212.5 ; + RECT 9317.5 70187.5 9382.5 70052.5 ; + RECT 9507.5 70187.5 9572.5 70052.5 ; + RECT 9507.5 70187.5 9572.5 70052.5 ; + RECT 9317.5 70187.5 9382.5 70052.5 ; + RECT 9507.5 70187.5 9572.5 70052.5 ; + RECT 9697.5 70187.5 9762.5 70052.5 ; + RECT 9697.5 70187.5 9762.5 70052.5 ; + RECT 9507.5 70187.5 9572.5 70052.5 ; + RECT 9867.5 69257.5 9932.5 69122.5 ; + RECT 9867.5 70232.5 9932.5 70097.5 ; + RECT 9702.5 69957.5 9567.5 69892.5 ; + RECT 9445.0 69742.5 9310.0 69677.5 ; + RECT 9507.5 69347.5 9572.5 69212.5 ; + RECT 9697.5 70187.5 9762.5 70052.5 ; + RECT 9797.5 69742.5 9662.5 69677.5 ; + RECT 9310.0 69742.5 9445.0 69677.5 ; + RECT 9567.5 69957.5 9702.5 69892.5 ; + RECT 9662.5 69742.5 9797.5 69677.5 ; + RECT 9250.0 69037.5 10170.0 68972.5 ; + RECT 9250.0 70382.5 10170.0 70317.5 ; + RECT 10597.5 69190.0 10662.5 69005.0 ; + RECT 10597.5 70350.0 10662.5 70165.0 ; + RECT 10237.5 70232.5 10302.5 70382.5 ; + RECT 10237.5 69347.5 10302.5 68972.5 ; + RECT 10427.5 70232.5 10492.5 69347.5 ; + RECT 10237.5 69347.5 10302.5 69212.5 ; + RECT 10427.5 69347.5 10492.5 69212.5 ; + RECT 10427.5 69347.5 10492.5 69212.5 ; + RECT 10237.5 69347.5 10302.5 69212.5 ; + RECT 10237.5 70232.5 10302.5 70097.5 ; + RECT 10427.5 70232.5 10492.5 70097.5 ; + RECT 10427.5 70232.5 10492.5 70097.5 ; + RECT 10237.5 70232.5 10302.5 70097.5 ; + RECT 10597.5 69257.5 10662.5 69122.5 ; + RECT 10597.5 70232.5 10662.5 70097.5 ; + RECT 10295.0 69790.0 10360.0 69655.0 ; + RECT 10295.0 69790.0 10360.0 69655.0 ; + RECT 10460.0 69755.0 10525.0 69690.0 ; + RECT 10170.0 69037.5 10730.0 68972.5 ; + RECT 10170.0 70382.5 10730.0 70317.5 ; + RECT 8432.5 69655.0 8497.5 69790.0 ; + RECT 8572.5 69927.5 8637.5 70062.5 ; + RECT 9567.5 69892.5 9432.5 69957.5 ; + RECT 8235.0 27632.5 8605.0 27697.5 ; + RECT 8235.0 29612.5 8605.0 29677.5 ; + RECT 8235.0 30322.5 8605.0 30387.5 ; + RECT 8235.0 32302.5 8605.0 32367.5 ; + RECT 8235.0 33012.5 8605.0 33077.5 ; + RECT 8235.0 34992.5 8605.0 35057.5 ; + RECT 8235.0 35702.5 8605.0 35767.5 ; + RECT 8235.0 37682.5 8605.0 37747.5 ; + RECT 8235.0 38392.5 8605.0 38457.5 ; + RECT 8235.0 40372.5 8605.0 40437.5 ; + RECT 8235.0 41082.5 8605.0 41147.5 ; + RECT 8235.0 43062.5 8605.0 43127.5 ; + RECT 8235.0 43772.5 8605.0 43837.5 ; + RECT 8235.0 45752.5 8605.0 45817.5 ; + RECT 8235.0 46462.5 8605.0 46527.5 ; + RECT 8235.0 48442.5 8605.0 48507.5 ; + RECT 8235.0 49152.5 8605.0 49217.5 ; + RECT 8235.0 51132.5 8605.0 51197.5 ; + RECT 8235.0 51842.5 8605.0 51907.5 ; + RECT 8235.0 53822.5 8605.0 53887.5 ; + RECT 8235.0 54532.5 8605.0 54597.5 ; + RECT 8235.0 56512.5 8605.0 56577.5 ; + RECT 8235.0 57222.5 8605.0 57287.5 ; + RECT 8235.0 59202.5 8605.0 59267.5 ; + RECT 8235.0 59912.5 8605.0 59977.5 ; + RECT 8235.0 61892.5 8605.0 61957.5 ; + RECT 8235.0 62602.5 8605.0 62667.5 ; + RECT 8235.0 64582.5 8605.0 64647.5 ; + RECT 8235.0 65292.5 8605.0 65357.5 ; + RECT 8235.0 67272.5 8605.0 67337.5 ; + RECT 8235.0 67982.5 8605.0 68047.5 ; + RECT 8235.0 69962.5 8605.0 70027.5 ; + RECT 10460.0 27905.0 10525.0 27970.0 ; + RECT 10460.0 29340.0 10525.0 29405.0 ; + RECT 10460.0 30595.0 10525.0 30660.0 ; + RECT 10460.0 32030.0 10525.0 32095.0 ; + RECT 10460.0 33285.0 10525.0 33350.0 ; + RECT 10460.0 34720.0 10525.0 34785.0 ; + RECT 10460.0 35975.0 10525.0 36040.0 ; + RECT 10460.0 37410.0 10525.0 37475.0 ; + RECT 10460.0 38665.0 10525.0 38730.0 ; + RECT 10460.0 40100.0 10525.0 40165.0 ; + RECT 10460.0 41355.0 10525.0 41420.0 ; + RECT 10460.0 42790.0 10525.0 42855.0 ; + RECT 10460.0 44045.0 10525.0 44110.0 ; + RECT 10460.0 45480.0 10525.0 45545.0 ; + RECT 10460.0 46735.0 10525.0 46800.0 ; + RECT 10460.0 48170.0 10525.0 48235.0 ; + RECT 10460.0 49425.0 10525.0 49490.0 ; + RECT 10460.0 50860.0 10525.0 50925.0 ; + RECT 10460.0 52115.0 10525.0 52180.0 ; + RECT 10460.0 53550.0 10525.0 53615.0 ; + RECT 10460.0 54805.0 10525.0 54870.0 ; + RECT 10460.0 56240.0 10525.0 56305.0 ; + RECT 10460.0 57495.0 10525.0 57560.0 ; + RECT 10460.0 58930.0 10525.0 58995.0 ; + RECT 10460.0 60185.0 10525.0 60250.0 ; + RECT 10460.0 61620.0 10525.0 61685.0 ; + RECT 10460.0 62875.0 10525.0 62940.0 ; + RECT 10460.0 64310.0 10525.0 64375.0 ; + RECT 10460.0 65565.0 10525.0 65630.0 ; + RECT 10460.0 67000.0 10525.0 67065.0 ; + RECT 10460.0 68255.0 10525.0 68320.0 ; + RECT 10460.0 69690.0 10525.0 69755.0 ; + RECT 8235.0 28622.5 8690.0 28687.5 ; + RECT 8235.0 31312.5 8690.0 31377.5 ; + RECT 8235.0 34002.5 8690.0 34067.5 ; + RECT 8235.0 36692.5 8690.0 36757.5 ; + RECT 8235.0 39382.5 8690.0 39447.5 ; + RECT 8235.0 42072.5 8690.0 42137.5 ; + RECT 8235.0 44762.5 8690.0 44827.5 ; + RECT 8235.0 47452.5 8690.0 47517.5 ; + RECT 8235.0 50142.5 8690.0 50207.5 ; + RECT 8235.0 52832.5 8690.0 52897.5 ; + RECT 8235.0 55522.5 8690.0 55587.5 ; + RECT 8235.0 58212.5 8690.0 58277.5 ; + RECT 8235.0 60902.5 8690.0 60967.5 ; + RECT 8235.0 63592.5 8690.0 63657.5 ; + RECT 8235.0 66282.5 8690.0 66347.5 ; + RECT 8235.0 68972.5 8690.0 69037.5 ; + RECT 8235.0 27277.5 8690.0 27342.5 ; + RECT 8235.0 29967.5 8690.0 30032.5 ; + RECT 8235.0 32657.5 8690.0 32722.5 ; + RECT 8235.0 35347.5 8690.0 35412.5 ; + RECT 8235.0 38037.5 8690.0 38102.5 ; + RECT 8235.0 40727.5 8690.0 40792.5 ; + RECT 8235.0 43417.5 8690.0 43482.5 ; + RECT 8235.0 46107.5 8690.0 46172.5 ; + RECT 8235.0 48797.5 8690.0 48862.5 ; + RECT 8235.0 51487.5 8690.0 51552.5 ; + RECT 8235.0 54177.5 8690.0 54242.5 ; + RECT 8235.0 56867.5 8690.0 56932.5 ; + RECT 8235.0 59557.5 8690.0 59622.5 ; + RECT 8235.0 62247.5 8690.0 62312.5 ; + RECT 8235.0 64937.5 8690.0 65002.5 ; + RECT 8235.0 67627.5 8690.0 67692.5 ; + RECT 8235.0 70317.5 8690.0 70382.5 ; + RECT 4655.0 10760.0 11095.0 10055.0 ; + RECT 4655.0 9350.0 11095.0 10055.0 ; + RECT 4655.0 9350.0 11095.0 8645.0 ; + RECT 4655.0 7940.0 11095.0 8645.0 ; + RECT 4655.0 7940.0 11095.0 7235.0 ; + RECT 4655.0 6530.0 11095.0 7235.0 ; + RECT 4655.0 6530.0 11095.0 5825.0 ; + RECT 4860.0 10760.0 4925.0 5825.0 ; + RECT 7865.0 10760.0 7930.0 5825.0 ; + RECT 10825.0 10760.0 10890.0 5825.0 ; + RECT 5875.0 10760.0 5940.0 5825.0 ; + RECT 8835.0 10760.0 8900.0 5825.0 ; + RECT 5020.0 10760.0 5085.0 5825.0 ; + RECT 13992.5 27342.5 14127.5 27277.5 ; + RECT 13992.5 30032.5 14127.5 29967.5 ; + RECT 13992.5 32722.5 14127.5 32657.5 ; + RECT 13992.5 35412.5 14127.5 35347.5 ; + RECT 13992.5 38102.5 14127.5 38037.5 ; + RECT 13992.5 40792.5 14127.5 40727.5 ; + RECT 13992.5 43482.5 14127.5 43417.5 ; + RECT 13992.5 46172.5 14127.5 46107.5 ; + RECT 13992.5 48862.5 14127.5 48797.5 ; + RECT 13992.5 51552.5 14127.5 51487.5 ; + RECT 13992.5 54242.5 14127.5 54177.5 ; + RECT 13992.5 56932.5 14127.5 56867.5 ; + RECT 13992.5 59622.5 14127.5 59557.5 ; + RECT 13992.5 62312.5 14127.5 62247.5 ; + RECT 13992.5 65002.5 14127.5 64937.5 ; + RECT 13992.5 67692.5 14127.5 67627.5 ; + RECT 13992.5 70382.5 14127.5 70317.5 ; + RECT 10720.0 11342.5 10585.0 11407.5 ; + RECT 12045.0 11342.5 11910.0 11407.5 ; + RECT 10445.0 12687.5 10310.0 12752.5 ; + RECT 12250.0 12687.5 12115.0 12752.5 ; + RECT 11635.0 16722.5 11500.0 16787.5 ; + RECT 12455.0 16722.5 12320.0 16787.5 ; + RECT 11360.0 18067.5 11225.0 18132.5 ; + RECT 12660.0 18067.5 12525.0 18132.5 ; + RECT 11085.0 19412.5 10950.0 19477.5 ; + RECT 12865.0 19412.5 12730.0 19477.5 ; + RECT 11840.0 11137.5 11705.0 11202.5 ; + RECT 11840.0 13827.5 11705.0 13892.5 ; + RECT 11840.0 16517.5 11705.0 16582.5 ; + RECT 11840.0 19207.5 11705.0 19272.5 ; + RECT 11840.0 21897.5 11705.0 21962.5 ; + RECT 11840.0 24587.5 11705.0 24652.5 ; + RECT 13070.0 25307.5 12935.0 25372.5 ; + RECT 13275.0 25167.5 13140.0 25232.5 ; + RECT 13480.0 25027.5 13345.0 25092.5 ; + RECT 13685.0 24887.5 13550.0 24952.5 ; + RECT 13070.0 630.0 12935.0 695.0 ; + RECT 13275.0 2065.0 13140.0 2130.0 ; + RECT 13480.0 3320.0 13345.0 3385.0 ; + RECT 13685.0 4755.0 13550.0 4820.0 ; + RECT 13992.5 67.5 14127.5 2.5 ; + RECT 13992.5 2757.5 14127.5 2692.5 ; + RECT 13992.5 5447.5 14127.5 5382.5 ; + RECT 11162.5 10375.0 11027.5 10440.0 ; + RECT 12045.0 10375.0 11910.0 10440.0 ; + RECT 11162.5 9670.0 11027.5 9735.0 ; + RECT 12250.0 9670.0 12115.0 9735.0 ; + RECT 11162.5 8965.0 11027.5 9030.0 ; + RECT 12455.0 8965.0 12320.0 9030.0 ; + RECT 11162.5 8260.0 11027.5 8325.0 ; + RECT 12660.0 8260.0 12525.0 8325.0 ; + RECT 11162.5 7555.0 11027.5 7620.0 ; + RECT 12865.0 7555.0 12730.0 7620.0 ; + RECT 11230.0 10727.5 11095.0 10792.5 ; + RECT 14127.5 10727.5 13992.5 10792.5 ; + RECT 11230.0 10022.5 11095.0 10087.5 ; + RECT 14127.5 10022.5 13992.5 10087.5 ; + RECT 11230.0 9317.5 11095.0 9382.5 ; + RECT 14127.5 9317.5 13992.5 9382.5 ; + RECT 11230.0 8612.5 11095.0 8677.5 ; + RECT 14127.5 8612.5 13992.5 8677.5 ; + RECT 11230.0 7907.5 11095.0 7972.5 ; + RECT 14127.5 7907.5 13992.5 7972.5 ; + RECT 11230.0 7202.5 11095.0 7267.5 ; + RECT 14127.5 7202.5 13992.5 7267.5 ; + RECT 11230.0 6497.5 11095.0 6562.5 ; + RECT 14127.5 6497.5 13992.5 6562.5 ; + RECT 11230.0 5792.5 11095.0 5857.5 ; + RECT 14127.5 5792.5 13992.5 5857.5 ; + RECT 15265.0 9170.0 15130.0 9235.0 ; + RECT 14855.0 6985.0 14720.0 7050.0 ; + RECT 15060.0 8532.5 14925.0 8597.5 ; + RECT 15265.0 71327.5 15130.0 71392.5 ; + RECT 15470.0 15672.5 15335.0 15737.5 ; + RECT 15675.0 19697.5 15540.0 19762.5 ; + RECT 14650.0 10932.5 14515.0 10997.5 ; + RECT 8532.5 70522.5 8397.5 70587.5 ; + RECT 14650.0 70522.5 14515.0 70587.5 ; + RECT 14342.5 8402.5 14207.5 8467.5 ; + RECT 14342.5 19827.5 14207.5 19892.5 ; + RECT 14342.5 9330.0 14207.5 9395.0 ; + RECT 14342.5 16605.0 14207.5 16670.0 ; + RECT 21920.0 35.0 22270.0 72077.5 ; + RECT 4175.0 35.0 4525.0 72077.5 ; + RECT 3455.0 27740.0 3390.0 27805.0 ; + RECT 3422.5 27740.0 3407.5 27805.0 ; + RECT 3455.0 27772.5 3390.0 28357.5 ; + RECT 3455.0 28902.5 3390.0 29297.5 ; + RECT 3455.0 30222.5 3390.0 30807.5 ; + RECT 2657.5 30660.0 2280.0 30725.0 ; + RECT 2657.5 33620.0 2280.0 33685.0 ; + RECT 2657.5 28670.0 2280.0 28735.0 ; + RECT 2657.5 31630.0 2280.0 31695.0 ; + RECT 3440.0 27740.0 3375.0 27805.0 ; + RECT 3455.0 28870.0 3390.0 28935.0 ; + RECT 2005.0 39555.0 1940.0 40320.0 ; + RECT 3455.0 32905.0 3390.0 34335.0 ; + RECT 2485.0 27655.0 2280.0 27720.0 ; + RECT 1962.5 34335.0 1897.5 36272.5 ; + RECT 1747.5 34745.0 1682.5 36530.0 ; + RECT 3380.0 35770.0 3315.0 36340.0 ; + RECT 3520.0 35565.0 3455.0 36530.0 ; + RECT 3660.0 34950.0 3595.0 36720.0 ; + RECT 3380.0 37280.0 3315.0 37345.0 ; + RECT 3380.0 36815.0 3315.0 37312.5 ; + RECT 3407.5 37280.0 3347.5 37345.0 ; + RECT 3475.0 37445.0 3410.0 37510.0 ; + RECT 3442.5 37445.0 3407.5 37510.0 ; + RECT 3475.0 37477.5 3410.0 41017.5 ; + RECT 690.0 35770.0 625.0 36900.0 ; + RECT 830.0 34950.0 765.0 37090.0 ; + RECT 970.0 35155.0 905.0 37280.0 ; + RECT 690.0 37840.0 625.0 37905.0 ; + RECT 690.0 37375.0 625.0 37872.5 ; + RECT 717.5 37840.0 657.5 37905.0 ; + RECT 750.0 38037.5 685.0 38432.5 ; + RECT 750.0 38597.5 685.0 38992.5 ; + RECT 2005.0 39522.5 1940.0 39587.5 ; + RECT 1972.5 39522.5 1940.0 39587.5 ; + RECT 2005.0 39430.0 1940.0 39555.0 ; + RECT 2005.0 38837.5 1940.0 39232.5 ; + RECT 1962.5 36695.0 1897.5 37065.0 ; + RECT 2017.5 37770.0 1952.5 38210.0 ; + RECT 750.0 39157.5 685.0 39395.0 ; + RECT 2005.0 38435.0 1940.0 38672.5 ; + RECT 4067.5 27450.0 4002.5 39555.0 ; + RECT 4067.5 34540.0 4002.5 36145.0 ; + RECT 2722.5 27450.0 2657.5 39555.0 ; + RECT 2722.5 35360.0 2657.5 36145.0 ; + RECT 1377.5 36145.0 1312.5 39555.0 ; + RECT 1377.5 34540.0 1312.5 36145.0 ; + RECT 32.5 36145.0 -32.5 39555.0 ; + RECT 32.5 35360.0 -32.5 36145.0 ; + RECT 32.5 39522.5 -32.5 39587.5 ; + RECT 32.5 39350.0 -32.5 39555.0 ; + RECT 8.881784197e-13 39522.5 -45.0 39587.5 ; + RECT 165.0 27450.0 870.0 33890.0 ; + RECT 1575.0 27450.0 870.0 33890.0 ; + RECT 1575.0 27450.0 2280.0 33890.0 ; + RECT 165.0 27655.0 2280.0 27720.0 ; + RECT 165.0 30660.0 2280.0 30725.0 ; + RECT 165.0 33620.0 2280.0 33685.0 ; + RECT 165.0 28670.0 2280.0 28735.0 ; + RECT 165.0 31630.0 2280.0 31695.0 ; + RECT 165.0 27815.0 2280.0 27880.0 ; + RECT 2875.0 28067.5 2690.0 28132.5 ; + RECT 4035.0 28067.5 3850.0 28132.5 ; + RECT 2832.5 27517.5 2657.5 27962.5 ; + RECT 3917.5 27707.5 3032.5 27772.5 ; + RECT 2965.0 27517.5 2800.0 27582.5 ; + RECT 2965.0 27897.5 2800.0 27962.5 ; + RECT 3032.5 27517.5 2897.5 27582.5 ; + RECT 3032.5 27897.5 2897.5 27962.5 ; + RECT 3032.5 27707.5 2897.5 27772.5 ; + RECT 3032.5 27707.5 2897.5 27772.5 ; + RECT 2832.5 27517.5 2767.5 27962.5 ; + RECT 4015.0 27517.5 3850.0 27582.5 ; + RECT 4015.0 27897.5 3850.0 27962.5 ; + RECT 3917.5 27517.5 3782.5 27582.5 ; + RECT 3917.5 27897.5 3782.5 27962.5 ; + RECT 3917.5 27707.5 3782.5 27772.5 ; + RECT 3917.5 27707.5 3782.5 27772.5 ; + RECT 4047.5 27517.5 3982.5 27962.5 ; + RECT 2942.5 28067.5 2807.5 28132.5 ; + RECT 3917.5 28067.5 3782.5 28132.5 ; + RECT 3475.0 27575.0 3340.0 27640.0 ; + RECT 3475.0 27575.0 3340.0 27640.0 ; + RECT 3440.0 27740.0 3375.0 27805.0 ; + RECT 2722.5 27450.0 2657.5 28200.0 ; + RECT 4067.5 27450.0 4002.5 28200.0 ; + RECT 2875.0 29007.5 2690.0 29072.5 ; + RECT 4035.0 29007.5 3850.0 29072.5 ; + RECT 2877.5 28267.5 2657.5 28712.5 ; + RECT 3702.5 28837.5 3207.5 28902.5 ; + RECT 3010.0 28267.5 2845.0 28332.5 ; + RECT 3010.0 28647.5 2845.0 28712.5 ; + RECT 3175.0 28457.5 3010.0 28522.5 ; + RECT 3175.0 28837.5 3010.0 28902.5 ; + RECT 3077.5 28267.5 2942.5 28332.5 ; + RECT 3077.5 28647.5 2942.5 28712.5 ; + RECT 3077.5 28457.5 2942.5 28522.5 ; + RECT 3077.5 28837.5 2942.5 28902.5 ; + RECT 3207.5 28457.5 3142.5 28902.5 ; + RECT 2877.5 28267.5 2812.5 28712.5 ; + RECT 4000.0 28267.5 3835.0 28332.5 ; + RECT 4000.0 28647.5 3835.0 28712.5 ; + RECT 3835.0 28457.5 3670.0 28522.5 ; + RECT 3835.0 28837.5 3670.0 28902.5 ; + RECT 3902.5 28267.5 3767.5 28332.5 ; + RECT 3902.5 28647.5 3767.5 28712.5 ; + RECT 3902.5 28457.5 3767.5 28522.5 ; + RECT 3902.5 28837.5 3767.5 28902.5 ; + RECT 3702.5 28457.5 3637.5 28902.5 ; + RECT 4032.5 28267.5 3967.5 28712.5 ; + RECT 2942.5 29007.5 2807.5 29072.5 ; + RECT 3917.5 29007.5 3782.5 29072.5 ; + RECT 3490.0 28325.0 3355.0 28390.0 ; + RECT 3490.0 28325.0 3355.0 28390.0 ; + RECT 3455.0 28870.0 3390.0 28935.0 ; + RECT 2722.5 28200.0 2657.5 29140.0 ; + RECT 4067.5 28200.0 4002.5 29140.0 ; + RECT 2875.0 30517.5 2690.0 30582.5 ; + RECT 4035.0 30517.5 3850.0 30582.5 ; + RECT 2877.5 29207.5 2657.5 30412.5 ; + RECT 3702.5 30157.5 3207.5 30222.5 ; + RECT 3010.0 29207.5 2845.0 29272.5 ; + RECT 3010.0 29587.5 2845.0 29652.5 ; + RECT 3010.0 29967.5 2845.0 30032.5 ; + RECT 3010.0 30347.5 2845.0 30412.5 ; + RECT 3175.0 29397.5 3010.0 29462.5 ; + RECT 3175.0 29777.5 3010.0 29842.5 ; + RECT 3175.0 30157.5 3010.0 30222.5 ; + RECT 3077.5 29207.5 2942.5 29272.5 ; + RECT 3077.5 29587.5 2942.5 29652.5 ; + RECT 3077.5 29967.5 2942.5 30032.5 ; + RECT 3077.5 30347.5 2942.5 30412.5 ; + RECT 3077.5 29397.5 2942.5 29462.5 ; + RECT 3077.5 29777.5 2942.5 29842.5 ; + RECT 3077.5 30157.5 2942.5 30222.5 ; + RECT 3207.5 29397.5 3142.5 30222.5 ; + RECT 2877.5 29207.5 2812.5 30412.5 ; + RECT 4000.0 29207.5 3835.0 29272.5 ; + RECT 4000.0 29587.5 3835.0 29652.5 ; + RECT 4000.0 29967.5 3835.0 30032.5 ; + RECT 4000.0 30347.5 3835.0 30412.5 ; + RECT 3835.0 29397.5 3670.0 29462.5 ; + RECT 3835.0 29777.5 3670.0 29842.5 ; + RECT 3835.0 30157.5 3670.0 30222.5 ; + RECT 3902.5 29207.5 3767.5 29272.5 ; + RECT 3902.5 29587.5 3767.5 29652.5 ; + RECT 3902.5 29967.5 3767.5 30032.5 ; + RECT 3902.5 30347.5 3767.5 30412.5 ; + RECT 3902.5 29397.5 3767.5 29462.5 ; + RECT 3902.5 29777.5 3767.5 29842.5 ; + RECT 3902.5 30157.5 3767.5 30222.5 ; + RECT 3702.5 29397.5 3637.5 30222.5 ; + RECT 4032.5 29207.5 3967.5 30412.5 ; + RECT 2942.5 30517.5 2807.5 30582.5 ; + RECT 3917.5 30517.5 3782.5 30582.5 ; + RECT 3490.0 29265.0 3355.0 29330.0 ; + RECT 3490.0 29265.0 3355.0 29330.0 ; + RECT 3455.0 30190.0 3390.0 30255.0 ; + RECT 2722.5 29140.0 2657.5 30650.0 ; + RECT 4067.5 29140.0 4002.5 30650.0 ; + RECT 2875.0 33167.5 2690.0 33232.5 ; + RECT 4035.0 33167.5 3850.0 33232.5 ; + RECT 2877.5 30717.5 2657.5 33062.5 ; + RECT 3702.5 32807.5 3207.5 32872.5 ; + RECT 3010.0 30717.5 2845.0 30782.5 ; + RECT 3010.0 31097.5 2845.0 31162.5 ; + RECT 3010.0 31477.5 2845.0 31542.5 ; + RECT 3010.0 31857.5 2845.0 31922.5 ; + RECT 3010.0 32237.5 2845.0 32302.5 ; + RECT 3010.0 32617.5 2845.0 32682.5 ; + RECT 3010.0 32997.5 2845.0 33062.5 ; + RECT 3175.0 30907.5 3010.0 30972.5 ; + RECT 3175.0 31287.5 3010.0 31352.5 ; + RECT 3175.0 31667.5 3010.0 31732.5 ; + RECT 3175.0 32047.5 3010.0 32112.5 ; + RECT 3175.0 32427.5 3010.0 32492.5 ; + RECT 3175.0 32807.5 3010.0 32872.5 ; + RECT 3077.5 30717.5 2942.5 30782.5 ; + RECT 3077.5 31097.5 2942.5 31162.5 ; + RECT 3077.5 31477.5 2942.5 31542.5 ; + RECT 3077.5 31857.5 2942.5 31922.5 ; + RECT 3077.5 32237.5 2942.5 32302.5 ; + RECT 3077.5 32617.5 2942.5 32682.5 ; + RECT 3077.5 32997.5 2942.5 33062.5 ; + RECT 3077.5 30907.5 2942.5 30972.5 ; + RECT 3077.5 31287.5 2942.5 31352.5 ; + RECT 3077.5 31667.5 2942.5 31732.5 ; + RECT 3077.5 32047.5 2942.5 32112.5 ; + RECT 3077.5 32427.5 2942.5 32492.5 ; + RECT 3077.5 32807.5 2942.5 32872.5 ; + RECT 3207.5 30907.5 3142.5 32872.5 ; + RECT 2877.5 30717.5 2812.5 33062.5 ; + RECT 4000.0 30717.5 3835.0 30782.5 ; + RECT 4000.0 31097.5 3835.0 31162.5 ; + RECT 4000.0 31477.5 3835.0 31542.5 ; + RECT 4000.0 31857.5 3835.0 31922.5 ; + RECT 4000.0 32237.5 3835.0 32302.5 ; + RECT 4000.0 32617.5 3835.0 32682.5 ; + RECT 4000.0 32997.5 3835.0 33062.5 ; + RECT 3835.0 30907.5 3670.0 30972.5 ; + RECT 3835.0 31287.5 3670.0 31352.5 ; + RECT 3835.0 31667.5 3670.0 31732.5 ; + RECT 3835.0 32047.5 3670.0 32112.5 ; + RECT 3835.0 32427.5 3670.0 32492.5 ; + RECT 3835.0 32807.5 3670.0 32872.5 ; + RECT 3902.5 30717.5 3767.5 30782.5 ; + RECT 3902.5 31097.5 3767.5 31162.5 ; + RECT 3902.5 31477.5 3767.5 31542.5 ; + RECT 3902.5 31857.5 3767.5 31922.5 ; + RECT 3902.5 32237.5 3767.5 32302.5 ; + RECT 3902.5 32617.5 3767.5 32682.5 ; + RECT 3902.5 32997.5 3767.5 33062.5 ; + RECT 3902.5 30907.5 3767.5 30972.5 ; + RECT 3902.5 31287.5 3767.5 31352.5 ; + RECT 3902.5 31667.5 3767.5 31732.5 ; + RECT 3902.5 32047.5 3767.5 32112.5 ; + RECT 3902.5 32427.5 3767.5 32492.5 ; + RECT 3902.5 32807.5 3767.5 32872.5 ; + RECT 3702.5 30907.5 3637.5 32872.5 ; + RECT 4032.5 30717.5 3967.5 33062.5 ; + RECT 2942.5 33167.5 2807.5 33232.5 ; + RECT 3917.5 33167.5 3782.5 33232.5 ; + RECT 3490.0 30775.0 3355.0 30840.0 ; + RECT 3490.0 30775.0 3355.0 30840.0 ; + RECT 3455.0 32840.0 3390.0 32905.0 ; + RECT 2722.5 30650.0 2657.5 33300.0 ; + RECT 4067.5 30650.0 4002.5 33300.0 ; + RECT 3872.5 36212.5 4067.5 36277.5 ; + RECT 3032.5 36212.5 2657.5 36277.5 ; + RECT 3032.5 36592.5 2657.5 36657.5 ; + RECT 2875.0 36952.5 2690.0 37017.5 ; + RECT 4035.0 36952.5 3850.0 37017.5 ; + RECT 3032.5 36212.5 2897.5 36277.5 ; + RECT 3032.5 36402.5 2897.5 36467.5 ; + RECT 3032.5 36402.5 2897.5 36467.5 ; + RECT 3032.5 36212.5 2897.5 36277.5 ; + RECT 3032.5 36402.5 2897.5 36467.5 ; + RECT 3032.5 36592.5 2897.5 36657.5 ; + RECT 3032.5 36592.5 2897.5 36657.5 ; + RECT 3032.5 36402.5 2897.5 36467.5 ; + RECT 3032.5 36592.5 2897.5 36657.5 ; + RECT 3032.5 36782.5 2897.5 36847.5 ; + RECT 3032.5 36782.5 2897.5 36847.5 ; + RECT 3032.5 36592.5 2897.5 36657.5 ; + RECT 3872.5 36212.5 3737.5 36277.5 ; + RECT 3872.5 36402.5 3737.5 36467.5 ; + RECT 3872.5 36402.5 3737.5 36467.5 ; + RECT 3872.5 36212.5 3737.5 36277.5 ; + RECT 3872.5 36402.5 3737.5 36467.5 ; + RECT 3872.5 36592.5 3737.5 36657.5 ; + RECT 3872.5 36592.5 3737.5 36657.5 ; + RECT 3872.5 36402.5 3737.5 36467.5 ; + RECT 3872.5 36592.5 3737.5 36657.5 ; + RECT 3872.5 36782.5 3737.5 36847.5 ; + RECT 3872.5 36782.5 3737.5 36847.5 ; + RECT 3872.5 36592.5 3737.5 36657.5 ; + RECT 2942.5 36952.5 2807.5 37017.5 ; + RECT 3917.5 36952.5 3782.5 37017.5 ; + RECT 3660.0 36787.5 3595.0 36652.5 ; + RECT 3520.0 36597.5 3455.0 36462.5 ; + RECT 3380.0 36407.5 3315.0 36272.5 ; + RECT 3032.5 36402.5 2897.5 36467.5 ; + RECT 3032.5 36782.5 2897.5 36847.5 ; + RECT 3872.5 36782.5 3737.5 36847.5 ; + RECT 3415.0 36782.5 3280.0 36847.5 ; + RECT 3380.0 36272.5 3315.0 36407.5 ; + RECT 3520.0 36462.5 3455.0 36597.5 ; + RECT 3660.0 36652.5 3595.0 36787.5 ; + RECT 3415.0 36782.5 3280.0 36847.5 ; + RECT 2722.5 36145.0 2657.5 37155.0 ; + RECT 4067.5 36145.0 4002.5 37155.0 ; + RECT 2875.0 37582.5 2690.0 37647.5 ; + RECT 4035.0 37582.5 3850.0 37647.5 ; + RECT 3917.5 37222.5 4067.5 37287.5 ; + RECT 3032.5 37222.5 2657.5 37287.5 ; + RECT 3917.5 37412.5 3032.5 37477.5 ; + RECT 3032.5 37222.5 2897.5 37287.5 ; + RECT 3032.5 37412.5 2897.5 37477.5 ; + RECT 3032.5 37412.5 2897.5 37477.5 ; + RECT 3032.5 37222.5 2897.5 37287.5 ; + RECT 3917.5 37222.5 3782.5 37287.5 ; + RECT 3917.5 37412.5 3782.5 37477.5 ; + RECT 3917.5 37412.5 3782.5 37477.5 ; + RECT 3917.5 37222.5 3782.5 37287.5 ; + RECT 2942.5 37582.5 2807.5 37647.5 ; + RECT 3917.5 37582.5 3782.5 37647.5 ; + RECT 3475.0 37280.0 3340.0 37345.0 ; + RECT 3475.0 37280.0 3340.0 37345.0 ; + RECT 3440.0 37445.0 3375.0 37510.0 ; + RECT 2722.5 37155.0 2657.5 37715.0 ; + RECT 4067.5 37155.0 4002.5 37715.0 ; + RECT 1462.5 36212.5 1312.5 36277.5 ; + RECT 1462.5 36592.5 1312.5 36657.5 ; + RECT 2280.0 36212.5 2722.5 36277.5 ; + RECT 2505.0 36762.5 2690.0 36827.5 ; + RECT 1345.0 36762.5 1530.0 36827.5 ; + RECT 2280.0 36212.5 2415.0 36277.5 ; + RECT 2280.0 36402.5 2415.0 36467.5 ; + RECT 2280.0 36402.5 2415.0 36467.5 ; + RECT 2280.0 36212.5 2415.0 36277.5 ; + RECT 2280.0 36402.5 2415.0 36467.5 ; + RECT 2280.0 36592.5 2415.0 36657.5 ; + RECT 2280.0 36592.5 2415.0 36657.5 ; + RECT 2280.0 36402.5 2415.0 36467.5 ; + RECT 1462.5 36212.5 1597.5 36277.5 ; + RECT 1462.5 36402.5 1597.5 36467.5 ; + RECT 1462.5 36402.5 1597.5 36467.5 ; + RECT 1462.5 36212.5 1597.5 36277.5 ; + RECT 1462.5 36402.5 1597.5 36467.5 ; + RECT 1462.5 36592.5 1597.5 36657.5 ; + RECT 1462.5 36592.5 1597.5 36657.5 ; + RECT 1462.5 36402.5 1597.5 36467.5 ; + RECT 2437.5 36762.5 2572.5 36827.5 ; + RECT 1462.5 36762.5 1597.5 36827.5 ; + RECT 1682.5 36597.5 1747.5 36462.5 ; + RECT 1897.5 36340.0 1962.5 36205.0 ; + RECT 2280.0 36592.5 2415.0 36657.5 ; + RECT 1497.5 36502.5 1562.5 36367.5 ; + RECT 1897.5 36762.5 1962.5 36627.5 ; + RECT 1897.5 36205.0 1962.5 36340.0 ; + RECT 1682.5 36462.5 1747.5 36597.5 ; + RECT 1897.5 36627.5 1962.5 36762.5 ; + RECT 2657.5 36145.0 2722.5 37065.0 ; + RECT 1312.5 36145.0 1377.5 37065.0 ; + RECT 1507.5 37357.5 1312.5 37422.5 ; + RECT 2347.5 37357.5 2722.5 37422.5 ; + RECT 2347.5 37737.5 2722.5 37802.5 ; + RECT 2505.0 37907.5 2690.0 37972.5 ; + RECT 1345.0 37907.5 1530.0 37972.5 ; + RECT 2347.5 37357.5 2482.5 37422.5 ; + RECT 2347.5 37547.5 2482.5 37612.5 ; + RECT 2347.5 37547.5 2482.5 37612.5 ; + RECT 2347.5 37357.5 2482.5 37422.5 ; + RECT 2347.5 37547.5 2482.5 37612.5 ; + RECT 2347.5 37737.5 2482.5 37802.5 ; + RECT 2347.5 37737.5 2482.5 37802.5 ; + RECT 2347.5 37547.5 2482.5 37612.5 ; + RECT 1507.5 37357.5 1642.5 37422.5 ; + RECT 1507.5 37547.5 1642.5 37612.5 ; + RECT 1507.5 37547.5 1642.5 37612.5 ; + RECT 1507.5 37357.5 1642.5 37422.5 ; + RECT 1507.5 37547.5 1642.5 37612.5 ; + RECT 1507.5 37737.5 1642.5 37802.5 ; + RECT 1507.5 37737.5 1642.5 37802.5 ; + RECT 1507.5 37547.5 1642.5 37612.5 ; + RECT 2437.5 37907.5 2572.5 37972.5 ; + RECT 1462.5 37907.5 1597.5 37972.5 ; + RECT 1737.5 37742.5 1802.5 37607.5 ; + RECT 1952.5 37485.0 2017.5 37350.0 ; + RECT 2347.5 37547.5 2482.5 37612.5 ; + RECT 1507.5 37737.5 1642.5 37802.5 ; + RECT 1952.5 37837.5 2017.5 37702.5 ; + RECT 1952.5 37350.0 2017.5 37485.0 ; + RECT 1737.5 37607.5 1802.5 37742.5 ; + RECT 1952.5 37702.5 2017.5 37837.5 ; + RECT 2657.5 37290.0 2722.5 38210.0 ; + RECT 1312.5 37290.0 1377.5 38210.0 ; + RECT 2505.0 38567.5 2690.0 38502.5 ; + RECT 1345.0 38567.5 1530.0 38502.5 ; + RECT 1462.5 38927.5 1312.5 38862.5 ; + RECT 2347.5 38927.5 2722.5 38862.5 ; + RECT 1462.5 38737.5 2347.5 38672.5 ; + RECT 2347.5 38927.5 2482.5 38862.5 ; + RECT 2347.5 38737.5 2482.5 38672.5 ; + RECT 2347.5 38737.5 2482.5 38672.5 ; + RECT 2347.5 38927.5 2482.5 38862.5 ; + RECT 1462.5 38927.5 1597.5 38862.5 ; + RECT 1462.5 38737.5 1597.5 38672.5 ; + RECT 1462.5 38737.5 1597.5 38672.5 ; + RECT 1462.5 38927.5 1597.5 38862.5 ; + RECT 2437.5 38567.5 2572.5 38502.5 ; + RECT 1462.5 38567.5 1597.5 38502.5 ; + RECT 1905.0 38870.0 2040.0 38805.0 ; + RECT 1905.0 38870.0 2040.0 38805.0 ; + RECT 1940.0 38705.0 2005.0 38640.0 ; + RECT 2657.5 38995.0 2722.5 38435.0 ; + RECT 1312.5 38995.0 1377.5 38435.0 ; + RECT 2505.0 39127.5 2690.0 39062.5 ; + RECT 1345.0 39127.5 1530.0 39062.5 ; + RECT 1462.5 39487.5 1312.5 39422.5 ; + RECT 2347.5 39487.5 2722.5 39422.5 ; + RECT 1462.5 39297.5 2347.5 39232.5 ; + RECT 2347.5 39487.5 2482.5 39422.5 ; + RECT 2347.5 39297.5 2482.5 39232.5 ; + RECT 2347.5 39297.5 2482.5 39232.5 ; + RECT 2347.5 39487.5 2482.5 39422.5 ; + RECT 1462.5 39487.5 1597.5 39422.5 ; + RECT 1462.5 39297.5 1597.5 39232.5 ; + RECT 1462.5 39297.5 1597.5 39232.5 ; + RECT 1462.5 39487.5 1597.5 39422.5 ; + RECT 2437.5 39127.5 2572.5 39062.5 ; + RECT 1462.5 39127.5 1597.5 39062.5 ; + RECT 1905.0 39430.0 2040.0 39365.0 ; + RECT 1905.0 39430.0 2040.0 39365.0 ; + RECT 1940.0 39265.0 2005.0 39200.0 ; + RECT 2657.5 39555.0 2722.5 38995.0 ; + RECT 1312.5 39555.0 1377.5 38995.0 ; + RECT 1182.5 36772.5 1377.5 36837.5 ; + RECT 342.5 36772.5 -32.5 36837.5 ; + RECT 342.5 37152.5 -32.5 37217.5 ; + RECT 185.0 37512.5 8.881784197e-13 37577.5 ; + RECT 1345.0 37512.5 1160.0 37577.5 ; + RECT 342.5 36772.5 207.5 36837.5 ; + RECT 342.5 36962.5 207.5 37027.5 ; + RECT 342.5 36962.5 207.5 37027.5 ; + RECT 342.5 36772.5 207.5 36837.5 ; + RECT 342.5 36962.5 207.5 37027.5 ; + RECT 342.5 37152.5 207.5 37217.5 ; + RECT 342.5 37152.5 207.5 37217.5 ; + RECT 342.5 36962.5 207.5 37027.5 ; + RECT 342.5 37152.5 207.5 37217.5 ; + RECT 342.5 37342.5 207.5 37407.5 ; + RECT 342.5 37342.5 207.5 37407.5 ; + RECT 342.5 37152.5 207.5 37217.5 ; + RECT 1182.5 36772.5 1047.5 36837.5 ; + RECT 1182.5 36962.5 1047.5 37027.5 ; + RECT 1182.5 36962.5 1047.5 37027.5 ; + RECT 1182.5 36772.5 1047.5 36837.5 ; + RECT 1182.5 36962.5 1047.5 37027.5 ; + RECT 1182.5 37152.5 1047.5 37217.5 ; + RECT 1182.5 37152.5 1047.5 37217.5 ; + RECT 1182.5 36962.5 1047.5 37027.5 ; + RECT 1182.5 37152.5 1047.5 37217.5 ; + RECT 1182.5 37342.5 1047.5 37407.5 ; + RECT 1182.5 37342.5 1047.5 37407.5 ; + RECT 1182.5 37152.5 1047.5 37217.5 ; + RECT 252.5 37512.5 117.5 37577.5 ; + RECT 1227.5 37512.5 1092.5 37577.5 ; + RECT 970.0 37347.5 905.0 37212.5 ; + RECT 830.0 37157.5 765.0 37022.5 ; + RECT 690.0 36967.5 625.0 36832.5 ; + RECT 342.5 36962.5 207.5 37027.5 ; + RECT 342.5 37342.5 207.5 37407.5 ; + RECT 1182.5 37342.5 1047.5 37407.5 ; + RECT 725.0 37342.5 590.0 37407.5 ; + RECT 690.0 36832.5 625.0 36967.5 ; + RECT 830.0 37022.5 765.0 37157.5 ; + RECT 970.0 37212.5 905.0 37347.5 ; + RECT 725.0 37342.5 590.0 37407.5 ; + RECT 32.5 36705.0 -32.5 37715.0 ; + RECT 1377.5 36705.0 1312.5 37715.0 ; + RECT 185.0 38142.5 8.881784197e-13 38207.5 ; + RECT 1345.0 38142.5 1160.0 38207.5 ; + RECT 1227.5 37782.5 1377.5 37847.5 ; + RECT 342.5 37782.5 -32.5 37847.5 ; + RECT 1227.5 37972.5 342.5 38037.5 ; + RECT 342.5 37782.5 207.5 37847.5 ; + RECT 342.5 37972.5 207.5 38037.5 ; + RECT 342.5 37972.5 207.5 38037.5 ; + RECT 342.5 37782.5 207.5 37847.5 ; + RECT 1227.5 37782.5 1092.5 37847.5 ; + RECT 1227.5 37972.5 1092.5 38037.5 ; + RECT 1227.5 37972.5 1092.5 38037.5 ; + RECT 1227.5 37782.5 1092.5 37847.5 ; + RECT 252.5 38142.5 117.5 38207.5 ; + RECT 1227.5 38142.5 1092.5 38207.5 ; + RECT 785.0 37840.0 650.0 37905.0 ; + RECT 785.0 37840.0 650.0 37905.0 ; + RECT 750.0 38005.0 685.0 38070.0 ; + RECT 32.5 37715.0 -32.5 38275.0 ; + RECT 1377.5 37715.0 1312.5 38275.0 ; + RECT 185.0 38702.5 8.881784197e-13 38767.5 ; + RECT 1345.0 38702.5 1160.0 38767.5 ; + RECT 1227.5 38342.5 1377.5 38407.5 ; + RECT 342.5 38342.5 -32.5 38407.5 ; + RECT 1227.5 38532.5 342.5 38597.5 ; + RECT 342.5 38342.5 207.5 38407.5 ; + RECT 342.5 38532.5 207.5 38597.5 ; + RECT 342.5 38532.5 207.5 38597.5 ; + RECT 342.5 38342.5 207.5 38407.5 ; + RECT 1227.5 38342.5 1092.5 38407.5 ; + RECT 1227.5 38532.5 1092.5 38597.5 ; + RECT 1227.5 38532.5 1092.5 38597.5 ; + RECT 1227.5 38342.5 1092.5 38407.5 ; + RECT 252.5 38702.5 117.5 38767.5 ; + RECT 1227.5 38702.5 1092.5 38767.5 ; + RECT 785.0 38400.0 650.0 38465.0 ; + RECT 785.0 38400.0 650.0 38465.0 ; + RECT 750.0 38565.0 685.0 38630.0 ; + RECT 32.5 38275.0 -32.5 38835.0 ; + RECT 1377.5 38275.0 1312.5 38835.0 ; + RECT 185.0 39262.5 8.881784197e-13 39327.5 ; + RECT 1345.0 39262.5 1160.0 39327.5 ; + RECT 1227.5 38902.5 1377.5 38967.5 ; + RECT 342.5 38902.5 -32.5 38967.5 ; + RECT 1227.5 39092.5 342.5 39157.5 ; + RECT 342.5 38902.5 207.5 38967.5 ; + RECT 342.5 39092.5 207.5 39157.5 ; + RECT 342.5 39092.5 207.5 39157.5 ; + RECT 342.5 38902.5 207.5 38967.5 ; + RECT 1227.5 38902.5 1092.5 38967.5 ; + RECT 1227.5 39092.5 1092.5 39157.5 ; + RECT 1227.5 39092.5 1092.5 39157.5 ; + RECT 1227.5 38902.5 1092.5 38967.5 ; + RECT 252.5 39262.5 117.5 39327.5 ; + RECT 1227.5 39262.5 1092.5 39327.5 ; + RECT 785.0 38960.0 650.0 39025.0 ; + RECT 785.0 38960.0 650.0 39025.0 ; + RECT 750.0 39125.0 685.0 39190.0 ; + RECT 32.5 38835.0 -32.5 39395.0 ; + RECT 1377.5 38835.0 1312.5 39395.0 ; + RECT 1377.5 52037.5 1312.5 47865.0 ; + RECT 1312.5 42127.5 1025.0 42192.5 ; + RECT 1312.5 44537.5 1025.0 44602.5 ; + RECT 1312.5 44817.5 1025.0 44882.5 ; + RECT 1312.5 47227.5 1025.0 47292.5 ; + RECT 1377.5 40082.5 935.0 40147.5 ; + RECT 935.0 40082.5 230.0 40147.5 ; + RECT 20.0 43332.5 935.0 43397.5 ; + RECT 20.0 46022.5 935.0 46087.5 ; + RECT 20.0 40642.5 935.0 40707.5 ; + RECT 2005.0 41655.0 1940.0 42355.0 ; + RECT 2005.0 41847.5 1940.0 41912.5 ; + RECT 2005.0 41655.0 1940.0 41880.0 ; + RECT 1972.5 41847.5 1025.0 41912.5 ; + RECT 2690.0 41717.5 2465.0 41782.5 ; + RECT 2430.0 40847.5 2365.0 40912.5 ; + RECT 2005.0 40847.5 1940.0 40912.5 ; + RECT 2430.0 40880.0 2365.0 41527.5 ; + RECT 2397.5 40847.5 1972.5 40912.5 ; + RECT 2005.0 40550.0 1940.0 40880.0 ; + RECT 1972.5 40847.5 1172.5 40912.5 ; + RECT 1172.5 40250.0 750.0 40315.0 ; + RECT 2040.0 40485.0 1905.0 40550.0 ; + RECT 2005.0 42355.0 1940.0 42560.0 ; + RECT 2505.0 40247.5 2690.0 40182.5 ; + RECT 1345.0 40247.5 1530.0 40182.5 ; + RECT 1462.5 40607.5 1312.5 40542.5 ; + RECT 2347.5 40607.5 2722.5 40542.5 ; + RECT 1462.5 40417.5 2347.5 40352.5 ; + RECT 2347.5 40607.5 2482.5 40542.5 ; + RECT 2347.5 40417.5 2482.5 40352.5 ; + RECT 2347.5 40417.5 2482.5 40352.5 ; + RECT 2347.5 40607.5 2482.5 40542.5 ; + RECT 1462.5 40607.5 1597.5 40542.5 ; + RECT 1462.5 40417.5 1597.5 40352.5 ; + RECT 1462.5 40417.5 1597.5 40352.5 ; + RECT 1462.5 40607.5 1597.5 40542.5 ; + RECT 2437.5 40247.5 2572.5 40182.5 ; + RECT 1462.5 40247.5 1597.5 40182.5 ; + RECT 1905.0 40550.0 2040.0 40485.0 ; + RECT 1905.0 40550.0 2040.0 40485.0 ; + RECT 1940.0 40385.0 2005.0 40320.0 ; + RECT 2657.5 40675.0 2722.5 40115.0 ; + RECT 1312.5 40675.0 1377.5 40115.0 ; + RECT 2330.0 41527.5 2465.0 41592.5 ; + RECT 2330.0 41717.5 2465.0 41782.5 ; + RECT 2330.0 41717.5 2465.0 41782.5 ; + RECT 2330.0 41527.5 2465.0 41592.5 ; + RECT 1312.5 51972.5 1377.5 52037.5 ; + RECT 4002.5 51972.5 4067.5 52037.5 ; + RECT 1312.5 51875.0 1377.5 52005.0 ; + RECT 1345.0 51972.5 4035.0 52037.5 ; + RECT 4002.5 51875.0 4067.5 52005.0 ; + RECT 2875.0 42782.5 2690.0 42847.5 ; + RECT 4035.0 42782.5 3850.0 42847.5 ; + RECT 3917.5 42422.5 4067.5 42487.5 ; + RECT 3032.5 42422.5 2657.5 42487.5 ; + RECT 3917.5 42612.5 3032.5 42677.5 ; + RECT 3032.5 42422.5 2897.5 42487.5 ; + RECT 3032.5 42612.5 2897.5 42677.5 ; + RECT 3032.5 42612.5 2897.5 42677.5 ; + RECT 3032.5 42422.5 2897.5 42487.5 ; + RECT 3917.5 42422.5 3782.5 42487.5 ; + RECT 3917.5 42612.5 3782.5 42677.5 ; + RECT 3917.5 42612.5 3782.5 42677.5 ; + RECT 3917.5 42422.5 3782.5 42487.5 ; + RECT 2942.5 42782.5 2807.5 42847.5 ; + RECT 3917.5 42782.5 3782.5 42847.5 ; + RECT 3475.0 42480.0 3340.0 42545.0 ; + RECT 3475.0 42480.0 3340.0 42545.0 ; + RECT 3440.0 42645.0 3375.0 42710.0 ; + RECT 2722.5 42355.0 2657.5 42915.0 ; + RECT 4067.5 42355.0 4002.5 42915.0 ; + RECT 2875.0 43342.5 2690.0 43407.5 ; + RECT 4035.0 43342.5 3850.0 43407.5 ; + RECT 3917.5 42982.5 4067.5 43047.5 ; + RECT 3032.5 42982.5 2657.5 43047.5 ; + RECT 3917.5 43172.5 3032.5 43237.5 ; + RECT 3032.5 42982.5 2897.5 43047.5 ; + RECT 3032.5 43172.5 2897.5 43237.5 ; + RECT 3032.5 43172.5 2897.5 43237.5 ; + RECT 3032.5 42982.5 2897.5 43047.5 ; + RECT 3917.5 42982.5 3782.5 43047.5 ; + RECT 3917.5 43172.5 3782.5 43237.5 ; + RECT 3917.5 43172.5 3782.5 43237.5 ; + RECT 3917.5 42982.5 3782.5 43047.5 ; + RECT 2942.5 43342.5 2807.5 43407.5 ; + RECT 3917.5 43342.5 3782.5 43407.5 ; + RECT 3475.0 43040.0 3340.0 43105.0 ; + RECT 3475.0 43040.0 3340.0 43105.0 ; + RECT 3440.0 43205.0 3375.0 43270.0 ; + RECT 2722.5 42915.0 2657.5 43475.0 ; + RECT 4067.5 42915.0 4002.5 43475.0 ; + RECT 3340.0 43040.0 3475.0 43105.0 ; + RECT 2875.0 43902.5 2690.0 43967.5 ; + RECT 4035.0 43902.5 3850.0 43967.5 ; + RECT 3917.5 43542.5 4067.5 43607.5 ; + RECT 3032.5 43542.5 2657.5 43607.5 ; + RECT 3917.5 43732.5 3032.5 43797.5 ; + RECT 3032.5 43542.5 2897.5 43607.5 ; + RECT 3032.5 43732.5 2897.5 43797.5 ; + RECT 3032.5 43732.5 2897.5 43797.5 ; + RECT 3032.5 43542.5 2897.5 43607.5 ; + RECT 3917.5 43542.5 3782.5 43607.5 ; + RECT 3917.5 43732.5 3782.5 43797.5 ; + RECT 3917.5 43732.5 3782.5 43797.5 ; + RECT 3917.5 43542.5 3782.5 43607.5 ; + RECT 2942.5 43902.5 2807.5 43967.5 ; + RECT 3917.5 43902.5 3782.5 43967.5 ; + RECT 3475.0 43600.0 3340.0 43665.0 ; + RECT 3475.0 43600.0 3340.0 43665.0 ; + RECT 3440.0 43765.0 3375.0 43830.0 ; + RECT 2722.5 43475.0 2657.5 44035.0 ; + RECT 4067.5 43475.0 4002.5 44035.0 ; + RECT 3340.0 43600.0 3475.0 43665.0 ; + RECT 2875.0 44462.5 2690.0 44527.5 ; + RECT 4035.0 44462.5 3850.0 44527.5 ; + RECT 3917.5 44102.5 4067.5 44167.5 ; + RECT 3032.5 44102.5 2657.5 44167.5 ; + RECT 3917.5 44292.5 3032.5 44357.5 ; + RECT 3032.5 44102.5 2897.5 44167.5 ; + RECT 3032.5 44292.5 2897.5 44357.5 ; + RECT 3032.5 44292.5 2897.5 44357.5 ; + RECT 3032.5 44102.5 2897.5 44167.5 ; + RECT 3917.5 44102.5 3782.5 44167.5 ; + RECT 3917.5 44292.5 3782.5 44357.5 ; + RECT 3917.5 44292.5 3782.5 44357.5 ; + RECT 3917.5 44102.5 3782.5 44167.5 ; + RECT 2942.5 44462.5 2807.5 44527.5 ; + RECT 3917.5 44462.5 3782.5 44527.5 ; + RECT 3475.0 44160.0 3340.0 44225.0 ; + RECT 3475.0 44160.0 3340.0 44225.0 ; + RECT 3440.0 44325.0 3375.0 44390.0 ; + RECT 2722.5 44035.0 2657.5 44595.0 ; + RECT 4067.5 44035.0 4002.5 44595.0 ; + RECT 3340.0 44160.0 3475.0 44225.0 ; + RECT 2875.0 45022.5 2690.0 45087.5 ; + RECT 4035.0 45022.5 3850.0 45087.5 ; + RECT 3917.5 44662.5 4067.5 44727.5 ; + RECT 3032.5 44662.5 2657.5 44727.5 ; + RECT 3917.5 44852.5 3032.5 44917.5 ; + RECT 3032.5 44662.5 2897.5 44727.5 ; + RECT 3032.5 44852.5 2897.5 44917.5 ; + RECT 3032.5 44852.5 2897.5 44917.5 ; + RECT 3032.5 44662.5 2897.5 44727.5 ; + RECT 3917.5 44662.5 3782.5 44727.5 ; + RECT 3917.5 44852.5 3782.5 44917.5 ; + RECT 3917.5 44852.5 3782.5 44917.5 ; + RECT 3917.5 44662.5 3782.5 44727.5 ; + RECT 2942.5 45022.5 2807.5 45087.5 ; + RECT 3917.5 45022.5 3782.5 45087.5 ; + RECT 3475.0 44720.0 3340.0 44785.0 ; + RECT 3475.0 44720.0 3340.0 44785.0 ; + RECT 3440.0 44885.0 3375.0 44950.0 ; + RECT 2722.5 44595.0 2657.5 45155.0 ; + RECT 4067.5 44595.0 4002.5 45155.0 ; + RECT 3340.0 44720.0 3475.0 44785.0 ; + RECT 2875.0 45582.5 2690.0 45647.5 ; + RECT 4035.0 45582.5 3850.0 45647.5 ; + RECT 3917.5 45222.5 4067.5 45287.5 ; + RECT 3032.5 45222.5 2657.5 45287.5 ; + RECT 3917.5 45412.5 3032.5 45477.5 ; + RECT 3032.5 45222.5 2897.5 45287.5 ; + RECT 3032.5 45412.5 2897.5 45477.5 ; + RECT 3032.5 45412.5 2897.5 45477.5 ; + RECT 3032.5 45222.5 2897.5 45287.5 ; + RECT 3917.5 45222.5 3782.5 45287.5 ; + RECT 3917.5 45412.5 3782.5 45477.5 ; + RECT 3917.5 45412.5 3782.5 45477.5 ; + RECT 3917.5 45222.5 3782.5 45287.5 ; + RECT 2942.5 45582.5 2807.5 45647.5 ; + RECT 3917.5 45582.5 3782.5 45647.5 ; + RECT 3475.0 45280.0 3340.0 45345.0 ; + RECT 3475.0 45280.0 3340.0 45345.0 ; + RECT 3440.0 45445.0 3375.0 45510.0 ; + RECT 2722.5 45155.0 2657.5 45715.0 ; + RECT 4067.5 45155.0 4002.5 45715.0 ; + RECT 3340.0 45280.0 3475.0 45345.0 ; + RECT 2875.0 46142.5 2690.0 46207.5 ; + RECT 4035.0 46142.5 3850.0 46207.5 ; + RECT 3917.5 45782.5 4067.5 45847.5 ; + RECT 3032.5 45782.5 2657.5 45847.5 ; + RECT 3917.5 45972.5 3032.5 46037.5 ; + RECT 3032.5 45782.5 2897.5 45847.5 ; + RECT 3032.5 45972.5 2897.5 46037.5 ; + RECT 3032.5 45972.5 2897.5 46037.5 ; + RECT 3032.5 45782.5 2897.5 45847.5 ; + RECT 3917.5 45782.5 3782.5 45847.5 ; + RECT 3917.5 45972.5 3782.5 46037.5 ; + RECT 3917.5 45972.5 3782.5 46037.5 ; + RECT 3917.5 45782.5 3782.5 45847.5 ; + RECT 2942.5 46142.5 2807.5 46207.5 ; + RECT 3917.5 46142.5 3782.5 46207.5 ; + RECT 3475.0 45840.0 3340.0 45905.0 ; + RECT 3475.0 45840.0 3340.0 45905.0 ; + RECT 3440.0 46005.0 3375.0 46070.0 ; + RECT 2722.5 45715.0 2657.5 46275.0 ; + RECT 4067.5 45715.0 4002.5 46275.0 ; + RECT 3340.0 45840.0 3475.0 45905.0 ; + RECT 2875.0 46702.5 2690.0 46767.5 ; + RECT 4035.0 46702.5 3850.0 46767.5 ; + RECT 3917.5 46342.5 4067.5 46407.5 ; + RECT 3032.5 46342.5 2657.5 46407.5 ; + RECT 3917.5 46532.5 3032.5 46597.5 ; + RECT 3032.5 46342.5 2897.5 46407.5 ; + RECT 3032.5 46532.5 2897.5 46597.5 ; + RECT 3032.5 46532.5 2897.5 46597.5 ; + RECT 3032.5 46342.5 2897.5 46407.5 ; + RECT 3917.5 46342.5 3782.5 46407.5 ; + RECT 3917.5 46532.5 3782.5 46597.5 ; + RECT 3917.5 46532.5 3782.5 46597.5 ; + RECT 3917.5 46342.5 3782.5 46407.5 ; + RECT 2942.5 46702.5 2807.5 46767.5 ; + RECT 3917.5 46702.5 3782.5 46767.5 ; + RECT 3475.0 46400.0 3340.0 46465.0 ; + RECT 3475.0 46400.0 3340.0 46465.0 ; + RECT 3440.0 46565.0 3375.0 46630.0 ; + RECT 2722.5 46275.0 2657.5 46835.0 ; + RECT 4067.5 46275.0 4002.5 46835.0 ; + RECT 3340.0 46400.0 3475.0 46465.0 ; + RECT 2875.0 47262.5 2690.0 47327.5 ; + RECT 4035.0 47262.5 3850.0 47327.5 ; + RECT 3917.5 46902.5 4067.5 46967.5 ; + RECT 3032.5 46902.5 2657.5 46967.5 ; + RECT 3917.5 47092.5 3032.5 47157.5 ; + RECT 3032.5 46902.5 2897.5 46967.5 ; + RECT 3032.5 47092.5 2897.5 47157.5 ; + RECT 3032.5 47092.5 2897.5 47157.5 ; + RECT 3032.5 46902.5 2897.5 46967.5 ; + RECT 3917.5 46902.5 3782.5 46967.5 ; + RECT 3917.5 47092.5 3782.5 47157.5 ; + RECT 3917.5 47092.5 3782.5 47157.5 ; + RECT 3917.5 46902.5 3782.5 46967.5 ; + RECT 2942.5 47262.5 2807.5 47327.5 ; + RECT 3917.5 47262.5 3782.5 47327.5 ; + RECT 3475.0 46960.0 3340.0 47025.0 ; + RECT 3475.0 46960.0 3340.0 47025.0 ; + RECT 3440.0 47125.0 3375.0 47190.0 ; + RECT 2722.5 46835.0 2657.5 47395.0 ; + RECT 4067.5 46835.0 4002.5 47395.0 ; + RECT 3340.0 46960.0 3475.0 47025.0 ; + RECT 2875.0 47822.5 2690.0 47887.5 ; + RECT 4035.0 47822.5 3850.0 47887.5 ; + RECT 3917.5 47462.5 4067.5 47527.5 ; + RECT 3032.5 47462.5 2657.5 47527.5 ; + RECT 3917.5 47652.5 3032.5 47717.5 ; + RECT 3032.5 47462.5 2897.5 47527.5 ; + RECT 3032.5 47652.5 2897.5 47717.5 ; + RECT 3032.5 47652.5 2897.5 47717.5 ; + RECT 3032.5 47462.5 2897.5 47527.5 ; + RECT 3917.5 47462.5 3782.5 47527.5 ; + RECT 3917.5 47652.5 3782.5 47717.5 ; + RECT 3917.5 47652.5 3782.5 47717.5 ; + RECT 3917.5 47462.5 3782.5 47527.5 ; + RECT 2942.5 47822.5 2807.5 47887.5 ; + RECT 3917.5 47822.5 3782.5 47887.5 ; + RECT 3475.0 47520.0 3340.0 47585.0 ; + RECT 3475.0 47520.0 3340.0 47585.0 ; + RECT 3440.0 47685.0 3375.0 47750.0 ; + RECT 2722.5 47395.0 2657.5 47955.0 ; + RECT 4067.5 47395.0 4002.5 47955.0 ; + RECT 3340.0 47520.0 3475.0 47585.0 ; + RECT 2875.0 48382.5 2690.0 48447.5 ; + RECT 4035.0 48382.5 3850.0 48447.5 ; + RECT 3917.5 48022.5 4067.5 48087.5 ; + RECT 3032.5 48022.5 2657.5 48087.5 ; + RECT 3917.5 48212.5 3032.5 48277.5 ; + RECT 3032.5 48022.5 2897.5 48087.5 ; + RECT 3032.5 48212.5 2897.5 48277.5 ; + RECT 3032.5 48212.5 2897.5 48277.5 ; + RECT 3032.5 48022.5 2897.5 48087.5 ; + RECT 3917.5 48022.5 3782.5 48087.5 ; + RECT 3917.5 48212.5 3782.5 48277.5 ; + RECT 3917.5 48212.5 3782.5 48277.5 ; + RECT 3917.5 48022.5 3782.5 48087.5 ; + RECT 2942.5 48382.5 2807.5 48447.5 ; + RECT 3917.5 48382.5 3782.5 48447.5 ; + RECT 3475.0 48080.0 3340.0 48145.0 ; + RECT 3475.0 48080.0 3340.0 48145.0 ; + RECT 3440.0 48245.0 3375.0 48310.0 ; + RECT 2722.5 47955.0 2657.5 48515.0 ; + RECT 4067.5 47955.0 4002.5 48515.0 ; + RECT 3340.0 48080.0 3475.0 48145.0 ; + RECT 2875.0 48942.5 2690.0 49007.5 ; + RECT 4035.0 48942.5 3850.0 49007.5 ; + RECT 3917.5 48582.5 4067.5 48647.5 ; + RECT 3032.5 48582.5 2657.5 48647.5 ; + RECT 3917.5 48772.5 3032.5 48837.5 ; + RECT 3032.5 48582.5 2897.5 48647.5 ; + RECT 3032.5 48772.5 2897.5 48837.5 ; + RECT 3032.5 48772.5 2897.5 48837.5 ; + RECT 3032.5 48582.5 2897.5 48647.5 ; + RECT 3917.5 48582.5 3782.5 48647.5 ; + RECT 3917.5 48772.5 3782.5 48837.5 ; + RECT 3917.5 48772.5 3782.5 48837.5 ; + RECT 3917.5 48582.5 3782.5 48647.5 ; + RECT 2942.5 48942.5 2807.5 49007.5 ; + RECT 3917.5 48942.5 3782.5 49007.5 ; + RECT 3475.0 48640.0 3340.0 48705.0 ; + RECT 3475.0 48640.0 3340.0 48705.0 ; + RECT 3440.0 48805.0 3375.0 48870.0 ; + RECT 2722.5 48515.0 2657.5 49075.0 ; + RECT 4067.5 48515.0 4002.5 49075.0 ; + RECT 3340.0 48640.0 3475.0 48705.0 ; + RECT 2875.0 49502.5 2690.0 49567.5 ; + RECT 4035.0 49502.5 3850.0 49567.5 ; + RECT 3917.5 49142.5 4067.5 49207.5 ; + RECT 3032.5 49142.5 2657.5 49207.5 ; + RECT 3917.5 49332.5 3032.5 49397.5 ; + RECT 3032.5 49142.5 2897.5 49207.5 ; + RECT 3032.5 49332.5 2897.5 49397.5 ; + RECT 3032.5 49332.5 2897.5 49397.5 ; + RECT 3032.5 49142.5 2897.5 49207.5 ; + RECT 3917.5 49142.5 3782.5 49207.5 ; + RECT 3917.5 49332.5 3782.5 49397.5 ; + RECT 3917.5 49332.5 3782.5 49397.5 ; + RECT 3917.5 49142.5 3782.5 49207.5 ; + RECT 2942.5 49502.5 2807.5 49567.5 ; + RECT 3917.5 49502.5 3782.5 49567.5 ; + RECT 3475.0 49200.0 3340.0 49265.0 ; + RECT 3475.0 49200.0 3340.0 49265.0 ; + RECT 3440.0 49365.0 3375.0 49430.0 ; + RECT 2722.5 49075.0 2657.5 49635.0 ; + RECT 4067.5 49075.0 4002.5 49635.0 ; + RECT 3340.0 49200.0 3475.0 49265.0 ; + RECT 2875.0 50062.5 2690.0 50127.5 ; + RECT 4035.0 50062.5 3850.0 50127.5 ; + RECT 3917.5 49702.5 4067.5 49767.5 ; + RECT 3032.5 49702.5 2657.5 49767.5 ; + RECT 3917.5 49892.5 3032.5 49957.5 ; + RECT 3032.5 49702.5 2897.5 49767.5 ; + RECT 3032.5 49892.5 2897.5 49957.5 ; + RECT 3032.5 49892.5 2897.5 49957.5 ; + RECT 3032.5 49702.5 2897.5 49767.5 ; + RECT 3917.5 49702.5 3782.5 49767.5 ; + RECT 3917.5 49892.5 3782.5 49957.5 ; + RECT 3917.5 49892.5 3782.5 49957.5 ; + RECT 3917.5 49702.5 3782.5 49767.5 ; + RECT 2942.5 50062.5 2807.5 50127.5 ; + RECT 3917.5 50062.5 3782.5 50127.5 ; + RECT 3475.0 49760.0 3340.0 49825.0 ; + RECT 3475.0 49760.0 3340.0 49825.0 ; + RECT 3440.0 49925.0 3375.0 49990.0 ; + RECT 2722.5 49635.0 2657.5 50195.0 ; + RECT 4067.5 49635.0 4002.5 50195.0 ; + RECT 3340.0 49760.0 3475.0 49825.0 ; + RECT 2875.0 50622.5 2690.0 50687.5 ; + RECT 4035.0 50622.5 3850.0 50687.5 ; + RECT 3917.5 50262.5 4067.5 50327.5 ; + RECT 3032.5 50262.5 2657.5 50327.5 ; + RECT 3917.5 50452.5 3032.5 50517.5 ; + RECT 3032.5 50262.5 2897.5 50327.5 ; + RECT 3032.5 50452.5 2897.5 50517.5 ; + RECT 3032.5 50452.5 2897.5 50517.5 ; + RECT 3032.5 50262.5 2897.5 50327.5 ; + RECT 3917.5 50262.5 3782.5 50327.5 ; + RECT 3917.5 50452.5 3782.5 50517.5 ; + RECT 3917.5 50452.5 3782.5 50517.5 ; + RECT 3917.5 50262.5 3782.5 50327.5 ; + RECT 2942.5 50622.5 2807.5 50687.5 ; + RECT 3917.5 50622.5 3782.5 50687.5 ; + RECT 3475.0 50320.0 3340.0 50385.0 ; + RECT 3475.0 50320.0 3340.0 50385.0 ; + RECT 3440.0 50485.0 3375.0 50550.0 ; + RECT 2722.5 50195.0 2657.5 50755.0 ; + RECT 4067.5 50195.0 4002.5 50755.0 ; + RECT 3340.0 50320.0 3475.0 50385.0 ; + RECT 2875.0 51182.5 2690.0 51247.5 ; + RECT 4035.0 51182.5 3850.0 51247.5 ; + RECT 3917.5 50822.5 4067.5 50887.5 ; + RECT 3032.5 50822.5 2657.5 50887.5 ; + RECT 3917.5 51012.5 3032.5 51077.5 ; + RECT 3032.5 50822.5 2897.5 50887.5 ; + RECT 3032.5 51012.5 2897.5 51077.5 ; + RECT 3032.5 51012.5 2897.5 51077.5 ; + RECT 3032.5 50822.5 2897.5 50887.5 ; + RECT 3917.5 50822.5 3782.5 50887.5 ; + RECT 3917.5 51012.5 3782.5 51077.5 ; + RECT 3917.5 51012.5 3782.5 51077.5 ; + RECT 3917.5 50822.5 3782.5 50887.5 ; + RECT 2942.5 51182.5 2807.5 51247.5 ; + RECT 3917.5 51182.5 3782.5 51247.5 ; + RECT 3475.0 50880.0 3340.0 50945.0 ; + RECT 3475.0 50880.0 3340.0 50945.0 ; + RECT 3440.0 51045.0 3375.0 51110.0 ; + RECT 2722.5 50755.0 2657.5 51315.0 ; + RECT 4067.5 50755.0 4002.5 51315.0 ; + RECT 3340.0 50880.0 3475.0 50945.0 ; + RECT 2875.0 51742.5 2690.0 51807.5 ; + RECT 4035.0 51742.5 3850.0 51807.5 ; + RECT 3917.5 51382.5 4067.5 51447.5 ; + RECT 3032.5 51382.5 2657.5 51447.5 ; + RECT 3917.5 51572.5 3032.5 51637.5 ; + RECT 3032.5 51382.5 2897.5 51447.5 ; + RECT 3032.5 51572.5 2897.5 51637.5 ; + RECT 3032.5 51572.5 2897.5 51637.5 ; + RECT 3032.5 51382.5 2897.5 51447.5 ; + RECT 3917.5 51382.5 3782.5 51447.5 ; + RECT 3917.5 51572.5 3782.5 51637.5 ; + RECT 3917.5 51572.5 3782.5 51637.5 ; + RECT 3917.5 51382.5 3782.5 51447.5 ; + RECT 2942.5 51742.5 2807.5 51807.5 ; + RECT 3917.5 51742.5 3782.5 51807.5 ; + RECT 3475.0 51440.0 3340.0 51505.0 ; + RECT 3475.0 51440.0 3340.0 51505.0 ; + RECT 3440.0 51605.0 3375.0 51670.0 ; + RECT 2722.5 51315.0 2657.5 51875.0 ; + RECT 4067.5 51315.0 4002.5 51875.0 ; + RECT 3340.0 51440.0 3475.0 51505.0 ; + RECT 2505.0 50887.5 2690.0 50822.5 ; + RECT 1345.0 50887.5 1530.0 50822.5 ; + RECT 1462.5 51247.5 1312.5 51182.5 ; + RECT 2347.5 51247.5 2722.5 51182.5 ; + RECT 1462.5 51057.5 2347.5 50992.5 ; + RECT 2347.5 51247.5 2482.5 51182.5 ; + RECT 2347.5 51057.5 2482.5 50992.5 ; + RECT 2347.5 51057.5 2482.5 50992.5 ; + RECT 2347.5 51247.5 2482.5 51182.5 ; + RECT 1462.5 51247.5 1597.5 51182.5 ; + RECT 1462.5 51057.5 1597.5 50992.5 ; + RECT 1462.5 51057.5 1597.5 50992.5 ; + RECT 1462.5 51247.5 1597.5 51182.5 ; + RECT 2437.5 50887.5 2572.5 50822.5 ; + RECT 1462.5 50887.5 1597.5 50822.5 ; + RECT 1905.0 51190.0 2040.0 51125.0 ; + RECT 1905.0 51190.0 2040.0 51125.0 ; + RECT 1940.0 51025.0 2005.0 50960.0 ; + RECT 2657.5 51315.0 2722.5 50755.0 ; + RECT 1312.5 51315.0 1377.5 50755.0 ; + RECT 1905.0 51125.0 2040.0 51190.0 ; + RECT 2505.0 50327.5 2690.0 50262.5 ; + RECT 1345.0 50327.5 1530.0 50262.5 ; + RECT 1462.5 50687.5 1312.5 50622.5 ; + RECT 2347.5 50687.5 2722.5 50622.5 ; + RECT 1462.5 50497.5 2347.5 50432.5 ; + RECT 2347.5 50687.5 2482.5 50622.5 ; + RECT 2347.5 50497.5 2482.5 50432.5 ; + RECT 2347.5 50497.5 2482.5 50432.5 ; + RECT 2347.5 50687.5 2482.5 50622.5 ; + RECT 1462.5 50687.5 1597.5 50622.5 ; + RECT 1462.5 50497.5 1597.5 50432.5 ; + RECT 1462.5 50497.5 1597.5 50432.5 ; + RECT 1462.5 50687.5 1597.5 50622.5 ; + RECT 2437.5 50327.5 2572.5 50262.5 ; + RECT 1462.5 50327.5 1597.5 50262.5 ; + RECT 1905.0 50630.0 2040.0 50565.0 ; + RECT 1905.0 50630.0 2040.0 50565.0 ; + RECT 1940.0 50465.0 2005.0 50400.0 ; + RECT 2657.5 50755.0 2722.5 50195.0 ; + RECT 1312.5 50755.0 1377.5 50195.0 ; + RECT 1905.0 50565.0 2040.0 50630.0 ; + RECT 2505.0 49767.5 2690.0 49702.5 ; + RECT 1345.0 49767.5 1530.0 49702.5 ; + RECT 1462.5 50127.5 1312.5 50062.5 ; + RECT 2347.5 50127.5 2722.5 50062.5 ; + RECT 1462.5 49937.5 2347.5 49872.5 ; + RECT 2347.5 50127.5 2482.5 50062.5 ; + RECT 2347.5 49937.5 2482.5 49872.5 ; + RECT 2347.5 49937.5 2482.5 49872.5 ; + RECT 2347.5 50127.5 2482.5 50062.5 ; + RECT 1462.5 50127.5 1597.5 50062.5 ; + RECT 1462.5 49937.5 1597.5 49872.5 ; + RECT 1462.5 49937.5 1597.5 49872.5 ; + RECT 1462.5 50127.5 1597.5 50062.5 ; + RECT 2437.5 49767.5 2572.5 49702.5 ; + RECT 1462.5 49767.5 1597.5 49702.5 ; + RECT 1905.0 50070.0 2040.0 50005.0 ; + RECT 1905.0 50070.0 2040.0 50005.0 ; + RECT 1940.0 49905.0 2005.0 49840.0 ; + RECT 2657.5 50195.0 2722.5 49635.0 ; + RECT 1312.5 50195.0 1377.5 49635.0 ; + RECT 1905.0 50005.0 2040.0 50070.0 ; + RECT 2505.0 49207.5 2690.0 49142.5 ; + RECT 1345.0 49207.5 1530.0 49142.5 ; + RECT 1462.5 49567.5 1312.5 49502.5 ; + RECT 2347.5 49567.5 2722.5 49502.5 ; + RECT 1462.5 49377.5 2347.5 49312.5 ; + RECT 2347.5 49567.5 2482.5 49502.5 ; + RECT 2347.5 49377.5 2482.5 49312.5 ; + RECT 2347.5 49377.5 2482.5 49312.5 ; + RECT 2347.5 49567.5 2482.5 49502.5 ; + RECT 1462.5 49567.5 1597.5 49502.5 ; + RECT 1462.5 49377.5 1597.5 49312.5 ; + RECT 1462.5 49377.5 1597.5 49312.5 ; + RECT 1462.5 49567.5 1597.5 49502.5 ; + RECT 2437.5 49207.5 2572.5 49142.5 ; + RECT 1462.5 49207.5 1597.5 49142.5 ; + RECT 1905.0 49510.0 2040.0 49445.0 ; + RECT 1905.0 49510.0 2040.0 49445.0 ; + RECT 1940.0 49345.0 2005.0 49280.0 ; + RECT 2657.5 49635.0 2722.5 49075.0 ; + RECT 1312.5 49635.0 1377.5 49075.0 ; + RECT 1905.0 49445.0 2040.0 49510.0 ; + RECT 2505.0 48647.5 2690.0 48582.5 ; + RECT 1345.0 48647.5 1530.0 48582.5 ; + RECT 1462.5 49007.5 1312.5 48942.5 ; + RECT 2347.5 49007.5 2722.5 48942.5 ; + RECT 1462.5 48817.5 2347.5 48752.5 ; + RECT 2347.5 49007.5 2482.5 48942.5 ; + RECT 2347.5 48817.5 2482.5 48752.5 ; + RECT 2347.5 48817.5 2482.5 48752.5 ; + RECT 2347.5 49007.5 2482.5 48942.5 ; + RECT 1462.5 49007.5 1597.5 48942.5 ; + RECT 1462.5 48817.5 1597.5 48752.5 ; + RECT 1462.5 48817.5 1597.5 48752.5 ; + RECT 1462.5 49007.5 1597.5 48942.5 ; + RECT 2437.5 48647.5 2572.5 48582.5 ; + RECT 1462.5 48647.5 1597.5 48582.5 ; + RECT 1905.0 48950.0 2040.0 48885.0 ; + RECT 1905.0 48950.0 2040.0 48885.0 ; + RECT 1940.0 48785.0 2005.0 48720.0 ; + RECT 2657.5 49075.0 2722.5 48515.0 ; + RECT 1312.5 49075.0 1377.5 48515.0 ; + RECT 1905.0 48885.0 2040.0 48950.0 ; + RECT 2505.0 48087.5 2690.0 48022.5 ; + RECT 1345.0 48087.5 1530.0 48022.5 ; + RECT 1462.5 48447.5 1312.5 48382.5 ; + RECT 2347.5 48447.5 2722.5 48382.5 ; + RECT 1462.5 48257.5 2347.5 48192.5 ; + RECT 2347.5 48447.5 2482.5 48382.5 ; + RECT 2347.5 48257.5 2482.5 48192.5 ; + RECT 2347.5 48257.5 2482.5 48192.5 ; + RECT 2347.5 48447.5 2482.5 48382.5 ; + RECT 1462.5 48447.5 1597.5 48382.5 ; + RECT 1462.5 48257.5 1597.5 48192.5 ; + RECT 1462.5 48257.5 1597.5 48192.5 ; + RECT 1462.5 48447.5 1597.5 48382.5 ; + RECT 2437.5 48087.5 2572.5 48022.5 ; + RECT 1462.5 48087.5 1597.5 48022.5 ; + RECT 1905.0 48390.0 2040.0 48325.0 ; + RECT 1905.0 48390.0 2040.0 48325.0 ; + RECT 1940.0 48225.0 2005.0 48160.0 ; + RECT 2657.5 48515.0 2722.5 47955.0 ; + RECT 1312.5 48515.0 1377.5 47955.0 ; + RECT 1905.0 48325.0 2040.0 48390.0 ; + RECT 2505.0 47527.5 2690.0 47462.5 ; + RECT 1345.0 47527.5 1530.0 47462.5 ; + RECT 1462.5 47887.5 1312.5 47822.5 ; + RECT 2347.5 47887.5 2722.5 47822.5 ; + RECT 1462.5 47697.5 2347.5 47632.5 ; + RECT 2347.5 47887.5 2482.5 47822.5 ; + RECT 2347.5 47697.5 2482.5 47632.5 ; + RECT 2347.5 47697.5 2482.5 47632.5 ; + RECT 2347.5 47887.5 2482.5 47822.5 ; + RECT 1462.5 47887.5 1597.5 47822.5 ; + RECT 1462.5 47697.5 1597.5 47632.5 ; + RECT 1462.5 47697.5 1597.5 47632.5 ; + RECT 1462.5 47887.5 1597.5 47822.5 ; + RECT 2437.5 47527.5 2572.5 47462.5 ; + RECT 1462.5 47527.5 1597.5 47462.5 ; + RECT 1905.0 47830.0 2040.0 47765.0 ; + RECT 1905.0 47830.0 2040.0 47765.0 ; + RECT 1940.0 47665.0 2005.0 47600.0 ; + RECT 2657.5 47955.0 2722.5 47395.0 ; + RECT 1312.5 47955.0 1377.5 47395.0 ; + RECT 1905.0 47765.0 2040.0 47830.0 ; + RECT 2505.0 46967.5 2690.0 46902.5 ; + RECT 1345.0 46967.5 1530.0 46902.5 ; + RECT 1462.5 47327.5 1312.5 47262.5 ; + RECT 2347.5 47327.5 2722.5 47262.5 ; + RECT 1462.5 47137.5 2347.5 47072.5 ; + RECT 2347.5 47327.5 2482.5 47262.5 ; + RECT 2347.5 47137.5 2482.5 47072.5 ; + RECT 2347.5 47137.5 2482.5 47072.5 ; + RECT 2347.5 47327.5 2482.5 47262.5 ; + RECT 1462.5 47327.5 1597.5 47262.5 ; + RECT 1462.5 47137.5 1597.5 47072.5 ; + RECT 1462.5 47137.5 1597.5 47072.5 ; + RECT 1462.5 47327.5 1597.5 47262.5 ; + RECT 2437.5 46967.5 2572.5 46902.5 ; + RECT 1462.5 46967.5 1597.5 46902.5 ; + RECT 1905.0 47270.0 2040.0 47205.0 ; + RECT 1905.0 47270.0 2040.0 47205.0 ; + RECT 1940.0 47105.0 2005.0 47040.0 ; + RECT 2657.5 47395.0 2722.5 46835.0 ; + RECT 1312.5 47395.0 1377.5 46835.0 ; + RECT 1905.0 47205.0 2040.0 47270.0 ; + RECT 2505.0 46407.5 2690.0 46342.5 ; + RECT 1345.0 46407.5 1530.0 46342.5 ; + RECT 1462.5 46767.5 1312.5 46702.5 ; + RECT 2347.5 46767.5 2722.5 46702.5 ; + RECT 1462.5 46577.5 2347.5 46512.5 ; + RECT 2347.5 46767.5 2482.5 46702.5 ; + RECT 2347.5 46577.5 2482.5 46512.5 ; + RECT 2347.5 46577.5 2482.5 46512.5 ; + RECT 2347.5 46767.5 2482.5 46702.5 ; + RECT 1462.5 46767.5 1597.5 46702.5 ; + RECT 1462.5 46577.5 1597.5 46512.5 ; + RECT 1462.5 46577.5 1597.5 46512.5 ; + RECT 1462.5 46767.5 1597.5 46702.5 ; + RECT 2437.5 46407.5 2572.5 46342.5 ; + RECT 1462.5 46407.5 1597.5 46342.5 ; + RECT 1905.0 46710.0 2040.0 46645.0 ; + RECT 1905.0 46710.0 2040.0 46645.0 ; + RECT 1940.0 46545.0 2005.0 46480.0 ; + RECT 2657.5 46835.0 2722.5 46275.0 ; + RECT 1312.5 46835.0 1377.5 46275.0 ; + RECT 1905.0 46645.0 2040.0 46710.0 ; + RECT 2505.0 45847.5 2690.0 45782.5 ; + RECT 1345.0 45847.5 1530.0 45782.5 ; + RECT 1462.5 46207.5 1312.5 46142.5 ; + RECT 2347.5 46207.5 2722.5 46142.5 ; + RECT 1462.5 46017.5 2347.5 45952.5 ; + RECT 2347.5 46207.5 2482.5 46142.5 ; + RECT 2347.5 46017.5 2482.5 45952.5 ; + RECT 2347.5 46017.5 2482.5 45952.5 ; + RECT 2347.5 46207.5 2482.5 46142.5 ; + RECT 1462.5 46207.5 1597.5 46142.5 ; + RECT 1462.5 46017.5 1597.5 45952.5 ; + RECT 1462.5 46017.5 1597.5 45952.5 ; + RECT 1462.5 46207.5 1597.5 46142.5 ; + RECT 2437.5 45847.5 2572.5 45782.5 ; + RECT 1462.5 45847.5 1597.5 45782.5 ; + RECT 1905.0 46150.0 2040.0 46085.0 ; + RECT 1905.0 46150.0 2040.0 46085.0 ; + RECT 1940.0 45985.0 2005.0 45920.0 ; + RECT 2657.5 46275.0 2722.5 45715.0 ; + RECT 1312.5 46275.0 1377.5 45715.0 ; + RECT 1905.0 46085.0 2040.0 46150.0 ; + RECT 2505.0 45287.5 2690.0 45222.5 ; + RECT 1345.0 45287.5 1530.0 45222.5 ; + RECT 1462.5 45647.5 1312.5 45582.5 ; + RECT 2347.5 45647.5 2722.5 45582.5 ; + RECT 1462.5 45457.5 2347.5 45392.5 ; + RECT 2347.5 45647.5 2482.5 45582.5 ; + RECT 2347.5 45457.5 2482.5 45392.5 ; + RECT 2347.5 45457.5 2482.5 45392.5 ; + RECT 2347.5 45647.5 2482.5 45582.5 ; + RECT 1462.5 45647.5 1597.5 45582.5 ; + RECT 1462.5 45457.5 1597.5 45392.5 ; + RECT 1462.5 45457.5 1597.5 45392.5 ; + RECT 1462.5 45647.5 1597.5 45582.5 ; + RECT 2437.5 45287.5 2572.5 45222.5 ; + RECT 1462.5 45287.5 1597.5 45222.5 ; + RECT 1905.0 45590.0 2040.0 45525.0 ; + RECT 1905.0 45590.0 2040.0 45525.0 ; + RECT 1940.0 45425.0 2005.0 45360.0 ; + RECT 2657.5 45715.0 2722.5 45155.0 ; + RECT 1312.5 45715.0 1377.5 45155.0 ; + RECT 1905.0 45525.0 2040.0 45590.0 ; + RECT 2505.0 44727.5 2690.0 44662.5 ; + RECT 1345.0 44727.5 1530.0 44662.5 ; + RECT 1462.5 45087.5 1312.5 45022.5 ; + RECT 2347.5 45087.5 2722.5 45022.5 ; + RECT 1462.5 44897.5 2347.5 44832.5 ; + RECT 2347.5 45087.5 2482.5 45022.5 ; + RECT 2347.5 44897.5 2482.5 44832.5 ; + RECT 2347.5 44897.5 2482.5 44832.5 ; + RECT 2347.5 45087.5 2482.5 45022.5 ; + RECT 1462.5 45087.5 1597.5 45022.5 ; + RECT 1462.5 44897.5 1597.5 44832.5 ; + RECT 1462.5 44897.5 1597.5 44832.5 ; + RECT 1462.5 45087.5 1597.5 45022.5 ; + RECT 2437.5 44727.5 2572.5 44662.5 ; + RECT 1462.5 44727.5 1597.5 44662.5 ; + RECT 1905.0 45030.0 2040.0 44965.0 ; + RECT 1905.0 45030.0 2040.0 44965.0 ; + RECT 1940.0 44865.0 2005.0 44800.0 ; + RECT 2657.5 45155.0 2722.5 44595.0 ; + RECT 1312.5 45155.0 1377.5 44595.0 ; + RECT 1905.0 44965.0 2040.0 45030.0 ; + RECT 2505.0 44167.5 2690.0 44102.5 ; + RECT 1345.0 44167.5 1530.0 44102.5 ; + RECT 1462.5 44527.5 1312.5 44462.5 ; + RECT 2347.5 44527.5 2722.5 44462.5 ; + RECT 1462.5 44337.5 2347.5 44272.5 ; + RECT 2347.5 44527.5 2482.5 44462.5 ; + RECT 2347.5 44337.5 2482.5 44272.5 ; + RECT 2347.5 44337.5 2482.5 44272.5 ; + RECT 2347.5 44527.5 2482.5 44462.5 ; + RECT 1462.5 44527.5 1597.5 44462.5 ; + RECT 1462.5 44337.5 1597.5 44272.5 ; + RECT 1462.5 44337.5 1597.5 44272.5 ; + RECT 1462.5 44527.5 1597.5 44462.5 ; + RECT 2437.5 44167.5 2572.5 44102.5 ; + RECT 1462.5 44167.5 1597.5 44102.5 ; + RECT 1905.0 44470.0 2040.0 44405.0 ; + RECT 1905.0 44470.0 2040.0 44405.0 ; + RECT 1940.0 44305.0 2005.0 44240.0 ; + RECT 2657.5 44595.0 2722.5 44035.0 ; + RECT 1312.5 44595.0 1377.5 44035.0 ; + RECT 1905.0 44405.0 2040.0 44470.0 ; + RECT 2505.0 43607.5 2690.0 43542.5 ; + RECT 1345.0 43607.5 1530.0 43542.5 ; + RECT 1462.5 43967.5 1312.5 43902.5 ; + RECT 2347.5 43967.5 2722.5 43902.5 ; + RECT 1462.5 43777.5 2347.5 43712.5 ; + RECT 2347.5 43967.5 2482.5 43902.5 ; + RECT 2347.5 43777.5 2482.5 43712.5 ; + RECT 2347.5 43777.5 2482.5 43712.5 ; + RECT 2347.5 43967.5 2482.5 43902.5 ; + RECT 1462.5 43967.5 1597.5 43902.5 ; + RECT 1462.5 43777.5 1597.5 43712.5 ; + RECT 1462.5 43777.5 1597.5 43712.5 ; + RECT 1462.5 43967.5 1597.5 43902.5 ; + RECT 2437.5 43607.5 2572.5 43542.5 ; + RECT 1462.5 43607.5 1597.5 43542.5 ; + RECT 1905.0 43910.0 2040.0 43845.0 ; + RECT 1905.0 43910.0 2040.0 43845.0 ; + RECT 1940.0 43745.0 2005.0 43680.0 ; + RECT 2657.5 44035.0 2722.5 43475.0 ; + RECT 1312.5 44035.0 1377.5 43475.0 ; + RECT 1905.0 43845.0 2040.0 43910.0 ; + RECT 2505.0 43047.5 2690.0 42982.5 ; + RECT 1345.0 43047.5 1530.0 42982.5 ; + RECT 1462.5 43407.5 1312.5 43342.5 ; + RECT 2347.5 43407.5 2722.5 43342.5 ; + RECT 1462.5 43217.5 2347.5 43152.5 ; + RECT 2347.5 43407.5 2482.5 43342.5 ; + RECT 2347.5 43217.5 2482.5 43152.5 ; + RECT 2347.5 43217.5 2482.5 43152.5 ; + RECT 2347.5 43407.5 2482.5 43342.5 ; + RECT 1462.5 43407.5 1597.5 43342.5 ; + RECT 1462.5 43217.5 1597.5 43152.5 ; + RECT 1462.5 43217.5 1597.5 43152.5 ; + RECT 1462.5 43407.5 1597.5 43342.5 ; + RECT 2437.5 43047.5 2572.5 42982.5 ; + RECT 1462.5 43047.5 1597.5 42982.5 ; + RECT 1905.0 43350.0 2040.0 43285.0 ; + RECT 1905.0 43350.0 2040.0 43285.0 ; + RECT 1940.0 43185.0 2005.0 43120.0 ; + RECT 2657.5 43475.0 2722.5 42915.0 ; + RECT 1312.5 43475.0 1377.5 42915.0 ; + RECT 1905.0 43285.0 2040.0 43350.0 ; + RECT 2505.0 42487.5 2690.0 42422.5 ; + RECT 1345.0 42487.5 1530.0 42422.5 ; + RECT 1462.5 42847.5 1312.5 42782.5 ; + RECT 2347.5 42847.5 2722.5 42782.5 ; + RECT 1462.5 42657.5 2347.5 42592.5 ; + RECT 2347.5 42847.5 2482.5 42782.5 ; + RECT 2347.5 42657.5 2482.5 42592.5 ; + RECT 2347.5 42657.5 2482.5 42592.5 ; + RECT 2347.5 42847.5 2482.5 42782.5 ; + RECT 1462.5 42847.5 1597.5 42782.5 ; + RECT 1462.5 42657.5 1597.5 42592.5 ; + RECT 1462.5 42657.5 1597.5 42592.5 ; + RECT 1462.5 42847.5 1597.5 42782.5 ; + RECT 2437.5 42487.5 2572.5 42422.5 ; + RECT 1462.5 42487.5 1597.5 42422.5 ; + RECT 1905.0 42790.0 2040.0 42725.0 ; + RECT 1905.0 42790.0 2040.0 42725.0 ; + RECT 1940.0 42625.0 2005.0 42560.0 ; + RECT 2657.5 42915.0 2722.5 42355.0 ; + RECT 1312.5 42915.0 1377.5 42355.0 ; + RECT 1905.0 42725.0 2040.0 42790.0 ; + RECT 3340.0 42645.0 3475.0 42710.0 ; + RECT 3340.0 44885.0 3475.0 44950.0 ; + RECT 3340.0 47125.0 3475.0 47190.0 ; + RECT 3340.0 49365.0 3475.0 49430.0 ; + RECT 3340.0 51605.0 3475.0 51670.0 ; + RECT 1905.0 49280.0 2040.0 49345.0 ; + RECT 1905.0 47040.0 2040.0 47105.0 ; + RECT 1905.0 44800.0 2040.0 44865.0 ; + RECT 3340.0 42480.0 3475.0 42545.0 ; + RECT 1940.0 42355.0 2005.0 42560.0 ; + RECT 2657.5 42355.0 2722.5 51875.0 ; + RECT 1312.5 42355.0 1377.5 51875.0 ; + RECT 4002.5 42355.0 4067.5 51875.0 ; + RECT 935.0 42020.0 225.0 40675.0 ; + RECT 935.0 42020.0 230.0 43365.0 ; + RECT 935.0 44710.0 230.0 43365.0 ; + RECT 935.0 44710.0 230.0 46055.0 ; + RECT 935.0 47400.0 230.0 46055.0 ; + RECT 1025.0 42127.5 140.0 42192.5 ; + RECT 1025.0 44537.5 140.0 44602.5 ; + RECT 1025.0 44817.5 140.0 44882.5 ; + RECT 1025.0 47227.5 140.0 47292.5 ; + RECT 1025.0 43332.5 140.0 43397.5 ; + RECT 1025.0 46022.5 140.0 46087.5 ; + RECT 1025.0 41987.5 140.0 42052.5 ; + RECT 1025.0 44677.5 140.0 44742.5 ; + RECT 1025.0 47367.5 140.0 47432.5 ; + RECT 1345.0 42092.5 1280.0 42227.5 ; + RECT 1345.0 44502.5 1280.0 44637.5 ; + RECT 1345.0 44782.5 1280.0 44917.5 ; + RECT 1345.0 47192.5 1280.0 47327.5 ; + RECT 1342.5 42355.0 1277.5 42490.0 ; + RECT 1377.5 39980.0 1312.5 40115.0 ; + RECT 867.5 40082.5 1002.5 40147.5 ; + RECT 162.5 40082.5 297.5 40147.5 ; + RECT 2005.0 41587.5 1940.0 41722.5 ; + RECT 1105.0 40847.5 1240.0 40912.5 ; + RECT 1105.0 40250.0 1240.0 40315.0 ; + RECT 682.5 40250.0 817.5 40315.0 ; + RECT 3475.0 39555.0 3410.0 42480.0 ; + RECT 2005.0 39555.0 1940.0 40320.0 ; + RECT 20.0 39555.0 -45.0 47487.5 ; + RECT 2722.5 39555.0 2657.5 42355.0 ; + RECT 1377.5 39555.0 1312.5 40115.0 ; + RECT 4067.5 39555.0 4002.5 42355.0 ; + RECT 3455.0 34402.5 3390.0 34267.5 ; + RECT 3455.0 30322.5 3390.0 30187.5 ; + RECT 2517.5 27755.0 2452.5 27620.0 ; + RECT 1962.5 34402.5 1897.5 34267.5 ; + RECT 1747.5 34812.5 1682.5 34677.5 ; + RECT 2017.5 37350.0 1952.5 37215.0 ; + RECT 1802.5 37607.5 1737.5 37472.5 ; + RECT 3380.0 35837.5 3315.0 35702.5 ; + RECT 3520.0 35632.5 3455.0 35497.5 ; + RECT 3660.0 35017.5 3595.0 34882.5 ; + RECT 690.0 35837.5 625.0 35702.5 ; + RECT 830.0 35017.5 765.0 34882.5 ; + RECT 970.0 35222.5 905.0 35087.5 ; + RECT 1997.5 37032.5 1862.5 37097.5 ; + RECT 2052.5 38177.5 1917.5 38242.5 ; + RECT 785.0 39362.5 650.0 39427.5 ; + RECT 2040.0 38402.5 1905.0 38467.5 ; + RECT 4067.5 34607.5 4002.5 34472.5 ; + RECT 2722.5 35427.5 2657.5 35292.5 ; + RECT 1377.5 34607.5 1312.5 34472.5 ; + RECT 32.5 35427.5 -32.5 35292.5 ; + RECT 3475.0 27450.0 3340.0 27640.0 ; + RECT 2722.5 27450.0 2657.5 27515.0 ; + RECT 4067.5 27450.0 4002.5 27515.0 ; + RECT 4417.5 35327.5 4282.5 35392.5 ; + LAYER metal2 ; + RECT 15572.5 38230.0 15642.5 38435.0 ; + RECT 15367.5 39190.0 15437.5 39395.0 ; + RECT 14957.5 36860.0 15027.5 37065.0 ; + RECT 14752.5 38005.0 14822.5 38210.0 ; + RECT 15162.5 35565.0 15232.5 35770.0 ; + RECT 14547.5 34130.0 14617.5 34335.0 ; + RECT 4035.0 35325.0 4350.0 35395.0 ; + RECT 14132.5 34335.0 14202.5 34540.0 ; + RECT 14547.5 35.0 14617.5 72077.5 ; + RECT 14752.5 35.0 14822.5 72077.5 ; + RECT 14957.5 35.0 15027.5 72077.5 ; + RECT 15162.5 35.0 15232.5 72077.5 ; + RECT 15367.5 35.0 15437.5 72077.5 ; + RECT 15572.5 35.0 15642.5 72077.5 ; + RECT 11942.5 35.0 12012.5 27310.0 ; + RECT 12147.5 35.0 12217.5 27310.0 ; + RECT 12352.5 35.0 12422.5 27310.0 ; + RECT 12557.5 35.0 12627.5 27310.0 ; + RECT 12762.5 35.0 12832.5 27310.0 ; + RECT 12967.5 35.0 13037.5 27310.0 ; + RECT 13172.5 35.0 13242.5 27310.0 ; + RECT 13377.5 35.0 13447.5 27310.0 ; + RECT 13582.5 35.0 13652.5 27310.0 ; + RECT 16235.0 70505.0 16305.0 70910.0 ; + RECT 16570.0 70505.0 16640.0 70910.0 ; + RECT 16940.0 70505.0 17010.0 70910.0 ; + RECT 17275.0 70505.0 17345.0 70910.0 ; + RECT 17645.0 70505.0 17715.0 70910.0 ; + RECT 17980.0 70505.0 18050.0 70910.0 ; + RECT 18350.0 70505.0 18420.0 70910.0 ; + RECT 18685.0 70505.0 18755.0 70910.0 ; + RECT 19055.0 70505.0 19125.0 70910.0 ; + RECT 19390.0 70505.0 19460.0 70910.0 ; + RECT 19760.0 70505.0 19830.0 70910.0 ; + RECT 20095.0 70505.0 20165.0 70910.0 ; + RECT 20465.0 70505.0 20535.0 70910.0 ; + RECT 20800.0 70505.0 20870.0 70910.0 ; + RECT 21170.0 70505.0 21240.0 70910.0 ; + RECT 21505.0 70505.0 21575.0 70910.0 ; + RECT 16402.5 5815.0 16472.5 5885.0 ; + RECT 16227.5 5815.0 16437.5 5885.0 ; + RECT 16402.5 5850.0 16472.5 5990.0 ; + RECT 19222.5 5815.0 19292.5 5885.0 ; + RECT 19047.5 5815.0 19257.5 5885.0 ; + RECT 19222.5 5850.0 19292.5 5990.0 ; + RECT 8430.0 70350.0 8500.0 70555.0 ; + RECT 16085.0 27310.0 16790.0 28655.0 ; + RECT 16085.0 30000.0 16790.0 28655.0 ; + RECT 16085.0 30000.0 16790.0 31345.0 ; + RECT 16085.0 32690.0 16790.0 31345.0 ; + RECT 16085.0 32690.0 16790.0 34035.0 ; + RECT 16085.0 35380.0 16790.0 34035.0 ; + RECT 16085.0 35380.0 16790.0 36725.0 ; + RECT 16085.0 38070.0 16790.0 36725.0 ; + RECT 16085.0 38070.0 16790.0 39415.0 ; + RECT 16085.0 40760.0 16790.0 39415.0 ; + RECT 16085.0 40760.0 16790.0 42105.0 ; + RECT 16085.0 43450.0 16790.0 42105.0 ; + RECT 16085.0 43450.0 16790.0 44795.0 ; + RECT 16085.0 46140.0 16790.0 44795.0 ; + RECT 16085.0 46140.0 16790.0 47485.0 ; + RECT 16085.0 48830.0 16790.0 47485.0 ; + RECT 16085.0 48830.0 16790.0 50175.0 ; + RECT 16085.0 51520.0 16790.0 50175.0 ; + RECT 16085.0 51520.0 16790.0 52865.0 ; + RECT 16085.0 54210.0 16790.0 52865.0 ; + RECT 16085.0 54210.0 16790.0 55555.0 ; + RECT 16085.0 56900.0 16790.0 55555.0 ; + RECT 16085.0 56900.0 16790.0 58245.0 ; + RECT 16085.0 59590.0 16790.0 58245.0 ; + RECT 16085.0 59590.0 16790.0 60935.0 ; + RECT 16085.0 62280.0 16790.0 60935.0 ; + RECT 16085.0 62280.0 16790.0 63625.0 ; + RECT 16085.0 64970.0 16790.0 63625.0 ; + RECT 16085.0 64970.0 16790.0 66315.0 ; + RECT 16085.0 67660.0 16790.0 66315.0 ; + RECT 16085.0 67660.0 16790.0 69005.0 ; + RECT 16085.0 70350.0 16790.0 69005.0 ; + RECT 16790.0 27310.0 17495.0 28655.0 ; + RECT 16790.0 30000.0 17495.0 28655.0 ; + RECT 16790.0 30000.0 17495.0 31345.0 ; + RECT 16790.0 32690.0 17495.0 31345.0 ; + RECT 16790.0 32690.0 17495.0 34035.0 ; + RECT 16790.0 35380.0 17495.0 34035.0 ; + RECT 16790.0 35380.0 17495.0 36725.0 ; + RECT 16790.0 38070.0 17495.0 36725.0 ; + RECT 16790.0 38070.0 17495.0 39415.0 ; + RECT 16790.0 40760.0 17495.0 39415.0 ; + RECT 16790.0 40760.0 17495.0 42105.0 ; + RECT 16790.0 43450.0 17495.0 42105.0 ; + RECT 16790.0 43450.0 17495.0 44795.0 ; + RECT 16790.0 46140.0 17495.0 44795.0 ; + RECT 16790.0 46140.0 17495.0 47485.0 ; + RECT 16790.0 48830.0 17495.0 47485.0 ; + RECT 16790.0 48830.0 17495.0 50175.0 ; + RECT 16790.0 51520.0 17495.0 50175.0 ; + RECT 16790.0 51520.0 17495.0 52865.0 ; + RECT 16790.0 54210.0 17495.0 52865.0 ; + RECT 16790.0 54210.0 17495.0 55555.0 ; + RECT 16790.0 56900.0 17495.0 55555.0 ; + RECT 16790.0 56900.0 17495.0 58245.0 ; + RECT 16790.0 59590.0 17495.0 58245.0 ; + RECT 16790.0 59590.0 17495.0 60935.0 ; + RECT 16790.0 62280.0 17495.0 60935.0 ; + RECT 16790.0 62280.0 17495.0 63625.0 ; + RECT 16790.0 64970.0 17495.0 63625.0 ; + RECT 16790.0 64970.0 17495.0 66315.0 ; + RECT 16790.0 67660.0 17495.0 66315.0 ; + RECT 16790.0 67660.0 17495.0 69005.0 ; + RECT 16790.0 70350.0 17495.0 69005.0 ; + RECT 17495.0 27310.0 18200.0 28655.0 ; + RECT 17495.0 30000.0 18200.0 28655.0 ; + RECT 17495.0 30000.0 18200.0 31345.0 ; + RECT 17495.0 32690.0 18200.0 31345.0 ; + RECT 17495.0 32690.0 18200.0 34035.0 ; + RECT 17495.0 35380.0 18200.0 34035.0 ; + RECT 17495.0 35380.0 18200.0 36725.0 ; + RECT 17495.0 38070.0 18200.0 36725.0 ; + RECT 17495.0 38070.0 18200.0 39415.0 ; + RECT 17495.0 40760.0 18200.0 39415.0 ; + RECT 17495.0 40760.0 18200.0 42105.0 ; + RECT 17495.0 43450.0 18200.0 42105.0 ; + RECT 17495.0 43450.0 18200.0 44795.0 ; + RECT 17495.0 46140.0 18200.0 44795.0 ; + RECT 17495.0 46140.0 18200.0 47485.0 ; + RECT 17495.0 48830.0 18200.0 47485.0 ; + RECT 17495.0 48830.0 18200.0 50175.0 ; + RECT 17495.0 51520.0 18200.0 50175.0 ; + RECT 17495.0 51520.0 18200.0 52865.0 ; + RECT 17495.0 54210.0 18200.0 52865.0 ; + RECT 17495.0 54210.0 18200.0 55555.0 ; + RECT 17495.0 56900.0 18200.0 55555.0 ; + RECT 17495.0 56900.0 18200.0 58245.0 ; + RECT 17495.0 59590.0 18200.0 58245.0 ; + RECT 17495.0 59590.0 18200.0 60935.0 ; + RECT 17495.0 62280.0 18200.0 60935.0 ; + RECT 17495.0 62280.0 18200.0 63625.0 ; + RECT 17495.0 64970.0 18200.0 63625.0 ; + RECT 17495.0 64970.0 18200.0 66315.0 ; + RECT 17495.0 67660.0 18200.0 66315.0 ; + RECT 17495.0 67660.0 18200.0 69005.0 ; + RECT 17495.0 70350.0 18200.0 69005.0 ; + RECT 18200.0 27310.0 18905.0 28655.0 ; + RECT 18200.0 30000.0 18905.0 28655.0 ; + RECT 18200.0 30000.0 18905.0 31345.0 ; + RECT 18200.0 32690.0 18905.0 31345.0 ; + RECT 18200.0 32690.0 18905.0 34035.0 ; + RECT 18200.0 35380.0 18905.0 34035.0 ; + RECT 18200.0 35380.0 18905.0 36725.0 ; + RECT 18200.0 38070.0 18905.0 36725.0 ; + RECT 18200.0 38070.0 18905.0 39415.0 ; + RECT 18200.0 40760.0 18905.0 39415.0 ; + RECT 18200.0 40760.0 18905.0 42105.0 ; + RECT 18200.0 43450.0 18905.0 42105.0 ; + RECT 18200.0 43450.0 18905.0 44795.0 ; + RECT 18200.0 46140.0 18905.0 44795.0 ; + RECT 18200.0 46140.0 18905.0 47485.0 ; + RECT 18200.0 48830.0 18905.0 47485.0 ; + RECT 18200.0 48830.0 18905.0 50175.0 ; + RECT 18200.0 51520.0 18905.0 50175.0 ; + RECT 18200.0 51520.0 18905.0 52865.0 ; + RECT 18200.0 54210.0 18905.0 52865.0 ; + RECT 18200.0 54210.0 18905.0 55555.0 ; + RECT 18200.0 56900.0 18905.0 55555.0 ; + RECT 18200.0 56900.0 18905.0 58245.0 ; + RECT 18200.0 59590.0 18905.0 58245.0 ; + RECT 18200.0 59590.0 18905.0 60935.0 ; + RECT 18200.0 62280.0 18905.0 60935.0 ; + RECT 18200.0 62280.0 18905.0 63625.0 ; + RECT 18200.0 64970.0 18905.0 63625.0 ; + RECT 18200.0 64970.0 18905.0 66315.0 ; + RECT 18200.0 67660.0 18905.0 66315.0 ; + RECT 18200.0 67660.0 18905.0 69005.0 ; + RECT 18200.0 70350.0 18905.0 69005.0 ; + RECT 18905.0 27310.0 19610.0 28655.0 ; + RECT 18905.0 30000.0 19610.0 28655.0 ; + RECT 18905.0 30000.0 19610.0 31345.0 ; + RECT 18905.0 32690.0 19610.0 31345.0 ; + RECT 18905.0 32690.0 19610.0 34035.0 ; + RECT 18905.0 35380.0 19610.0 34035.0 ; + RECT 18905.0 35380.0 19610.0 36725.0 ; + RECT 18905.0 38070.0 19610.0 36725.0 ; + RECT 18905.0 38070.0 19610.0 39415.0 ; + RECT 18905.0 40760.0 19610.0 39415.0 ; + RECT 18905.0 40760.0 19610.0 42105.0 ; + RECT 18905.0 43450.0 19610.0 42105.0 ; + RECT 18905.0 43450.0 19610.0 44795.0 ; + RECT 18905.0 46140.0 19610.0 44795.0 ; + RECT 18905.0 46140.0 19610.0 47485.0 ; + RECT 18905.0 48830.0 19610.0 47485.0 ; + RECT 18905.0 48830.0 19610.0 50175.0 ; + RECT 18905.0 51520.0 19610.0 50175.0 ; + RECT 18905.0 51520.0 19610.0 52865.0 ; + RECT 18905.0 54210.0 19610.0 52865.0 ; + RECT 18905.0 54210.0 19610.0 55555.0 ; + RECT 18905.0 56900.0 19610.0 55555.0 ; + RECT 18905.0 56900.0 19610.0 58245.0 ; + RECT 18905.0 59590.0 19610.0 58245.0 ; + RECT 18905.0 59590.0 19610.0 60935.0 ; + RECT 18905.0 62280.0 19610.0 60935.0 ; + RECT 18905.0 62280.0 19610.0 63625.0 ; + RECT 18905.0 64970.0 19610.0 63625.0 ; + RECT 18905.0 64970.0 19610.0 66315.0 ; + RECT 18905.0 67660.0 19610.0 66315.0 ; + RECT 18905.0 67660.0 19610.0 69005.0 ; + RECT 18905.0 70350.0 19610.0 69005.0 ; + RECT 19610.0 27310.0 20315.0 28655.0 ; + RECT 19610.0 30000.0 20315.0 28655.0 ; + RECT 19610.0 30000.0 20315.0 31345.0 ; + RECT 19610.0 32690.0 20315.0 31345.0 ; + RECT 19610.0 32690.0 20315.0 34035.0 ; + RECT 19610.0 35380.0 20315.0 34035.0 ; + RECT 19610.0 35380.0 20315.0 36725.0 ; + RECT 19610.0 38070.0 20315.0 36725.0 ; + RECT 19610.0 38070.0 20315.0 39415.0 ; + RECT 19610.0 40760.0 20315.0 39415.0 ; + RECT 19610.0 40760.0 20315.0 42105.0 ; + RECT 19610.0 43450.0 20315.0 42105.0 ; + RECT 19610.0 43450.0 20315.0 44795.0 ; + RECT 19610.0 46140.0 20315.0 44795.0 ; + RECT 19610.0 46140.0 20315.0 47485.0 ; + RECT 19610.0 48830.0 20315.0 47485.0 ; + RECT 19610.0 48830.0 20315.0 50175.0 ; + RECT 19610.0 51520.0 20315.0 50175.0 ; + RECT 19610.0 51520.0 20315.0 52865.0 ; + RECT 19610.0 54210.0 20315.0 52865.0 ; + RECT 19610.0 54210.0 20315.0 55555.0 ; + RECT 19610.0 56900.0 20315.0 55555.0 ; + RECT 19610.0 56900.0 20315.0 58245.0 ; + RECT 19610.0 59590.0 20315.0 58245.0 ; + RECT 19610.0 59590.0 20315.0 60935.0 ; + RECT 19610.0 62280.0 20315.0 60935.0 ; + RECT 19610.0 62280.0 20315.0 63625.0 ; + RECT 19610.0 64970.0 20315.0 63625.0 ; + RECT 19610.0 64970.0 20315.0 66315.0 ; + RECT 19610.0 67660.0 20315.0 66315.0 ; + RECT 19610.0 67660.0 20315.0 69005.0 ; + RECT 19610.0 70350.0 20315.0 69005.0 ; + RECT 20315.0 27310.0 21020.0 28655.0 ; + RECT 20315.0 30000.0 21020.0 28655.0 ; + RECT 20315.0 30000.0 21020.0 31345.0 ; + RECT 20315.0 32690.0 21020.0 31345.0 ; + RECT 20315.0 32690.0 21020.0 34035.0 ; + RECT 20315.0 35380.0 21020.0 34035.0 ; + RECT 20315.0 35380.0 21020.0 36725.0 ; + RECT 20315.0 38070.0 21020.0 36725.0 ; + RECT 20315.0 38070.0 21020.0 39415.0 ; + RECT 20315.0 40760.0 21020.0 39415.0 ; + RECT 20315.0 40760.0 21020.0 42105.0 ; + RECT 20315.0 43450.0 21020.0 42105.0 ; + RECT 20315.0 43450.0 21020.0 44795.0 ; + RECT 20315.0 46140.0 21020.0 44795.0 ; + RECT 20315.0 46140.0 21020.0 47485.0 ; + RECT 20315.0 48830.0 21020.0 47485.0 ; + RECT 20315.0 48830.0 21020.0 50175.0 ; + RECT 20315.0 51520.0 21020.0 50175.0 ; + RECT 20315.0 51520.0 21020.0 52865.0 ; + RECT 20315.0 54210.0 21020.0 52865.0 ; + RECT 20315.0 54210.0 21020.0 55555.0 ; + RECT 20315.0 56900.0 21020.0 55555.0 ; + RECT 20315.0 56900.0 21020.0 58245.0 ; + RECT 20315.0 59590.0 21020.0 58245.0 ; + RECT 20315.0 59590.0 21020.0 60935.0 ; + RECT 20315.0 62280.0 21020.0 60935.0 ; + RECT 20315.0 62280.0 21020.0 63625.0 ; + RECT 20315.0 64970.0 21020.0 63625.0 ; + RECT 20315.0 64970.0 21020.0 66315.0 ; + RECT 20315.0 67660.0 21020.0 66315.0 ; + RECT 20315.0 67660.0 21020.0 69005.0 ; + RECT 20315.0 70350.0 21020.0 69005.0 ; + RECT 21020.0 27310.0 21725.0 28655.0 ; + RECT 21020.0 30000.0 21725.0 28655.0 ; + RECT 21020.0 30000.0 21725.0 31345.0 ; + RECT 21020.0 32690.0 21725.0 31345.0 ; + RECT 21020.0 32690.0 21725.0 34035.0 ; + RECT 21020.0 35380.0 21725.0 34035.0 ; + RECT 21020.0 35380.0 21725.0 36725.0 ; + RECT 21020.0 38070.0 21725.0 36725.0 ; + RECT 21020.0 38070.0 21725.0 39415.0 ; + RECT 21020.0 40760.0 21725.0 39415.0 ; + RECT 21020.0 40760.0 21725.0 42105.0 ; + RECT 21020.0 43450.0 21725.0 42105.0 ; + RECT 21020.0 43450.0 21725.0 44795.0 ; + RECT 21020.0 46140.0 21725.0 44795.0 ; + RECT 21020.0 46140.0 21725.0 47485.0 ; + RECT 21020.0 48830.0 21725.0 47485.0 ; + RECT 21020.0 48830.0 21725.0 50175.0 ; + RECT 21020.0 51520.0 21725.0 50175.0 ; + RECT 21020.0 51520.0 21725.0 52865.0 ; + RECT 21020.0 54210.0 21725.0 52865.0 ; + RECT 21020.0 54210.0 21725.0 55555.0 ; + RECT 21020.0 56900.0 21725.0 55555.0 ; + RECT 21020.0 56900.0 21725.0 58245.0 ; + RECT 21020.0 59590.0 21725.0 58245.0 ; + RECT 21020.0 59590.0 21725.0 60935.0 ; + RECT 21020.0 62280.0 21725.0 60935.0 ; + RECT 21020.0 62280.0 21725.0 63625.0 ; + RECT 21020.0 64970.0 21725.0 63625.0 ; + RECT 21020.0 64970.0 21725.0 66315.0 ; + RECT 21020.0 67660.0 21725.0 66315.0 ; + RECT 21020.0 67660.0 21725.0 69005.0 ; + RECT 21020.0 70350.0 21725.0 69005.0 ; + RECT 16235.0 27210.0 16305.0 70505.0 ; + RECT 16570.0 27210.0 16640.0 70505.0 ; + RECT 16940.0 27210.0 17010.0 70505.0 ; + RECT 17275.0 27210.0 17345.0 70505.0 ; + RECT 17645.0 27210.0 17715.0 70505.0 ; + RECT 17980.0 27210.0 18050.0 70505.0 ; + RECT 18350.0 27210.0 18420.0 70505.0 ; + RECT 18685.0 27210.0 18755.0 70505.0 ; + RECT 19055.0 27210.0 19125.0 70505.0 ; + RECT 19390.0 27210.0 19460.0 70505.0 ; + RECT 19760.0 27210.0 19830.0 70505.0 ; + RECT 20095.0 27210.0 20165.0 70505.0 ; + RECT 20465.0 27210.0 20535.0 70505.0 ; + RECT 20800.0 27210.0 20870.0 70505.0 ; + RECT 21170.0 27210.0 21240.0 70505.0 ; + RECT 21505.0 27210.0 21575.0 70505.0 ; + RECT 16050.0 27210.0 16120.0 70505.0 ; + RECT 16755.0 27210.0 16825.0 70505.0 ; + RECT 17460.0 27210.0 17530.0 70505.0 ; + RECT 18165.0 27210.0 18235.0 70505.0 ; + RECT 18870.0 27210.0 18940.0 70505.0 ; + RECT 19575.0 27210.0 19645.0 70505.0 ; + RECT 20280.0 27210.0 20350.0 70505.0 ; + RECT 20985.0 27210.0 21055.0 70505.0 ; + RECT 21690.0 27210.0 21760.0 70505.0 ; + RECT 16235.0 71032.5 16312.5 71167.5 ; + RECT 16437.5 71032.5 16640.0 71167.5 ; + RECT 16235.0 71562.5 16312.5 71697.5 ; + RECT 16570.0 71562.5 16692.5 71697.5 ; + RECT 16245.0 71032.5 16315.0 71167.5 ; + RECT 16435.0 71032.5 16505.0 71167.5 ; + RECT 16245.0 71562.5 16315.0 71697.5 ; + RECT 16625.0 71562.5 16695.0 71697.5 ; + RECT 16235.0 70910.0 16305.0 72077.5 ; + RECT 16570.0 70910.0 16640.0 72077.5 ; + RECT 16940.0 71032.5 17017.5 71167.5 ; + RECT 17142.5 71032.5 17345.0 71167.5 ; + RECT 16940.0 71562.5 17017.5 71697.5 ; + RECT 17275.0 71562.5 17397.5 71697.5 ; + RECT 16950.0 71032.5 17020.0 71167.5 ; + RECT 17140.0 71032.5 17210.0 71167.5 ; + RECT 16950.0 71562.5 17020.0 71697.5 ; + RECT 17330.0 71562.5 17400.0 71697.5 ; + RECT 16940.0 70910.0 17010.0 72077.5 ; + RECT 17275.0 70910.0 17345.0 72077.5 ; + RECT 17645.0 71032.5 17722.5 71167.5 ; + RECT 17847.5 71032.5 18050.0 71167.5 ; + RECT 17645.0 71562.5 17722.5 71697.5 ; + RECT 17980.0 71562.5 18102.5 71697.5 ; + RECT 17655.0 71032.5 17725.0 71167.5 ; + RECT 17845.0 71032.5 17915.0 71167.5 ; + RECT 17655.0 71562.5 17725.0 71697.5 ; + RECT 18035.0 71562.5 18105.0 71697.5 ; + RECT 17645.0 70910.0 17715.0 72077.5 ; + RECT 17980.0 70910.0 18050.0 72077.5 ; + RECT 18350.0 71032.5 18427.5 71167.5 ; + RECT 18552.5 71032.5 18755.0 71167.5 ; + RECT 18350.0 71562.5 18427.5 71697.5 ; + RECT 18685.0 71562.5 18807.5 71697.5 ; + RECT 18360.0 71032.5 18430.0 71167.5 ; + RECT 18550.0 71032.5 18620.0 71167.5 ; + RECT 18360.0 71562.5 18430.0 71697.5 ; + RECT 18740.0 71562.5 18810.0 71697.5 ; + RECT 18350.0 70910.0 18420.0 72077.5 ; + RECT 18685.0 70910.0 18755.0 72077.5 ; + RECT 19055.0 71032.5 19132.5 71167.5 ; + RECT 19257.5 71032.5 19460.0 71167.5 ; + RECT 19055.0 71562.5 19132.5 71697.5 ; + RECT 19390.0 71562.5 19512.5 71697.5 ; + RECT 19065.0 71032.5 19135.0 71167.5 ; + RECT 19255.0 71032.5 19325.0 71167.5 ; + RECT 19065.0 71562.5 19135.0 71697.5 ; + RECT 19445.0 71562.5 19515.0 71697.5 ; + RECT 19055.0 70910.0 19125.0 72077.5 ; + RECT 19390.0 70910.0 19460.0 72077.5 ; + RECT 19760.0 71032.5 19837.5 71167.5 ; + RECT 19962.5 71032.5 20165.0 71167.5 ; + RECT 19760.0 71562.5 19837.5 71697.5 ; + RECT 20095.0 71562.5 20217.5 71697.5 ; + RECT 19770.0 71032.5 19840.0 71167.5 ; + RECT 19960.0 71032.5 20030.0 71167.5 ; + RECT 19770.0 71562.5 19840.0 71697.5 ; + RECT 20150.0 71562.5 20220.0 71697.5 ; + RECT 19760.0 70910.0 19830.0 72077.5 ; + RECT 20095.0 70910.0 20165.0 72077.5 ; + RECT 20465.0 71032.5 20542.5 71167.5 ; + RECT 20667.5 71032.5 20870.0 71167.5 ; + RECT 20465.0 71562.5 20542.5 71697.5 ; + RECT 20800.0 71562.5 20922.5 71697.5 ; + RECT 20475.0 71032.5 20545.0 71167.5 ; + RECT 20665.0 71032.5 20735.0 71167.5 ; + RECT 20475.0 71562.5 20545.0 71697.5 ; + RECT 20855.0 71562.5 20925.0 71697.5 ; + RECT 20465.0 70910.0 20535.0 72077.5 ; + RECT 20800.0 70910.0 20870.0 72077.5 ; + RECT 21170.0 71032.5 21247.5 71167.5 ; + RECT 21372.5 71032.5 21575.0 71167.5 ; + RECT 21170.0 71562.5 21247.5 71697.5 ; + RECT 21505.0 71562.5 21627.5 71697.5 ; + RECT 21180.0 71032.5 21250.0 71167.5 ; + RECT 21370.0 71032.5 21440.0 71167.5 ; + RECT 21180.0 71562.5 21250.0 71697.5 ; + RECT 21560.0 71562.5 21630.0 71697.5 ; + RECT 21170.0 70910.0 21240.0 72077.5 ; + RECT 21505.0 70910.0 21575.0 72077.5 ; + RECT 16235.0 70910.0 16305.0 72077.5 ; + RECT 16570.0 70910.0 16640.0 72077.5 ; + RECT 16940.0 70910.0 17010.0 72077.5 ; + RECT 17275.0 70910.0 17345.0 72077.5 ; + RECT 17645.0 70910.0 17715.0 72077.5 ; + RECT 17980.0 70910.0 18050.0 72077.5 ; + RECT 18350.0 70910.0 18420.0 72077.5 ; + RECT 18685.0 70910.0 18755.0 72077.5 ; + RECT 19055.0 70910.0 19125.0 72077.5 ; + RECT 19390.0 70910.0 19460.0 72077.5 ; + RECT 19760.0 70910.0 19830.0 72077.5 ; + RECT 20095.0 70910.0 20165.0 72077.5 ; + RECT 20465.0 70910.0 20535.0 72077.5 ; + RECT 20800.0 70910.0 20870.0 72077.5 ; + RECT 21170.0 70910.0 21240.0 72077.5 ; + RECT 21505.0 70910.0 21575.0 72077.5 ; + RECT 16940.0 24745.0 17010.0 25445.0 ; + RECT 17275.0 24605.0 17345.0 25445.0 ; + RECT 17645.0 24745.0 17715.0 25445.0 ; + RECT 17980.0 24605.0 18050.0 25445.0 ; + RECT 18350.0 24745.0 18420.0 25445.0 ; + RECT 18685.0 24605.0 18755.0 25445.0 ; + RECT 19760.0 24745.0 19830.0 25445.0 ; + RECT 20095.0 24605.0 20165.0 25445.0 ; + RECT 20465.0 24745.0 20535.0 25445.0 ; + RECT 20800.0 24605.0 20870.0 25445.0 ; + RECT 21170.0 24745.0 21240.0 25445.0 ; + RECT 21505.0 24605.0 21575.0 25445.0 ; + RECT 16235.0 26085.0 16305.0 26155.0 ; + RECT 16307.5 26085.0 16377.5 26155.0 ; + RECT 16235.0 25585.0 16305.0 26120.0 ; + RECT 16270.0 26085.0 16342.5 26155.0 ; + RECT 16307.5 26120.0 16377.5 26652.5 ; + RECT 16570.0 26497.5 16640.0 26567.5 ; + RECT 16497.5 26497.5 16567.5 26567.5 ; + RECT 16570.0 26532.5 16640.0 27135.0 ; + RECT 16532.5 26497.5 16605.0 26567.5 ; + RECT 16497.5 25927.5 16567.5 26532.5 ; + RECT 16235.0 27067.5 16305.0 27202.5 ; + RECT 16570.0 25517.5 16640.0 25652.5 ; + RECT 16307.5 26652.5 16377.5 26787.5 ; + RECT 16497.5 25792.5 16567.5 25927.5 ; + RECT 16755.0 25692.5 16825.0 25827.5 ; + RECT 16235.0 27135.0 16305.0 27275.0 ; + RECT 16570.0 27135.0 16640.0 27275.0 ; + RECT 16235.0 25445.0 16305.0 25585.0 ; + RECT 16570.0 25445.0 16640.0 25585.0 ; + RECT 16050.0 25445.0 16120.0 27275.0 ; + RECT 16755.0 25445.0 16825.0 27275.0 ; + RECT 16940.0 26085.0 17010.0 26155.0 ; + RECT 17012.5 26085.0 17082.5 26155.0 ; + RECT 16940.0 25585.0 17010.0 26120.0 ; + RECT 16975.0 26085.0 17047.5 26155.0 ; + RECT 17012.5 26120.0 17082.5 26652.5 ; + RECT 17275.0 26497.5 17345.0 26567.5 ; + RECT 17202.5 26497.5 17272.5 26567.5 ; + RECT 17275.0 26532.5 17345.0 27135.0 ; + RECT 17237.5 26497.5 17310.0 26567.5 ; + RECT 17202.5 25927.5 17272.5 26532.5 ; + RECT 16940.0 27067.5 17010.0 27202.5 ; + RECT 17275.0 25517.5 17345.0 25652.5 ; + RECT 17012.5 26652.5 17082.5 26787.5 ; + RECT 17202.5 25792.5 17272.5 25927.5 ; + RECT 17460.0 25692.5 17530.0 25827.5 ; + RECT 16940.0 27135.0 17010.0 27275.0 ; + RECT 17275.0 27135.0 17345.0 27275.0 ; + RECT 16940.0 25445.0 17010.0 25585.0 ; + RECT 17275.0 25445.0 17345.0 25585.0 ; + RECT 16755.0 25445.0 16825.0 27275.0 ; + RECT 17460.0 25445.0 17530.0 27275.0 ; + RECT 17645.0 26085.0 17715.0 26155.0 ; + RECT 17717.5 26085.0 17787.5 26155.0 ; + RECT 17645.0 25585.0 17715.0 26120.0 ; + RECT 17680.0 26085.0 17752.5 26155.0 ; + RECT 17717.5 26120.0 17787.5 26652.5 ; + RECT 17980.0 26497.5 18050.0 26567.5 ; + RECT 17907.5 26497.5 17977.5 26567.5 ; + RECT 17980.0 26532.5 18050.0 27135.0 ; + RECT 17942.5 26497.5 18015.0 26567.5 ; + RECT 17907.5 25927.5 17977.5 26532.5 ; + RECT 17645.0 27067.5 17715.0 27202.5 ; + RECT 17980.0 25517.5 18050.0 25652.5 ; + RECT 17717.5 26652.5 17787.5 26787.5 ; + RECT 17907.5 25792.5 17977.5 25927.5 ; + RECT 18165.0 25692.5 18235.0 25827.5 ; + RECT 17645.0 27135.0 17715.0 27275.0 ; + RECT 17980.0 27135.0 18050.0 27275.0 ; + RECT 17645.0 25445.0 17715.0 25585.0 ; + RECT 17980.0 25445.0 18050.0 25585.0 ; + RECT 17460.0 25445.0 17530.0 27275.0 ; + RECT 18165.0 25445.0 18235.0 27275.0 ; + RECT 18350.0 26085.0 18420.0 26155.0 ; + RECT 18422.5 26085.0 18492.5 26155.0 ; + RECT 18350.0 25585.0 18420.0 26120.0 ; + RECT 18385.0 26085.0 18457.5 26155.0 ; + RECT 18422.5 26120.0 18492.5 26652.5 ; + RECT 18685.0 26497.5 18755.0 26567.5 ; + RECT 18612.5 26497.5 18682.5 26567.5 ; + RECT 18685.0 26532.5 18755.0 27135.0 ; + RECT 18647.5 26497.5 18720.0 26567.5 ; + RECT 18612.5 25927.5 18682.5 26532.5 ; + RECT 18350.0 27067.5 18420.0 27202.5 ; + RECT 18685.0 25517.5 18755.0 25652.5 ; + RECT 18422.5 26652.5 18492.5 26787.5 ; + RECT 18612.5 25792.5 18682.5 25927.5 ; + RECT 18870.0 25692.5 18940.0 25827.5 ; + RECT 18350.0 27135.0 18420.0 27275.0 ; + RECT 18685.0 27135.0 18755.0 27275.0 ; + RECT 18350.0 25445.0 18420.0 25585.0 ; + RECT 18685.0 25445.0 18755.0 25585.0 ; + RECT 18165.0 25445.0 18235.0 27275.0 ; + RECT 18870.0 25445.0 18940.0 27275.0 ; + RECT 19055.0 26085.0 19125.0 26155.0 ; + RECT 19127.5 26085.0 19197.5 26155.0 ; + RECT 19055.0 25585.0 19125.0 26120.0 ; + RECT 19090.0 26085.0 19162.5 26155.0 ; + RECT 19127.5 26120.0 19197.5 26652.5 ; + RECT 19390.0 26497.5 19460.0 26567.5 ; + RECT 19317.5 26497.5 19387.5 26567.5 ; + RECT 19390.0 26532.5 19460.0 27135.0 ; + RECT 19352.5 26497.5 19425.0 26567.5 ; + RECT 19317.5 25927.5 19387.5 26532.5 ; + RECT 19055.0 27067.5 19125.0 27202.5 ; + RECT 19390.0 25517.5 19460.0 25652.5 ; + RECT 19127.5 26652.5 19197.5 26787.5 ; + RECT 19317.5 25792.5 19387.5 25927.5 ; + RECT 19575.0 25692.5 19645.0 25827.5 ; + RECT 19055.0 27135.0 19125.0 27275.0 ; + RECT 19390.0 27135.0 19460.0 27275.0 ; + RECT 19055.0 25445.0 19125.0 25585.0 ; + RECT 19390.0 25445.0 19460.0 25585.0 ; + RECT 18870.0 25445.0 18940.0 27275.0 ; + RECT 19575.0 25445.0 19645.0 27275.0 ; + RECT 19760.0 26085.0 19830.0 26155.0 ; + RECT 19832.5 26085.0 19902.5 26155.0 ; + RECT 19760.0 25585.0 19830.0 26120.0 ; + RECT 19795.0 26085.0 19867.5 26155.0 ; + RECT 19832.5 26120.0 19902.5 26652.5 ; + RECT 20095.0 26497.5 20165.0 26567.5 ; + RECT 20022.5 26497.5 20092.5 26567.5 ; + RECT 20095.0 26532.5 20165.0 27135.0 ; + RECT 20057.5 26497.5 20130.0 26567.5 ; + RECT 20022.5 25927.5 20092.5 26532.5 ; + RECT 19760.0 27067.5 19830.0 27202.5 ; + RECT 20095.0 25517.5 20165.0 25652.5 ; + RECT 19832.5 26652.5 19902.5 26787.5 ; + RECT 20022.5 25792.5 20092.5 25927.5 ; + RECT 20280.0 25692.5 20350.0 25827.5 ; + RECT 19760.0 27135.0 19830.0 27275.0 ; + RECT 20095.0 27135.0 20165.0 27275.0 ; + RECT 19760.0 25445.0 19830.0 25585.0 ; + RECT 20095.0 25445.0 20165.0 25585.0 ; + RECT 19575.0 25445.0 19645.0 27275.0 ; + RECT 20280.0 25445.0 20350.0 27275.0 ; + RECT 20465.0 26085.0 20535.0 26155.0 ; + RECT 20537.5 26085.0 20607.5 26155.0 ; + RECT 20465.0 25585.0 20535.0 26120.0 ; + RECT 20500.0 26085.0 20572.5 26155.0 ; + RECT 20537.5 26120.0 20607.5 26652.5 ; + RECT 20800.0 26497.5 20870.0 26567.5 ; + RECT 20727.5 26497.5 20797.5 26567.5 ; + RECT 20800.0 26532.5 20870.0 27135.0 ; + RECT 20762.5 26497.5 20835.0 26567.5 ; + RECT 20727.5 25927.5 20797.5 26532.5 ; + RECT 20465.0 27067.5 20535.0 27202.5 ; + RECT 20800.0 25517.5 20870.0 25652.5 ; + RECT 20537.5 26652.5 20607.5 26787.5 ; + RECT 20727.5 25792.5 20797.5 25927.5 ; + RECT 20985.0 25692.5 21055.0 25827.5 ; + RECT 20465.0 27135.0 20535.0 27275.0 ; + RECT 20800.0 27135.0 20870.0 27275.0 ; + RECT 20465.0 25445.0 20535.0 25585.0 ; + RECT 20800.0 25445.0 20870.0 25585.0 ; + RECT 20280.0 25445.0 20350.0 27275.0 ; + RECT 20985.0 25445.0 21055.0 27275.0 ; + RECT 21170.0 26085.0 21240.0 26155.0 ; + RECT 21242.5 26085.0 21312.5 26155.0 ; + RECT 21170.0 25585.0 21240.0 26120.0 ; + RECT 21205.0 26085.0 21277.5 26155.0 ; + RECT 21242.5 26120.0 21312.5 26652.5 ; + RECT 21505.0 26497.5 21575.0 26567.5 ; + RECT 21432.5 26497.5 21502.5 26567.5 ; + RECT 21505.0 26532.5 21575.0 27135.0 ; + RECT 21467.5 26497.5 21540.0 26567.5 ; + RECT 21432.5 25927.5 21502.5 26532.5 ; + RECT 21170.0 27067.5 21240.0 27202.5 ; + RECT 21505.0 25517.5 21575.0 25652.5 ; + RECT 21242.5 26652.5 21312.5 26787.5 ; + RECT 21432.5 25792.5 21502.5 25927.5 ; + RECT 21690.0 25692.5 21760.0 25827.5 ; + RECT 21170.0 27135.0 21240.0 27275.0 ; + RECT 21505.0 27135.0 21575.0 27275.0 ; + RECT 21170.0 25445.0 21240.0 25585.0 ; + RECT 21505.0 25445.0 21575.0 25585.0 ; + RECT 20985.0 25445.0 21055.0 27275.0 ; + RECT 21690.0 25445.0 21760.0 27275.0 ; + RECT 16370.0 24745.0 16235.0 24815.0 ; + RECT 16570.0 24605.0 16435.0 24675.0 ; + RECT 17075.0 24745.0 16940.0 24815.0 ; + RECT 17275.0 24605.0 17140.0 24675.0 ; + RECT 17780.0 24745.0 17645.0 24815.0 ; + RECT 17980.0 24605.0 17845.0 24675.0 ; + RECT 18485.0 24745.0 18350.0 24815.0 ; + RECT 18685.0 24605.0 18550.0 24675.0 ; + RECT 19190.0 24745.0 19055.0 24815.0 ; + RECT 19390.0 24605.0 19255.0 24675.0 ; + RECT 19895.0 24745.0 19760.0 24815.0 ; + RECT 20095.0 24605.0 19960.0 24675.0 ; + RECT 20600.0 24745.0 20465.0 24815.0 ; + RECT 20800.0 24605.0 20665.0 24675.0 ; + RECT 21305.0 24745.0 21170.0 24815.0 ; + RECT 21505.0 24605.0 21370.0 24675.0 ; + RECT 16235.0 27135.0 16305.0 27275.0 ; + RECT 16570.0 27135.0 16640.0 27275.0 ; + RECT 16940.0 27135.0 17010.0 27275.0 ; + RECT 17275.0 27135.0 17345.0 27275.0 ; + RECT 17645.0 27135.0 17715.0 27275.0 ; + RECT 17980.0 27135.0 18050.0 27275.0 ; + RECT 18350.0 27135.0 18420.0 27275.0 ; + RECT 18685.0 27135.0 18755.0 27275.0 ; + RECT 19055.0 27135.0 19125.0 27275.0 ; + RECT 19390.0 27135.0 19460.0 27275.0 ; + RECT 19760.0 27135.0 19830.0 27275.0 ; + RECT 20095.0 27135.0 20165.0 27275.0 ; + RECT 20465.0 27135.0 20535.0 27275.0 ; + RECT 20800.0 27135.0 20870.0 27275.0 ; + RECT 21170.0 27135.0 21240.0 27275.0 ; + RECT 21505.0 27135.0 21575.0 27275.0 ; + RECT 16235.0 24465.0 16305.0 25445.0 ; + RECT 16570.0 24465.0 16640.0 25445.0 ; + RECT 19055.0 24465.0 19125.0 25445.0 ; + RECT 19390.0 24465.0 19460.0 25445.0 ; + RECT 16050.0 24465.0 16120.0 27275.0 ; + RECT 16755.0 24465.0 16825.0 27275.0 ; + RECT 17460.0 24465.0 17530.0 27275.0 ; + RECT 18165.0 24465.0 18235.0 27275.0 ; + RECT 18870.0 24465.0 18940.0 27275.0 ; + RECT 19575.0 24465.0 19645.0 27275.0 ; + RECT 20280.0 24465.0 20350.0 27275.0 ; + RECT 20985.0 24465.0 21055.0 27275.0 ; + RECT 9195.0 35.0 9265.0 5275.0 ; + RECT 9470.0 35.0 9540.0 5275.0 ; + RECT 8645.0 35.0 8715.0 5275.0 ; + RECT 8920.0 35.0 8990.0 5275.0 ; + RECT 10000.0 640.0 10070.0 710.0 ; + RECT 10190.0 640.0 10260.0 710.0 ; + RECT 10000.0 675.0 10070.0 1037.5 ; + RECT 10035.0 640.0 10225.0 710.0 ; + RECT 10190.0 332.5 10260.0 675.0 ; + RECT 10000.0 1037.5 10070.0 1172.5 ; + RECT 10190.0 197.5 10260.0 332.5 ; + RECT 10292.5 640.0 10157.5 710.0 ; + RECT 10000.0 2120.0 10070.0 2050.0 ; + RECT 10190.0 2120.0 10260.0 2050.0 ; + RECT 10000.0 2085.0 10070.0 1722.5 ; + RECT 10035.0 2120.0 10225.0 2050.0 ; + RECT 10190.0 2427.5 10260.0 2085.0 ; + RECT 10000.0 1722.5 10070.0 1587.5 ; + RECT 10190.0 2562.5 10260.0 2427.5 ; + RECT 10292.5 2120.0 10157.5 2050.0 ; + RECT 10000.0 3330.0 10070.0 3400.0 ; + RECT 10190.0 3330.0 10260.0 3400.0 ; + RECT 10000.0 3365.0 10070.0 3727.5 ; + RECT 10035.0 3330.0 10225.0 3400.0 ; + RECT 10190.0 3022.5 10260.0 3365.0 ; + RECT 10000.0 3727.5 10070.0 3862.5 ; + RECT 10190.0 2887.5 10260.0 3022.5 ; + RECT 10292.5 3330.0 10157.5 3400.0 ; + RECT 10000.0 4810.0 10070.0 4740.0 ; + RECT 10190.0 4810.0 10260.0 4740.0 ; + RECT 10000.0 4775.0 10070.0 4412.5 ; + RECT 10035.0 4810.0 10225.0 4740.0 ; + RECT 10190.0 5117.5 10260.0 4775.0 ; + RECT 10000.0 4412.5 10070.0 4277.5 ; + RECT 10190.0 5252.5 10260.0 5117.5 ; + RECT 10292.5 4810.0 10157.5 4740.0 ; + RECT 8747.5 1150.0 8612.5 1220.0 ; + RECT 7362.5 627.5 7227.5 697.5 ; + RECT 9022.5 2495.0 8887.5 2565.0 ; + RECT 7637.5 2062.5 7502.5 2132.5 ; + RECT 7362.5 2825.0 7227.5 2895.0 ; + RECT 9297.5 2825.0 9162.5 2895.0 ; + RECT 7637.5 4170.0 7502.5 4240.0 ; + RECT 9572.5 4170.0 9437.5 4240.0 ; + RECT 8747.5 640.0 8612.5 710.0 ; + RECT 9022.5 425.0 8887.5 495.0 ; + RECT 9297.5 2050.0 9162.5 2120.0 ; + RECT 9022.5 2265.0 8887.5 2335.0 ; + RECT 8747.5 3330.0 8612.5 3400.0 ; + RECT 9572.5 3115.0 9437.5 3185.0 ; + RECT 9297.5 4740.0 9162.5 4810.0 ; + RECT 9572.5 4955.0 9437.5 5025.0 ; + RECT 7260.0 35.0 7330.0 5275.0 ; + RECT 7535.0 35.0 7605.0 5275.0 ; + RECT 16085.0 19580.0 16790.0 24465.0 ; + RECT 18905.0 19580.0 19610.0 24465.0 ; + RECT 16235.0 19580.0 16305.0 24465.0 ; + RECT 16570.0 19580.0 16640.0 23665.0 ; + RECT 19055.0 19580.0 19125.0 24465.0 ; + RECT 19390.0 19580.0 19460.0 23665.0 ; + RECT 16085.0 15405.0 16790.0 19580.0 ; + RECT 18905.0 15405.0 19610.0 19580.0 ; + RECT 16402.5 15405.0 16472.5 15545.0 ; + RECT 19222.5 15405.0 19292.5 15545.0 ; + RECT 16235.0 19280.0 16305.0 19580.0 ; + RECT 16570.0 17140.0 16640.0 19580.0 ; + RECT 19055.0 19280.0 19125.0 19580.0 ; + RECT 19390.0 17140.0 19460.0 19580.0 ; + RECT 16085.0 8965.0 16790.0 15405.0 ; + RECT 18905.0 8965.0 19610.0 15405.0 ; + RECT 16402.5 8965.0 16472.5 9110.0 ; + RECT 19222.5 8965.0 19292.5 9110.0 ; + RECT 16402.5 15135.0 16472.5 15405.0 ; + RECT 16247.5 14717.5 16317.5 15405.0 ; + RECT 19222.5 15135.0 19292.5 15405.0 ; + RECT 19067.5 14717.5 19137.5 15405.0 ; + RECT 16050.0 8965.0 16120.0 15405.0 ; + RECT 16755.0 8965.0 16825.0 15405.0 ; + RECT 18870.0 8965.0 18940.0 15405.0 ; + RECT 19575.0 8965.0 19645.0 15405.0 ; + RECT 16085.0 8965.0 16790.0 5990.0 ; + RECT 18905.0 8965.0 19610.0 5990.0 ; + RECT 16402.5 6230.0 16472.5 5990.0 ; + RECT 19222.5 6230.0 19292.5 5990.0 ; + RECT 16402.5 8965.0 16472.5 8615.0 ; + RECT 19222.5 8965.0 19292.5 8615.0 ; + RECT 4655.0 11170.0 4725.0 70350.0 ; + RECT 4830.0 11170.0 4900.0 70350.0 ; + RECT 5005.0 11170.0 5075.0 70350.0 ; + RECT 5180.0 11170.0 5250.0 70350.0 ; + RECT 5355.0 11170.0 5425.0 70350.0 ; + RECT 5530.0 11170.0 5600.0 70350.0 ; + RECT 5705.0 11170.0 5775.0 70350.0 ; + RECT 5880.0 11170.0 5950.0 70350.0 ; + RECT 6055.0 11170.0 6125.0 70350.0 ; + RECT 6230.0 11170.0 6300.0 70350.0 ; + RECT 6405.0 11170.0 6475.0 70350.0 ; + RECT 6580.0 11170.0 6650.0 70350.0 ; + RECT 8785.0 11170.0 8715.0 16410.0 ; + RECT 8510.0 11170.0 8440.0 16410.0 ; + RECT 9335.0 11170.0 9265.0 16410.0 ; + RECT 9060.0 11170.0 8990.0 16410.0 ; + RECT 7980.0 11775.0 7910.0 11845.0 ; + RECT 7790.0 11775.0 7720.0 11845.0 ; + RECT 7980.0 11810.0 7910.0 12172.5 ; + RECT 7945.0 11775.0 7755.0 11845.0 ; + RECT 7790.0 11467.5 7720.0 11810.0 ; + RECT 7980.0 12172.5 7910.0 12307.5 ; + RECT 7790.0 11332.5 7720.0 11467.5 ; + RECT 7687.5 11775.0 7822.5 11845.0 ; + RECT 7980.0 13255.0 7910.0 13185.0 ; + RECT 7790.0 13255.0 7720.0 13185.0 ; + RECT 7980.0 13220.0 7910.0 12857.5 ; + RECT 7945.0 13255.0 7755.0 13185.0 ; + RECT 7790.0 13562.5 7720.0 13220.0 ; + RECT 7980.0 12857.5 7910.0 12722.5 ; + RECT 7790.0 13697.5 7720.0 13562.5 ; + RECT 7687.5 13255.0 7822.5 13185.0 ; + RECT 7980.0 14465.0 7910.0 14535.0 ; + RECT 7790.0 14465.0 7720.0 14535.0 ; + RECT 7980.0 14500.0 7910.0 14862.5 ; + RECT 7945.0 14465.0 7755.0 14535.0 ; + RECT 7790.0 14157.5 7720.0 14500.0 ; + RECT 7980.0 14862.5 7910.0 14997.5 ; + RECT 7790.0 14022.5 7720.0 14157.5 ; + RECT 7687.5 14465.0 7822.5 14535.0 ; + RECT 7980.0 15945.0 7910.0 15875.0 ; + RECT 7790.0 15945.0 7720.0 15875.0 ; + RECT 7980.0 15910.0 7910.0 15547.5 ; + RECT 7945.0 15945.0 7755.0 15875.0 ; + RECT 7790.0 16252.5 7720.0 15910.0 ; + RECT 7980.0 15547.5 7910.0 15412.5 ; + RECT 7790.0 16387.5 7720.0 16252.5 ; + RECT 7687.5 15945.0 7822.5 15875.0 ; + RECT 9232.5 12285.0 9367.5 12355.0 ; + RECT 10617.5 11762.5 10752.5 11832.5 ; + RECT 8957.5 13630.0 9092.5 13700.0 ; + RECT 10342.5 13197.5 10477.5 13267.5 ; + RECT 10617.5 13960.0 10752.5 14030.0 ; + RECT 8682.5 13960.0 8817.5 14030.0 ; + RECT 10342.5 15305.0 10477.5 15375.0 ; + RECT 8407.5 15305.0 8542.5 15375.0 ; + RECT 9232.5 11775.0 9367.5 11845.0 ; + RECT 8957.5 11560.0 9092.5 11630.0 ; + RECT 8682.5 13185.0 8817.5 13255.0 ; + RECT 8957.5 13400.0 9092.5 13470.0 ; + RECT 9232.5 14465.0 9367.5 14535.0 ; + RECT 8407.5 14250.0 8542.5 14320.0 ; + RECT 8682.5 15875.0 8817.5 15945.0 ; + RECT 8407.5 16090.0 8542.5 16160.0 ; + RECT 10720.0 11170.0 10650.0 16410.0 ; + RECT 10445.0 11170.0 10375.0 16410.0 ; + RECT 9425.0 16550.0 9355.0 27170.0 ; + RECT 9150.0 16550.0 9080.0 27170.0 ; + RECT 8875.0 16550.0 8805.0 27170.0 ; + RECT 9975.0 16550.0 9905.0 27170.0 ; + RECT 9700.0 16550.0 9630.0 27170.0 ; + RECT 8600.0 16550.0 8530.0 27170.0 ; + RECT 7690.0 16847.5 7620.0 17552.5 ; + RECT 8070.0 17202.5 8000.0 17272.5 ; + RECT 7690.0 17202.5 7620.0 17272.5 ; + RECT 8070.0 17237.5 8000.0 17552.5 ; + RECT 8035.0 17202.5 7655.0 17272.5 ; + RECT 7690.0 16847.5 7620.0 17237.5 ; + RECT 8070.0 17552.5 8000.0 17687.5 ; + RECT 7690.0 17552.5 7620.0 17687.5 ; + RECT 7690.0 16712.5 7620.0 16847.5 ; + RECT 7690.0 17170.0 7620.0 17305.0 ; + RECT 7690.0 18942.5 7620.0 18237.5 ; + RECT 8070.0 18587.5 8000.0 18517.5 ; + RECT 7690.0 18587.5 7620.0 18517.5 ; + RECT 8070.0 18552.5 8000.0 18237.5 ; + RECT 8035.0 18587.5 7655.0 18517.5 ; + RECT 7690.0 18942.5 7620.0 18552.5 ; + RECT 8070.0 18237.5 8000.0 18102.5 ; + RECT 7690.0 18237.5 7620.0 18102.5 ; + RECT 7690.0 19077.5 7620.0 18942.5 ; + RECT 7690.0 18620.0 7620.0 18485.0 ; + RECT 7690.0 19537.5 7620.0 20242.5 ; + RECT 8070.0 19892.5 8000.0 19962.5 ; + RECT 7690.0 19892.5 7620.0 19962.5 ; + RECT 8070.0 19927.5 8000.0 20242.5 ; + RECT 8035.0 19892.5 7655.0 19962.5 ; + RECT 7690.0 19537.5 7620.0 19927.5 ; + RECT 8070.0 20242.5 8000.0 20377.5 ; + RECT 7690.0 20242.5 7620.0 20377.5 ; + RECT 7690.0 19402.5 7620.0 19537.5 ; + RECT 7690.0 19860.0 7620.0 19995.0 ; + RECT 7690.0 21632.5 7620.0 20927.5 ; + RECT 8070.0 21277.5 8000.0 21207.5 ; + RECT 7690.0 21277.5 7620.0 21207.5 ; + RECT 8070.0 21242.5 8000.0 20927.5 ; + RECT 8035.0 21277.5 7655.0 21207.5 ; + RECT 7690.0 21632.5 7620.0 21242.5 ; + RECT 8070.0 20927.5 8000.0 20792.5 ; + RECT 7690.0 20927.5 7620.0 20792.5 ; + RECT 7690.0 21767.5 7620.0 21632.5 ; + RECT 7690.0 21310.0 7620.0 21175.0 ; + RECT 7690.0 22227.5 7620.0 22932.5 ; + RECT 8070.0 22582.5 8000.0 22652.5 ; + RECT 7690.0 22582.5 7620.0 22652.5 ; + RECT 8070.0 22617.5 8000.0 22932.5 ; + RECT 8035.0 22582.5 7655.0 22652.5 ; + RECT 7690.0 22227.5 7620.0 22617.5 ; + RECT 8070.0 22932.5 8000.0 23067.5 ; + RECT 7690.0 22932.5 7620.0 23067.5 ; + RECT 7690.0 22092.5 7620.0 22227.5 ; + RECT 7690.0 22550.0 7620.0 22685.0 ; + RECT 7690.0 24322.5 7620.0 23617.5 ; + RECT 8070.0 23967.5 8000.0 23897.5 ; + RECT 7690.0 23967.5 7620.0 23897.5 ; + RECT 8070.0 23932.5 8000.0 23617.5 ; + RECT 8035.0 23967.5 7655.0 23897.5 ; + RECT 7690.0 24322.5 7620.0 23932.5 ; + RECT 8070.0 23617.5 8000.0 23482.5 ; + RECT 7690.0 23617.5 7620.0 23482.5 ; + RECT 7690.0 24457.5 7620.0 24322.5 ; + RECT 7690.0 24000.0 7620.0 23865.0 ; + RECT 7690.0 24917.5 7620.0 25622.5 ; + RECT 8070.0 25272.5 8000.0 25342.5 ; + RECT 7690.0 25272.5 7620.0 25342.5 ; + RECT 8070.0 25307.5 8000.0 25622.5 ; + RECT 8035.0 25272.5 7655.0 25342.5 ; + RECT 7690.0 24917.5 7620.0 25307.5 ; + RECT 8070.0 25622.5 8000.0 25757.5 ; + RECT 7690.0 25622.5 7620.0 25757.5 ; + RECT 7690.0 24782.5 7620.0 24917.5 ; + RECT 7690.0 25240.0 7620.0 25375.0 ; + RECT 7690.0 27012.5 7620.0 26307.5 ; + RECT 8070.0 26657.5 8000.0 26587.5 ; + RECT 7690.0 26657.5 7620.0 26587.5 ; + RECT 8070.0 26622.5 8000.0 26307.5 ; + RECT 8035.0 26657.5 7655.0 26587.5 ; + RECT 7690.0 27012.5 7620.0 26622.5 ; + RECT 8070.0 26307.5 8000.0 26172.5 ; + RECT 7690.0 26307.5 7620.0 26172.5 ; + RECT 7690.0 27147.5 7620.0 27012.5 ; + RECT 7690.0 26690.0 7620.0 26555.0 ; + RECT 9872.5 17665.0 10007.5 17735.0 ; + RECT 11532.5 17142.5 11667.5 17212.5 ; + RECT 9597.5 19010.0 9732.5 19080.0 ; + RECT 11257.5 18577.5 11392.5 18647.5 ; + RECT 9322.5 20355.0 9457.5 20425.0 ; + RECT 10982.5 19832.5 11117.5 19902.5 ; + RECT 11532.5 20685.0 11667.5 20755.0 ; + RECT 9047.5 20685.0 9182.5 20755.0 ; + RECT 11257.5 22030.0 11392.5 22100.0 ; + RECT 8772.5 22030.0 8907.5 22100.0 ; + RECT 10982.5 23375.0 11117.5 23445.0 ; + RECT 8497.5 23375.0 8632.5 23445.0 ; + RECT 9872.5 17202.5 10007.5 17272.5 ; + RECT 9597.5 17062.5 9732.5 17132.5 ; + RECT 9322.5 16922.5 9457.5 16992.5 ; + RECT 9047.5 18517.5 9182.5 18587.5 ; + RECT 9597.5 18657.5 9732.5 18727.5 ; + RECT 9322.5 18797.5 9457.5 18867.5 ; + RECT 9872.5 19892.5 10007.5 19962.5 ; + RECT 8772.5 19752.5 8907.5 19822.5 ; + RECT 9322.5 19612.5 9457.5 19682.5 ; + RECT 9047.5 21207.5 9182.5 21277.5 ; + RECT 8772.5 21347.5 8907.5 21417.5 ; + RECT 9322.5 21487.5 9457.5 21557.5 ; + RECT 9872.5 22582.5 10007.5 22652.5 ; + RECT 9597.5 22442.5 9732.5 22512.5 ; + RECT 8497.5 22302.5 8632.5 22372.5 ; + RECT 9047.5 23897.5 9182.5 23967.5 ; + RECT 9597.5 24037.5 9732.5 24107.5 ; + RECT 8497.5 24177.5 8632.5 24247.5 ; + RECT 9872.5 25272.5 10007.5 25342.5 ; + RECT 8772.5 25132.5 8907.5 25202.5 ; + RECT 8497.5 24992.5 8632.5 25062.5 ; + RECT 9047.5 26587.5 9182.5 26657.5 ; + RECT 8772.5 26727.5 8907.5 26797.5 ; + RECT 8497.5 26867.5 8632.5 26937.5 ; + RECT 11635.0 16550.0 11565.0 27170.0 ; + RECT 11360.0 16550.0 11290.0 27170.0 ; + RECT 11085.0 16550.0 11015.0 27170.0 ; + RECT 7010.0 27915.0 7080.0 27985.0 ; + RECT 7200.0 27915.0 7270.0 27985.0 ; + RECT 7010.0 27950.0 7080.0 28312.5 ; + RECT 7045.0 27915.0 7235.0 27985.0 ; + RECT 7200.0 27607.5 7270.0 27950.0 ; + RECT 7010.0 28312.5 7080.0 28447.5 ; + RECT 7200.0 27472.5 7270.0 27607.5 ; + RECT 7302.5 27915.0 7167.5 27985.0 ; + RECT 7010.0 29395.0 7080.0 29325.0 ; + RECT 7200.0 29395.0 7270.0 29325.0 ; + RECT 7010.0 29360.0 7080.0 28997.5 ; + RECT 7045.0 29395.0 7235.0 29325.0 ; + RECT 7200.0 29702.5 7270.0 29360.0 ; + RECT 7010.0 28997.5 7080.0 28862.5 ; + RECT 7200.0 29837.5 7270.0 29702.5 ; + RECT 7302.5 29395.0 7167.5 29325.0 ; + RECT 7010.0 30605.0 7080.0 30675.0 ; + RECT 7200.0 30605.0 7270.0 30675.0 ; + RECT 7010.0 30640.0 7080.0 31002.5 ; + RECT 7045.0 30605.0 7235.0 30675.0 ; + RECT 7200.0 30297.5 7270.0 30640.0 ; + RECT 7010.0 31002.5 7080.0 31137.5 ; + RECT 7200.0 30162.5 7270.0 30297.5 ; + RECT 7302.5 30605.0 7167.5 30675.0 ; + RECT 7010.0 32085.0 7080.0 32015.0 ; + RECT 7200.0 32085.0 7270.0 32015.0 ; + RECT 7010.0 32050.0 7080.0 31687.5 ; + RECT 7045.0 32085.0 7235.0 32015.0 ; + RECT 7200.0 32392.5 7270.0 32050.0 ; + RECT 7010.0 31687.5 7080.0 31552.5 ; + RECT 7200.0 32527.5 7270.0 32392.5 ; + RECT 7302.5 32085.0 7167.5 32015.0 ; + RECT 7010.0 33295.0 7080.0 33365.0 ; + RECT 7200.0 33295.0 7270.0 33365.0 ; + RECT 7010.0 33330.0 7080.0 33692.5 ; + RECT 7045.0 33295.0 7235.0 33365.0 ; + RECT 7200.0 32987.5 7270.0 33330.0 ; + RECT 7010.0 33692.5 7080.0 33827.5 ; + RECT 7200.0 32852.5 7270.0 32987.5 ; + RECT 7302.5 33295.0 7167.5 33365.0 ; + RECT 7010.0 34775.0 7080.0 34705.0 ; + RECT 7200.0 34775.0 7270.0 34705.0 ; + RECT 7010.0 34740.0 7080.0 34377.5 ; + RECT 7045.0 34775.0 7235.0 34705.0 ; + RECT 7200.0 35082.5 7270.0 34740.0 ; + RECT 7010.0 34377.5 7080.0 34242.5 ; + RECT 7200.0 35217.5 7270.0 35082.5 ; + RECT 7302.5 34775.0 7167.5 34705.0 ; + RECT 7010.0 35985.0 7080.0 36055.0 ; + RECT 7200.0 35985.0 7270.0 36055.0 ; + RECT 7010.0 36020.0 7080.0 36382.5 ; + RECT 7045.0 35985.0 7235.0 36055.0 ; + RECT 7200.0 35677.5 7270.0 36020.0 ; + RECT 7010.0 36382.5 7080.0 36517.5 ; + RECT 7200.0 35542.5 7270.0 35677.5 ; + RECT 7302.5 35985.0 7167.5 36055.0 ; + RECT 7010.0 37465.0 7080.0 37395.0 ; + RECT 7200.0 37465.0 7270.0 37395.0 ; + RECT 7010.0 37430.0 7080.0 37067.5 ; + RECT 7045.0 37465.0 7235.0 37395.0 ; + RECT 7200.0 37772.5 7270.0 37430.0 ; + RECT 7010.0 37067.5 7080.0 36932.5 ; + RECT 7200.0 37907.5 7270.0 37772.5 ; + RECT 7302.5 37465.0 7167.5 37395.0 ; + RECT 7010.0 38675.0 7080.0 38745.0 ; + RECT 7200.0 38675.0 7270.0 38745.0 ; + RECT 7010.0 38710.0 7080.0 39072.5 ; + RECT 7045.0 38675.0 7235.0 38745.0 ; + RECT 7200.0 38367.5 7270.0 38710.0 ; + RECT 7010.0 39072.5 7080.0 39207.5 ; + RECT 7200.0 38232.5 7270.0 38367.5 ; + RECT 7302.5 38675.0 7167.5 38745.0 ; + RECT 7010.0 40155.0 7080.0 40085.0 ; + RECT 7200.0 40155.0 7270.0 40085.0 ; + RECT 7010.0 40120.0 7080.0 39757.5 ; + RECT 7045.0 40155.0 7235.0 40085.0 ; + RECT 7200.0 40462.5 7270.0 40120.0 ; + RECT 7010.0 39757.5 7080.0 39622.5 ; + RECT 7200.0 40597.5 7270.0 40462.5 ; + RECT 7302.5 40155.0 7167.5 40085.0 ; + RECT 7010.0 41365.0 7080.0 41435.0 ; + RECT 7200.0 41365.0 7270.0 41435.0 ; + RECT 7010.0 41400.0 7080.0 41762.5 ; + RECT 7045.0 41365.0 7235.0 41435.0 ; + RECT 7200.0 41057.5 7270.0 41400.0 ; + RECT 7010.0 41762.5 7080.0 41897.5 ; + RECT 7200.0 40922.5 7270.0 41057.5 ; + RECT 7302.5 41365.0 7167.5 41435.0 ; + RECT 7010.0 42845.0 7080.0 42775.0 ; + RECT 7200.0 42845.0 7270.0 42775.0 ; + RECT 7010.0 42810.0 7080.0 42447.5 ; + RECT 7045.0 42845.0 7235.0 42775.0 ; + RECT 7200.0 43152.5 7270.0 42810.0 ; + RECT 7010.0 42447.5 7080.0 42312.5 ; + RECT 7200.0 43287.5 7270.0 43152.5 ; + RECT 7302.5 42845.0 7167.5 42775.0 ; + RECT 7010.0 44055.0 7080.0 44125.0 ; + RECT 7200.0 44055.0 7270.0 44125.0 ; + RECT 7010.0 44090.0 7080.0 44452.5 ; + RECT 7045.0 44055.0 7235.0 44125.0 ; + RECT 7200.0 43747.5 7270.0 44090.0 ; + RECT 7010.0 44452.5 7080.0 44587.5 ; + RECT 7200.0 43612.5 7270.0 43747.5 ; + RECT 7302.5 44055.0 7167.5 44125.0 ; + RECT 7010.0 45535.0 7080.0 45465.0 ; + RECT 7200.0 45535.0 7270.0 45465.0 ; + RECT 7010.0 45500.0 7080.0 45137.5 ; + RECT 7045.0 45535.0 7235.0 45465.0 ; + RECT 7200.0 45842.5 7270.0 45500.0 ; + RECT 7010.0 45137.5 7080.0 45002.5 ; + RECT 7200.0 45977.5 7270.0 45842.5 ; + RECT 7302.5 45535.0 7167.5 45465.0 ; + RECT 7010.0 46745.0 7080.0 46815.0 ; + RECT 7200.0 46745.0 7270.0 46815.0 ; + RECT 7010.0 46780.0 7080.0 47142.5 ; + RECT 7045.0 46745.0 7235.0 46815.0 ; + RECT 7200.0 46437.5 7270.0 46780.0 ; + RECT 7010.0 47142.5 7080.0 47277.5 ; + RECT 7200.0 46302.5 7270.0 46437.5 ; + RECT 7302.5 46745.0 7167.5 46815.0 ; + RECT 7010.0 48225.0 7080.0 48155.0 ; + RECT 7200.0 48225.0 7270.0 48155.0 ; + RECT 7010.0 48190.0 7080.0 47827.5 ; + RECT 7045.0 48225.0 7235.0 48155.0 ; + RECT 7200.0 48532.5 7270.0 48190.0 ; + RECT 7010.0 47827.5 7080.0 47692.5 ; + RECT 7200.0 48667.5 7270.0 48532.5 ; + RECT 7302.5 48225.0 7167.5 48155.0 ; + RECT 7010.0 49435.0 7080.0 49505.0 ; + RECT 7200.0 49435.0 7270.0 49505.0 ; + RECT 7010.0 49470.0 7080.0 49832.5 ; + RECT 7045.0 49435.0 7235.0 49505.0 ; + RECT 7200.0 49127.5 7270.0 49470.0 ; + RECT 7010.0 49832.5 7080.0 49967.5 ; + RECT 7200.0 48992.5 7270.0 49127.5 ; + RECT 7302.5 49435.0 7167.5 49505.0 ; + RECT 7010.0 50915.0 7080.0 50845.0 ; + RECT 7200.0 50915.0 7270.0 50845.0 ; + RECT 7010.0 50880.0 7080.0 50517.5 ; + RECT 7045.0 50915.0 7235.0 50845.0 ; + RECT 7200.0 51222.5 7270.0 50880.0 ; + RECT 7010.0 50517.5 7080.0 50382.5 ; + RECT 7200.0 51357.5 7270.0 51222.5 ; + RECT 7302.5 50915.0 7167.5 50845.0 ; + RECT 7010.0 52125.0 7080.0 52195.0 ; + RECT 7200.0 52125.0 7270.0 52195.0 ; + RECT 7010.0 52160.0 7080.0 52522.5 ; + RECT 7045.0 52125.0 7235.0 52195.0 ; + RECT 7200.0 51817.5 7270.0 52160.0 ; + RECT 7010.0 52522.5 7080.0 52657.5 ; + RECT 7200.0 51682.5 7270.0 51817.5 ; + RECT 7302.5 52125.0 7167.5 52195.0 ; + RECT 7010.0 53605.0 7080.0 53535.0 ; + RECT 7200.0 53605.0 7270.0 53535.0 ; + RECT 7010.0 53570.0 7080.0 53207.5 ; + RECT 7045.0 53605.0 7235.0 53535.0 ; + RECT 7200.0 53912.5 7270.0 53570.0 ; + RECT 7010.0 53207.5 7080.0 53072.5 ; + RECT 7200.0 54047.5 7270.0 53912.5 ; + RECT 7302.5 53605.0 7167.5 53535.0 ; + RECT 7010.0 54815.0 7080.0 54885.0 ; + RECT 7200.0 54815.0 7270.0 54885.0 ; + RECT 7010.0 54850.0 7080.0 55212.5 ; + RECT 7045.0 54815.0 7235.0 54885.0 ; + RECT 7200.0 54507.5 7270.0 54850.0 ; + RECT 7010.0 55212.5 7080.0 55347.5 ; + RECT 7200.0 54372.5 7270.0 54507.5 ; + RECT 7302.5 54815.0 7167.5 54885.0 ; + RECT 7010.0 56295.0 7080.0 56225.0 ; + RECT 7200.0 56295.0 7270.0 56225.0 ; + RECT 7010.0 56260.0 7080.0 55897.5 ; + RECT 7045.0 56295.0 7235.0 56225.0 ; + RECT 7200.0 56602.5 7270.0 56260.0 ; + RECT 7010.0 55897.5 7080.0 55762.5 ; + RECT 7200.0 56737.5 7270.0 56602.5 ; + RECT 7302.5 56295.0 7167.5 56225.0 ; + RECT 7010.0 57505.0 7080.0 57575.0 ; + RECT 7200.0 57505.0 7270.0 57575.0 ; + RECT 7010.0 57540.0 7080.0 57902.5 ; + RECT 7045.0 57505.0 7235.0 57575.0 ; + RECT 7200.0 57197.5 7270.0 57540.0 ; + RECT 7010.0 57902.5 7080.0 58037.5 ; + RECT 7200.0 57062.5 7270.0 57197.5 ; + RECT 7302.5 57505.0 7167.5 57575.0 ; + RECT 7010.0 58985.0 7080.0 58915.0 ; + RECT 7200.0 58985.0 7270.0 58915.0 ; + RECT 7010.0 58950.0 7080.0 58587.5 ; + RECT 7045.0 58985.0 7235.0 58915.0 ; + RECT 7200.0 59292.5 7270.0 58950.0 ; + RECT 7010.0 58587.5 7080.0 58452.5 ; + RECT 7200.0 59427.5 7270.0 59292.5 ; + RECT 7302.5 58985.0 7167.5 58915.0 ; + RECT 7010.0 60195.0 7080.0 60265.0 ; + RECT 7200.0 60195.0 7270.0 60265.0 ; + RECT 7010.0 60230.0 7080.0 60592.5 ; + RECT 7045.0 60195.0 7235.0 60265.0 ; + RECT 7200.0 59887.5 7270.0 60230.0 ; + RECT 7010.0 60592.5 7080.0 60727.5 ; + RECT 7200.0 59752.5 7270.0 59887.5 ; + RECT 7302.5 60195.0 7167.5 60265.0 ; + RECT 7010.0 61675.0 7080.0 61605.0 ; + RECT 7200.0 61675.0 7270.0 61605.0 ; + RECT 7010.0 61640.0 7080.0 61277.5 ; + RECT 7045.0 61675.0 7235.0 61605.0 ; + RECT 7200.0 61982.5 7270.0 61640.0 ; + RECT 7010.0 61277.5 7080.0 61142.5 ; + RECT 7200.0 62117.5 7270.0 61982.5 ; + RECT 7302.5 61675.0 7167.5 61605.0 ; + RECT 7010.0 62885.0 7080.0 62955.0 ; + RECT 7200.0 62885.0 7270.0 62955.0 ; + RECT 7010.0 62920.0 7080.0 63282.5 ; + RECT 7045.0 62885.0 7235.0 62955.0 ; + RECT 7200.0 62577.5 7270.0 62920.0 ; + RECT 7010.0 63282.5 7080.0 63417.5 ; + RECT 7200.0 62442.5 7270.0 62577.5 ; + RECT 7302.5 62885.0 7167.5 62955.0 ; + RECT 7010.0 64365.0 7080.0 64295.0 ; + RECT 7200.0 64365.0 7270.0 64295.0 ; + RECT 7010.0 64330.0 7080.0 63967.5 ; + RECT 7045.0 64365.0 7235.0 64295.0 ; + RECT 7200.0 64672.5 7270.0 64330.0 ; + RECT 7010.0 63967.5 7080.0 63832.5 ; + RECT 7200.0 64807.5 7270.0 64672.5 ; + RECT 7302.5 64365.0 7167.5 64295.0 ; + RECT 7010.0 65575.0 7080.0 65645.0 ; + RECT 7200.0 65575.0 7270.0 65645.0 ; + RECT 7010.0 65610.0 7080.0 65972.5 ; + RECT 7045.0 65575.0 7235.0 65645.0 ; + RECT 7200.0 65267.5 7270.0 65610.0 ; + RECT 7010.0 65972.5 7080.0 66107.5 ; + RECT 7200.0 65132.5 7270.0 65267.5 ; + RECT 7302.5 65575.0 7167.5 65645.0 ; + RECT 7010.0 67055.0 7080.0 66985.0 ; + RECT 7200.0 67055.0 7270.0 66985.0 ; + RECT 7010.0 67020.0 7080.0 66657.5 ; + RECT 7045.0 67055.0 7235.0 66985.0 ; + RECT 7200.0 67362.5 7270.0 67020.0 ; + RECT 7010.0 66657.5 7080.0 66522.5 ; + RECT 7200.0 67497.5 7270.0 67362.5 ; + RECT 7302.5 67055.0 7167.5 66985.0 ; + RECT 7010.0 68265.0 7080.0 68335.0 ; + RECT 7200.0 68265.0 7270.0 68335.0 ; + RECT 7010.0 68300.0 7080.0 68662.5 ; + RECT 7045.0 68265.0 7235.0 68335.0 ; + RECT 7200.0 67957.5 7270.0 68300.0 ; + RECT 7010.0 68662.5 7080.0 68797.5 ; + RECT 7200.0 67822.5 7270.0 67957.5 ; + RECT 7302.5 68265.0 7167.5 68335.0 ; + RECT 7010.0 69745.0 7080.0 69675.0 ; + RECT 7200.0 69745.0 7270.0 69675.0 ; + RECT 7010.0 69710.0 7080.0 69347.5 ; + RECT 7045.0 69745.0 7235.0 69675.0 ; + RECT 7200.0 70052.5 7270.0 69710.0 ; + RECT 7010.0 69347.5 7080.0 69212.5 ; + RECT 7200.0 70187.5 7270.0 70052.5 ; + RECT 7302.5 69745.0 7167.5 69675.0 ; + RECT 4757.5 11762.5 4622.5 11832.5 ; + RECT 4932.5 13197.5 4797.5 13267.5 ; + RECT 5107.5 14452.5 4972.5 14522.5 ; + RECT 5282.5 15887.5 5147.5 15957.5 ; + RECT 5457.5 17142.5 5322.5 17212.5 ; + RECT 5632.5 18577.5 5497.5 18647.5 ; + RECT 5807.5 19832.5 5672.5 19902.5 ; + RECT 5982.5 21267.5 5847.5 21337.5 ; + RECT 6157.5 22522.5 6022.5 22592.5 ; + RECT 6332.5 23957.5 6197.5 24027.5 ; + RECT 6507.5 25212.5 6372.5 25282.5 ; + RECT 6682.5 26647.5 6547.5 26717.5 ; + RECT 4757.5 27915.0 4622.5 27985.0 ; + RECT 5457.5 27700.0 5322.5 27770.0 ; + RECT 4757.5 29325.0 4622.5 29395.0 ; + RECT 5632.5 29540.0 5497.5 29610.0 ; + RECT 4757.5 30605.0 4622.5 30675.0 ; + RECT 5807.5 30390.0 5672.5 30460.0 ; + RECT 4757.5 32015.0 4622.5 32085.0 ; + RECT 5982.5 32230.0 5847.5 32300.0 ; + RECT 4757.5 33295.0 4622.5 33365.0 ; + RECT 6157.5 33080.0 6022.5 33150.0 ; + RECT 4757.5 34705.0 4622.5 34775.0 ; + RECT 6332.5 34920.0 6197.5 34990.0 ; + RECT 4757.5 35985.0 4622.5 36055.0 ; + RECT 6507.5 35770.0 6372.5 35840.0 ; + RECT 4757.5 37395.0 4622.5 37465.0 ; + RECT 6682.5 37610.0 6547.5 37680.0 ; + RECT 4932.5 38675.0 4797.5 38745.0 ; + RECT 5457.5 38460.0 5322.5 38530.0 ; + RECT 4932.5 40085.0 4797.5 40155.0 ; + RECT 5632.5 40300.0 5497.5 40370.0 ; + RECT 4932.5 41365.0 4797.5 41435.0 ; + RECT 5807.5 41150.0 5672.5 41220.0 ; + RECT 4932.5 42775.0 4797.5 42845.0 ; + RECT 5982.5 42990.0 5847.5 43060.0 ; + RECT 4932.5 44055.0 4797.5 44125.0 ; + RECT 6157.5 43840.0 6022.5 43910.0 ; + RECT 4932.5 45465.0 4797.5 45535.0 ; + RECT 6332.5 45680.0 6197.5 45750.0 ; + RECT 4932.5 46745.0 4797.5 46815.0 ; + RECT 6507.5 46530.0 6372.5 46600.0 ; + RECT 4932.5 48155.0 4797.5 48225.0 ; + RECT 6682.5 48370.0 6547.5 48440.0 ; + RECT 5107.5 49435.0 4972.5 49505.0 ; + RECT 5457.5 49220.0 5322.5 49290.0 ; + RECT 5107.5 50845.0 4972.5 50915.0 ; + RECT 5632.5 51060.0 5497.5 51130.0 ; + RECT 5107.5 52125.0 4972.5 52195.0 ; + RECT 5807.5 51910.0 5672.5 51980.0 ; + RECT 5107.5 53535.0 4972.5 53605.0 ; + RECT 5982.5 53750.0 5847.5 53820.0 ; + RECT 5107.5 54815.0 4972.5 54885.0 ; + RECT 6157.5 54600.0 6022.5 54670.0 ; + RECT 5107.5 56225.0 4972.5 56295.0 ; + RECT 6332.5 56440.0 6197.5 56510.0 ; + RECT 5107.5 57505.0 4972.5 57575.0 ; + RECT 6507.5 57290.0 6372.5 57360.0 ; + RECT 5107.5 58915.0 4972.5 58985.0 ; + RECT 6682.5 59130.0 6547.5 59200.0 ; + RECT 5282.5 60195.0 5147.5 60265.0 ; + RECT 5457.5 59980.0 5322.5 60050.0 ; + RECT 5282.5 61605.0 5147.5 61675.0 ; + RECT 5632.5 61820.0 5497.5 61890.0 ; + RECT 5282.5 62885.0 5147.5 62955.0 ; + RECT 5807.5 62670.0 5672.5 62740.0 ; + RECT 5282.5 64295.0 5147.5 64365.0 ; + RECT 5982.5 64510.0 5847.5 64580.0 ; + RECT 5282.5 65575.0 5147.5 65645.0 ; + RECT 6157.5 65360.0 6022.5 65430.0 ; + RECT 5282.5 66985.0 5147.5 67055.0 ; + RECT 6332.5 67200.0 6197.5 67270.0 ; + RECT 5282.5 68265.0 5147.5 68335.0 ; + RECT 6507.5 68050.0 6372.5 68120.0 ; + RECT 5282.5 69675.0 5147.5 69745.0 ; + RECT 6682.5 69890.0 6547.5 69960.0 ; + RECT 10650.0 11170.0 10720.0 16410.0 ; + RECT 10375.0 11170.0 10445.0 16410.0 ; + RECT 11565.0 16550.0 11635.0 27170.0 ; + RECT 11290.0 16550.0 11360.0 27170.0 ; + RECT 11015.0 16550.0 11085.0 27170.0 ; + RECT 8570.0 27700.0 8640.0 27770.0 ; + RECT 8570.0 27665.0 8640.0 27735.0 ; + RECT 8605.0 27700.0 9567.5 27770.0 ; + RECT 8570.0 29540.0 8640.0 29610.0 ; + RECT 8570.0 29575.0 8640.0 29645.0 ; + RECT 8605.0 29540.0 9567.5 29610.0 ; + RECT 8570.0 30390.0 8640.0 30460.0 ; + RECT 8570.0 30355.0 8640.0 30425.0 ; + RECT 8605.0 30390.0 9567.5 30460.0 ; + RECT 8570.0 32230.0 8640.0 32300.0 ; + RECT 8570.0 32265.0 8640.0 32335.0 ; + RECT 8605.0 32230.0 9567.5 32300.0 ; + RECT 8570.0 33080.0 8640.0 33150.0 ; + RECT 8570.0 33045.0 8640.0 33115.0 ; + RECT 8605.0 33080.0 9567.5 33150.0 ; + RECT 8570.0 34920.0 8640.0 34990.0 ; + RECT 8570.0 34955.0 8640.0 35025.0 ; + RECT 8605.0 34920.0 9567.5 34990.0 ; + RECT 8570.0 35770.0 8640.0 35840.0 ; + RECT 8570.0 35735.0 8640.0 35805.0 ; + RECT 8605.0 35770.0 9567.5 35840.0 ; + RECT 8570.0 37610.0 8640.0 37680.0 ; + RECT 8570.0 37645.0 8640.0 37715.0 ; + RECT 8605.0 37610.0 9567.5 37680.0 ; + RECT 8570.0 38460.0 8640.0 38530.0 ; + RECT 8570.0 38425.0 8640.0 38495.0 ; + RECT 8605.0 38460.0 9567.5 38530.0 ; + RECT 8570.0 40300.0 8640.0 40370.0 ; + RECT 8570.0 40335.0 8640.0 40405.0 ; + RECT 8605.0 40300.0 9567.5 40370.0 ; + RECT 8570.0 41150.0 8640.0 41220.0 ; + RECT 8570.0 41115.0 8640.0 41185.0 ; + RECT 8605.0 41150.0 9567.5 41220.0 ; + RECT 8570.0 42990.0 8640.0 43060.0 ; + RECT 8570.0 43025.0 8640.0 43095.0 ; + RECT 8605.0 42990.0 9567.5 43060.0 ; + RECT 8570.0 43840.0 8640.0 43910.0 ; + RECT 8570.0 43805.0 8640.0 43875.0 ; + RECT 8605.0 43840.0 9567.5 43910.0 ; + RECT 8570.0 45680.0 8640.0 45750.0 ; + RECT 8570.0 45715.0 8640.0 45785.0 ; + RECT 8605.0 45680.0 9567.5 45750.0 ; + RECT 8570.0 46530.0 8640.0 46600.0 ; + RECT 8570.0 46495.0 8640.0 46565.0 ; + RECT 8605.0 46530.0 9567.5 46600.0 ; + RECT 8570.0 48370.0 8640.0 48440.0 ; + RECT 8570.0 48405.0 8640.0 48475.0 ; + RECT 8605.0 48370.0 9567.5 48440.0 ; + RECT 8570.0 49220.0 8640.0 49290.0 ; + RECT 8570.0 49185.0 8640.0 49255.0 ; + RECT 8605.0 49220.0 9567.5 49290.0 ; + RECT 8570.0 51060.0 8640.0 51130.0 ; + RECT 8570.0 51095.0 8640.0 51165.0 ; + RECT 8605.0 51060.0 9567.5 51130.0 ; + RECT 8570.0 51910.0 8640.0 51980.0 ; + RECT 8570.0 51875.0 8640.0 51945.0 ; + RECT 8605.0 51910.0 9567.5 51980.0 ; + RECT 8570.0 53750.0 8640.0 53820.0 ; + RECT 8570.0 53785.0 8640.0 53855.0 ; + RECT 8605.0 53750.0 9567.5 53820.0 ; + RECT 8570.0 54600.0 8640.0 54670.0 ; + RECT 8570.0 54565.0 8640.0 54635.0 ; + RECT 8605.0 54600.0 9567.5 54670.0 ; + RECT 8570.0 56440.0 8640.0 56510.0 ; + RECT 8570.0 56475.0 8640.0 56545.0 ; + RECT 8605.0 56440.0 9567.5 56510.0 ; + RECT 8570.0 57290.0 8640.0 57360.0 ; + RECT 8570.0 57255.0 8640.0 57325.0 ; + RECT 8605.0 57290.0 9567.5 57360.0 ; + RECT 8570.0 59130.0 8640.0 59200.0 ; + RECT 8570.0 59165.0 8640.0 59235.0 ; + RECT 8605.0 59130.0 9567.5 59200.0 ; + RECT 8570.0 59980.0 8640.0 60050.0 ; + RECT 8570.0 59945.0 8640.0 60015.0 ; + RECT 8605.0 59980.0 9567.5 60050.0 ; + RECT 8570.0 61820.0 8640.0 61890.0 ; + RECT 8570.0 61855.0 8640.0 61925.0 ; + RECT 8605.0 61820.0 9567.5 61890.0 ; + RECT 8570.0 62670.0 8640.0 62740.0 ; + RECT 8570.0 62635.0 8640.0 62705.0 ; + RECT 8605.0 62670.0 9567.5 62740.0 ; + RECT 8570.0 64510.0 8640.0 64580.0 ; + RECT 8570.0 64545.0 8640.0 64615.0 ; + RECT 8605.0 64510.0 9567.5 64580.0 ; + RECT 8570.0 65360.0 8640.0 65430.0 ; + RECT 8570.0 65325.0 8640.0 65395.0 ; + RECT 8605.0 65360.0 9567.5 65430.0 ; + RECT 8570.0 67200.0 8640.0 67270.0 ; + RECT 8570.0 67235.0 8640.0 67305.0 ; + RECT 8605.0 67200.0 9567.5 67270.0 ; + RECT 8570.0 68050.0 8640.0 68120.0 ; + RECT 8570.0 68015.0 8640.0 68085.0 ; + RECT 8605.0 68050.0 9567.5 68120.0 ; + RECT 8570.0 69890.0 8640.0 69960.0 ; + RECT 8570.0 69925.0 8640.0 69995.0 ; + RECT 8605.0 69890.0 9567.5 69960.0 ; + RECT 9505.0 27915.0 9575.0 27985.0 ; + RECT 9695.0 27915.0 9765.0 27985.0 ; + RECT 9505.0 27950.0 9575.0 28312.5 ; + RECT 9540.0 27915.0 9730.0 27985.0 ; + RECT 9695.0 27607.5 9765.0 27950.0 ; + RECT 9505.0 28312.5 9575.0 28447.5 ; + RECT 9695.0 27472.5 9765.0 27607.5 ; + RECT 9797.5 27915.0 9662.5 27985.0 ; + RECT 8430.0 27870.0 8500.0 28005.0 ; + RECT 8570.0 27597.5 8640.0 27732.5 ; + RECT 9567.5 27700.0 9432.5 27770.0 ; + RECT 9505.0 29395.0 9575.0 29325.0 ; + RECT 9695.0 29395.0 9765.0 29325.0 ; + RECT 9505.0 29360.0 9575.0 28997.5 ; + RECT 9540.0 29395.0 9730.0 29325.0 ; + RECT 9695.0 29702.5 9765.0 29360.0 ; + RECT 9505.0 28997.5 9575.0 28862.5 ; + RECT 9695.0 29837.5 9765.0 29702.5 ; + RECT 9797.5 29395.0 9662.5 29325.0 ; + RECT 8430.0 29305.0 8500.0 29440.0 ; + RECT 8570.0 29577.5 8640.0 29712.5 ; + RECT 9567.5 29540.0 9432.5 29610.0 ; + RECT 9505.0 30605.0 9575.0 30675.0 ; + RECT 9695.0 30605.0 9765.0 30675.0 ; + RECT 9505.0 30640.0 9575.0 31002.5 ; + RECT 9540.0 30605.0 9730.0 30675.0 ; + RECT 9695.0 30297.5 9765.0 30640.0 ; + RECT 9505.0 31002.5 9575.0 31137.5 ; + RECT 9695.0 30162.5 9765.0 30297.5 ; + RECT 9797.5 30605.0 9662.5 30675.0 ; + RECT 8430.0 30560.0 8500.0 30695.0 ; + RECT 8570.0 30287.5 8640.0 30422.5 ; + RECT 9567.5 30390.0 9432.5 30460.0 ; + RECT 9505.0 32085.0 9575.0 32015.0 ; + RECT 9695.0 32085.0 9765.0 32015.0 ; + RECT 9505.0 32050.0 9575.0 31687.5 ; + RECT 9540.0 32085.0 9730.0 32015.0 ; + RECT 9695.0 32392.5 9765.0 32050.0 ; + RECT 9505.0 31687.5 9575.0 31552.5 ; + RECT 9695.0 32527.5 9765.0 32392.5 ; + RECT 9797.5 32085.0 9662.5 32015.0 ; + RECT 8430.0 31995.0 8500.0 32130.0 ; + RECT 8570.0 32267.5 8640.0 32402.5 ; + RECT 9567.5 32230.0 9432.5 32300.0 ; + RECT 9505.0 33295.0 9575.0 33365.0 ; + RECT 9695.0 33295.0 9765.0 33365.0 ; + RECT 9505.0 33330.0 9575.0 33692.5 ; + RECT 9540.0 33295.0 9730.0 33365.0 ; + RECT 9695.0 32987.5 9765.0 33330.0 ; + RECT 9505.0 33692.5 9575.0 33827.5 ; + RECT 9695.0 32852.5 9765.0 32987.5 ; + RECT 9797.5 33295.0 9662.5 33365.0 ; + RECT 8430.0 33250.0 8500.0 33385.0 ; + RECT 8570.0 32977.5 8640.0 33112.5 ; + RECT 9567.5 33080.0 9432.5 33150.0 ; + RECT 9505.0 34775.0 9575.0 34705.0 ; + RECT 9695.0 34775.0 9765.0 34705.0 ; + RECT 9505.0 34740.0 9575.0 34377.5 ; + RECT 9540.0 34775.0 9730.0 34705.0 ; + RECT 9695.0 35082.5 9765.0 34740.0 ; + RECT 9505.0 34377.5 9575.0 34242.5 ; + RECT 9695.0 35217.5 9765.0 35082.5 ; + RECT 9797.5 34775.0 9662.5 34705.0 ; + RECT 8430.0 34685.0 8500.0 34820.0 ; + RECT 8570.0 34957.5 8640.0 35092.5 ; + RECT 9567.5 34920.0 9432.5 34990.0 ; + RECT 9505.0 35985.0 9575.0 36055.0 ; + RECT 9695.0 35985.0 9765.0 36055.0 ; + RECT 9505.0 36020.0 9575.0 36382.5 ; + RECT 9540.0 35985.0 9730.0 36055.0 ; + RECT 9695.0 35677.5 9765.0 36020.0 ; + RECT 9505.0 36382.5 9575.0 36517.5 ; + RECT 9695.0 35542.5 9765.0 35677.5 ; + RECT 9797.5 35985.0 9662.5 36055.0 ; + RECT 8430.0 35940.0 8500.0 36075.0 ; + RECT 8570.0 35667.5 8640.0 35802.5 ; + RECT 9567.5 35770.0 9432.5 35840.0 ; + RECT 9505.0 37465.0 9575.0 37395.0 ; + RECT 9695.0 37465.0 9765.0 37395.0 ; + RECT 9505.0 37430.0 9575.0 37067.5 ; + RECT 9540.0 37465.0 9730.0 37395.0 ; + RECT 9695.0 37772.5 9765.0 37430.0 ; + RECT 9505.0 37067.5 9575.0 36932.5 ; + RECT 9695.0 37907.5 9765.0 37772.5 ; + RECT 9797.5 37465.0 9662.5 37395.0 ; + RECT 8430.0 37375.0 8500.0 37510.0 ; + RECT 8570.0 37647.5 8640.0 37782.5 ; + RECT 9567.5 37610.0 9432.5 37680.0 ; + RECT 9505.0 38675.0 9575.0 38745.0 ; + RECT 9695.0 38675.0 9765.0 38745.0 ; + RECT 9505.0 38710.0 9575.0 39072.5 ; + RECT 9540.0 38675.0 9730.0 38745.0 ; + RECT 9695.0 38367.5 9765.0 38710.0 ; + RECT 9505.0 39072.5 9575.0 39207.5 ; + RECT 9695.0 38232.5 9765.0 38367.5 ; + RECT 9797.5 38675.0 9662.5 38745.0 ; + RECT 8430.0 38630.0 8500.0 38765.0 ; + RECT 8570.0 38357.5 8640.0 38492.5 ; + RECT 9567.5 38460.0 9432.5 38530.0 ; + RECT 9505.0 40155.0 9575.0 40085.0 ; + RECT 9695.0 40155.0 9765.0 40085.0 ; + RECT 9505.0 40120.0 9575.0 39757.5 ; + RECT 9540.0 40155.0 9730.0 40085.0 ; + RECT 9695.0 40462.5 9765.0 40120.0 ; + RECT 9505.0 39757.5 9575.0 39622.5 ; + RECT 9695.0 40597.5 9765.0 40462.5 ; + RECT 9797.5 40155.0 9662.5 40085.0 ; + RECT 8430.0 40065.0 8500.0 40200.0 ; + RECT 8570.0 40337.5 8640.0 40472.5 ; + RECT 9567.5 40300.0 9432.5 40370.0 ; + RECT 9505.0 41365.0 9575.0 41435.0 ; + RECT 9695.0 41365.0 9765.0 41435.0 ; + RECT 9505.0 41400.0 9575.0 41762.5 ; + RECT 9540.0 41365.0 9730.0 41435.0 ; + RECT 9695.0 41057.5 9765.0 41400.0 ; + RECT 9505.0 41762.5 9575.0 41897.5 ; + RECT 9695.0 40922.5 9765.0 41057.5 ; + RECT 9797.5 41365.0 9662.5 41435.0 ; + RECT 8430.0 41320.0 8500.0 41455.0 ; + RECT 8570.0 41047.5 8640.0 41182.5 ; + RECT 9567.5 41150.0 9432.5 41220.0 ; + RECT 9505.0 42845.0 9575.0 42775.0 ; + RECT 9695.0 42845.0 9765.0 42775.0 ; + RECT 9505.0 42810.0 9575.0 42447.5 ; + RECT 9540.0 42845.0 9730.0 42775.0 ; + RECT 9695.0 43152.5 9765.0 42810.0 ; + RECT 9505.0 42447.5 9575.0 42312.5 ; + RECT 9695.0 43287.5 9765.0 43152.5 ; + RECT 9797.5 42845.0 9662.5 42775.0 ; + RECT 8430.0 42755.0 8500.0 42890.0 ; + RECT 8570.0 43027.5 8640.0 43162.5 ; + RECT 9567.5 42990.0 9432.5 43060.0 ; + RECT 9505.0 44055.0 9575.0 44125.0 ; + RECT 9695.0 44055.0 9765.0 44125.0 ; + RECT 9505.0 44090.0 9575.0 44452.5 ; + RECT 9540.0 44055.0 9730.0 44125.0 ; + RECT 9695.0 43747.5 9765.0 44090.0 ; + RECT 9505.0 44452.5 9575.0 44587.5 ; + RECT 9695.0 43612.5 9765.0 43747.5 ; + RECT 9797.5 44055.0 9662.5 44125.0 ; + RECT 8430.0 44010.0 8500.0 44145.0 ; + RECT 8570.0 43737.5 8640.0 43872.5 ; + RECT 9567.5 43840.0 9432.5 43910.0 ; + RECT 9505.0 45535.0 9575.0 45465.0 ; + RECT 9695.0 45535.0 9765.0 45465.0 ; + RECT 9505.0 45500.0 9575.0 45137.5 ; + RECT 9540.0 45535.0 9730.0 45465.0 ; + RECT 9695.0 45842.5 9765.0 45500.0 ; + RECT 9505.0 45137.5 9575.0 45002.5 ; + RECT 9695.0 45977.5 9765.0 45842.5 ; + RECT 9797.5 45535.0 9662.5 45465.0 ; + RECT 8430.0 45445.0 8500.0 45580.0 ; + RECT 8570.0 45717.5 8640.0 45852.5 ; + RECT 9567.5 45680.0 9432.5 45750.0 ; + RECT 9505.0 46745.0 9575.0 46815.0 ; + RECT 9695.0 46745.0 9765.0 46815.0 ; + RECT 9505.0 46780.0 9575.0 47142.5 ; + RECT 9540.0 46745.0 9730.0 46815.0 ; + RECT 9695.0 46437.5 9765.0 46780.0 ; + RECT 9505.0 47142.5 9575.0 47277.5 ; + RECT 9695.0 46302.5 9765.0 46437.5 ; + RECT 9797.5 46745.0 9662.5 46815.0 ; + RECT 8430.0 46700.0 8500.0 46835.0 ; + RECT 8570.0 46427.5 8640.0 46562.5 ; + RECT 9567.5 46530.0 9432.5 46600.0 ; + RECT 9505.0 48225.0 9575.0 48155.0 ; + RECT 9695.0 48225.0 9765.0 48155.0 ; + RECT 9505.0 48190.0 9575.0 47827.5 ; + RECT 9540.0 48225.0 9730.0 48155.0 ; + RECT 9695.0 48532.5 9765.0 48190.0 ; + RECT 9505.0 47827.5 9575.0 47692.5 ; + RECT 9695.0 48667.5 9765.0 48532.5 ; + RECT 9797.5 48225.0 9662.5 48155.0 ; + RECT 8430.0 48135.0 8500.0 48270.0 ; + RECT 8570.0 48407.5 8640.0 48542.5 ; + RECT 9567.5 48370.0 9432.5 48440.0 ; + RECT 9505.0 49435.0 9575.0 49505.0 ; + RECT 9695.0 49435.0 9765.0 49505.0 ; + RECT 9505.0 49470.0 9575.0 49832.5 ; + RECT 9540.0 49435.0 9730.0 49505.0 ; + RECT 9695.0 49127.5 9765.0 49470.0 ; + RECT 9505.0 49832.5 9575.0 49967.5 ; + RECT 9695.0 48992.5 9765.0 49127.5 ; + RECT 9797.5 49435.0 9662.5 49505.0 ; + RECT 8430.0 49390.0 8500.0 49525.0 ; + RECT 8570.0 49117.5 8640.0 49252.5 ; + RECT 9567.5 49220.0 9432.5 49290.0 ; + RECT 9505.0 50915.0 9575.0 50845.0 ; + RECT 9695.0 50915.0 9765.0 50845.0 ; + RECT 9505.0 50880.0 9575.0 50517.5 ; + RECT 9540.0 50915.0 9730.0 50845.0 ; + RECT 9695.0 51222.5 9765.0 50880.0 ; + RECT 9505.0 50517.5 9575.0 50382.5 ; + RECT 9695.0 51357.5 9765.0 51222.5 ; + RECT 9797.5 50915.0 9662.5 50845.0 ; + RECT 8430.0 50825.0 8500.0 50960.0 ; + RECT 8570.0 51097.5 8640.0 51232.5 ; + RECT 9567.5 51060.0 9432.5 51130.0 ; + RECT 9505.0 52125.0 9575.0 52195.0 ; + RECT 9695.0 52125.0 9765.0 52195.0 ; + RECT 9505.0 52160.0 9575.0 52522.5 ; + RECT 9540.0 52125.0 9730.0 52195.0 ; + RECT 9695.0 51817.5 9765.0 52160.0 ; + RECT 9505.0 52522.5 9575.0 52657.5 ; + RECT 9695.0 51682.5 9765.0 51817.5 ; + RECT 9797.5 52125.0 9662.5 52195.0 ; + RECT 8430.0 52080.0 8500.0 52215.0 ; + RECT 8570.0 51807.5 8640.0 51942.5 ; + RECT 9567.5 51910.0 9432.5 51980.0 ; + RECT 9505.0 53605.0 9575.0 53535.0 ; + RECT 9695.0 53605.0 9765.0 53535.0 ; + RECT 9505.0 53570.0 9575.0 53207.5 ; + RECT 9540.0 53605.0 9730.0 53535.0 ; + RECT 9695.0 53912.5 9765.0 53570.0 ; + RECT 9505.0 53207.5 9575.0 53072.5 ; + RECT 9695.0 54047.5 9765.0 53912.5 ; + RECT 9797.5 53605.0 9662.5 53535.0 ; + RECT 8430.0 53515.0 8500.0 53650.0 ; + RECT 8570.0 53787.5 8640.0 53922.5 ; + RECT 9567.5 53750.0 9432.5 53820.0 ; + RECT 9505.0 54815.0 9575.0 54885.0 ; + RECT 9695.0 54815.0 9765.0 54885.0 ; + RECT 9505.0 54850.0 9575.0 55212.5 ; + RECT 9540.0 54815.0 9730.0 54885.0 ; + RECT 9695.0 54507.5 9765.0 54850.0 ; + RECT 9505.0 55212.5 9575.0 55347.5 ; + RECT 9695.0 54372.5 9765.0 54507.5 ; + RECT 9797.5 54815.0 9662.5 54885.0 ; + RECT 8430.0 54770.0 8500.0 54905.0 ; + RECT 8570.0 54497.5 8640.0 54632.5 ; + RECT 9567.5 54600.0 9432.5 54670.0 ; + RECT 9505.0 56295.0 9575.0 56225.0 ; + RECT 9695.0 56295.0 9765.0 56225.0 ; + RECT 9505.0 56260.0 9575.0 55897.5 ; + RECT 9540.0 56295.0 9730.0 56225.0 ; + RECT 9695.0 56602.5 9765.0 56260.0 ; + RECT 9505.0 55897.5 9575.0 55762.5 ; + RECT 9695.0 56737.5 9765.0 56602.5 ; + RECT 9797.5 56295.0 9662.5 56225.0 ; + RECT 8430.0 56205.0 8500.0 56340.0 ; + RECT 8570.0 56477.5 8640.0 56612.5 ; + RECT 9567.5 56440.0 9432.5 56510.0 ; + RECT 9505.0 57505.0 9575.0 57575.0 ; + RECT 9695.0 57505.0 9765.0 57575.0 ; + RECT 9505.0 57540.0 9575.0 57902.5 ; + RECT 9540.0 57505.0 9730.0 57575.0 ; + RECT 9695.0 57197.5 9765.0 57540.0 ; + RECT 9505.0 57902.5 9575.0 58037.5 ; + RECT 9695.0 57062.5 9765.0 57197.5 ; + RECT 9797.5 57505.0 9662.5 57575.0 ; + RECT 8430.0 57460.0 8500.0 57595.0 ; + RECT 8570.0 57187.5 8640.0 57322.5 ; + RECT 9567.5 57290.0 9432.5 57360.0 ; + RECT 9505.0 58985.0 9575.0 58915.0 ; + RECT 9695.0 58985.0 9765.0 58915.0 ; + RECT 9505.0 58950.0 9575.0 58587.5 ; + RECT 9540.0 58985.0 9730.0 58915.0 ; + RECT 9695.0 59292.5 9765.0 58950.0 ; + RECT 9505.0 58587.5 9575.0 58452.5 ; + RECT 9695.0 59427.5 9765.0 59292.5 ; + RECT 9797.5 58985.0 9662.5 58915.0 ; + RECT 8430.0 58895.0 8500.0 59030.0 ; + RECT 8570.0 59167.5 8640.0 59302.5 ; + RECT 9567.5 59130.0 9432.5 59200.0 ; + RECT 9505.0 60195.0 9575.0 60265.0 ; + RECT 9695.0 60195.0 9765.0 60265.0 ; + RECT 9505.0 60230.0 9575.0 60592.5 ; + RECT 9540.0 60195.0 9730.0 60265.0 ; + RECT 9695.0 59887.5 9765.0 60230.0 ; + RECT 9505.0 60592.5 9575.0 60727.5 ; + RECT 9695.0 59752.5 9765.0 59887.5 ; + RECT 9797.5 60195.0 9662.5 60265.0 ; + RECT 8430.0 60150.0 8500.0 60285.0 ; + RECT 8570.0 59877.5 8640.0 60012.5 ; + RECT 9567.5 59980.0 9432.5 60050.0 ; + RECT 9505.0 61675.0 9575.0 61605.0 ; + RECT 9695.0 61675.0 9765.0 61605.0 ; + RECT 9505.0 61640.0 9575.0 61277.5 ; + RECT 9540.0 61675.0 9730.0 61605.0 ; + RECT 9695.0 61982.5 9765.0 61640.0 ; + RECT 9505.0 61277.5 9575.0 61142.5 ; + RECT 9695.0 62117.5 9765.0 61982.5 ; + RECT 9797.5 61675.0 9662.5 61605.0 ; + RECT 8430.0 61585.0 8500.0 61720.0 ; + RECT 8570.0 61857.5 8640.0 61992.5 ; + RECT 9567.5 61820.0 9432.5 61890.0 ; + RECT 9505.0 62885.0 9575.0 62955.0 ; + RECT 9695.0 62885.0 9765.0 62955.0 ; + RECT 9505.0 62920.0 9575.0 63282.5 ; + RECT 9540.0 62885.0 9730.0 62955.0 ; + RECT 9695.0 62577.5 9765.0 62920.0 ; + RECT 9505.0 63282.5 9575.0 63417.5 ; + RECT 9695.0 62442.5 9765.0 62577.5 ; + RECT 9797.5 62885.0 9662.5 62955.0 ; + RECT 8430.0 62840.0 8500.0 62975.0 ; + RECT 8570.0 62567.5 8640.0 62702.5 ; + RECT 9567.5 62670.0 9432.5 62740.0 ; + RECT 9505.0 64365.0 9575.0 64295.0 ; + RECT 9695.0 64365.0 9765.0 64295.0 ; + RECT 9505.0 64330.0 9575.0 63967.5 ; + RECT 9540.0 64365.0 9730.0 64295.0 ; + RECT 9695.0 64672.5 9765.0 64330.0 ; + RECT 9505.0 63967.5 9575.0 63832.5 ; + RECT 9695.0 64807.5 9765.0 64672.5 ; + RECT 9797.5 64365.0 9662.5 64295.0 ; + RECT 8430.0 64275.0 8500.0 64410.0 ; + RECT 8570.0 64547.5 8640.0 64682.5 ; + RECT 9567.5 64510.0 9432.5 64580.0 ; + RECT 9505.0 65575.0 9575.0 65645.0 ; + RECT 9695.0 65575.0 9765.0 65645.0 ; + RECT 9505.0 65610.0 9575.0 65972.5 ; + RECT 9540.0 65575.0 9730.0 65645.0 ; + RECT 9695.0 65267.5 9765.0 65610.0 ; + RECT 9505.0 65972.5 9575.0 66107.5 ; + RECT 9695.0 65132.5 9765.0 65267.5 ; + RECT 9797.5 65575.0 9662.5 65645.0 ; + RECT 8430.0 65530.0 8500.0 65665.0 ; + RECT 8570.0 65257.5 8640.0 65392.5 ; + RECT 9567.5 65360.0 9432.5 65430.0 ; + RECT 9505.0 67055.0 9575.0 66985.0 ; + RECT 9695.0 67055.0 9765.0 66985.0 ; + RECT 9505.0 67020.0 9575.0 66657.5 ; + RECT 9540.0 67055.0 9730.0 66985.0 ; + RECT 9695.0 67362.5 9765.0 67020.0 ; + RECT 9505.0 66657.5 9575.0 66522.5 ; + RECT 9695.0 67497.5 9765.0 67362.5 ; + RECT 9797.5 67055.0 9662.5 66985.0 ; + RECT 8430.0 66965.0 8500.0 67100.0 ; + RECT 8570.0 67237.5 8640.0 67372.5 ; + RECT 9567.5 67200.0 9432.5 67270.0 ; + RECT 9505.0 68265.0 9575.0 68335.0 ; + RECT 9695.0 68265.0 9765.0 68335.0 ; + RECT 9505.0 68300.0 9575.0 68662.5 ; + RECT 9540.0 68265.0 9730.0 68335.0 ; + RECT 9695.0 67957.5 9765.0 68300.0 ; + RECT 9505.0 68662.5 9575.0 68797.5 ; + RECT 9695.0 67822.5 9765.0 67957.5 ; + RECT 9797.5 68265.0 9662.5 68335.0 ; + RECT 8430.0 68220.0 8500.0 68355.0 ; + RECT 8570.0 67947.5 8640.0 68082.5 ; + RECT 9567.5 68050.0 9432.5 68120.0 ; + RECT 9505.0 69745.0 9575.0 69675.0 ; + RECT 9695.0 69745.0 9765.0 69675.0 ; + RECT 9505.0 69710.0 9575.0 69347.5 ; + RECT 9540.0 69745.0 9730.0 69675.0 ; + RECT 9695.0 70052.5 9765.0 69710.0 ; + RECT 9505.0 69347.5 9575.0 69212.5 ; + RECT 9695.0 70187.5 9765.0 70052.5 ; + RECT 9797.5 69745.0 9662.5 69675.0 ; + RECT 8430.0 69655.0 8500.0 69790.0 ; + RECT 8570.0 69927.5 8640.0 70062.5 ; + RECT 9567.5 69890.0 9432.5 69960.0 ; + RECT 8430.0 27310.0 8500.0 70350.0 ; + RECT 4655.0 10760.0 11095.0 10055.0 ; + RECT 4655.0 9350.0 11095.0 10055.0 ; + RECT 4655.0 9350.0 11095.0 8645.0 ; + RECT 4655.0 7940.0 11095.0 8645.0 ; + RECT 4655.0 7940.0 11095.0 7235.0 ; + RECT 4655.0 6530.0 11095.0 7235.0 ; + RECT 4655.0 6530.0 11095.0 5825.0 ; + RECT 4655.0 10442.5 4800.0 10372.5 ; + RECT 4655.0 9737.5 4800.0 9667.5 ; + RECT 4655.0 9032.5 4800.0 8962.5 ; + RECT 4655.0 8327.5 4800.0 8257.5 ; + RECT 4655.0 7622.5 4800.0 7552.5 ; + RECT 4655.0 6917.5 4800.0 6847.5 ; + RECT 4655.0 6212.5 4800.0 6142.5 ; + RECT 10825.0 10442.5 11095.0 10372.5 ; + RECT 10407.5 10597.5 11095.0 10527.5 ; + RECT 10825.0 9737.5 11095.0 9667.5 ; + RECT 10407.5 9582.5 11095.0 9512.5 ; + RECT 10825.0 9032.5 11095.0 8962.5 ; + RECT 10407.5 9187.5 11095.0 9117.5 ; + RECT 10825.0 8327.5 11095.0 8257.5 ; + RECT 10407.5 8172.5 11095.0 8102.5 ; + RECT 10825.0 7622.5 11095.0 7552.5 ; + RECT 10407.5 7777.5 11095.0 7707.5 ; + RECT 10825.0 6917.5 11095.0 6847.5 ; + RECT 10407.5 6762.5 11095.0 6692.5 ; + RECT 10825.0 6212.5 11095.0 6142.5 ; + RECT 10407.5 6367.5 11095.0 6297.5 ; + RECT 4655.0 10795.0 11095.0 10725.0 ; + RECT 4655.0 10090.0 11095.0 10020.0 ; + RECT 4655.0 9385.0 11095.0 9315.0 ; + RECT 4655.0 8680.0 11095.0 8610.0 ; + RECT 4655.0 7975.0 11095.0 7905.0 ; + RECT 4655.0 7270.0 11095.0 7200.0 ; + RECT 4655.0 6565.0 11095.0 6495.0 ; + RECT 4655.0 5860.0 11095.0 5790.0 ; + RECT 16192.5 5815.0 16262.5 5950.0 ; + RECT 19012.5 5815.0 19082.5 5950.0 ; + RECT 16402.5 35.0 16472.5 170.0 ; + RECT 19222.5 35.0 19292.5 170.0 ; + RECT 13992.5 27345.0 14127.5 27275.0 ; + RECT 13992.5 30035.0 14127.5 29965.0 ; + RECT 13992.5 32725.0 14127.5 32655.0 ; + RECT 13992.5 35415.0 14127.5 35345.0 ; + RECT 13992.5 38105.0 14127.5 38035.0 ; + RECT 13992.5 40795.0 14127.5 40725.0 ; + RECT 13992.5 43485.0 14127.5 43415.0 ; + RECT 13992.5 46175.0 14127.5 46105.0 ; + RECT 13992.5 48865.0 14127.5 48795.0 ; + RECT 13992.5 51555.0 14127.5 51485.0 ; + RECT 13992.5 54245.0 14127.5 54175.0 ; + RECT 13992.5 56935.0 14127.5 56865.0 ; + RECT 13992.5 59625.0 14127.5 59555.0 ; + RECT 13992.5 62315.0 14127.5 62245.0 ; + RECT 13992.5 65005.0 14127.5 64935.0 ; + RECT 13992.5 67695.0 14127.5 67625.0 ; + RECT 13992.5 70385.0 14127.5 70315.0 ; + RECT 10720.0 11340.0 10585.0 11410.0 ; + RECT 12045.0 11340.0 11910.0 11410.0 ; + RECT 10445.0 12685.0 10310.0 12755.0 ; + RECT 12250.0 12685.0 12115.0 12755.0 ; + RECT 11635.0 16720.0 11500.0 16790.0 ; + RECT 12455.0 16720.0 12320.0 16790.0 ; + RECT 11360.0 18065.0 11225.0 18135.0 ; + RECT 12660.0 18065.0 12525.0 18135.0 ; + RECT 11085.0 19410.0 10950.0 19480.0 ; + RECT 12865.0 19410.0 12730.0 19480.0 ; + RECT 11840.0 11135.0 11705.0 11205.0 ; + RECT 11840.0 11135.0 11705.0 11205.0 ; + RECT 13925.0 11205.0 14060.0 11135.0 ; + RECT 11840.0 13825.0 11705.0 13895.0 ; + RECT 11840.0 13825.0 11705.0 13895.0 ; + RECT 13925.0 13895.0 14060.0 13825.0 ; + RECT 11840.0 16515.0 11705.0 16585.0 ; + RECT 11840.0 16515.0 11705.0 16585.0 ; + RECT 13925.0 16585.0 14060.0 16515.0 ; + RECT 11840.0 19205.0 11705.0 19275.0 ; + RECT 11840.0 19205.0 11705.0 19275.0 ; + RECT 13925.0 19275.0 14060.0 19205.0 ; + RECT 11840.0 21895.0 11705.0 21965.0 ; + RECT 11840.0 21895.0 11705.0 21965.0 ; + RECT 13925.0 21965.0 14060.0 21895.0 ; + RECT 11840.0 24585.0 11705.0 24655.0 ; + RECT 11840.0 24585.0 11705.0 24655.0 ; + RECT 13925.0 24655.0 14060.0 24585.0 ; + RECT 13070.0 25305.0 12935.0 25375.0 ; + RECT 13275.0 25165.0 13140.0 25235.0 ; + RECT 13480.0 25025.0 13345.0 25095.0 ; + RECT 13685.0 24885.0 13550.0 24955.0 ; + RECT 13070.0 627.5 12935.0 697.5 ; + RECT 13275.0 2062.5 13140.0 2132.5 ; + RECT 13480.0 3317.5 13345.0 3387.5 ; + RECT 13685.0 4752.5 13550.0 4822.5 ; + RECT 13992.5 70.0 14127.5 2.49800180541e-13 ; + RECT 13992.5 2760.0 14127.5 2690.0 ; + RECT 13992.5 5450.0 14127.5 5380.0 ; + RECT 11162.5 6847.5 11027.5 6917.5 ; + RECT 7260.0 5207.5 7330.0 5342.5 ; + RECT 11162.5 6142.5 11027.5 6212.5 ; + RECT 7535.0 5207.5 7605.0 5342.5 ; + RECT 11162.5 10372.5 11027.5 10442.5 ; + RECT 12045.0 10372.5 11910.0 10442.5 ; + RECT 11162.5 9667.5 11027.5 9737.5 ; + RECT 12250.0 9667.5 12115.0 9737.5 ; + RECT 11162.5 8962.5 11027.5 9032.5 ; + RECT 12455.0 8962.5 12320.0 9032.5 ; + RECT 11162.5 8257.5 11027.5 8327.5 ; + RECT 12660.0 8257.5 12525.0 8327.5 ; + RECT 11162.5 7552.5 11027.5 7622.5 ; + RECT 12865.0 7552.5 12730.0 7622.5 ; + RECT 11230.0 10725.0 11095.0 10795.0 ; + RECT 14127.5 10725.0 13992.5 10795.0 ; + RECT 11230.0 10020.0 11095.0 10090.0 ; + RECT 14127.5 10020.0 13992.5 10090.0 ; + RECT 11230.0 9315.0 11095.0 9385.0 ; + RECT 14127.5 9315.0 13992.5 9385.0 ; + RECT 11230.0 8610.0 11095.0 8680.0 ; + RECT 14127.5 8610.0 13992.5 8680.0 ; + RECT 11230.0 7905.0 11095.0 7975.0 ; + RECT 14127.5 7905.0 13992.5 7975.0 ; + RECT 11230.0 7200.0 11095.0 7270.0 ; + RECT 14127.5 7200.0 13992.5 7270.0 ; + RECT 11230.0 6495.0 11095.0 6565.0 ; + RECT 14127.5 6495.0 13992.5 6565.0 ; + RECT 11230.0 5790.0 11095.0 5860.0 ; + RECT 14127.5 5790.0 13992.5 5860.0 ; + RECT 15265.0 9167.5 15130.0 9237.5 ; + RECT 14855.0 6982.5 14720.0 7052.5 ; + RECT 15060.0 8530.0 14925.0 8600.0 ; + RECT 15265.0 71325.0 15130.0 71395.0 ; + RECT 15470.0 15670.0 15335.0 15740.0 ; + RECT 15675.0 19695.0 15540.0 19765.0 ; + RECT 14650.0 10930.0 14515.0 11000.0 ; + RECT 8532.5 70520.0 8397.5 70590.0 ; + RECT 14650.0 70520.0 14515.0 70590.0 ; + RECT 14342.5 8400.0 14207.5 8470.0 ; + RECT 14342.5 19825.0 14207.5 19895.0 ; + RECT 14342.5 9327.5 14207.5 9397.5 ; + RECT 14342.5 16602.5 14207.5 16672.5 ; + RECT 16402.5 35.0 16472.5 175.0 ; + RECT 19222.5 35.0 19292.5 175.0 ; + RECT 15572.5 35.0 15642.5 72077.5 ; + RECT 15367.5 35.0 15437.5 72077.5 ; + RECT 14752.5 35.0 14822.5 72077.5 ; + RECT 14957.5 35.0 15027.5 72077.5 ; + RECT 15162.5 35.0 15232.5 72077.5 ; + RECT 14547.5 35.0 14617.5 72077.5 ; + RECT 13992.5 35.0 14342.5 72077.5 ; + RECT 4035.0 34710.0 8.881784197e-13 34780.0 ; + RECT 4035.0 34915.0 8.881784197e-13 34985.0 ; + RECT 4035.0 35120.0 8.881784197e-13 35190.0 ; + RECT 4035.0 35530.0 8.881784197e-13 35600.0 ; + RECT 3422.5 30220.0 2690.0 30290.0 ; + RECT 2520.0 27687.5 2450.0 34335.0 ; + RECT 4035.0 34505.0 3830.0 34575.0 ; + RECT 2895.0 35325.0 2690.0 35395.0 ; + RECT 1550.0 34505.0 1345.0 34575.0 ; + RECT 205.0 35325.0 8.881784197e-13 35395.0 ; + RECT 165.0 27450.0 870.0 33890.0 ; + RECT 1575.0 27450.0 870.0 33890.0 ; + RECT 1575.0 27450.0 2280.0 33890.0 ; + RECT 482.5 27450.0 552.5 27595.0 ; + RECT 1187.5 27450.0 1257.5 27595.0 ; + RECT 1892.5 27450.0 1962.5 27595.0 ; + RECT 482.5 33620.0 552.5 33890.0 ; + RECT 327.5 33202.5 397.5 33890.0 ; + RECT 1187.5 33620.0 1257.5 33890.0 ; + RECT 1342.5 33202.5 1412.5 33890.0 ; + RECT 1892.5 33620.0 1962.5 33890.0 ; + RECT 1737.5 33202.5 1807.5 33890.0 ; + RECT 130.0 27450.0 200.0 33890.0 ; + RECT 835.0 27450.0 905.0 33890.0 ; + RECT 1540.0 27450.0 1610.0 33890.0 ; + RECT 2245.0 27450.0 2315.0 33890.0 ; + RECT 3737.5 36780.0 3032.5 36850.0 ; + RECT 3382.5 36400.0 3312.5 36470.0 ; + RECT 3382.5 36780.0 3312.5 36850.0 ; + RECT 3347.5 36400.0 3032.5 36470.0 ; + RECT 3382.5 36435.0 3312.5 36815.0 ; + RECT 3737.5 36780.0 3347.5 36850.0 ; + RECT 3032.5 36400.0 2897.5 36470.0 ; + RECT 3032.5 36780.0 2897.5 36850.0 ; + RECT 3872.5 36780.0 3737.5 36850.0 ; + RECT 3415.0 36780.0 3280.0 36850.0 ; + RECT 1895.0 36590.0 1965.0 36660.0 ; + RECT 1930.0 36590.0 2280.0 36660.0 ; + RECT 1895.0 36625.0 1965.0 36695.0 ; + RECT 1495.0 36590.0 1565.0 36660.0 ; + RECT 1495.0 36467.5 1565.0 36625.0 ; + RECT 1530.0 36590.0 1930.0 36660.0 ; + RECT 2280.0 36590.0 2415.0 36660.0 ; + RECT 1495.0 36502.5 1565.0 36367.5 ; + RECT 1895.0 36762.5 1965.0 36627.5 ; + RECT 1950.0 37545.0 2020.0 37615.0 ; + RECT 1950.0 37735.0 2020.0 37805.0 ; + RECT 1985.0 37545.0 2347.5 37615.0 ; + RECT 1950.0 37580.0 2020.0 37770.0 ; + RECT 1642.5 37735.0 1985.0 37805.0 ; + RECT 2347.5 37545.0 2482.5 37615.0 ; + RECT 1507.5 37735.0 1642.5 37805.0 ; + RECT 1950.0 37837.5 2020.0 37702.5 ; + RECT 1047.5 37340.0 342.5 37410.0 ; + RECT 692.5 36960.0 622.5 37030.0 ; + RECT 692.5 37340.0 622.5 37410.0 ; + RECT 657.5 36960.0 342.5 37030.0 ; + RECT 692.5 36995.0 622.5 37375.0 ; + RECT 1047.5 37340.0 657.5 37410.0 ; + RECT 342.5 36960.0 207.5 37030.0 ; + RECT 342.5 37340.0 207.5 37410.0 ; + RECT 1182.5 37340.0 1047.5 37410.0 ; + RECT 725.0 37340.0 590.0 37410.0 ; + RECT 397.5 33957.5 327.5 33822.5 ; + RECT 397.5 35632.5 327.5 35497.5 ; + RECT 552.5 33957.5 482.5 33822.5 ; + RECT 552.5 34812.5 482.5 34677.5 ; + RECT 1412.5 33957.5 1342.5 33822.5 ; + RECT 1412.5 35017.5 1342.5 34882.5 ; + RECT 1807.5 33957.5 1737.5 33822.5 ; + RECT 1807.5 35222.5 1737.5 35087.5 ; + RECT 200.0 33957.5 130.0 33822.5 ; + RECT 200.0 34607.5 130.0 34472.5 ; + RECT 905.0 33957.5 835.0 33822.5 ; + RECT 905.0 34607.5 835.0 34472.5 ; + RECT 1610.0 33957.5 1540.0 33822.5 ; + RECT 1610.0 34607.5 1540.0 34472.5 ; + RECT 2315.0 33957.5 2245.0 33822.5 ; + RECT 2315.0 34607.5 2245.0 34472.5 ; + RECT 1380.0 40115.0 1310.0 47865.0 ; + RECT 970.0 40115.0 900.0 47555.0 ; + RECT 265.0 40115.0 195.0 47555.0 ; + RECT 1207.5 40282.5 1137.5 40880.0 ; + RECT 785.0 40282.5 715.0 40562.5 ; + RECT 3372.5 42677.5 3442.5 43072.5 ; + RECT 3372.5 43072.5 3442.5 43632.5 ; + RECT 3372.5 43632.5 3442.5 44192.5 ; + RECT 3372.5 44192.5 3442.5 44752.5 ; + RECT 3372.5 44917.5 3442.5 45312.5 ; + RECT 3372.5 45312.5 3442.5 45872.5 ; + RECT 3372.5 45872.5 3442.5 46432.5 ; + RECT 3372.5 46432.5 3442.5 46992.5 ; + RECT 3372.5 47157.5 3442.5 47552.5 ; + RECT 3372.5 47552.5 3442.5 48112.5 ; + RECT 3372.5 48112.5 3442.5 48672.5 ; + RECT 3372.5 48672.5 3442.5 49232.5 ; + RECT 3372.5 49397.5 3442.5 49792.5 ; + RECT 3372.5 49792.5 3442.5 50352.5 ; + RECT 3372.5 50352.5 3442.5 50912.5 ; + RECT 3372.5 50912.5 3442.5 51472.5 ; + RECT 2655.0 51602.5 2725.0 51672.5 ; + RECT 2655.0 51122.5 2725.0 51192.5 ; + RECT 2690.0 51602.5 3407.5 51672.5 ; + RECT 2655.0 51157.5 2725.0 51637.5 ; + RECT 1972.5 51122.5 2690.0 51192.5 ; + RECT 1937.5 50597.5 2007.5 51157.5 ; + RECT 1937.5 50037.5 2007.5 50597.5 ; + RECT 1937.5 49477.5 2007.5 50037.5 ; + RECT 1937.5 48917.5 2007.5 49312.5 ; + RECT 1937.5 48357.5 2007.5 48917.5 ; + RECT 1937.5 47797.5 2007.5 48357.5 ; + RECT 1937.5 47237.5 2007.5 47797.5 ; + RECT 1937.5 46677.5 2007.5 47072.5 ; + RECT 1937.5 46117.5 2007.5 46677.5 ; + RECT 1937.5 45557.5 2007.5 46117.5 ; + RECT 1937.5 44997.5 2007.5 45557.5 ; + RECT 1937.5 44437.5 2007.5 44832.5 ; + RECT 1937.5 43877.5 2007.5 44437.5 ; + RECT 1937.5 43317.5 2007.5 43877.5 ; + RECT 1937.5 42757.5 2007.5 43317.5 ; + RECT 3340.0 43037.5 3475.0 43107.5 ; + RECT 3340.0 43597.5 3475.0 43667.5 ; + RECT 3340.0 44157.5 3475.0 44227.5 ; + RECT 3340.0 44717.5 3475.0 44787.5 ; + RECT 3340.0 45277.5 3475.0 45347.5 ; + RECT 3340.0 45837.5 3475.0 45907.5 ; + RECT 3340.0 46397.5 3475.0 46467.5 ; + RECT 3340.0 46957.5 3475.0 47027.5 ; + RECT 3340.0 47517.5 3475.0 47587.5 ; + RECT 3340.0 48077.5 3475.0 48147.5 ; + RECT 3340.0 48637.5 3475.0 48707.5 ; + RECT 3340.0 49197.5 3475.0 49267.5 ; + RECT 3340.0 49757.5 3475.0 49827.5 ; + RECT 3340.0 50317.5 3475.0 50387.5 ; + RECT 3340.0 50877.5 3475.0 50947.5 ; + RECT 3340.0 51437.5 3475.0 51507.5 ; + RECT 1905.0 51122.5 2040.0 51192.5 ; + RECT 1905.0 50562.5 2040.0 50632.5 ; + RECT 1905.0 50002.5 2040.0 50072.5 ; + RECT 1905.0 49442.5 2040.0 49512.5 ; + RECT 1905.0 48882.5 2040.0 48952.5 ; + RECT 1905.0 48322.5 2040.0 48392.5 ; + RECT 1905.0 47762.5 2040.0 47832.5 ; + RECT 1905.0 47202.5 2040.0 47272.5 ; + RECT 1905.0 46642.5 2040.0 46712.5 ; + RECT 1905.0 46082.5 2040.0 46152.5 ; + RECT 1905.0 45522.5 2040.0 45592.5 ; + RECT 1905.0 44962.5 2040.0 45032.5 ; + RECT 1905.0 44402.5 2040.0 44472.5 ; + RECT 1905.0 43842.5 2040.0 43912.5 ; + RECT 1905.0 43282.5 2040.0 43352.5 ; + RECT 1905.0 42722.5 2040.0 42792.5 ; + RECT 3340.0 42642.5 3475.0 42712.5 ; + RECT 3340.0 44882.5 3475.0 44952.5 ; + RECT 3340.0 47122.5 3475.0 47192.5 ; + RECT 3340.0 49362.5 3475.0 49432.5 ; + RECT 3340.0 51602.5 3475.0 51672.5 ; + RECT 1905.0 49277.5 2040.0 49347.5 ; + RECT 1905.0 47037.5 2040.0 47107.5 ; + RECT 1905.0 44797.5 2040.0 44867.5 ; + RECT 935.0 42020.0 225.0 40675.0 ; + RECT 935.0 42020.0 230.0 43365.0 ; + RECT 935.0 44710.0 230.0 43365.0 ; + RECT 935.0 44710.0 230.0 46055.0 ; + RECT 935.0 47400.0 230.0 46055.0 ; + RECT 785.0 41920.0 715.0 47555.0 ; + RECT 450.0 41920.0 380.0 47555.0 ; + RECT 970.0 41920.0 900.0 47555.0 ; + RECT 265.0 41920.0 195.0 47555.0 ; + RECT 1347.5 42092.5 1277.5 42227.5 ; + RECT 1347.5 44502.5 1277.5 44637.5 ; + RECT 1347.5 44782.5 1277.5 44917.5 ; + RECT 1347.5 47192.5 1277.5 47327.5 ; + RECT 1345.0 42355.0 1275.0 42490.0 ; + RECT 1380.0 39980.0 1310.0 40115.0 ; + RECT 867.5 40080.0 1002.5 40150.0 ; + RECT 162.5 40080.0 297.5 40150.0 ; + RECT 1105.0 40845.0 1240.0 40915.0 ; + RECT 1105.0 40247.5 1240.0 40317.5 ; + RECT 682.5 40247.5 817.5 40317.5 ; + RECT 3457.5 34402.5 3387.5 34267.5 ; + RECT 3457.5 30322.5 3387.5 30187.5 ; + RECT 2725.0 30322.5 2655.0 30187.5 ; + RECT 2725.0 35837.5 2655.0 35702.5 ; + RECT 2520.0 27755.0 2450.0 27620.0 ; + RECT 1965.0 34402.5 1895.0 34267.5 ; + RECT 1750.0 34812.5 1680.0 34677.5 ; + RECT 2020.0 37350.0 1950.0 37215.0 ; + RECT 2020.0 37350.0 1950.0 37215.0 ; + RECT 2020.0 35837.5 1950.0 35702.5 ; + RECT 1805.0 37607.5 1735.0 37472.5 ; + RECT 1805.0 37607.5 1735.0 37472.5 ; + RECT 1805.0 35632.5 1735.0 35497.5 ; + RECT 3382.5 35837.5 3312.5 35702.5 ; + RECT 3522.5 35632.5 3452.5 35497.5 ; + RECT 3662.5 35017.5 3592.5 34882.5 ; + RECT 692.5 35837.5 622.5 35702.5 ; + RECT 832.5 35017.5 762.5 34882.5 ; + RECT 972.5 35222.5 902.5 35087.5 ; + RECT 1997.5 37030.0 1862.5 37100.0 ; + RECT 2052.5 38175.0 1917.5 38245.0 ; + RECT 785.0 39360.0 650.0 39430.0 ; + RECT 2040.0 38400.0 1905.0 38470.0 ; + RECT 4070.0 34607.5 4000.0 34472.5 ; + RECT 2725.0 35427.5 2655.0 35292.5 ; + RECT 1380.0 34607.5 1310.0 34472.5 ; + RECT 35.0 35427.5 -35.0 35292.5 ; + RECT 4035.0 38400.0 1972.5 38470.0 ; + RECT 4035.0 39360.0 717.5 39430.0 ; + RECT 4035.0 37030.0 1930.0 37100.0 ; + RECT 4035.0 38175.0 1985.0 38245.0 ; + RECT 4035.0 35735.0 8.881784197e-13 35805.0 ; + RECT 4035.0 34300.0 0.0 34370.0 ; + RECT 4035.0 35325.0 8.881784197e-13 35395.0 ; + RECT 4035.0 34505.0 0.0 34575.0 ; + RECT 15675.0 38400.0 15540.0 38470.0 ; + RECT 4035.0 38400.0 3900.0 38470.0 ; + RECT 15470.0 39360.0 15335.0 39430.0 ; + RECT 4035.0 39360.0 3900.0 39430.0 ; + RECT 15060.0 37030.0 14925.0 37100.0 ; + RECT 4035.0 37030.0 3900.0 37100.0 ; + RECT 14855.0 38175.0 14720.0 38245.0 ; + RECT 4035.0 38175.0 3900.0 38245.0 ; + RECT 15265.0 35735.0 15130.0 35805.0 ; + RECT 4035.0 35735.0 3900.0 35805.0 ; + RECT 14650.0 34300.0 14515.0 34370.0 ; + RECT 4035.0 34300.0 3900.0 34370.0 ; + RECT 4417.5 35325.0 4282.5 35395.0 ; + RECT 14235.0 34505.0 14100.0 34575.0 ; + RECT 4035.0 34505.0 3900.0 34575.0 ; + LAYER metal3 ; + RECT 4035.0 38400.0 15607.5 38470.0 ; + RECT 4035.0 39360.0 15402.5 39430.0 ; + RECT 4035.0 37030.0 14992.5 37100.0 ; + RECT 4035.0 38175.0 14787.5 38245.0 ; + RECT 4035.0 35735.0 15197.5 35805.0 ; + RECT 4035.0 34300.0 14582.5 34370.0 ; + RECT 4035.0 34505.0 14167.5 34575.0 ; + RECT 16192.5 24360.0 16262.5 24430.0 ; + RECT 16192.5 5850.0 16262.5 24395.0 ; + RECT 16227.5 24360.0 16397.5 24430.0 ; + RECT 19012.5 24360.0 19082.5 24430.0 ; + RECT 19012.5 5850.0 19082.5 24395.0 ; + RECT 19047.5 24360.0 19217.5 24430.0 ; + RECT 16402.5 35.0 16472.5 8965.0 ; + RECT 19222.5 35.0 19292.5 8965.0 ; + RECT 11772.5 11135.0 13992.5 11205.0 ; + RECT 11772.5 13825.0 13992.5 13895.0 ; + RECT 11772.5 16515.0 13992.5 16585.0 ; + RECT 11772.5 19205.0 13992.5 19275.0 ; + RECT 11772.5 21895.0 13992.5 21965.0 ; + RECT 11772.5 24585.0 13992.5 24655.0 ; + RECT 7260.0 6847.5 7330.0 6917.5 ; + RECT 7295.0 6847.5 11095.0 6917.5 ; + RECT 7260.0 5275.0 7330.0 6882.5 ; + RECT 7535.0 6142.5 7605.0 6212.5 ; + RECT 7570.0 6142.5 11095.0 6212.5 ; + RECT 7535.0 5275.0 7605.0 6177.5 ; + RECT 16397.5 24325.0 16467.5 24465.0 ; + RECT 19217.5 24325.0 19287.5 24465.0 ; + RECT 16402.5 8965.0 16472.5 9105.0 ; + RECT 19222.5 8965.0 19292.5 9105.0 ; + RECT 4655.0 10442.5 4795.0 10372.5 ; + RECT 4655.0 9737.5 4795.0 9667.5 ; + RECT 4655.0 9032.5 4795.0 8962.5 ; + RECT 4655.0 8327.5 4795.0 8257.5 ; + RECT 4655.0 7622.5 4795.0 7552.5 ; + RECT 4655.0 6917.5 4795.0 6847.5 ; + RECT 4655.0 6212.5 4795.0 6142.5 ; + RECT 16192.5 5815.0 16262.5 5950.0 ; + RECT 19012.5 5815.0 19082.5 5950.0 ; + RECT 16402.5 35.0 16472.5 170.0 ; + RECT 19222.5 35.0 19292.5 170.0 ; + RECT 11840.0 11135.0 11705.0 11205.0 ; + RECT 13925.0 11205.0 14060.0 11135.0 ; + RECT 11840.0 13825.0 11705.0 13895.0 ; + RECT 13925.0 13895.0 14060.0 13825.0 ; + RECT 11840.0 16515.0 11705.0 16585.0 ; + RECT 13925.0 16585.0 14060.0 16515.0 ; + RECT 11840.0 19205.0 11705.0 19275.0 ; + RECT 13925.0 19275.0 14060.0 19205.0 ; + RECT 11840.0 21895.0 11705.0 21965.0 ; + RECT 13925.0 21965.0 14060.0 21895.0 ; + RECT 11840.0 24585.0 11705.0 24655.0 ; + RECT 13925.0 24655.0 14060.0 24585.0 ; + RECT 11162.5 6847.5 11027.5 6917.5 ; + RECT 7260.0 5207.5 7330.0 5342.5 ; + RECT 11162.5 6142.5 11027.5 6212.5 ; + RECT 7535.0 5207.5 7605.0 5342.5 ; + RECT 4175.0 10372.5 4655.0 10442.5 ; + RECT 4175.0 9667.5 4655.0 9737.5 ; + RECT 4175.0 8962.5 4655.0 9032.5 ; + RECT 4175.0 8257.5 4655.0 8327.5 ; + RECT 4175.0 7552.5 4655.0 7622.5 ; + RECT 4175.0 6847.5 4655.0 6917.5 ; + RECT 4175.0 6142.5 4655.0 6212.5 ; + RECT 397.5 33890.0 327.5 35565.0 ; + RECT 552.5 33890.0 482.5 34745.0 ; + RECT 1412.5 33890.0 1342.5 34950.0 ; + RECT 1807.5 33890.0 1737.5 35155.0 ; + RECT 200.0 33890.0 130.0 34540.0 ; + RECT 905.0 33890.0 835.0 34540.0 ; + RECT 1610.0 33890.0 1540.0 34540.0 ; + RECT 2315.0 33890.0 2245.0 34540.0 ; + RECT 2725.0 30255.0 2655.0 35770.0 ; + RECT 2020.0 35770.0 1950.0 37282.5 ; + RECT 1805.0 35565.0 1735.0 37540.0 ; + RECT 482.5 27450.0 552.5 27590.0 ; + RECT 1187.5 27450.0 1257.5 27590.0 ; + RECT 1892.5 27450.0 1962.5 27590.0 ; + RECT 397.5 33957.5 327.5 33822.5 ; + RECT 397.5 35632.5 327.5 35497.5 ; + RECT 552.5 33957.5 482.5 33822.5 ; + RECT 552.5 34812.5 482.5 34677.5 ; + RECT 1412.5 33957.5 1342.5 33822.5 ; + RECT 1412.5 35017.5 1342.5 34882.5 ; + RECT 1807.5 33957.5 1737.5 33822.5 ; + RECT 1807.5 35222.5 1737.5 35087.5 ; + RECT 200.0 33957.5 130.0 33822.5 ; + RECT 200.0 34607.5 130.0 34472.5 ; + RECT 905.0 33957.5 835.0 33822.5 ; + RECT 905.0 34607.5 835.0 34472.5 ; + RECT 1610.0 33957.5 1540.0 33822.5 ; + RECT 1610.0 34607.5 1540.0 34472.5 ; + RECT 2315.0 33957.5 2245.0 33822.5 ; + RECT 2315.0 34607.5 2245.0 34472.5 ; + RECT 2725.0 30322.5 2655.0 30187.5 ; + RECT 2725.0 35837.5 2655.0 35702.5 ; + RECT 2020.0 37350.0 1950.0 37215.0 ; + RECT 2020.0 35837.5 1950.0 35702.5 ; + RECT 1805.0 37607.5 1735.0 37472.5 ; + RECT 1805.0 35632.5 1735.0 35497.5 ; + RECT 1257.5 27450.0 1187.5 27590.0 ; + RECT 1962.5 27450.0 1892.5 27590.0 ; + RECT 552.5 27450.0 482.5 27590.0 ; + RECT 15675.0 38400.0 15540.0 38470.0 ; + RECT 4035.0 38400.0 3900.0 38470.0 ; + RECT 15470.0 39360.0 15335.0 39430.0 ; + RECT 4035.0 39360.0 3900.0 39430.0 ; + RECT 15060.0 37030.0 14925.0 37100.0 ; + RECT 4035.0 37030.0 3900.0 37100.0 ; + RECT 14855.0 38175.0 14720.0 38245.0 ; + RECT 4035.0 38175.0 3900.0 38245.0 ; + RECT 15265.0 35735.0 15130.0 35805.0 ; + RECT 4035.0 35735.0 3900.0 35805.0 ; + RECT 14650.0 34300.0 14515.0 34370.0 ; + RECT 4035.0 34300.0 3900.0 34370.0 ; + RECT 14235.0 34505.0 14100.0 34575.0 ; + RECT 4035.0 34505.0 3900.0 34575.0 ; + END + END sram_2_16_1_freepdk45 +END LIBRARY diff --git a/compiler/temp/sram_2_16_1_freepdk45.lib b/compiler/temp/sram_2_16_1_freepdk45.lib new file mode 100644 index 00000000..3bc45b0c --- /dev/null +++ b/compiler/temp/sram_2_16_1_freepdk45.lib @@ -0,0 +1,329 @@ +library (sram_2_16_1_freepdk45_lib){ + delay_model : "table_lookup"; + time_unit : "1ns" ; + voltage_unit : "1v" ; + current_unit : "1mA" ; + resistance_unit : "1kohm" ; + capacitive_load_unit(1 ,fF) ; + leakage_power_unit : "1mW" ; + pulling_resistance_unit :"1kohm" ; + operating_conditions(TT){ + voltage : 1.0 ; + temperature : 25.000 ; + } + + input_threshold_pct_fall : 50.0 ; + output_threshold_pct_fall : 50.0 ; + input_threshold_pct_rise : 50.0 ; + output_threshold_pct_rise : 50.0 ; + slew_lower_threshold_pct_fall : 10.0 ; + slew_upper_threshold_pct_fall : 90.0 ; + slew_lower_threshold_pct_rise : 10.0 ; + slew_upper_threshold_pct_rise : 90.0 ; + + default_cell_leakage_power : 0.0 ; + default_leakage_power_density : 0.0 ; + default_input_pin_cap : 1.0 ; + default_inout_pin_cap : 1.0 ; + default_output_pin_cap : 0.0 ; + default_max_transition : 0.5 ; + default_fanout_load : 1.0 ; + default_max_fanout : 4.0 ; + default_connection_class : universal ; + + lu_table_template(CELL_TABLE){ + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1("0.00125, 0.005, 0.04"); + index_2("0.052275, 0.2091, 1.6728"); + } + + lu_table_template(CONSTRAINT_TABLE){ + variable_1 : related_pin_transition; + variable_2 : constrained_pin_transition; + index_1("0.00125, 0.005, 0.04"); + index_2("0.00125, 0.005, 0.04"); + } + + default_operating_conditions : TT; + + + type (DATA){ + base_type : array; + data_type : bit; + bit_width : 2; + bit_from : 0; + bit_to : 1; + } + + type (ADDR){ + base_type : array; + data_type : bit; + bit_width : 7; + bit_from : 0; + bit_to : 6; + } + +cell (sram_2_16_1_freepdk45){ + memory(){ + type : ram; + address_width : 7; + word_width : 2; + } + interface_timing : true; + dont_use : true; + map_only : true; + dont_touch : true; + area : 1756.7563625; + + bus(DATA){ + bus_type : DATA; + direction : inout; + max_capacitance : 1.6728; + three_state : "!OEb & !clk"; + memory_write(){ + address : ADDR; + clocked_on : clk; + } + memory_read(){ + address : ADDR; + } + pin(DATA[1:0]){ + internal_power(){ + when : "OEb & !clk"; + rise_power(scalar){ + values("0"); + } + fall_power(scalar){ + values("0"); + } + } + timing(){ + timing_type : setup_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + } + timing(){ + timing_type : hold_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + } + internal_power(){ + when : "!OEb & !clk"; + rise_power(scalar){ + values("0"); + } + fall_power(scalar){ + values("0"); + } + } + timing(){ + timing_sense : non_unate; + related_pin : "clk"; + timing_type : falling_edge; + cell_rise(CELL_TABLE) { + values("0.167, 0.168, 0.177",\ + "0.167, 0.168, 0.177",\ + "0.167, 0.168, 0.177"); + } + cell_fall(CELL_TABLE) { + values("0.167, 0.168, 0.177",\ + "0.167, 0.168, 0.177",\ + "0.167, 0.168, 0.177"); + } + rise_transition(CELL_TABLE) { + values("0.006, 0.007, 0.018",\ + "0.006, 0.007, 0.018",\ + "0.006, 0.007, 0.018"); + } + fall_transition(CELL_TABLE) { + values("0.006, 0.007, 0.018",\ + "0.006, 0.007, 0.018",\ + "0.006, 0.007, 0.018"); + } + } + } + } + + bus(ADDR){ + bus_type : ADDR; + direction : input; + capacitance : 0.2091; + max_transition : 0.04; + fanout_load : 1.000000; + pin(ADDR[6:0]){ + timing(){ + timing_type : setup_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + } + timing(){ + timing_type : hold_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + } + } + } + + pin(CSb){ + direction : input; + capacitance : 0.2091; + timing(){ + timing_type : setup_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + } + timing(){ + timing_type : hold_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + } + } + + pin(OEb){ + direction : input; + capacitance : 0.2091; + timing(){ + timing_type : setup_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + } + timing(){ + timing_type : hold_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + } + } + + pin(WEb){ + direction : input; + capacitance : 0.2091; + timing(){ + timing_type : setup_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + } + timing(){ + timing_type : hold_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + } + } + + pin(clk){ + clock : true; + direction : input; + capacitance : 0.2091; + timing(){ + timing_type :"min_pulse_width"; + related_pin : clk; + rise_constraint(scalar) { + values("0.0"); + } + fall_constraint(scalar) { + values("0.0"); + } + } + timing(){ + timing_type :"minimum_period"; + related_pin : clk; + rise_constraint(scalar) { + values("0.0"); + } + fall_constraint(scalar) { + values("0.0"); + } + } + } + } +} diff --git a/compiler/temp/sram_2_16_1_freepdk45.sp b/compiler/temp/sram_2_16_1_freepdk45.sp new file mode 100644 index 00000000..aeb09826 --- /dev/null +++ b/compiler/temp/sram_2_16_1_freepdk45.sp @@ -0,0 +1,875 @@ +************************************************** +* OpenRAM generated memory. +* Words: 128 +* Data bits: 2 +* Banks: 1 +* Column mux: 4:1 +************************************************** + +* ptx M{0} {1} nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p + +* ptx M{0} {1} pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p + +.SUBCKT pnand2_1 A B Z vdd gnd +Mpnand2_pmos1 vdd A Z vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpnand2_pmos2 Z B vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpnand2_nmos1 Z B net1 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p +Mpnand2_nmos2 net1 A gnd gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p +.ENDS pnand2_1 + +.SUBCKT pnand3_1 A B C Z vdd gnd +Mpnand3_pmos1 vdd A Z vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpnand3_pmos2 Z B vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpnand3_pmos3 Z C vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpnand3_nmos1 Z C net1 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p +Mpnand3_nmos2 net1 B net2 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p +Mpnand3_nmos3 net2 A gnd gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p +.ENDS pnand3_1 + +* ptx M{0} {1} nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p + +* ptx M{0} {1} pmos_vtg m=1 w=0.405u l=0.05u pd=0.91u ps=0.91u as=0.050625p ad=0.050625p + +.SUBCKT pnor2_1 A B Z vdd gnd +Mpnor2_pmos1 vdd A net1 vdd pmos_vtg m=1 w=0.405u l=0.05u pd=0.91u ps=0.91u as=0.050625p ad=0.050625p +Mpnor2_pmos2 net1 B Z vdd pmos_vtg m=1 w=0.405u l=0.05u pd=0.91u ps=0.91u as=0.050625p ad=0.050625p +Mpnor2_nmos1 Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p +Mpnor2_nmos2 Z B gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p +.ENDS pnor2_1 + +.SUBCKT pinv_1 A Z vdd gnd +Mpinv_pmos Z A vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpinv_nmos Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p +.ENDS pinv_1 + +* ptx M{0} {1} nmos_vtg m=2 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p + +* ptx M{0} {1} pmos_vtg m=2 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p + +.SUBCKT pinv_2 A Z vdd gnd +Mpinv_pmos Z A vdd vdd pmos_vtg m=2 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpinv_nmos Z A gnd gnd nmos_vtg m=2 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p +.ENDS pinv_2 + +* ptx M{0} {1} nmos_vtg m=3 w=0.12u l=0.05u pd=0.34u ps=0.34u as=0.015p ad=0.015p + +* ptx M{0} {1} pmos_vtg m=3 w=0.36u l=0.05u pd=0.82u ps=0.82u as=0.045p ad=0.045p + +.SUBCKT pinv_3 A Z vdd gnd +Mpinv_pmos Z A vdd vdd pmos_vtg m=3 w=0.36u l=0.05u pd=0.82u ps=0.82u as=0.045p ad=0.045p +Mpinv_nmos Z A gnd gnd nmos_vtg m=3 w=0.12u l=0.05u pd=0.34u ps=0.34u as=0.015p ad=0.015p +.ENDS pinv_3 + +* ptx M{0} {1} nmos_vtg m=6 w=0.12u l=0.05u pd=0.34u ps=0.34u as=0.015p ad=0.015p + +* ptx M{0} {1} pmos_vtg m=6 w=0.36u l=0.05u pd=0.82u ps=0.82u as=0.045p ad=0.045p + +.SUBCKT pinv_4 A Z vdd gnd +Mpinv_pmos Z A vdd vdd pmos_vtg m=6 w=0.36u l=0.05u pd=0.82u ps=0.82u as=0.045p ad=0.045p +Mpinv_nmos Z A gnd gnd nmos_vtg m=6 w=0.12u l=0.05u pd=0.34u ps=0.34u as=0.015p ad=0.015p +.ENDS pinv_4 + +* ptx M{0} {1} nmos_vtg m=12 w=0.12u l=0.05u pd=0.34u ps=0.34u as=0.015p ad=0.015p + +* ptx M{0} {1} pmos_vtg m=12 w=0.36u l=0.05u pd=0.82u ps=0.82u as=0.045p ad=0.045p + +.SUBCKT pinv_5 A Z vdd gnd +Mpinv_pmos Z A vdd vdd pmos_vtg m=12 w=0.36u l=0.05u pd=0.82u ps=0.82u as=0.045p ad=0.045p +Mpinv_nmos Z A gnd gnd nmos_vtg m=12 w=0.12u l=0.05u pd=0.34u ps=0.34u as=0.015p ad=0.015p +.ENDS pinv_5 +*master-slave flip-flop with both output and inverted ouput + +.SUBCKT ms_flop din dout dout_bar clk vdd gnd +xmaster din mout mout_bar clk clk_bar vdd gnd dlatch +xslave mout_bar dout_bar dout clk_bar clk_nn vdd gnd dlatch +.ENDS flop + +.SUBCKT dlatch din dout dout_bar clk clk_bar vdd gnd +*clk inverter +mPff1 clk_bar clk vdd vdd PMOS_VTG W=180.0n L=50n m=1 +mNff1 clk_bar clk gnd gnd NMOS_VTG W=90n L=50n m=1 + +*transmission gate 1 +mtmP1 din clk int1 vdd PMOS_VTG W=180.0n L=50n m=1 +mtmN1 din clk_bar int1 gnd NMOS_VTG W=90n L=50n m=1 + +*foward inverter +mPff3 dout_bar int1 vdd vdd PMOS_VTG W=180.0n L=50n m=1 +mNff3 dout_bar int1 gnd gnd NMOS_VTG W=90n L=50n m=1 + +*backward inverter +mPff4 dout dout_bar vdd vdd PMOS_VTG W=180.0n L=50n m=1 +mNf4 dout dout_bar gnd gnd NMOS_VTG W=90n L=50n m=1 + +*transmission gate 2 +mtmP2 int1 clk_bar dout vdd PMOS_VTG W=180.0n L=50n m=1 +mtmN2 int1 clk dout gnd NMOS_VTG W=90n L=50n m=1 +.ENDS dlatch + + +.SUBCKT msf_control din[0] din[1] din[2] dout[0] dout_bar[0] dout[1] dout_bar[1] dout[2] dout_bar[2] clk vdd gnd +XXdff0 din[0] dout[0] dout_bar[0] clk vdd gnd ms_flop +XXdff1 din[1] dout[1] dout_bar[1] clk vdd gnd ms_flop +XXdff2 din[2] dout[2] dout_bar[2] clk vdd gnd ms_flop +.ENDS msf_control + +.SUBCKT replica_cell_6t bl br wl vdd gnd +MM3 bl wl gnd gnd NMOS_VTG W=135.00n L=50n +MM2 br wl net4 gnd NMOS_VTG W=135.00n L=50n +MM1 gnd net4 gnd gnd NMOS_VTG W=205.00n L=50n +MM0 net4 gnd gnd gnd NMOS_VTG W=205.00n L=50n +MM5 gnd net4 vdd vdd PMOS_VTG W=90n L=50n +MM4 net4 gnd vdd vdd PMOS_VTG W=90n L=50n +.ENDS replica_cell_6t + + +.SUBCKT cell_6t bl br wl vdd gnd +MM3 bl wl net10 gnd NMOS_VTG W=135.00n L=50n +MM2 br wl net4 gnd NMOS_VTG W=135.00n L=50n +MM1 net10 net4 gnd gnd NMOS_VTG W=205.00n L=50n +MM0 net4 net10 gnd gnd NMOS_VTG W=205.00n L=50n +MM5 net10 net4 vdd vdd PMOS_VTG W=90n L=50n +MM4 net4 net10 vdd vdd PMOS_VTG W=90n L=50n +.ENDS cell_6t + + +.SUBCKT bitline_load bl[0] br[0] wl[0] wl[1] wl[2] wl[3] vdd gnd +Xbit_r0_c0 bl[0] br[0] wl[0] vdd gnd cell_6t +Xbit_r1_c0 bl[0] br[0] wl[1] vdd gnd cell_6t +Xbit_r2_c0 bl[0] br[0] wl[2] vdd gnd cell_6t +Xbit_r3_c0 bl[0] br[0] wl[3] vdd gnd cell_6t +.ENDS bitline_load + +.SUBCKT pinv_6 A Z vdd gnd +Mpinv_pmos Z A vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpinv_nmos Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p +.ENDS pinv_6 + +.SUBCKT delay_chain in out vdd gnd +Xdinv0 in s1 vdd gnd pinv_6 +Xdinv1 s1 s2n1 vdd gnd pinv_6 +Xdinv2 s1 s2n2 vdd gnd pinv_6 +Xdinv3 s1 s2n3 vdd gnd pinv_6 +Xdinv4 s1 s2 vdd gnd pinv_6 +Xdinv5 s2 s3n1 vdd gnd pinv_6 +Xdinv6 s2 s3n2 vdd gnd pinv_6 +Xdinv7 s2 s3n3 vdd gnd pinv_6 +Xdinv8 s2 s3 vdd gnd pinv_6 +Xdinv9 s3 s4n1 vdd gnd pinv_6 +Xdinv10 s3 s4n2 vdd gnd pinv_6 +Xdinv11 s3 s4n3 vdd gnd pinv_6 +Xdinv12 s3 s4 vdd gnd pinv_6 +Xdinv13 s4 s5n1 vdd gnd pinv_6 +Xdinv14 s4 s5n2 vdd gnd pinv_6 +Xdinv15 s4 s5n3 vdd gnd pinv_6 +Xdinv16 s4 s5 vdd gnd pinv_6 +Xdinv17 s5 s6n1 vdd gnd pinv_6 +Xdinv18 s5 s6n2 vdd gnd pinv_6 +Xdinv19 s5 s6n3 vdd gnd pinv_6 +Xdinv20 s5 s6 vdd gnd pinv_6 +Xdinv21 s6 s7n1 vdd gnd pinv_6 +Xdinv22 s6 s7n2 vdd gnd pinv_6 +Xdinv23 s6 s7n3 vdd gnd pinv_6 +Xdinv24 s6 s7 vdd gnd pinv_6 +Xdinv25 s7 s8n1 vdd gnd pinv_6 +Xdinv26 s7 s8n2 vdd gnd pinv_6 +Xdinv27 s7 s8n3 vdd gnd pinv_6 +Xdinv28 s7 s8 vdd gnd pinv_6 +Xdinv29 s8 s9n1 vdd gnd pinv_6 +Xdinv30 s8 s9n2 vdd gnd pinv_6 +Xdinv31 s8 s9n3 vdd gnd pinv_6 +Xdinv32 s8 out vdd gnd pinv_6 +.ENDS delay_chain + +.SUBCKT pinv_7 A Z vdd gnd +Mpinv_pmos Z A vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpinv_nmos Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p +.ENDS pinv_7 + +* ptx M{0} {1} pmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p + +.SUBCKT replica_bitline en out vdd gnd +Xrbl_inv bl[0] out vdd gnd pinv_7 +Mrbl_access_tx vdd delayed_en bl[0] vdd pmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p +Xdelay_chain en delayed_en vdd gnd delay_chain +Xbitcell bl[0] br[0] delayed_en vdd gnd replica_cell_6t +Xload bl[0] br[0] gnd gnd gnd gnd vdd gnd bitline_load +.ENDS replica_bitline + +.SUBCKT control_logic csb web oeb clk s_en w_en tri_en tri_en_bar clk_bar clk_buf vdd gnd +Xmsf_control oeb csb web oe_bar oe cs_bar cs we_bar we clk_buf vdd gnd msf_control +Xinv_clk1_bar clk clk1_bar vdd gnd pinv_2 +Xinv_clk2 clk1_bar clk2 vdd gnd pinv_3 +Xinv_clk_bar clk2 clk_bar vdd gnd pinv_4 +Xinv_clk_buf clk_bar clk_buf vdd gnd pinv_5 +Xnand3_rblk_bar clk_bar oe cs rblk_bar vdd gnd pnand3_1 +Xinv_rblk rblk_bar rblk vdd gnd pinv_1 +Xnor2_tri_en clk_buf oe_bar tri_en vdd gnd pnor2_1 +Xnand2_tri_en clk_bar oe tri_en_bar vdd gnd pnand2_1 +Xinv_s_en pre_s_en_bar s_en vdd gnd pinv_1 +Xinv_pre_s_en_bar pre_s_en pre_s_en_bar vdd gnd pinv_1 +Xnand3_w_en_bar clk_bar cs we w_en_bar vdd gnd pnand3_1 +Xinv_pre_w_en w_en_bar pre_w_en vdd gnd pinv_1 +Xinv_pre_w_en_bar pre_w_en pre_w_en_bar vdd gnd pinv_1 +Xinv_w_en2 pre_w_en_bar w_en vdd gnd pinv_1 +Xreplica_bitline rblk pre_s_en vdd gnd replica_bitline +.ENDS control_logic + +.SUBCKT bitcell_array bl[0] br[0] bl[1] br[1] bl[2] br[2] bl[3] br[3] bl[4] br[4] bl[5] br[5] bl[6] br[6] bl[7] br[7] wl[0] wl[1] wl[2] wl[3] wl[4] wl[5] wl[6] wl[7] wl[8] wl[9] wl[10] wl[11] wl[12] wl[13] wl[14] wl[15] wl[16] wl[17] wl[18] wl[19] wl[20] wl[21] wl[22] wl[23] wl[24] wl[25] wl[26] wl[27] wl[28] wl[29] wl[30] wl[31] vdd gnd +Xbit_r0_c0 bl[0] br[0] wl[0] vdd gnd cell_6t +Xbit_r1_c0 bl[0] br[0] wl[1] vdd gnd cell_6t +Xbit_r2_c0 bl[0] br[0] wl[2] vdd gnd cell_6t +Xbit_r3_c0 bl[0] br[0] wl[3] vdd gnd cell_6t +Xbit_r4_c0 bl[0] br[0] wl[4] vdd gnd cell_6t +Xbit_r5_c0 bl[0] br[0] wl[5] vdd gnd cell_6t +Xbit_r6_c0 bl[0] br[0] wl[6] vdd gnd cell_6t +Xbit_r7_c0 bl[0] br[0] wl[7] vdd gnd cell_6t +Xbit_r8_c0 bl[0] br[0] wl[8] vdd gnd cell_6t +Xbit_r9_c0 bl[0] br[0] wl[9] vdd gnd cell_6t +Xbit_r10_c0 bl[0] br[0] wl[10] vdd gnd cell_6t +Xbit_r11_c0 bl[0] br[0] wl[11] vdd gnd cell_6t +Xbit_r12_c0 bl[0] br[0] wl[12] vdd gnd cell_6t +Xbit_r13_c0 bl[0] br[0] wl[13] vdd gnd cell_6t +Xbit_r14_c0 bl[0] br[0] wl[14] vdd gnd cell_6t +Xbit_r15_c0 bl[0] br[0] wl[15] vdd gnd cell_6t +Xbit_r16_c0 bl[0] br[0] wl[16] vdd gnd cell_6t +Xbit_r17_c0 bl[0] br[0] wl[17] vdd gnd cell_6t +Xbit_r18_c0 bl[0] br[0] wl[18] vdd gnd cell_6t +Xbit_r19_c0 bl[0] br[0] wl[19] vdd gnd cell_6t +Xbit_r20_c0 bl[0] br[0] wl[20] vdd gnd cell_6t +Xbit_r21_c0 bl[0] br[0] wl[21] vdd gnd cell_6t +Xbit_r22_c0 bl[0] br[0] wl[22] vdd gnd cell_6t +Xbit_r23_c0 bl[0] br[0] wl[23] vdd gnd cell_6t +Xbit_r24_c0 bl[0] br[0] wl[24] vdd gnd cell_6t +Xbit_r25_c0 bl[0] br[0] wl[25] vdd gnd cell_6t +Xbit_r26_c0 bl[0] br[0] wl[26] vdd gnd cell_6t +Xbit_r27_c0 bl[0] br[0] wl[27] vdd gnd cell_6t +Xbit_r28_c0 bl[0] br[0] wl[28] vdd gnd cell_6t +Xbit_r29_c0 bl[0] br[0] wl[29] vdd gnd cell_6t +Xbit_r30_c0 bl[0] br[0] wl[30] vdd gnd cell_6t +Xbit_r31_c0 bl[0] br[0] wl[31] vdd gnd cell_6t +Xbit_r0_c1 bl[1] br[1] wl[0] vdd gnd cell_6t +Xbit_r1_c1 bl[1] br[1] wl[1] vdd gnd cell_6t +Xbit_r2_c1 bl[1] br[1] wl[2] vdd gnd cell_6t +Xbit_r3_c1 bl[1] br[1] wl[3] vdd gnd cell_6t +Xbit_r4_c1 bl[1] br[1] wl[4] vdd gnd cell_6t +Xbit_r5_c1 bl[1] br[1] wl[5] vdd gnd cell_6t +Xbit_r6_c1 bl[1] br[1] wl[6] vdd gnd cell_6t +Xbit_r7_c1 bl[1] br[1] wl[7] vdd gnd cell_6t +Xbit_r8_c1 bl[1] br[1] wl[8] vdd gnd cell_6t +Xbit_r9_c1 bl[1] br[1] wl[9] vdd gnd cell_6t +Xbit_r10_c1 bl[1] br[1] wl[10] vdd gnd cell_6t +Xbit_r11_c1 bl[1] br[1] wl[11] vdd gnd cell_6t +Xbit_r12_c1 bl[1] br[1] wl[12] vdd gnd cell_6t +Xbit_r13_c1 bl[1] br[1] wl[13] vdd gnd cell_6t +Xbit_r14_c1 bl[1] br[1] wl[14] vdd gnd cell_6t +Xbit_r15_c1 bl[1] br[1] wl[15] vdd gnd cell_6t +Xbit_r16_c1 bl[1] br[1] wl[16] vdd gnd cell_6t +Xbit_r17_c1 bl[1] br[1] wl[17] vdd gnd cell_6t +Xbit_r18_c1 bl[1] br[1] wl[18] vdd gnd cell_6t +Xbit_r19_c1 bl[1] br[1] wl[19] vdd gnd cell_6t +Xbit_r20_c1 bl[1] br[1] wl[20] vdd gnd cell_6t +Xbit_r21_c1 bl[1] br[1] wl[21] vdd gnd cell_6t +Xbit_r22_c1 bl[1] br[1] wl[22] vdd gnd cell_6t +Xbit_r23_c1 bl[1] br[1] wl[23] vdd gnd cell_6t +Xbit_r24_c1 bl[1] br[1] wl[24] vdd gnd cell_6t +Xbit_r25_c1 bl[1] br[1] wl[25] vdd gnd cell_6t +Xbit_r26_c1 bl[1] br[1] wl[26] vdd gnd cell_6t +Xbit_r27_c1 bl[1] br[1] wl[27] vdd gnd cell_6t +Xbit_r28_c1 bl[1] br[1] wl[28] vdd gnd cell_6t +Xbit_r29_c1 bl[1] br[1] wl[29] vdd gnd cell_6t +Xbit_r30_c1 bl[1] br[1] wl[30] vdd gnd cell_6t +Xbit_r31_c1 bl[1] br[1] wl[31] vdd gnd cell_6t +Xbit_r0_c2 bl[2] br[2] wl[0] vdd gnd cell_6t +Xbit_r1_c2 bl[2] br[2] wl[1] vdd gnd cell_6t +Xbit_r2_c2 bl[2] br[2] wl[2] vdd gnd cell_6t +Xbit_r3_c2 bl[2] br[2] wl[3] vdd gnd cell_6t +Xbit_r4_c2 bl[2] br[2] wl[4] vdd gnd cell_6t +Xbit_r5_c2 bl[2] br[2] wl[5] vdd gnd cell_6t +Xbit_r6_c2 bl[2] br[2] wl[6] vdd gnd cell_6t +Xbit_r7_c2 bl[2] br[2] wl[7] vdd gnd cell_6t +Xbit_r8_c2 bl[2] br[2] wl[8] vdd gnd cell_6t +Xbit_r9_c2 bl[2] br[2] wl[9] vdd gnd cell_6t +Xbit_r10_c2 bl[2] br[2] wl[10] vdd gnd cell_6t +Xbit_r11_c2 bl[2] br[2] wl[11] vdd gnd cell_6t +Xbit_r12_c2 bl[2] br[2] wl[12] vdd gnd cell_6t +Xbit_r13_c2 bl[2] br[2] wl[13] vdd gnd cell_6t +Xbit_r14_c2 bl[2] br[2] wl[14] vdd gnd cell_6t +Xbit_r15_c2 bl[2] br[2] wl[15] vdd gnd cell_6t +Xbit_r16_c2 bl[2] br[2] wl[16] vdd gnd cell_6t +Xbit_r17_c2 bl[2] br[2] wl[17] vdd gnd cell_6t +Xbit_r18_c2 bl[2] br[2] wl[18] vdd gnd cell_6t +Xbit_r19_c2 bl[2] br[2] wl[19] vdd gnd cell_6t +Xbit_r20_c2 bl[2] br[2] wl[20] vdd gnd cell_6t +Xbit_r21_c2 bl[2] br[2] wl[21] vdd gnd cell_6t +Xbit_r22_c2 bl[2] br[2] wl[22] vdd gnd cell_6t +Xbit_r23_c2 bl[2] br[2] wl[23] vdd gnd cell_6t +Xbit_r24_c2 bl[2] br[2] wl[24] vdd gnd cell_6t +Xbit_r25_c2 bl[2] br[2] wl[25] vdd gnd cell_6t +Xbit_r26_c2 bl[2] br[2] wl[26] vdd gnd cell_6t +Xbit_r27_c2 bl[2] br[2] wl[27] vdd gnd cell_6t +Xbit_r28_c2 bl[2] br[2] wl[28] vdd gnd cell_6t +Xbit_r29_c2 bl[2] br[2] wl[29] vdd gnd cell_6t +Xbit_r30_c2 bl[2] br[2] wl[30] vdd gnd cell_6t +Xbit_r31_c2 bl[2] br[2] wl[31] vdd gnd cell_6t +Xbit_r0_c3 bl[3] br[3] wl[0] vdd gnd cell_6t +Xbit_r1_c3 bl[3] br[3] wl[1] vdd gnd cell_6t +Xbit_r2_c3 bl[3] br[3] wl[2] vdd gnd cell_6t +Xbit_r3_c3 bl[3] br[3] wl[3] vdd gnd cell_6t +Xbit_r4_c3 bl[3] br[3] wl[4] vdd gnd cell_6t +Xbit_r5_c3 bl[3] br[3] wl[5] vdd gnd cell_6t +Xbit_r6_c3 bl[3] br[3] wl[6] vdd gnd cell_6t +Xbit_r7_c3 bl[3] br[3] wl[7] vdd gnd cell_6t +Xbit_r8_c3 bl[3] br[3] wl[8] vdd gnd cell_6t +Xbit_r9_c3 bl[3] br[3] wl[9] vdd gnd cell_6t +Xbit_r10_c3 bl[3] br[3] wl[10] vdd gnd cell_6t +Xbit_r11_c3 bl[3] br[3] wl[11] vdd gnd cell_6t +Xbit_r12_c3 bl[3] br[3] wl[12] vdd gnd cell_6t +Xbit_r13_c3 bl[3] br[3] wl[13] vdd gnd cell_6t +Xbit_r14_c3 bl[3] br[3] wl[14] vdd gnd cell_6t +Xbit_r15_c3 bl[3] br[3] wl[15] vdd gnd cell_6t +Xbit_r16_c3 bl[3] br[3] wl[16] vdd gnd cell_6t +Xbit_r17_c3 bl[3] br[3] wl[17] vdd gnd cell_6t +Xbit_r18_c3 bl[3] br[3] wl[18] vdd gnd cell_6t +Xbit_r19_c3 bl[3] br[3] wl[19] vdd gnd cell_6t +Xbit_r20_c3 bl[3] br[3] wl[20] vdd gnd cell_6t +Xbit_r21_c3 bl[3] br[3] wl[21] vdd gnd cell_6t +Xbit_r22_c3 bl[3] br[3] wl[22] vdd gnd cell_6t +Xbit_r23_c3 bl[3] br[3] wl[23] vdd gnd cell_6t +Xbit_r24_c3 bl[3] br[3] wl[24] vdd gnd cell_6t +Xbit_r25_c3 bl[3] br[3] wl[25] vdd gnd cell_6t +Xbit_r26_c3 bl[3] br[3] wl[26] vdd gnd cell_6t +Xbit_r27_c3 bl[3] br[3] wl[27] vdd gnd cell_6t +Xbit_r28_c3 bl[3] br[3] wl[28] vdd gnd cell_6t +Xbit_r29_c3 bl[3] br[3] wl[29] vdd gnd cell_6t +Xbit_r30_c3 bl[3] br[3] wl[30] vdd gnd cell_6t +Xbit_r31_c3 bl[3] br[3] wl[31] vdd gnd cell_6t +Xbit_r0_c4 bl[4] br[4] wl[0] vdd gnd cell_6t +Xbit_r1_c4 bl[4] br[4] wl[1] vdd gnd cell_6t +Xbit_r2_c4 bl[4] br[4] wl[2] vdd gnd cell_6t +Xbit_r3_c4 bl[4] br[4] wl[3] vdd gnd cell_6t +Xbit_r4_c4 bl[4] br[4] wl[4] vdd gnd cell_6t +Xbit_r5_c4 bl[4] br[4] wl[5] vdd gnd cell_6t +Xbit_r6_c4 bl[4] br[4] wl[6] vdd gnd cell_6t +Xbit_r7_c4 bl[4] br[4] wl[7] vdd gnd cell_6t +Xbit_r8_c4 bl[4] br[4] wl[8] vdd gnd cell_6t +Xbit_r9_c4 bl[4] br[4] wl[9] vdd gnd cell_6t +Xbit_r10_c4 bl[4] br[4] wl[10] vdd gnd cell_6t +Xbit_r11_c4 bl[4] br[4] wl[11] vdd gnd cell_6t +Xbit_r12_c4 bl[4] br[4] wl[12] vdd gnd cell_6t +Xbit_r13_c4 bl[4] br[4] wl[13] vdd gnd cell_6t +Xbit_r14_c4 bl[4] br[4] wl[14] vdd gnd cell_6t +Xbit_r15_c4 bl[4] br[4] wl[15] vdd gnd cell_6t +Xbit_r16_c4 bl[4] br[4] wl[16] vdd gnd cell_6t +Xbit_r17_c4 bl[4] br[4] wl[17] vdd gnd cell_6t +Xbit_r18_c4 bl[4] br[4] wl[18] vdd gnd cell_6t +Xbit_r19_c4 bl[4] br[4] wl[19] vdd gnd cell_6t +Xbit_r20_c4 bl[4] br[4] wl[20] vdd gnd cell_6t +Xbit_r21_c4 bl[4] br[4] wl[21] vdd gnd cell_6t +Xbit_r22_c4 bl[4] br[4] wl[22] vdd gnd cell_6t +Xbit_r23_c4 bl[4] br[4] wl[23] vdd gnd cell_6t +Xbit_r24_c4 bl[4] br[4] wl[24] vdd gnd cell_6t +Xbit_r25_c4 bl[4] br[4] wl[25] vdd gnd cell_6t +Xbit_r26_c4 bl[4] br[4] wl[26] vdd gnd cell_6t +Xbit_r27_c4 bl[4] br[4] wl[27] vdd gnd cell_6t +Xbit_r28_c4 bl[4] br[4] wl[28] vdd gnd cell_6t +Xbit_r29_c4 bl[4] br[4] wl[29] vdd gnd cell_6t +Xbit_r30_c4 bl[4] br[4] wl[30] vdd gnd cell_6t +Xbit_r31_c4 bl[4] br[4] wl[31] vdd gnd cell_6t +Xbit_r0_c5 bl[5] br[5] wl[0] vdd gnd cell_6t +Xbit_r1_c5 bl[5] br[5] wl[1] vdd gnd cell_6t +Xbit_r2_c5 bl[5] br[5] wl[2] vdd gnd cell_6t +Xbit_r3_c5 bl[5] br[5] wl[3] vdd gnd cell_6t +Xbit_r4_c5 bl[5] br[5] wl[4] vdd gnd cell_6t +Xbit_r5_c5 bl[5] br[5] wl[5] vdd gnd cell_6t +Xbit_r6_c5 bl[5] br[5] wl[6] vdd gnd cell_6t +Xbit_r7_c5 bl[5] br[5] wl[7] vdd gnd cell_6t +Xbit_r8_c5 bl[5] br[5] wl[8] vdd gnd cell_6t +Xbit_r9_c5 bl[5] br[5] wl[9] vdd gnd cell_6t +Xbit_r10_c5 bl[5] br[5] wl[10] vdd gnd cell_6t +Xbit_r11_c5 bl[5] br[5] wl[11] vdd gnd cell_6t +Xbit_r12_c5 bl[5] br[5] wl[12] vdd gnd cell_6t +Xbit_r13_c5 bl[5] br[5] wl[13] vdd gnd cell_6t +Xbit_r14_c5 bl[5] br[5] wl[14] vdd gnd cell_6t +Xbit_r15_c5 bl[5] br[5] wl[15] vdd gnd cell_6t +Xbit_r16_c5 bl[5] br[5] wl[16] vdd gnd cell_6t +Xbit_r17_c5 bl[5] br[5] wl[17] vdd gnd cell_6t +Xbit_r18_c5 bl[5] br[5] wl[18] vdd gnd cell_6t +Xbit_r19_c5 bl[5] br[5] wl[19] vdd gnd cell_6t +Xbit_r20_c5 bl[5] br[5] wl[20] vdd gnd cell_6t +Xbit_r21_c5 bl[5] br[5] wl[21] vdd gnd cell_6t +Xbit_r22_c5 bl[5] br[5] wl[22] vdd gnd cell_6t +Xbit_r23_c5 bl[5] br[5] wl[23] vdd gnd cell_6t +Xbit_r24_c5 bl[5] br[5] wl[24] vdd gnd cell_6t +Xbit_r25_c5 bl[5] br[5] wl[25] vdd gnd cell_6t +Xbit_r26_c5 bl[5] br[5] wl[26] vdd gnd cell_6t +Xbit_r27_c5 bl[5] br[5] wl[27] vdd gnd cell_6t +Xbit_r28_c5 bl[5] br[5] wl[28] vdd gnd cell_6t +Xbit_r29_c5 bl[5] br[5] wl[29] vdd gnd cell_6t +Xbit_r30_c5 bl[5] br[5] wl[30] vdd gnd cell_6t +Xbit_r31_c5 bl[5] br[5] wl[31] vdd gnd cell_6t +Xbit_r0_c6 bl[6] br[6] wl[0] vdd gnd cell_6t +Xbit_r1_c6 bl[6] br[6] wl[1] vdd gnd cell_6t +Xbit_r2_c6 bl[6] br[6] wl[2] vdd gnd cell_6t +Xbit_r3_c6 bl[6] br[6] wl[3] vdd gnd cell_6t +Xbit_r4_c6 bl[6] br[6] wl[4] vdd gnd cell_6t +Xbit_r5_c6 bl[6] br[6] wl[5] vdd gnd cell_6t +Xbit_r6_c6 bl[6] br[6] wl[6] vdd gnd cell_6t +Xbit_r7_c6 bl[6] br[6] wl[7] vdd gnd cell_6t +Xbit_r8_c6 bl[6] br[6] wl[8] vdd gnd cell_6t +Xbit_r9_c6 bl[6] br[6] wl[9] vdd gnd cell_6t +Xbit_r10_c6 bl[6] br[6] wl[10] vdd gnd cell_6t +Xbit_r11_c6 bl[6] br[6] wl[11] vdd gnd cell_6t +Xbit_r12_c6 bl[6] br[6] wl[12] vdd gnd cell_6t +Xbit_r13_c6 bl[6] br[6] wl[13] vdd gnd cell_6t +Xbit_r14_c6 bl[6] br[6] wl[14] vdd gnd cell_6t +Xbit_r15_c6 bl[6] br[6] wl[15] vdd gnd cell_6t +Xbit_r16_c6 bl[6] br[6] wl[16] vdd gnd cell_6t +Xbit_r17_c6 bl[6] br[6] wl[17] vdd gnd cell_6t +Xbit_r18_c6 bl[6] br[6] wl[18] vdd gnd cell_6t +Xbit_r19_c6 bl[6] br[6] wl[19] vdd gnd cell_6t +Xbit_r20_c6 bl[6] br[6] wl[20] vdd gnd cell_6t +Xbit_r21_c6 bl[6] br[6] wl[21] vdd gnd cell_6t +Xbit_r22_c6 bl[6] br[6] wl[22] vdd gnd cell_6t +Xbit_r23_c6 bl[6] br[6] wl[23] vdd gnd cell_6t +Xbit_r24_c6 bl[6] br[6] wl[24] vdd gnd cell_6t +Xbit_r25_c6 bl[6] br[6] wl[25] vdd gnd cell_6t +Xbit_r26_c6 bl[6] br[6] wl[26] vdd gnd cell_6t +Xbit_r27_c6 bl[6] br[6] wl[27] vdd gnd cell_6t +Xbit_r28_c6 bl[6] br[6] wl[28] vdd gnd cell_6t +Xbit_r29_c6 bl[6] br[6] wl[29] vdd gnd cell_6t +Xbit_r30_c6 bl[6] br[6] wl[30] vdd gnd cell_6t +Xbit_r31_c6 bl[6] br[6] wl[31] vdd gnd cell_6t +Xbit_r0_c7 bl[7] br[7] wl[0] vdd gnd cell_6t +Xbit_r1_c7 bl[7] br[7] wl[1] vdd gnd cell_6t +Xbit_r2_c7 bl[7] br[7] wl[2] vdd gnd cell_6t +Xbit_r3_c7 bl[7] br[7] wl[3] vdd gnd cell_6t +Xbit_r4_c7 bl[7] br[7] wl[4] vdd gnd cell_6t +Xbit_r5_c7 bl[7] br[7] wl[5] vdd gnd cell_6t +Xbit_r6_c7 bl[7] br[7] wl[6] vdd gnd cell_6t +Xbit_r7_c7 bl[7] br[7] wl[7] vdd gnd cell_6t +Xbit_r8_c7 bl[7] br[7] wl[8] vdd gnd cell_6t +Xbit_r9_c7 bl[7] br[7] wl[9] vdd gnd cell_6t +Xbit_r10_c7 bl[7] br[7] wl[10] vdd gnd cell_6t +Xbit_r11_c7 bl[7] br[7] wl[11] vdd gnd cell_6t +Xbit_r12_c7 bl[7] br[7] wl[12] vdd gnd cell_6t +Xbit_r13_c7 bl[7] br[7] wl[13] vdd gnd cell_6t +Xbit_r14_c7 bl[7] br[7] wl[14] vdd gnd cell_6t +Xbit_r15_c7 bl[7] br[7] wl[15] vdd gnd cell_6t +Xbit_r16_c7 bl[7] br[7] wl[16] vdd gnd cell_6t +Xbit_r17_c7 bl[7] br[7] wl[17] vdd gnd cell_6t +Xbit_r18_c7 bl[7] br[7] wl[18] vdd gnd cell_6t +Xbit_r19_c7 bl[7] br[7] wl[19] vdd gnd cell_6t +Xbit_r20_c7 bl[7] br[7] wl[20] vdd gnd cell_6t +Xbit_r21_c7 bl[7] br[7] wl[21] vdd gnd cell_6t +Xbit_r22_c7 bl[7] br[7] wl[22] vdd gnd cell_6t +Xbit_r23_c7 bl[7] br[7] wl[23] vdd gnd cell_6t +Xbit_r24_c7 bl[7] br[7] wl[24] vdd gnd cell_6t +Xbit_r25_c7 bl[7] br[7] wl[25] vdd gnd cell_6t +Xbit_r26_c7 bl[7] br[7] wl[26] vdd gnd cell_6t +Xbit_r27_c7 bl[7] br[7] wl[27] vdd gnd cell_6t +Xbit_r28_c7 bl[7] br[7] wl[28] vdd gnd cell_6t +Xbit_r29_c7 bl[7] br[7] wl[29] vdd gnd cell_6t +Xbit_r30_c7 bl[7] br[7] wl[30] vdd gnd cell_6t +Xbit_r31_c7 bl[7] br[7] wl[31] vdd gnd cell_6t +.ENDS bitcell_array + +* ptx M{0} {1} pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p + +.SUBCKT precharge bl br en vdd +Mlower_pmos bl en BR vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mupper_pmos1 bl en vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mupper_pmos2 br en vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +.ENDS precharge + +.SUBCKT precharge_array bl[0] br[0] bl[1] br[1] bl[2] br[2] bl[3] br[3] bl[4] br[4] bl[5] br[5] bl[6] br[6] bl[7] br[7] en vdd +Xpre_column_0 bl[0] br[0] en vdd precharge +Xpre_column_1 bl[1] br[1] en vdd precharge +Xpre_column_2 bl[2] br[2] en vdd precharge +Xpre_column_3 bl[3] br[3] en vdd precharge +Xpre_column_4 bl[4] br[4] en vdd precharge +Xpre_column_5 bl[5] br[5] en vdd precharge +Xpre_column_6 bl[6] br[6] en vdd precharge +Xpre_column_7 bl[7] br[7] en vdd precharge +.ENDS precharge_array + +* ptx M{0} {1} nmos_vtg m=1 w=0.72u l=0.05u pd=1.54u ps=1.54u as=0.09p ad=0.09p + +.SUBCKT single_level_column_mux_8 bl br bl_out br_out sel gnd +Mmux_tx1 bl sel bl_out gnd nmos_vtg m=1 w=0.72u l=0.05u pd=1.54u ps=1.54u as=0.09p ad=0.09p +Mmux_tx2 br sel br_out gnd nmos_vtg m=1 w=0.72u l=0.05u pd=1.54u ps=1.54u as=0.09p ad=0.09p +.ENDS single_level_column_mux_8 + +.SUBCKT columnmux_array bl[0] br[0] bl[1] br[1] bl[2] br[2] bl[3] br[3] bl[4] br[4] bl[5] br[5] bl[6] br[6] bl[7] br[7] sel[0] sel[1] sel[2] sel[3] bl_out[0] br_out[0] bl_out[1] br_out[1] gnd +XXMUX0 bl[0] br[0] bl_out[0] br_out[0] sel[0] gnd single_level_column_mux_8 +XXMUX1 bl[1] br[1] bl_out[0] br_out[0] sel[1] gnd single_level_column_mux_8 +XXMUX2 bl[2] br[2] bl_out[0] br_out[0] sel[2] gnd single_level_column_mux_8 +XXMUX3 bl[3] br[3] bl_out[0] br_out[0] sel[3] gnd single_level_column_mux_8 +XXMUX4 bl[4] br[4] bl_out[1] br_out[1] sel[0] gnd single_level_column_mux_8 +XXMUX5 bl[5] br[5] bl_out[1] br_out[1] sel[1] gnd single_level_column_mux_8 +XXMUX6 bl[6] br[6] bl_out[1] br_out[1] sel[2] gnd single_level_column_mux_8 +XXMUX7 bl[7] br[7] bl_out[1] br_out[1] sel[3] gnd single_level_column_mux_8 +.ENDS columnmux_array + +.SUBCKT sense_amp bl br dout en vdd gnd +M_1 dout net_1 vdd vdd pmos_vtg w=540.0n l=50.0n +M_3 net_1 dout vdd vdd pmos_vtg w=540.0n l=50.0n +M_2 dout net_1 net_2 gnd nmos_vtg w=270.0n l=50.0n +M_8 net_1 dout net_2 gnd nmos_vtg w=270.0n l=50.0n +M_5 bl en dout vdd pmos_vtg w=720.0n l=50.0n +M_6 br en net_1 vdd pmos_vtg w=720.0n l=50.0n +M_7 net_2 en gnd gnd nmos_vtg w=270.0n l=50.0n +.ENDS sense_amp + + +.SUBCKT sense_amp_array data[0] bl[0] br[0] data[1] bl[4] br[4] en vdd gnd +Xsa_d0 bl[0] br[0] data[0] en vdd gnd sense_amp +Xsa_d4 bl[4] br[4] data[1] en vdd gnd sense_amp +.ENDS sense_amp_array + +.SUBCKT write_driver din bl br en vdd gnd +*inverters for enable and data input +minP bl_bar din vdd vdd pmos_vtg w=360.000000n l=50.000000n +minN bl_bar din gnd gnd nmos_vtg w=180.000000n l=50.000000n +moutP en_bar en vdd vdd pmos_vtg w=360.000000n l=50.000000n +moutN en_bar en gnd gnd nmos_vtg w=180.000000n l=50.000000n + +*tristate for BL +mout0P int1 bl_bar vdd vdd pmos_vtg w=360.000000n l=50.000000n +mout0P2 bl en_bar int1 vdd pmos_vtg w=360.000000n l=50.000000n +mout0N bl en int2 gnd nmos_vtg w=180.000000n l=50.000000n +mout0N2 int2 bl_bar gnd gnd nmos_vtg w=180.000000n l=50.000000n + +*tristate for BR +mout1P int3 din vdd vdd pmos_vtg w=360.000000n l=50.000000n +mout1P2 br en_bar int3 vdd pmos_vtg w=360.000000n l=50.000000n +mout1N br en int4 gnd nmos_vtg w=180.000000n l=50.000000n +mout1N2 int4 din gnd gnd nmos_vtg w=180.000000n l=50.000000n +.ENDS write_driver + + +.SUBCKT write_driver_array data[0] data[1] bl[0] br[0] bl[1] br[1] en vdd gnd +XXwrite_driver0 data[0] bl[0] br[0] en vdd gnd write_driver +XXwrite_driver4 data[1] bl[1] br[1] en vdd gnd write_driver +.ENDS write_driver_array + +.SUBCKT pinv_8 A Z vdd gnd +Mpinv_pmos Z A vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpinv_nmos Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p +.ENDS pinv_8 + +.SUBCKT pnand2_2 A B Z vdd gnd +Mpnand2_pmos1 vdd A Z vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpnand2_pmos2 Z B vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpnand2_nmos1 Z B net1 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p +Mpnand2_nmos2 net1 A gnd gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p +.ENDS pnand2_2 + +.SUBCKT pnand3_2 A B C Z vdd gnd +Mpnand3_pmos1 vdd A Z vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpnand3_pmos2 Z B vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpnand3_pmos3 Z C vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpnand3_nmos1 Z C net1 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p +Mpnand3_nmos2 net1 B net2 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p +Mpnand3_nmos3 net2 A gnd gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p +.ENDS pnand3_2 + +.SUBCKT pinv_9 A Z vdd gnd +Mpinv_pmos Z A vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpinv_nmos Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p +.ENDS pinv_9 + +.SUBCKT pnand2_3 A B Z vdd gnd +Mpnand2_pmos1 vdd A Z vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpnand2_pmos2 Z B vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpnand2_nmos1 Z B net1 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p +Mpnand2_nmos2 net1 A gnd gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p +.ENDS pnand2_3 + +.SUBCKT pre2x4 in[0] in[1] out[0] out[1] out[2] out[3] vdd gnd +XXpre_inv[0] in[0] inbar[0] vdd gnd pinv_9 +XXpre_inv[1] in[1] inbar[1] vdd gnd pinv_9 +XXpre_nand_inv[0] Z[0] out[0] vdd gnd pinv_9 +XXpre_nand_inv[1] Z[1] out[1] vdd gnd pinv_9 +XXpre_nand_inv[2] Z[2] out[2] vdd gnd pinv_9 +XXpre_nand_inv[3] Z[3] out[3] vdd gnd pinv_9 +XXpre2x4_nand[0] inbar[0] inbar[1] Z[0] vdd gnd pnand2_3 +XXpre2x4_nand[1] in[0] inbar[1] Z[1] vdd gnd pnand2_3 +XXpre2x4_nand[2] inbar[0] in[1] Z[2] vdd gnd pnand2_3 +XXpre2x4_nand[3] in[0] in[1] Z[3] vdd gnd pnand2_3 +.ENDS pre2x4 + +.SUBCKT pinv_10 A Z vdd gnd +Mpinv_pmos Z A vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpinv_nmos Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p +.ENDS pinv_10 + +.SUBCKT pnand3_3 A B C Z vdd gnd +Mpnand3_pmos1 vdd A Z vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpnand3_pmos2 Z B vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpnand3_pmos3 Z C vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpnand3_nmos1 Z C net1 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p +Mpnand3_nmos2 net1 B net2 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p +Mpnand3_nmos3 net2 A gnd gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p +.ENDS pnand3_3 + +.SUBCKT pre3x8 in[0] in[1] in[2] out[0] out[1] out[2] out[3] out[4] out[5] out[6] out[7] vdd gnd +XXpre_inv[0] in[0] inbar[0] vdd gnd pinv_10 +XXpre_inv[1] in[1] inbar[1] vdd gnd pinv_10 +XXpre_inv[2] in[2] inbar[2] vdd gnd pinv_10 +XXpre_nand_inv[0] Z[0] out[0] vdd gnd pinv_10 +XXpre_nand_inv[1] Z[1] out[1] vdd gnd pinv_10 +XXpre_nand_inv[2] Z[2] out[2] vdd gnd pinv_10 +XXpre_nand_inv[3] Z[3] out[3] vdd gnd pinv_10 +XXpre_nand_inv[4] Z[4] out[4] vdd gnd pinv_10 +XXpre_nand_inv[5] Z[5] out[5] vdd gnd pinv_10 +XXpre_nand_inv[6] Z[6] out[6] vdd gnd pinv_10 +XXpre_nand_inv[7] Z[7] out[7] vdd gnd pinv_10 +XXpre3x8_nand[0] inbar[0] inbar[1] inbar[2] Z[0] vdd gnd pnand3_3 +XXpre3x8_nand[1] in[0] inbar[1] inbar[2] Z[1] vdd gnd pnand3_3 +XXpre3x8_nand[2] inbar[0] in[1] inbar[2] Z[2] vdd gnd pnand3_3 +XXpre3x8_nand[3] in[0] in[1] inbar[2] Z[3] vdd gnd pnand3_3 +XXpre3x8_nand[4] inbar[0] inbar[1] in[2] Z[4] vdd gnd pnand3_3 +XXpre3x8_nand[5] in[0] inbar[1] in[2] Z[5] vdd gnd pnand3_3 +XXpre3x8_nand[6] inbar[0] in[1] in[2] Z[6] vdd gnd pnand3_3 +XXpre3x8_nand[7] in[0] in[1] in[2] Z[7] vdd gnd pnand3_3 +.ENDS pre3x8 + +.SUBCKT hierarchical_decoder_32rows A[0] A[1] A[2] A[3] A[4] decode[0] decode[1] decode[2] decode[3] decode[4] decode[5] decode[6] decode[7] decode[8] decode[9] decode[10] decode[11] decode[12] decode[13] decode[14] decode[15] decode[16] decode[17] decode[18] decode[19] decode[20] decode[21] decode[22] decode[23] decode[24] decode[25] decode[26] decode[27] decode[28] decode[29] decode[30] decode[31] vdd gnd +Xpre[0] A[0] A[1] out[0] out[1] out[2] out[3] vdd gnd pre2x4 +Xpre3x8[0] A[2] A[3] A[4] out[4] out[5] out[6] out[7] out[8] out[9] out[10] out[11] vdd gnd pre3x8 +XDEC_NAND[0] out[0] out[4] Z[0] vdd gnd pnand2_2 +XDEC_NAND[1] out[0] out[5] Z[1] vdd gnd pnand2_2 +XDEC_NAND[2] out[0] out[6] Z[2] vdd gnd pnand2_2 +XDEC_NAND[3] out[0] out[7] Z[3] vdd gnd pnand2_2 +XDEC_NAND[4] out[0] out[8] Z[4] vdd gnd pnand2_2 +XDEC_NAND[5] out[0] out[9] Z[5] vdd gnd pnand2_2 +XDEC_NAND[6] out[0] out[10] Z[6] vdd gnd pnand2_2 +XDEC_NAND[7] out[0] out[11] Z[7] vdd gnd pnand2_2 +XDEC_NAND[8] out[1] out[4] Z[8] vdd gnd pnand2_2 +XDEC_NAND[9] out[1] out[5] Z[9] vdd gnd pnand2_2 +XDEC_NAND[10] out[1] out[6] Z[10] vdd gnd pnand2_2 +XDEC_NAND[11] out[1] out[7] Z[11] vdd gnd pnand2_2 +XDEC_NAND[12] out[1] out[8] Z[12] vdd gnd pnand2_2 +XDEC_NAND[13] out[1] out[9] Z[13] vdd gnd pnand2_2 +XDEC_NAND[14] out[1] out[10] Z[14] vdd gnd pnand2_2 +XDEC_NAND[15] out[1] out[11] Z[15] vdd gnd pnand2_2 +XDEC_NAND[16] out[2] out[4] Z[16] vdd gnd pnand2_2 +XDEC_NAND[17] out[2] out[5] Z[17] vdd gnd pnand2_2 +XDEC_NAND[18] out[2] out[6] Z[18] vdd gnd pnand2_2 +XDEC_NAND[19] out[2] out[7] Z[19] vdd gnd pnand2_2 +XDEC_NAND[20] out[2] out[8] Z[20] vdd gnd pnand2_2 +XDEC_NAND[21] out[2] out[9] Z[21] vdd gnd pnand2_2 +XDEC_NAND[22] out[2] out[10] Z[22] vdd gnd pnand2_2 +XDEC_NAND[23] out[2] out[11] Z[23] vdd gnd pnand2_2 +XDEC_NAND[24] out[3] out[4] Z[24] vdd gnd pnand2_2 +XDEC_NAND[25] out[3] out[5] Z[25] vdd gnd pnand2_2 +XDEC_NAND[26] out[3] out[6] Z[26] vdd gnd pnand2_2 +XDEC_NAND[27] out[3] out[7] Z[27] vdd gnd pnand2_2 +XDEC_NAND[28] out[3] out[8] Z[28] vdd gnd pnand2_2 +XDEC_NAND[29] out[3] out[9] Z[29] vdd gnd pnand2_2 +XDEC_NAND[30] out[3] out[10] Z[30] vdd gnd pnand2_2 +XDEC_NAND[31] out[3] out[11] Z[31] vdd gnd pnand2_2 +XDEC_INV_[0] Z[0] decode[0] vdd gnd pinv_8 +XDEC_INV_[1] Z[1] decode[1] vdd gnd pinv_8 +XDEC_INV_[2] Z[2] decode[2] vdd gnd pinv_8 +XDEC_INV_[3] Z[3] decode[3] vdd gnd pinv_8 +XDEC_INV_[4] Z[4] decode[4] vdd gnd pinv_8 +XDEC_INV_[5] Z[5] decode[5] vdd gnd pinv_8 +XDEC_INV_[6] Z[6] decode[6] vdd gnd pinv_8 +XDEC_INV_[7] Z[7] decode[7] vdd gnd pinv_8 +XDEC_INV_[8] Z[8] decode[8] vdd gnd pinv_8 +XDEC_INV_[9] Z[9] decode[9] vdd gnd pinv_8 +XDEC_INV_[10] Z[10] decode[10] vdd gnd pinv_8 +XDEC_INV_[11] Z[11] decode[11] vdd gnd pinv_8 +XDEC_INV_[12] Z[12] decode[12] vdd gnd pinv_8 +XDEC_INV_[13] Z[13] decode[13] vdd gnd pinv_8 +XDEC_INV_[14] Z[14] decode[14] vdd gnd pinv_8 +XDEC_INV_[15] Z[15] decode[15] vdd gnd pinv_8 +XDEC_INV_[16] Z[16] decode[16] vdd gnd pinv_8 +XDEC_INV_[17] Z[17] decode[17] vdd gnd pinv_8 +XDEC_INV_[18] Z[18] decode[18] vdd gnd pinv_8 +XDEC_INV_[19] Z[19] decode[19] vdd gnd pinv_8 +XDEC_INV_[20] Z[20] decode[20] vdd gnd pinv_8 +XDEC_INV_[21] Z[21] decode[21] vdd gnd pinv_8 +XDEC_INV_[22] Z[22] decode[22] vdd gnd pinv_8 +XDEC_INV_[23] Z[23] decode[23] vdd gnd pinv_8 +XDEC_INV_[24] Z[24] decode[24] vdd gnd pinv_8 +XDEC_INV_[25] Z[25] decode[25] vdd gnd pinv_8 +XDEC_INV_[26] Z[26] decode[26] vdd gnd pinv_8 +XDEC_INV_[27] Z[27] decode[27] vdd gnd pinv_8 +XDEC_INV_[28] Z[28] decode[28] vdd gnd pinv_8 +XDEC_INV_[29] Z[29] decode[29] vdd gnd pinv_8 +XDEC_INV_[30] Z[30] decode[30] vdd gnd pinv_8 +XDEC_INV_[31] Z[31] decode[31] vdd gnd pinv_8 +.ENDS hierarchical_decoder_32rows + +.SUBCKT msf_address din[0] din[1] din[2] din[3] din[4] din[5] din[6] dout[0] dout_bar[0] dout[1] dout_bar[1] dout[2] dout_bar[2] dout[3] dout_bar[3] dout[4] dout_bar[4] dout[5] dout_bar[5] dout[6] dout_bar[6] clk vdd gnd +XXdff0 din[0] dout[0] dout_bar[0] clk vdd gnd ms_flop +XXdff1 din[1] dout[1] dout_bar[1] clk vdd gnd ms_flop +XXdff2 din[2] dout[2] dout_bar[2] clk vdd gnd ms_flop +XXdff3 din[3] dout[3] dout_bar[3] clk vdd gnd ms_flop +XXdff4 din[4] dout[4] dout_bar[4] clk vdd gnd ms_flop +XXdff5 din[5] dout[5] dout_bar[5] clk vdd gnd ms_flop +XXdff6 din[6] dout[6] dout_bar[6] clk vdd gnd ms_flop +.ENDS msf_address + +.SUBCKT msf_data_in din[0] din[1] dout[0] dout_bar[0] dout[1] dout_bar[1] clk vdd gnd +XXdff0 din[0] dout[0] dout_bar[0] clk vdd gnd ms_flop +XXdff4 din[1] dout[1] dout_bar[1] clk vdd gnd ms_flop +.ENDS msf_data_in + +.SUBCKT tri_gate in out en en_bar vdd gnd +M_1 net_2 in_inv gnd gnd NMOS_VTG W=180.000000n L=50.000000n +M_2 out en net_2 gnd NMOS_VTG W=180.000000n L=50.000000n +M_3 net_3 in_inv vdd vdd PMOS_VTG W=360.000000n L=50.000000n +M_4 out en_bar net_3 vdd PMOS_VTG W=360.000000n L=50.000000n +M_5 in_inv in vdd vdd PMOS_VTG W=180.000000n L=50.000000n +M_6 in_inv in gnd gnd NMOS_VTG W=90.000000n L=50.000000n +.ENDS + + +.SUBCKT tri_gate_array in[0] in[1] out[0] out[1] en en_bar vdd gnd +XXtri_gate0 in[0] out[0] en en_bar vdd gnd tri_gate +XXtri_gate4 in[1] out[1] en en_bar vdd gnd tri_gate +.ENDS tri_gate_array + +.SUBCKT pinv_11 A Z vdd gnd +Mpinv_pmos Z A vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpinv_nmos Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p +.ENDS pinv_11 + +.SUBCKT pinv_12 A Z vdd gnd +Mpinv_pmos Z A vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpinv_nmos Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p +.ENDS pinv_12 + +.SUBCKT pnand2_4 A B Z vdd gnd +Mpnand2_pmos1 vdd A Z vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpnand2_pmos2 Z B vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpnand2_nmos1 Z B net1 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p +Mpnand2_nmos2 net1 A gnd gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p +.ENDS pnand2_4 + +.SUBCKT wordline_driver in[0] in[1] in[2] in[3] in[4] in[5] in[6] in[7] in[8] in[9] in[10] in[11] in[12] in[13] in[14] in[15] in[16] in[17] in[18] in[19] in[20] in[21] in[22] in[23] in[24] in[25] in[26] in[27] in[28] in[29] in[30] in[31] wl[0] wl[1] wl[2] wl[3] wl[4] wl[5] wl[6] wl[7] wl[8] wl[9] wl[10] wl[11] wl[12] wl[13] wl[14] wl[15] wl[16] wl[17] wl[18] wl[19] wl[20] wl[21] wl[22] wl[23] wl[24] wl[25] wl[26] wl[27] wl[28] wl[29] wl[30] wl[31] en vdd gnd +Xwl_driver_inv_en0 en en_bar[0] vdd gnd pinv_12 +Xwl_driver_nand0 en_bar[0] in[0] net[0] vdd gnd pnand2_4 +Xwl_driver_inv0 net[0] wl[0] vdd gnd pinv_11 +Xwl_driver_inv_en1 en en_bar[1] vdd gnd pinv_12 +Xwl_driver_nand1 en_bar[1] in[1] net[1] vdd gnd pnand2_4 +Xwl_driver_inv1 net[1] wl[1] vdd gnd pinv_11 +Xwl_driver_inv_en2 en en_bar[2] vdd gnd pinv_12 +Xwl_driver_nand2 en_bar[2] in[2] net[2] vdd gnd pnand2_4 +Xwl_driver_inv2 net[2] wl[2] vdd gnd pinv_11 +Xwl_driver_inv_en3 en en_bar[3] vdd gnd pinv_12 +Xwl_driver_nand3 en_bar[3] in[3] net[3] vdd gnd pnand2_4 +Xwl_driver_inv3 net[3] wl[3] vdd gnd pinv_11 +Xwl_driver_inv_en4 en en_bar[4] vdd gnd pinv_12 +Xwl_driver_nand4 en_bar[4] in[4] net[4] vdd gnd pnand2_4 +Xwl_driver_inv4 net[4] wl[4] vdd gnd pinv_11 +Xwl_driver_inv_en5 en en_bar[5] vdd gnd pinv_12 +Xwl_driver_nand5 en_bar[5] in[5] net[5] vdd gnd pnand2_4 +Xwl_driver_inv5 net[5] wl[5] vdd gnd pinv_11 +Xwl_driver_inv_en6 en en_bar[6] vdd gnd pinv_12 +Xwl_driver_nand6 en_bar[6] in[6] net[6] vdd gnd pnand2_4 +Xwl_driver_inv6 net[6] wl[6] vdd gnd pinv_11 +Xwl_driver_inv_en7 en en_bar[7] vdd gnd pinv_12 +Xwl_driver_nand7 en_bar[7] in[7] net[7] vdd gnd pnand2_4 +Xwl_driver_inv7 net[7] wl[7] vdd gnd pinv_11 +Xwl_driver_inv_en8 en en_bar[8] vdd gnd pinv_12 +Xwl_driver_nand8 en_bar[8] in[8] net[8] vdd gnd pnand2_4 +Xwl_driver_inv8 net[8] wl[8] vdd gnd pinv_11 +Xwl_driver_inv_en9 en en_bar[9] vdd gnd pinv_12 +Xwl_driver_nand9 en_bar[9] in[9] net[9] vdd gnd pnand2_4 +Xwl_driver_inv9 net[9] wl[9] vdd gnd pinv_11 +Xwl_driver_inv_en10 en en_bar[10] vdd gnd pinv_12 +Xwl_driver_nand10 en_bar[10] in[10] net[10] vdd gnd pnand2_4 +Xwl_driver_inv10 net[10] wl[10] vdd gnd pinv_11 +Xwl_driver_inv_en11 en en_bar[11] vdd gnd pinv_12 +Xwl_driver_nand11 en_bar[11] in[11] net[11] vdd gnd pnand2_4 +Xwl_driver_inv11 net[11] wl[11] vdd gnd pinv_11 +Xwl_driver_inv_en12 en en_bar[12] vdd gnd pinv_12 +Xwl_driver_nand12 en_bar[12] in[12] net[12] vdd gnd pnand2_4 +Xwl_driver_inv12 net[12] wl[12] vdd gnd pinv_11 +Xwl_driver_inv_en13 en en_bar[13] vdd gnd pinv_12 +Xwl_driver_nand13 en_bar[13] in[13] net[13] vdd gnd pnand2_4 +Xwl_driver_inv13 net[13] wl[13] vdd gnd pinv_11 +Xwl_driver_inv_en14 en en_bar[14] vdd gnd pinv_12 +Xwl_driver_nand14 en_bar[14] in[14] net[14] vdd gnd pnand2_4 +Xwl_driver_inv14 net[14] wl[14] vdd gnd pinv_11 +Xwl_driver_inv_en15 en en_bar[15] vdd gnd pinv_12 +Xwl_driver_nand15 en_bar[15] in[15] net[15] vdd gnd pnand2_4 +Xwl_driver_inv15 net[15] wl[15] vdd gnd pinv_11 +Xwl_driver_inv_en16 en en_bar[16] vdd gnd pinv_12 +Xwl_driver_nand16 en_bar[16] in[16] net[16] vdd gnd pnand2_4 +Xwl_driver_inv16 net[16] wl[16] vdd gnd pinv_11 +Xwl_driver_inv_en17 en en_bar[17] vdd gnd pinv_12 +Xwl_driver_nand17 en_bar[17] in[17] net[17] vdd gnd pnand2_4 +Xwl_driver_inv17 net[17] wl[17] vdd gnd pinv_11 +Xwl_driver_inv_en18 en en_bar[18] vdd gnd pinv_12 +Xwl_driver_nand18 en_bar[18] in[18] net[18] vdd gnd pnand2_4 +Xwl_driver_inv18 net[18] wl[18] vdd gnd pinv_11 +Xwl_driver_inv_en19 en en_bar[19] vdd gnd pinv_12 +Xwl_driver_nand19 en_bar[19] in[19] net[19] vdd gnd pnand2_4 +Xwl_driver_inv19 net[19] wl[19] vdd gnd pinv_11 +Xwl_driver_inv_en20 en en_bar[20] vdd gnd pinv_12 +Xwl_driver_nand20 en_bar[20] in[20] net[20] vdd gnd pnand2_4 +Xwl_driver_inv20 net[20] wl[20] vdd gnd pinv_11 +Xwl_driver_inv_en21 en en_bar[21] vdd gnd pinv_12 +Xwl_driver_nand21 en_bar[21] in[21] net[21] vdd gnd pnand2_4 +Xwl_driver_inv21 net[21] wl[21] vdd gnd pinv_11 +Xwl_driver_inv_en22 en en_bar[22] vdd gnd pinv_12 +Xwl_driver_nand22 en_bar[22] in[22] net[22] vdd gnd pnand2_4 +Xwl_driver_inv22 net[22] wl[22] vdd gnd pinv_11 +Xwl_driver_inv_en23 en en_bar[23] vdd gnd pinv_12 +Xwl_driver_nand23 en_bar[23] in[23] net[23] vdd gnd pnand2_4 +Xwl_driver_inv23 net[23] wl[23] vdd gnd pinv_11 +Xwl_driver_inv_en24 en en_bar[24] vdd gnd pinv_12 +Xwl_driver_nand24 en_bar[24] in[24] net[24] vdd gnd pnand2_4 +Xwl_driver_inv24 net[24] wl[24] vdd gnd pinv_11 +Xwl_driver_inv_en25 en en_bar[25] vdd gnd pinv_12 +Xwl_driver_nand25 en_bar[25] in[25] net[25] vdd gnd pnand2_4 +Xwl_driver_inv25 net[25] wl[25] vdd gnd pinv_11 +Xwl_driver_inv_en26 en en_bar[26] vdd gnd pinv_12 +Xwl_driver_nand26 en_bar[26] in[26] net[26] vdd gnd pnand2_4 +Xwl_driver_inv26 net[26] wl[26] vdd gnd pinv_11 +Xwl_driver_inv_en27 en en_bar[27] vdd gnd pinv_12 +Xwl_driver_nand27 en_bar[27] in[27] net[27] vdd gnd pnand2_4 +Xwl_driver_inv27 net[27] wl[27] vdd gnd pinv_11 +Xwl_driver_inv_en28 en en_bar[28] vdd gnd pinv_12 +Xwl_driver_nand28 en_bar[28] in[28] net[28] vdd gnd pnand2_4 +Xwl_driver_inv28 net[28] wl[28] vdd gnd pinv_11 +Xwl_driver_inv_en29 en en_bar[29] vdd gnd pinv_12 +Xwl_driver_nand29 en_bar[29] in[29] net[29] vdd gnd pnand2_4 +Xwl_driver_inv29 net[29] wl[29] vdd gnd pinv_11 +Xwl_driver_inv_en30 en en_bar[30] vdd gnd pinv_12 +Xwl_driver_nand30 en_bar[30] in[30] net[30] vdd gnd pnand2_4 +Xwl_driver_inv30 net[30] wl[30] vdd gnd pinv_11 +Xwl_driver_inv_en31 en en_bar[31] vdd gnd pinv_12 +Xwl_driver_nand31 en_bar[31] in[31] net[31] vdd gnd pnand2_4 +Xwl_driver_inv31 net[31] wl[31] vdd gnd pinv_11 +.ENDS wordline_driver + +.SUBCKT pinv_13 A Z vdd gnd +Mpinv_pmos Z A vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p +Mpinv_nmos Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p +.ENDS pinv_13 + +.SUBCKT bank DATA[0] DATA[1] ADDR[0] ADDR[1] ADDR[2] ADDR[3] ADDR[4] ADDR[5] ADDR[6] s_en w_en tri_en_bar tri_en clk_bar clk_buf vdd gnd +Xbitcell_array bl[0] br[0] bl[1] br[1] bl[2] br[2] bl[3] br[3] bl[4] br[4] bl[5] br[5] bl[6] br[6] bl[7] br[7] wl[0] wl[1] wl[2] wl[3] wl[4] wl[5] wl[6] wl[7] wl[8] wl[9] wl[10] wl[11] wl[12] wl[13] wl[14] wl[15] wl[16] wl[17] wl[18] wl[19] wl[20] wl[21] wl[22] wl[23] wl[24] wl[25] wl[26] wl[27] wl[28] wl[29] wl[30] wl[31] vdd gnd bitcell_array +Xprecharge_array bl[0] br[0] bl[1] br[1] bl[2] br[2] bl[3] br[3] bl[4] br[4] bl[5] br[5] bl[6] br[6] bl[7] br[7] clk_bar vdd precharge_array +Xcolumn_mux_array bl[0] br[0] bl[1] br[1] bl[2] br[2] bl[3] br[3] bl[4] br[4] bl[5] br[5] bl[6] br[6] bl[7] br[7] sel[0] sel[1] sel[2] sel[3] bl_out[0] br_out[0] bl_out[1] br_out[1] gnd columnmux_array +Xcol_address_decoder A[5] A[6] sel[0] sel[1] sel[2] sel[3] vdd gnd pre2x4 +Xsense_amp_array data_out[0] bl_out[0] br_out[0] data_out[1] bl_out[1] br_out[1] s_en vdd gnd sense_amp_array +Xwrite_driver_array data_in[0] data_in[1] bl_out[0] br_out[0] bl_out[1] br_out[1] w_en vdd gnd write_driver_array +Xdata_in_flop_array DATA[0] DATA[1] data_in[0] data_in_bar[0] data_in[1] data_in_bar[1] clk_bar vdd gnd msf_data_in +Xtri_gate_array data_out[0] data_out[1] DATA[0] DATA[1] tri_en tri_en_bar vdd gnd tri_gate_array +Xrow_decoder A[0] A[1] A[2] A[3] A[4] dec_out[0] dec_out[1] dec_out[2] dec_out[3] dec_out[4] dec_out[5] dec_out[6] dec_out[7] dec_out[8] dec_out[9] dec_out[10] dec_out[11] dec_out[12] dec_out[13] dec_out[14] dec_out[15] dec_out[16] dec_out[17] dec_out[18] dec_out[19] dec_out[20] dec_out[21] dec_out[22] dec_out[23] dec_out[24] dec_out[25] dec_out[26] dec_out[27] dec_out[28] dec_out[29] dec_out[30] dec_out[31] vdd gnd hierarchical_decoder_32rows +Xwordline_driver dec_out[0] dec_out[1] dec_out[2] dec_out[3] dec_out[4] dec_out[5] dec_out[6] dec_out[7] dec_out[8] dec_out[9] dec_out[10] dec_out[11] dec_out[12] dec_out[13] dec_out[14] dec_out[15] dec_out[16] dec_out[17] dec_out[18] dec_out[19] dec_out[20] dec_out[21] dec_out[22] dec_out[23] dec_out[24] dec_out[25] dec_out[26] dec_out[27] dec_out[28] dec_out[29] dec_out[30] dec_out[31] wl[0] wl[1] wl[2] wl[3] wl[4] wl[5] wl[6] wl[7] wl[8] wl[9] wl[10] wl[11] wl[12] wl[13] wl[14] wl[15] wl[16] wl[17] wl[18] wl[19] wl[20] wl[21] wl[22] wl[23] wl[24] wl[25] wl[26] wl[27] wl[28] wl[29] wl[30] wl[31] clk_buf vdd gnd wordline_driver +Xaddress_flop_array ADDR[0] ADDR[1] ADDR[2] ADDR[3] ADDR[4] ADDR[5] ADDR[6] A[0] A_bar[0] A[1] A_bar[1] A[2] A_bar[2] A[3] A_bar[3] A[4] A_bar[4] A[5] A_bar[5] A[6] A_bar[6] clk_buf vdd gnd msf_address +.ENDS bank + +.SUBCKT sram_2_16_1_freepdk45 DATA[0] DATA[1] ADDR[0] ADDR[1] ADDR[2] ADDR[3] ADDR[4] ADDR[5] ADDR[6] CSb WEb OEb clk vdd gnd +Xbank0 DATA[0] DATA[1] ADDR[0] ADDR[1] ADDR[2] ADDR[3] ADDR[4] ADDR[5] ADDR[6] s_en w_en tri_en_bar tri_en clk_bar clk_buf vdd gnd bank +Xcontrol CSb WEb OEb clk s_en w_en tri_en tri_en_bar clk_bar clk_buf vdd gnd control_logic +.ENDS sram_2_16_1_freepdk45 diff --git a/compiler/temp/sram_2_16_1_freepdk45.v b/compiler/temp/sram_2_16_1_freepdk45.v new file mode 100644 index 00000000..b9a70af5 --- /dev/null +++ b/compiler/temp/sram_2_16_1_freepdk45.v @@ -0,0 +1,47 @@ +// OpenRAM SRAM model +// Words: 128 +// Word size: 2 + +module sram_2_16_1_freepdk45(DATA,ADDR,CSb,WEb,OEb,clk); + + parameter DATA_WIDTH = 2 ; + parameter ADDR_WIDTH = 7 ; + parameter RAM_DEPTH = 1 << ADDR_WIDTH; + parameter DELAY = 3 ; + + inout [DATA_WIDTH-1:0] DATA; + input [ADDR_WIDTH-1:0] ADDR; + input CSb; // active low chip select + input WEb; // active low write control + input OEb; // active output enable + input clk; // clock + + reg [DATA_WIDTH-1:0] data_out ; + reg [DATA_WIDTH-1:0] mem [0:RAM_DEPTH-1]; + + // Tri-State Buffer control + // output : When WEb = 1, oeb = 0, csb = 0 + assign DATA = (!CSb && !OEb && WEb) ? data_out : 2'bz; + + // Memory Write Block + // Write Operation : When WEb = 0, CSb = 0 + always @ (posedge clk) + begin : MEM_WRITE + if ( !CSb && !WEb ) begin + mem[ADDR] = DATA; + $display($time," Writing %m ABUS=%b DATA=%b",ADDR,DATA); + end + end + + + // Memory Read Block + // Read Operation : When WEb = 1, CSb = 0 + always @ (posedge clk) + begin : MEM_READ + if (!CSb && WEb) begin + data_out <= #(DELAY) mem[ADDR]; + $display($time," Reading %m ABUS=%b DATA=%b",ADDR,mem[ADDR]); + end + end + +endmodule diff --git a/compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib b/compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib new file mode 100644 index 00000000..ed43129e --- /dev/null +++ b/compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib @@ -0,0 +1,329 @@ +library (sram_2_16_1_freepdk45_TT_10V_25C_lib){ + delay_model : "table_lookup"; + time_unit : "1ns" ; + voltage_unit : "1v" ; + current_unit : "1mA" ; + resistance_unit : "1kohm" ; + capacitive_load_unit(1 ,fF) ; + leakage_power_unit : "1mW" ; + pulling_resistance_unit :"1kohm" ; + operating_conditions(TT){ + voltage : 1.0 ; + temperature : 25; + } + + input_threshold_pct_fall : 50.0 ; + output_threshold_pct_fall : 50.0 ; + input_threshold_pct_rise : 50.0 ; + output_threshold_pct_rise : 50.0 ; + slew_lower_threshold_pct_fall : 10.0 ; + slew_upper_threshold_pct_fall : 90.0 ; + slew_lower_threshold_pct_rise : 10.0 ; + slew_upper_threshold_pct_rise : 90.0 ; + + default_cell_leakage_power : 0.0 ; + default_leakage_power_density : 0.0 ; + default_input_pin_cap : 1.0 ; + default_inout_pin_cap : 1.0 ; + default_output_pin_cap : 0.0 ; + default_max_transition : 0.5 ; + default_fanout_load : 1.0 ; + default_max_fanout : 4.0 ; + default_connection_class : universal ; + + lu_table_template(CELL_TABLE){ + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1("0.00125, 0.005, 0.04"); + index_2("0.052275, 0.2091, 1.6728"); + } + + lu_table_template(CONSTRAINT_TABLE){ + variable_1 : related_pin_transition; + variable_2 : constrained_pin_transition; + index_1("0.00125, 0.005, 0.04"); + index_2("0.00125, 0.005, 0.04"); + } + + default_operating_conditions : TT; + + + type (DATA){ + base_type : array; + data_type : bit; + bit_width : 2; + bit_from : 0; + bit_to : 1; + } + + type (ADDR){ + base_type : array; + data_type : bit; + bit_width : 7; + bit_from : 0; + bit_to : 6; + } + +cell (sram_2_16_1_freepdk45){ + memory(){ + type : ram; + address_width : 7; + word_width : 2; + } + interface_timing : true; + dont_use : true; + map_only : true; + dont_touch : true; + area : 1756.7563625; + + bus(DATA){ + bus_type : DATA; + direction : inout; + max_capacitance : 1.6728; + three_state : "!OEb & !clk"; + memory_write(){ + address : ADDR; + clocked_on : clk; + } + memory_read(){ + address : ADDR; + } + pin(DATA[1:0]){ + internal_power(){ + when : "OEb & !clk"; + rise_power(scalar){ + values("292"); + } + fall_power(scalar){ + values("292"); + } + } + timing(){ + timing_type : setup_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + } + timing(){ + timing_type : hold_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + } + internal_power(){ + when : "!OEb & !clk"; + rise_power(scalar){ + values("292"); + } + fall_power(scalar){ + values("292"); + } + } + timing(){ + timing_sense : non_unate; + related_pin : "clk"; + timing_type : falling_edge; + cell_rise(CELL_TABLE) { + values("0.167, 0.168, 0.177",\ + "0.167, 0.168, 0.177",\ + "0.167, 0.168, 0.177"); + } + cell_fall(CELL_TABLE) { + values("0.167, 0.168, 0.177",\ + "0.167, 0.168, 0.177",\ + "0.167, 0.168, 0.177"); + } + rise_transition(CELL_TABLE) { + values("0.006, 0.007, 0.018",\ + "0.006, 0.007, 0.018",\ + "0.006, 0.007, 0.018"); + } + fall_transition(CELL_TABLE) { + values("0.006, 0.007, 0.018",\ + "0.006, 0.007, 0.018",\ + "0.006, 0.007, 0.018"); + } + } + } + } + + bus(ADDR){ + bus_type : ADDR; + direction : input; + capacitance : 0.2091; + max_transition : 0.04; + fanout_load : 1.000000; + pin(ADDR[6:0]){ + timing(){ + timing_type : setup_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + } + timing(){ + timing_type : hold_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + } + } + } + + pin(CSb){ + direction : input; + capacitance : 0.2091; + timing(){ + timing_type : setup_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + } + timing(){ + timing_type : hold_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + } + } + + pin(OEb){ + direction : input; + capacitance : 0.2091; + timing(){ + timing_type : setup_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + } + timing(){ + timing_type : hold_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + } + } + + pin(WEb){ + direction : input; + capacitance : 0.2091; + timing(){ + timing_type : setup_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + } + timing(){ + timing_type : hold_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + } + } + + pin(clk){ + clock : true; + direction : input; + capacitance : 0.2091; + timing(){ + timing_type :"min_pulse_width"; + related_pin : clk; + rise_constraint(scalar) { + values("0.0"); + } + fall_constraint(scalar) { + values("0.0"); + } + } + timing(){ + timing_type :"minimum_period"; + related_pin : clk; + rise_constraint(scalar) { + values("0.0"); + } + fall_constraint(scalar) { + values("0.0"); + } + } + } + } +} From d4a0f48d4fda2594ea084f560c9e88514d201ca0 Mon Sep 17 00:00:00 2001 From: Hunter Nichols Date: Wed, 21 Feb 2018 19:51:21 -0800 Subject: [PATCH 16/29] Added power calculations for inverter. Still testing. --- compiler/base/design.py | 9 +++-- compiler/base/hierarchy_spice.py | 34 +++++++++++++++++ compiler/characterizer/delay.py | 4 +- compiler/modules/bank.py | 26 ++++++------- compiler/modules/control_logic.py | 8 ++-- compiler/pgates/pinv.py | 16 +++++++- compiler/sram.py | 35 ++++++++---------- compiler/temp/sram_2_16_1_freepdk45.gds | Bin 408550 -> 408550 bytes .../temp/sram_2_16_1_freepdk45_TT_10V_25C.lib | 8 ++-- technology/freepdk45/tech/tech.py | 7 ++++ 10 files changed, 101 insertions(+), 46 deletions(-) diff --git a/compiler/base/design.py b/compiler/base/design.py index 5dd0db14..ad37dfe6 100644 --- a/compiler/base/design.py +++ b/compiler/base/design.py @@ -122,6 +122,9 @@ class design(hierarchy_spice.spice, hierarchy_layout.layout): return text def analytical_power(self, slew, load): - #This function is here return 0 power for every module that does not have a power function defined - #This is a hack and should be made better (also may be a little dangerous) - return 0 + """ Get total power of a module """ + #print "Getting power for ",self.name," module" + total_module_power = 0 + for inst in self.insts: + total_module_power += inst.mod.analytical_power(slew, load) + return total_module_power diff --git a/compiler/base/hierarchy_spice.py b/compiler/base/hierarchy_spice.py index d218227e..dadebdab 100644 --- a/compiler/base/hierarchy_spice.py +++ b/compiler/base/hierarchy_spice.py @@ -214,6 +214,9 @@ class spice(verilog.verilog): def generate_rc_net(self,lump_num, wire_length, wire_width): return wire_spice_model(lump_num, wire_length, wire_width) + + def return_power(self, dynamic, leakage): + return power_data(dynamic, leakage) class delay_data: """ @@ -246,6 +249,37 @@ class delay_data: assert isinstance(other,delay_data) return delay_data(other.delay + self.delay, self.slew) + +class power_data: + """ + This is the power class to represent the power information + Dynamic and leakage power are stored as a single object with this class. + """ + def __init__(self, dynamic=0.0, leakage=0.0): + """ init function support two init method""" + # will take single input as a coordinate + self.dynamic = dynamic + self.leakage = leakage + + def __str__(self): + """ override print function output """ + return "Power Data: Dynamic "+str(self.dynamic)+", Leakage "+str(self.leakage)+" in nW" + + def __add__(self, other): + """ + Override - function (left), for power_data: a+b != b+a + """ + assert isinstance(other,power_data) + return delay_data(other.dynamic + self.dynamic, + other.leakage + self.leakage) + + def __radd__(self, other): + """ + Override - function (left), for power_data: a+b != b+a + """ + assert isinstance(other,power_data) + return delay_data(other.dynamic + self.dynamic, + other.leakage + self.leakage) class wire_spice_model: diff --git a/compiler/characterizer/delay.py b/compiler/characterizer/delay.py index 82515933..8865b71b 100644 --- a/compiler/characterizer/delay.py +++ b/compiler/characterizer/delay.py @@ -550,7 +550,9 @@ class delay(): LH_slew.append(bank_delay.slew/1e3) HL_slew.append(bank_delay.slew/1e3) - power = sram.analytical_power(slew, load) + voltage = 1 + temperature = 20 + power = sram.analytical_power(voltage, temperature, load) data = {"min_period": 0, "delay1": LH_delay, diff --git a/compiler/modules/bank.py b/compiler/modules/bank.py index 3d5bcddf..b936670e 100644 --- a/compiler/modules/bank.py +++ b/compiler/modules/bank.py @@ -1229,22 +1229,22 @@ class bank(design.design): + bitcell_array_delay + bl_t_data_out_delay + data_t_DATA_delay return result - def analytical_power(self, slew, load): - """ return analytical power of the bank. Basic skeleton code""" - msf_addr_power = self.msf_address.analytical_power(slew, self.decoder.input_load()) - msf_data_in_power = self.msf_data_in.analytical_power(slew, self.decoder.input_load()) + # def analytical_power(self, slew, load): + # """ return analytical power of the bank. Basic skeleton code""" + # msf_addr_power = self.msf_address.analytical_power(slew, self.decoder.input_load()) + # msf_data_in_power = self.msf_data_in.analytical_power(slew, self.decoder.input_load()) - decoder_power = self.decoder.analytical_power(slew, load) + # decoder_power = self.decoder.analytical_power(slew, load) - word_driver_power = self.wordline_driver.analytical_power(slew, self.bitcell_array.input_load()) + # word_driver_power = self.wordline_driver.analytical_power(slew, self.bitcell_array.input_load()) - bitcell_array_power = self.bitcell_array.analytical_power(slew) + # bitcell_array_power = self.bitcell_array.analytical_power(slew) - bl_t_data_out_power = self.sense_amp_array.analytical_power(slew, - self.bitcell_array.output_load()) + # bl_t_data_out_power = self.sense_amp_array.analytical_power(slew, + # self.bitcell_array.output_load()) - data_t_DATA_power = self.tri_gate_array.analytical_power(slew, load) + # data_t_DATA_power = self.tri_gate_array.analytical_power(slew, load) - total_power = msf_addr_power + msf_data_in_power + decoder_power + word_driver_power \ - + bitcell_array_power + bl_t_data_out_power + data_t_DATA_power - return total_power + # total_power = msf_addr_power + msf_data_in_power + decoder_power + word_driver_power \ + # + bitcell_array_power + bl_t_data_out_power + data_t_DATA_power + # return total_power diff --git a/compiler/modules/control_logic.py b/compiler/modules/control_logic.py index ce99040f..16091b60 100644 --- a/compiler/modules/control_logic.py +++ b/compiler/modules/control_logic.py @@ -688,8 +688,10 @@ class control_logic(design.design): width=pin.width()) - def analytical_power(self, slew, load): + def analytical_power(self, vdd, temp, load): #This has yet to be fully determined. - msf_power = self.msf_control.analytical_power(slew, load) + print "Instances:" + for inst in self.insts: + print inst.name," Instance" #currently, only return flop array power - return msf_power \ No newline at end of file + return 0 \ No newline at end of file diff --git a/compiler/pgates/pinv.py b/compiler/pgates/pinv.py index b628b4d9..337728ca 100644 --- a/compiler/pgates/pinv.py +++ b/compiler/pgates/pinv.py @@ -242,6 +242,18 @@ class pinv(pgate.pgate): c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) - def analytical_power(self, slew, load=0.0): + def analytical_power(self, vdd, temp, load): #Adding a magic number until I can properly define this. - return 3 + c_eff = self.calculate_effective_capacitance(load) + f = spice["default_event_rate"] + power_dyn = c_eff*vdd*vdd*f + power_leak = spice["inv_leakage"] + + total_power = self.return_power(power_dyn, power_leak) + return total_power + + def calculate_effective_capacitance(self, load): + c_load = load + c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff + transistion_prob = spice["inv_transisition_prob"] + return transistion_prob*(c_load + c_para) \ No newline at end of file diff --git a/compiler/sram.py b/compiler/sram.py index 3e80d059..91df3704 100644 --- a/compiler/sram.py +++ b/compiler/sram.py @@ -1015,34 +1015,29 @@ class sram(design.design): """ LH and HL are the same in analytical model. """ return self.bank.analytical_delay(slew,load) - def analytical_power(self,slew,load): + def analytical_power(self, vdd, temp, load): """ Just a test function for the power.""" power_sum = 0; print "Module Powers" - for mod in self.mods: - #print mod.name," Power: ", mod.analytical_power(slew, load) - power_sum += mod.analytical_power(slew, load) + # for mod in self.mods: + # print mod.name," Power: ", mod.analytical_power(slew, load) + # power_sum += mod.analytical_power(slew, load) - print "Instances:" - for inst in self.insts: - print inst.name," Instance" - - print "Modules from Instances:" - for inst in self.insts: - print inst.mod.name," Module" + # print "Instances:" + # for inst in self.insts: + # print inst.name," Instance" - print "Instances from Modules of Instances:" - for inst in self.insts: - print inst.mod.name," Module" - for mod_inst in inst.mod.insts: - print mod_inst.name," Instance" - - #There is only one instance of the bank module in the mod list so this is too account for the other banks - bank_power = self.bank.analytical_power(slew,load) + # print "Instances from Modules of Instances:" + # for inst in self.insts: + # print inst.mod.name," Module" + # for mod_inst in inst.mod.insts: + # print mod_inst.name," Instance" - return bank_power + + power_sum = self.control_logic.analytical_power(vdd, temp, load) + return power_sum def save_output(self): """ Save all the output files while reporting time to do it as well. """ diff --git a/compiler/temp/sram_2_16_1_freepdk45.gds b/compiler/temp/sram_2_16_1_freepdk45.gds index f9ecf3d877f29c0e9d7b2a742a8a0fe04b8e58ed..3d0a69108aaec5af38e710c610eafac4f39a1879 100644 GIT binary patch delta 1849 zcmaJ?T}V_x6rMYCXG{%SQ@5MCi6-ukKUszqVqsEd59<#}QPFClVL#%FK|Q79TS2nW z4T7-99;Ic26Nngrnc0Iq^`l5gXhFzE&^}1e#?GvJV79l*eDj_2eP_-&cO`1AM6D7c z2ql~p8Z>P=_LWDn2_a?4-yN12!OI_*ONb{9f4K1T}*LqX}-lW`KIOjGFDOJcFJo6dD+!;5BIK(OJpNl*f z0@a3x$s36K??ywFk!!;o_rm8Iqc}~c3bN{q;46us0Py@zDp|sy2TU!eozogF5maEf zpFu+|i6AR*)qmARZpi?9*l^_4FvmHI+iNh(e_lx_?Z||plLjk zAw+*~-!;3nom%$GW;zTL-Sms93f44!iMBbl-nS0YM??RO?}%^<9H01@vWprT9;UNt z?urXUh!Pms2aZNMq1fnAY=0j6E_LBr9e1)}ML}U0rtAhS=BfgiA7E<6Z}AT zE6oR5HE^)UOcV@rZugo^nX=hX9A5L~^-UwMk9xAu)Eh6R}j&Q}(}*c$h%9|DdjB0RD9@WSX{=S>yT TJTB#1w^+#1mgPmQsN#PCos%1o delta 1849 zcmaJ?TSyd97(R2(oMT?FE!S;QH`z^EH8snyY_TvYvxoIUQdG2BXxK%3F{r1Md@D#6 znji>^>`_`a_yv(7Ff)7Dp1LR!5?T;q1nq+ajk`0OXZW|b!};d>&-eYeS&V6mG0jT^ zp@fqHg{CbSCnw1w9#XUZJmlS(vv%zzBzbL|!|g9}DTCB4KY^q+Iw z3BgLGn3-Ql+_f+2Dio&tQ$$k}3gGBb|YstB*EXd9YjsHmlr_&cKhA0qpiKb9)m(biPR=otgaM;ec02+`Z! zb4_nA1y~gz14?_TAJa=jynN4O$c_EG*=+x;&IqUCkykQ#I?RCh7$DVODH4 zx+DZn9bsGWN)H4WKC%#PVUZxJ33$?JCu55j&X;H z$P#b;V4nRLqC3jeLikXm1{BnNfzbO4XrA7-L$%(NBk%R8(|F?;kvN zErU{cIB}8x5@@DVE;yH=Z08sj{JHc_EA}^FZQzPxViW|MB+j3DsL!5 Q&A;`D*=!UUs}>dfFI>Sc@&Et; diff --git a/compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib b/compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib index ed43129e..75a20561 100644 --- a/compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib +++ b/compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib @@ -92,10 +92,10 @@ cell (sram_2_16_1_freepdk45){ internal_power(){ when : "OEb & !clk"; rise_power(scalar){ - values("292"); + values("0"); } fall_power(scalar){ - values("292"); + values("0"); } } timing(){ @@ -129,10 +129,10 @@ cell (sram_2_16_1_freepdk45){ internal_power(){ when : "!OEb & !clk"; rise_power(scalar){ - values("292"); + values("0"); } fall_power(scalar){ - values("292"); + values("0"); } } timing(){ diff --git a/technology/freepdk45/tech/tech.py b/technology/freepdk45/tech/tech.py index dacc3644..21cd87a0 100644 --- a/technology/freepdk45/tech/tech.py +++ b/technology/freepdk45/tech/tech.py @@ -275,6 +275,13 @@ spice["msflop_delay"] = 20.5 # DFF Clk-to-q delay in ps spice["msflop_slew"] = 13.1 # DFF output slew in ps w/ no load spice["msflop_in_cap"] = 0.2091 # Input capacitance of ms_flop (Din) [Femto-farad] +# analytical power parameters +spice["bitcell_leakage"] = 1 # Leakage power of a single bitcell in nano-Watts +spice["inv_leakage"] = 1 # Leakage power of inverter, temporary until a way to calculate is determined, in nW +spice["msflop_power"] = 1 # Total power of a single flop in nano-Watts + +spice["default_event_rate"] = 200 # Default event activity of every gate. Temporary value. In Mega-Hz +spice["inv_transisition_prob"] = .5 # Transition probability of inverter. Will be dynamically calculated later. ################################################### ##END Spice Simulation Parameters From beb7dad9bc04187ed69856d53993a3a2e24cae2d Mon Sep 17 00:00:00 2001 From: Hunter Nichols Date: Thu, 22 Feb 2018 00:15:55 -0800 Subject: [PATCH 17/29] Added corner paramters to power functions. This commit does not compile (sorry) --- compiler/base/design.py | 8 +- compiler/base/hierarchy_spice.py | 2 +- compiler/modules/control_logic.py | 7 +- compiler/modules/ms_flop.py | 7 +- compiler/modules/ms_flop_array.py | 4 +- compiler/modules/replica_bitline.py | 11 + compiler/pgates/pnand2.py | 4 +- compiler/pgates/pnand3.py | 4 +- compiler/pgates/pnor2.py | 3 +- .../temp/sram_2_16_1_freepdk45_TT_10V_25C.lib | 251 ------------------ 10 files changed, 34 insertions(+), 267 deletions(-) diff --git a/compiler/base/design.py b/compiler/base/design.py index ad37dfe6..7d146fe0 100644 --- a/compiler/base/design.py +++ b/compiler/base/design.py @@ -121,10 +121,10 @@ class design(hierarchy_spice.spice, hierarchy_layout.layout): text+=str(i)+",\n" return text - def analytical_power(self, slew, load): + def analytical_power(self, vdd, temp, load): """ Get total power of a module """ #print "Getting power for ",self.name," module" - total_module_power = 0 - for inst in self.insts: - total_module_power += inst.mod.analytical_power(slew, load) + total_module_power = self.return_power() + # for inst in self.insts: + # total_module_power += self.return_power()#inst.mod.analytical_power(vdd, temp, load) return total_module_power diff --git a/compiler/base/hierarchy_spice.py b/compiler/base/hierarchy_spice.py index dadebdab..52218884 100644 --- a/compiler/base/hierarchy_spice.py +++ b/compiler/base/hierarchy_spice.py @@ -215,7 +215,7 @@ class spice(verilog.verilog): def generate_rc_net(self,lump_num, wire_length, wire_width): return wire_spice_model(lump_num, wire_length, wire_width) - def return_power(self, dynamic, leakage): + def return_power(self, dynamic=0.0, leakage=0.0): return power_data(dynamic, leakage) class delay_data: diff --git a/compiler/modules/control_logic.py b/compiler/modules/control_logic.py index 16091b60..1b2e416d 100644 --- a/compiler/modules/control_logic.py +++ b/compiler/modules/control_logic.py @@ -97,7 +97,7 @@ class control_logic(design.design): # GAP between main control and replica bitline self.replica_bitline_gap = 2*self.m2_pitch - + def add_modules(self): @@ -691,7 +691,10 @@ class control_logic(design.design): def analytical_power(self, vdd, temp, load): #This has yet to be fully determined. print "Instances:" + total_power = self.return_power() #empty power object for inst in self.insts: print inst.name," Instance" + total_power += inst.mod.analytical_power(vdd, temp, load) + #currently, only return flop array power - return 0 \ No newline at end of file + return total_power \ No newline at end of file diff --git a/compiler/modules/ms_flop.py b/compiler/modules/ms_flop.py index edb5e3d4..a9a86ddd 100644 --- a/compiler/modules/ms_flop.py +++ b/compiler/modules/ms_flop.py @@ -27,8 +27,11 @@ class ms_flop(design.design): result = self.return_delay(spice["msflop_delay"], spice["msflop_slew"]) return result - def analytical_power(self, slew, load = 0.0): + def analytical_power(self, vdd, temp, load): #Value taken from tech file. from tech import spice - return spice["msflop_power"] + return self.return_power() + #return spice["msflop_power"] + + \ No newline at end of file diff --git a/compiler/modules/ms_flop_array.py b/compiler/modules/ms_flop_array.py index 8e29d846..f6297e0b 100644 --- a/compiler/modules/ms_flop_array.py +++ b/compiler/modules/ms_flop_array.py @@ -135,5 +135,5 @@ class ms_flop_array(design.design): def analytical_delay(self, slew, load=0.0): return self.ms.analytical_delay(slew=slew, load=load) - def analytical_power(self, slew, load): - return self.columns * self.ms.analytical_power(slew=slew, load=load) + # def analytical_power(self, vdd, temp, load): + # return self.columns * self.ms.analytical_power(slew=slew, load=load) diff --git a/compiler/modules/replica_bitline.py b/compiler/modules/replica_bitline.py index 6be7dc77..db1477a7 100644 --- a/compiler/modules/replica_bitline.py +++ b/compiler/modules/replica_bitline.py @@ -344,3 +344,14 @@ class replica_bitline(design.design): height=pin.height(), width=pin.width()) + + # def analytical_power(self, vdd, temp, load): + # #This has yet to be fully determined. + # print "Instances:" + # total_power = 0 + # for inst in self.insts: + # print inst.name," Instance" + # #total_power += inst.mod.analytical_power(vdd, temp, load) + + # #currently, only return flop array power + # return total_power \ No newline at end of file diff --git a/compiler/pgates/pnand2.py b/compiler/pgates/pnand2.py index 87f8f006..dc1904dc 100644 --- a/compiler/pgates/pnand2.py +++ b/compiler/pgates/pnand2.py @@ -214,6 +214,6 @@ class pnand2(pgate.pgate): c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) - def analytical_power(self, slew, load=0.0): + def analytical_power(self, vdd, temp, load): #Adding a magic number until I can properly define this. - return 1 + return self.return_power() diff --git a/compiler/pgates/pnand3.py b/compiler/pgates/pnand3.py index a8216a45..509aa59d 100644 --- a/compiler/pgates/pnand3.py +++ b/compiler/pgates/pnand3.py @@ -234,6 +234,6 @@ class pnand3(pgate.pgate): c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) - def analytical_power(self, slew, load=0.0): + def analytical_power(self, vdd, temp, load): #Adding a magic number until I can properly define this. - return 2 + return self.return_power() diff --git a/compiler/pgates/pnor2.py b/compiler/pgates/pnor2.py index 684a2b51..1ee6f64e 100644 --- a/compiler/pgates/pnor2.py +++ b/compiler/pgates/pnor2.py @@ -219,7 +219,8 @@ class pnor2(pgate.pgate): def input_load(self): return ((self.nmos_size+self.pmos_size)/parameter["min_tx_size"])*spice["min_tx_gate_c"] - def analytical_delay(self, slew, load=0.0): + def analytical_delay(self, vdd, temp, load): r = spice["min_tx_r"]/(self.nmos_size/parameter["min_tx_size"]) c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) + \ No newline at end of file diff --git a/compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib b/compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib index 75a20561..57acdc7c 100644 --- a/compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib +++ b/compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib @@ -76,254 +76,3 @@ cell (sram_2_16_1_freepdk45){ dont_touch : true; area : 1756.7563625; - bus(DATA){ - bus_type : DATA; - direction : inout; - max_capacitance : 1.6728; - three_state : "!OEb & !clk"; - memory_write(){ - address : ADDR; - clocked_on : clk; - } - memory_read(){ - address : ADDR; - } - pin(DATA[1:0]){ - internal_power(){ - when : "OEb & !clk"; - rise_power(scalar){ - values("0"); - } - fall_power(scalar){ - values("0"); - } - } - timing(){ - timing_type : setup_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - } - timing(){ - timing_type : hold_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - } - internal_power(){ - when : "!OEb & !clk"; - rise_power(scalar){ - values("0"); - } - fall_power(scalar){ - values("0"); - } - } - timing(){ - timing_sense : non_unate; - related_pin : "clk"; - timing_type : falling_edge; - cell_rise(CELL_TABLE) { - values("0.167, 0.168, 0.177",\ - "0.167, 0.168, 0.177",\ - "0.167, 0.168, 0.177"); - } - cell_fall(CELL_TABLE) { - values("0.167, 0.168, 0.177",\ - "0.167, 0.168, 0.177",\ - "0.167, 0.168, 0.177"); - } - rise_transition(CELL_TABLE) { - values("0.006, 0.007, 0.018",\ - "0.006, 0.007, 0.018",\ - "0.006, 0.007, 0.018"); - } - fall_transition(CELL_TABLE) { - values("0.006, 0.007, 0.018",\ - "0.006, 0.007, 0.018",\ - "0.006, 0.007, 0.018"); - } - } - } - } - - bus(ADDR){ - bus_type : ADDR; - direction : input; - capacitance : 0.2091; - max_transition : 0.04; - fanout_load : 1.000000; - pin(ADDR[6:0]){ - timing(){ - timing_type : setup_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - } - timing(){ - timing_type : hold_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - } - } - } - - pin(CSb){ - direction : input; - capacitance : 0.2091; - timing(){ - timing_type : setup_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - } - timing(){ - timing_type : hold_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - } - } - - pin(OEb){ - direction : input; - capacitance : 0.2091; - timing(){ - timing_type : setup_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - } - timing(){ - timing_type : hold_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - } - } - - pin(WEb){ - direction : input; - capacitance : 0.2091; - timing(){ - timing_type : setup_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - } - timing(){ - timing_type : hold_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - } - } - - pin(clk){ - clock : true; - direction : input; - capacitance : 0.2091; - timing(){ - timing_type :"min_pulse_width"; - related_pin : clk; - rise_constraint(scalar) { - values("0.0"); - } - fall_constraint(scalar) { - values("0.0"); - } - } - timing(){ - timing_type :"minimum_period"; - related_pin : clk; - rise_constraint(scalar) { - values("0.0"); - } - fall_constraint(scalar) { - values("0.0"); - } - } - } - } -} From 62ad30e741c70ed0361519b00f3f7d673bbdddb3 Mon Sep 17 00:00:00 2001 From: Hunter Nichols Date: Thu, 22 Feb 2018 19:35:54 -0800 Subject: [PATCH 18/29] Added initial version of analytical power esitmation. Loops through instances but power estimate is not accurate. --- compiler/base/design.py | 4 +- compiler/base/hierarchy_spice.py | 4 +- compiler/modules/bitcell.py | 5 +- compiler/modules/bitcell_array.py | 10 +- compiler/modules/hierarchical_decoder.py | 26 +- compiler/modules/hierarchical_predecode2x4.py | 16 +- compiler/modules/hierarchical_predecode3x8.py | 16 +- compiler/modules/replica_bitline.py | 9 +- compiler/modules/sense_amp.py | 8 +- compiler/modules/sense_amp_array.py | 4 +- compiler/modules/tri_gate.py | 7 +- compiler/modules/tri_gate_array.py | 4 +- compiler/modules/wordline_driver.py | 12 +- compiler/sram.py | 34 +-- compiler/temp/sram_2_16_1_freepdk45.gds | Bin 408550 -> 408550 bytes .../temp/sram_2_16_1_freepdk45_TT_10V_25C.lib | 251 ++++++++++++++++++ 16 files changed, 333 insertions(+), 77 deletions(-) diff --git a/compiler/base/design.py b/compiler/base/design.py index 7d146fe0..1beb6337 100644 --- a/compiler/base/design.py +++ b/compiler/base/design.py @@ -125,6 +125,6 @@ class design(hierarchy_spice.spice, hierarchy_layout.layout): """ Get total power of a module """ #print "Getting power for ",self.name," module" total_module_power = self.return_power() - # for inst in self.insts: - # total_module_power += self.return_power()#inst.mod.analytical_power(vdd, temp, load) + for inst in self.insts: + total_module_power += inst.mod.analytical_power(vdd, temp, load) return total_module_power diff --git a/compiler/base/hierarchy_spice.py b/compiler/base/hierarchy_spice.py index 52218884..1dd9cc65 100644 --- a/compiler/base/hierarchy_spice.py +++ b/compiler/base/hierarchy_spice.py @@ -270,7 +270,7 @@ class power_data: Override - function (left), for power_data: a+b != b+a """ assert isinstance(other,power_data) - return delay_data(other.dynamic + self.dynamic, + return power_data(other.dynamic + self.dynamic, other.leakage + self.leakage) def __radd__(self, other): @@ -278,7 +278,7 @@ class power_data: Override - function (left), for power_data: a+b != b+a """ assert isinstance(other,power_data) - return delay_data(other.dynamic + self.dynamic, + return power_data(other.dynamic + self.dynamic, other.leakage + self.leakage) diff --git a/compiler/modules/bitcell.py b/compiler/modules/bitcell.py index 1127387a..d36b0c07 100644 --- a/compiler/modules/bitcell.py +++ b/compiler/modules/bitcell.py @@ -35,10 +35,11 @@ class bitcell(design.design): result = self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew, swing = swing) return result - def analytical_power(self, slew, load=0, swing = 0.5): + def analytical_power(self, vdd, temp, load): #Power of the bitcell. Mostly known for leakage, but dynamic can also be factored in. #Only consider leakage power for now. Value defined in tech file rather than calculated. from tech import spice leakage = spice["bitcell_leakage"] - total_power = leakage + dynamic = 0 #temporary + total_power = self.return_power(dynamic, leakage) return total_power diff --git a/compiler/modules/bitcell_array.py b/compiler/modules/bitcell_array.py index 27805c40..f58097dc 100644 --- a/compiler/modules/bitcell_array.py +++ b/compiler/modules/bitcell_array.py @@ -178,7 +178,7 @@ class bitcell_array(design.design): return self.return_delay(cell_delay.delay+wl_to_cell_delay.delay, wl_to_cell_delay.slew) - def analytical_power(self, slew, load=0): + def analytical_power(self, vdd, temp, load): #This will be pretty bare bones as the power needs to be determined from the dynamic power #of the word line, leakage power from the cell, and dynamic power of the bitlines as a few #sources for power. These features are tbd. @@ -194,10 +194,12 @@ class bitcell_array(design.design): # hence just use the whole c bl_swing = 0.1 #Calculate the bitcell power which can include leakage as well as bitline dynamic - cell_power = self.cell.analytical_power(slew, cell_load, swing = bl_swing) - + cell_power = self.cell.analytical_power(vdd, temp, load) + + #Leakage power grows with entire array. Dynamic currently not accounted for. + total_power = self.return_power(cell_power.dynamic, cell_power.leakage * self.column_size * self.row_size) #calculate power for entire array based off a single cell - return cell_power * self.column_size * self.row_size + return total_power def gen_wl_wire(self): wl_wire = self.generate_rc_net(int(self.column_size), self.width, drc["minwidth_metal1"]) diff --git a/compiler/modules/hierarchical_decoder.py b/compiler/modules/hierarchical_decoder.py index 0f87ae0e..07c95aff 100644 --- a/compiler/modules/hierarchical_decoder.py +++ b/compiler/modules/hierarchical_decoder.py @@ -494,21 +494,21 @@ class hierarchical_decoder(design.design): result = result + z_t_decodeout_delay return result - def analytical_power(self, slew, load = 0.0): - # A -> out - if self.determine_predecodes(self.num_inputs)[1]==0: - pre = self.pre2_4 - nand = self.nand2 - else: - pre = self.pre3_8 - nand = self.nand3 - a_t_out_power = pre.analytical_power(slew=slew,load = nand.input_load()) + # def analytical_power(self, slew, load = 0.0): + # # A -> out + # if self.determine_predecodes(self.num_inputs)[1]==0: + # pre = self.pre2_4 + # nand = self.nand2 + # else: + # pre = self.pre3_8 + # nand = self.nand3 + # a_t_out_power = pre.analytical_power(slew=slew,load = nand.input_load()) - out_t_z_power = nand.analytical_power(slew, - load = self.inv.input_load()) + # out_t_z_power = nand.analytical_power(slew, + # load = self.inv.input_load()) - z_t_decodeout_power = self.inv.analytical_power(slew, load = load) - return a_t_out_power + out_t_z_power + z_t_decodeout_power + # z_t_decodeout_power = self.inv.analytical_power(slew, load = load) + # return a_t_out_power + out_t_z_power + z_t_decodeout_power def input_load(self): if self.determine_predecodes(self.num_inputs)[1]==0: diff --git a/compiler/modules/hierarchical_predecode2x4.py b/compiler/modules/hierarchical_predecode2x4.py index bedc568d..a9752855 100644 --- a/compiler/modules/hierarchical_predecode2x4.py +++ b/compiler/modules/hierarchical_predecode2x4.py @@ -55,17 +55,17 @@ class hierarchical_predecode2x4(hierarchical_predecode): return a_t_b_delay + b_t_z_delay + a_t_out_delay - def analytical_power(self, slew, load = 0.0 ): - # in -> inbar - a_t_b_power = self.inv.analytical_power(slew=slew, load=self.nand.input_load()) + # def analytical_power(self, slew, load = 0.0 ): + # # in -> inbar + # a_t_b_power = self.inv.analytical_power(slew=slew, load=self.nand.input_load()) - # inbar -> z - b_t_z_power = self.nand.analytical_power(slew, load=self.inv.input_load()) + # # inbar -> z + # b_t_z_power = self.nand.analytical_power(slew, load=self.inv.input_load()) - # Z -> out - a_t_out_power = self.inv.analytical_power(slew, load=load) + # # Z -> out + # a_t_out_power = self.inv.analytical_power(slew, load=load) - return a_t_b_power + b_t_z_power + a_t_out_power + # return a_t_b_power + b_t_z_power + a_t_out_power def input_load(self): return self.nand.input_load() diff --git a/compiler/modules/hierarchical_predecode3x8.py b/compiler/modules/hierarchical_predecode3x8.py index df173548..5ecb5e16 100644 --- a/compiler/modules/hierarchical_predecode3x8.py +++ b/compiler/modules/hierarchical_predecode3x8.py @@ -63,17 +63,17 @@ class hierarchical_predecode3x8(hierarchical_predecode): return a_t_b_delay + b_t_z_delay + a_t_out_delay - def analytical_power(self, slew, load = 0.0 ): - # in -> inbar - a_t_b_power = self.inv.analytical_power(slew=slew, load=self.nand.input_load()) + # def analytical_power(self, slew, load = 0.0 ): + # # in -> inbar + # a_t_b_power = self.inv.analytical_power(slew=slew, load=self.nand.input_load()) - # inbar -> z - b_t_z_power = self.nand.analytical_power(slew, load=self.inv.input_load()) + # # inbar -> z + # b_t_z_power = self.nand.analytical_power(slew, load=self.inv.input_load()) - # Z -> out - a_t_out_power = self.inv.analytical_power(slew, load=load) + # # Z -> out + # a_t_out_power = self.inv.analytical_power(slew, load=load) - return a_t_b_power + b_t_z_power + a_t_out_power + # return a_t_b_power + b_t_z_power + a_t_out_power def input_load(self): return self.nand.input_load() diff --git a/compiler/modules/replica_bitline.py b/compiler/modules/replica_bitline.py index db1477a7..d6c289c1 100644 --- a/compiler/modules/replica_bitline.py +++ b/compiler/modules/replica_bitline.py @@ -347,11 +347,12 @@ class replica_bitline(design.design): # def analytical_power(self, vdd, temp, load): # #This has yet to be fully determined. - # print "Instances:" - # total_power = 0 + # print self.name," Instances:" + # total_power = self.return_power() # for inst in self.insts: # print inst.name," Instance" - # #total_power += inst.mod.analytical_power(vdd, temp, load) - + # total_power += inst.mod.analytical_power(vdd, temp, load) + + # print self.name," Instances End" # #currently, only return flop array power # return total_power \ No newline at end of file diff --git a/compiler/modules/sense_amp.py b/compiler/modules/sense_amp.py index 319ed7e3..6ad8a595 100644 --- a/compiler/modules/sense_amp.py +++ b/compiler/modules/sense_amp.py @@ -30,7 +30,7 @@ class sense_amp(design.design): result = self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) return self.return_delay(result.delay, result.slew) - def analytical_power(self, slew, load=0.0): - #This is just skeleton code which returns a magic number. The sense amp consumes static - #power during its operation and some dynamic power due to the switching. - return 2 + def analytical_power(self, vdd, temp, load): + #Not sure how to determine this yet. Sense amps return zero power for now + total_power = self.return_power() + return total_power diff --git a/compiler/modules/sense_amp_array.py b/compiler/modules/sense_amp_array.py index 4ec0a365..1c312d94 100644 --- a/compiler/modules/sense_amp_array.py +++ b/compiler/modules/sense_amp_array.py @@ -118,5 +118,5 @@ class sense_amp_array(design.design): def analytical_delay(self, slew, load=0.0): return self.amp.analytical_delay(slew=slew, load=load) - def analytical_power(self, slew, load=0.0): - return self.amp.analytical_power(slew=slew, load=load) + # def analytical_power(self, slew, load=0.0): + # return self.amp.analytical_power(slew=slew, load=load) diff --git a/compiler/modules/tri_gate.py b/compiler/modules/tri_gate.py index 2cac63a2..9b56160f 100644 --- a/compiler/modules/tri_gate.py +++ b/compiler/modules/tri_gate.py @@ -33,9 +33,10 @@ class tri_gate(design.design): c_para = spice["min_tx_drain_c"] return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) - def analytical_power(self, slew, load=0.0): - #Skeleton code for the power of a trigate. Returns magic number for now. - return 2 + def analytical_power(self, vdd, temp, load): + #Not sure how to determine this yet. Tri-gates return zero power for now + total_power = self.return_power() + return total_power def input_load(self): diff --git a/compiler/modules/tri_gate_array.py b/compiler/modules/tri_gate_array.py index 4b9132dc..933cf35f 100644 --- a/compiler/modules/tri_gate_array.py +++ b/compiler/modules/tri_gate_array.py @@ -112,5 +112,5 @@ class tri_gate_array(design.design): def analytical_delay(self, slew, load=0.0): return self.tri.analytical_delay(slew = slew, load = load) - def analytical_power(self, slew, load=0.0): - return self.tri.analytical_power(slew = slew, load = load) + # def analytical_power(self, slew, load=0.0): + # return self.tri.analytical_power(slew = slew, load = load) diff --git a/compiler/modules/wordline_driver.py b/compiler/modules/wordline_driver.py index c1e07bf5..2248b21a 100644 --- a/compiler/modules/wordline_driver.py +++ b/compiler/modules/wordline_driver.py @@ -206,14 +206,14 @@ class wordline_driver(design.design): return decode_t_net + net_t_wl - def analytical_power(self, slew, load=0): - # decode -> net - decode_p_net = self.nand2.analytical_power(slew, self.inv.input_load()) + # def analytical_power(self, slew, load=0): + # # decode -> net + # decode_p_net = self.nand2.analytical_power(slew, self.inv.input_load()) - # net -> wl - net_p_wl = self.inv.analytical_power(slew, load) + # # net -> wl + # net_p_wl = self.inv.analytical_power(slew, load) - return decode_p_net + net_p_wl + # return decode_p_net + net_p_wl def input_load(self): return self.nand2.input_load() diff --git a/compiler/sram.py b/compiler/sram.py index 91df3704..9b785763 100644 --- a/compiler/sram.py +++ b/compiler/sram.py @@ -1015,29 +1015,29 @@ class sram(design.design): """ LH and HL are the same in analytical model. """ return self.bank.analytical_delay(slew,load) - def analytical_power(self, vdd, temp, load): - """ Just a test function for the power.""" + # def analytical_power(self, vdd, temp, load): + # """ Just a test function for the power.""" - power_sum = 0; - print "Module Powers" - # for mod in self.mods: - # print mod.name," Power: ", mod.analytical_power(slew, load) - # power_sum += mod.analytical_power(slew, load) + # power_sum = 0; + # print "Module Powers" + # # for mod in self.mods: + # # print mod.name," Power: ", mod.analytical_power(slew, load) + # # power_sum += mod.analytical_power(slew, load) - # print "Instances:" - # for inst in self.insts: - # print inst.name," Instance" + # # print "Instances:" + # # for inst in self.insts: + # # print inst.name," Instance" - # print "Instances from Modules of Instances:" - # for inst in self.insts: - # print inst.mod.name," Module" - # for mod_inst in inst.mod.insts: - # print mod_inst.name," Instance" + # # print "Instances from Modules of Instances:" + # # for inst in self.insts: + # # print inst.mod.name," Module" + # # for mod_inst in inst.mod.insts: + # # print mod_inst.name," Instance" - power_sum = self.control_logic.analytical_power(vdd, temp, load) - return power_sum + # power_sum = self.control_logic.analytical_power(vdd, temp, load) + # return power_sum def save_output(self): """ Save all the output files while reporting time to do it as well. """ diff --git a/compiler/temp/sram_2_16_1_freepdk45.gds b/compiler/temp/sram_2_16_1_freepdk45.gds index 3d0a69108aaec5af38e710c610eafac4f39a1879..44aaa87b9f8db5444567ee33b617312f6cb818b7 100644 GIT binary patch delta 1849 zcmaJ?Ur19?9KQFQdyY9n@7%oIrb{$w)0|n0RfvU2nLSJ=Nm0?X(6B$^i$Oi5TRrXyr~vdbv1jxj5Q2UBcJ(taUxM$7sM(;O16`O$J1s zZeNw15F4v<=gSDOxbLbeWwh^FU*mv1OO&0R^Qeb}D&USit5HXYkv0f8ib1WPi^R#o z^{N;19f139M^l~ZwAehUW}p3PMV?F*D{N3BuQ&nG9XIfZpg?4~6gRn~oIn6i736AGdmC~x_hIZ6jSj@J zQ%CR?anleP4&uzn!c^lS91Ba8+>7G5YOchQHEIsUMXjRWnrYox!0)8gD~p)u9Dzb^ z{lFFbWr(HMYUS)hl@?T`?#rk(REAtu=MGJr361RaXmj$$Nmb4~Ch!{W`m_f^4Xk#s zSIYzjIk)??mIB^vz?-s(((~Q@mq!N-rVVPXNp2HlXLa?ERc;WnQ=z_}RZVNKmk5LK z;1d_gFDi}ubU&FAvk!{0nj7RoNXi+TC*675WRK$J^h}0#*GHn)P1e?ko`@!f4@=@B znUy1NmNpP*;_+9KlR-{RidH4X24Xl%vTB-TB9=cE{ KMP3AG9r+7;`YT2N delta 1849 zcmaJ?T}V_x6rMYCXG{%SQ@5MCi6-ukKUszqVqsEd59<#}QPFClVL#%FK|Q79TS2nW z4T7-99;Ic26Nngrnc0Iq^`l5gXhFzE&^}1e#?GvJV79l*eDj_2eP_-&cO`1AM6D7c z2ql~p8Z>P=_LWDn2_a?4-yN12!OI_*ONb{9f4K1T}*LqX}-lW`KIOjGFDOJcFJo6dD+!;5BIK(OJpNl*f z0@a3x$s36K??ywFk!!;o_rm8Iqc}~c3bN{q;46us0Py@zDp|sy2TU!eozogF5maEf zpFu+|i6AR*)qmARZpi?9*l^_4FvmHI+iNh(e_lx_?Z||plLjk zAw+*~-!;3nom%$GW;zTL-Sms93f44!iMBbl-nS0YM??RO?}%^<9H01@vWprT9;UNt z?urXUh!Pms2aZNMq1fnAY=0j6E_LBr9e1)}ML}U0rtAhS=BfgiA7E<6Z}AT zE6oR5HE^)UOcV@rZugo^nX=hX9A5L~^-UwMk9xAu)Eh6R}j&Q}(}*c$h%9|DdjB0RD9@WSX{=S>yT TJTB#1w^+#1mgPmQsN#PCos%1o diff --git a/compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib b/compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib index 57acdc7c..71bddade 100644 --- a/compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib +++ b/compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib @@ -76,3 +76,254 @@ cell (sram_2_16_1_freepdk45){ dont_touch : true; area : 1756.7563625; + bus(DATA){ + bus_type : DATA; + direction : inout; + max_capacitance : 1.6728; + three_state : "!OEb & !clk"; + memory_write(){ + address : ADDR; + clocked_on : clk; + } + memory_read(){ + address : ADDR; + } + pin(DATA[1:0]){ + internal_power(){ + when : "OEb & !clk"; + rise_power(scalar){ + values("Power Data: Dynamic 174266.64, Leakage 423.0 in nW"); + } + fall_power(scalar){ + values("Power Data: Dynamic 174266.64, Leakage 423.0 in nW"); + } + } + timing(){ + timing_type : setup_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + } + timing(){ + timing_type : hold_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + } + internal_power(){ + when : "!OEb & !clk"; + rise_power(scalar){ + values("Power Data: Dynamic 174266.64, Leakage 423.0 in nW"); + } + fall_power(scalar){ + values("Power Data: Dynamic 174266.64, Leakage 423.0 in nW"); + } + } + timing(){ + timing_sense : non_unate; + related_pin : "clk"; + timing_type : falling_edge; + cell_rise(CELL_TABLE) { + values("0.167, 0.168, 0.177",\ + "0.167, 0.168, 0.177",\ + "0.167, 0.168, 0.177"); + } + cell_fall(CELL_TABLE) { + values("0.167, 0.168, 0.177",\ + "0.167, 0.168, 0.177",\ + "0.167, 0.168, 0.177"); + } + rise_transition(CELL_TABLE) { + values("0.006, 0.007, 0.018",\ + "0.006, 0.007, 0.018",\ + "0.006, 0.007, 0.018"); + } + fall_transition(CELL_TABLE) { + values("0.006, 0.007, 0.018",\ + "0.006, 0.007, 0.018",\ + "0.006, 0.007, 0.018"); + } + } + } + } + + bus(ADDR){ + bus_type : ADDR; + direction : input; + capacitance : 0.2091; + max_transition : 0.04; + fanout_load : 1.000000; + pin(ADDR[6:0]){ + timing(){ + timing_type : setup_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + } + timing(){ + timing_type : hold_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + } + } + } + + pin(CSb){ + direction : input; + capacitance : 0.2091; + timing(){ + timing_type : setup_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + } + timing(){ + timing_type : hold_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + } + } + + pin(OEb){ + direction : input; + capacitance : 0.2091; + timing(){ + timing_type : setup_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + } + timing(){ + timing_type : hold_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + } + } + + pin(WEb){ + direction : input; + capacitance : 0.2091; + timing(){ + timing_type : setup_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009",\ + "0.009, 0.009, 0.009"); + } + } + timing(){ + timing_type : hold_rising; + related_pin : "clk"; + rise_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + fall_constraint(CONSTRAINT_TABLE) { + values("0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001",\ + "0.001, 0.001, 0.001"); + } + } + } + + pin(clk){ + clock : true; + direction : input; + capacitance : 0.2091; + timing(){ + timing_type :"min_pulse_width"; + related_pin : clk; + rise_constraint(scalar) { + values("0.0"); + } + fall_constraint(scalar) { + values("0.0"); + } + } + timing(){ + timing_type :"minimum_period"; + related_pin : clk; + rise_constraint(scalar) { + values("0.0"); + } + fall_constraint(scalar) { + values("0.0"); + } + } + } + } +} From d0e6dc9ce709610b77ebb4f27d0de3b758a99e17 Mon Sep 17 00:00:00 2001 From: Hunter Nichols Date: Mon, 26 Feb 2018 16:32:28 -0800 Subject: [PATCH 19/29] First version of analytical power models. Still huge room for improvement. Analytical power printed with 1 verbose level. --- compiler/base/design.py | 5 +- compiler/characterizer/delay.py | 21 +- compiler/modules/bank.py | 19 - compiler/modules/bitcell.py | 2 +- compiler/modules/bitcell_array.py | 20 +- compiler/modules/control_logic.py | 12 +- compiler/modules/hierarchical_decoder.py | 15 - compiler/modules/hierarchical_predecode2x4.py | 11 - compiler/modules/hierarchical_predecode3x8.py | 11 - compiler/modules/ms_flop.py | 20 +- compiler/modules/ms_flop_array.py | 2 - compiler/modules/replica_bitline.py | 12 - compiler/modules/sense_amp.py | 2 +- compiler/modules/sense_amp_array.py | 2 - compiler/modules/tri_gate.py | 2 +- compiler/modules/tri_gate_array.py | 2 - compiler/modules/wordline_driver.py | 8 - compiler/pgates/pinv.py | 4 +- compiler/pgates/pnand2.py | 18 +- compiler/pgates/pnand3.py | 18 +- compiler/pgates/pnor2.py | 16 + compiler/sram.py | 24 - compiler/temp/sram_2_16_1_freepdk45.gds | Bin 408550 -> 0 bytes compiler/temp/sram_2_16_1_freepdk45.lef | 11206 ---------------- compiler/temp/sram_2_16_1_freepdk45.lib | 329 - compiler/temp/sram_2_16_1_freepdk45.sp | 875 -- compiler/temp/sram_2_16_1_freepdk45.v | 47 - .../temp/sram_2_16_1_freepdk45_TT_10V_25C.lib | 329 - technology/freepdk45/tech/tech.py | 20 +- 29 files changed, 107 insertions(+), 12945 deletions(-) delete mode 100644 compiler/temp/sram_2_16_1_freepdk45.gds delete mode 100644 compiler/temp/sram_2_16_1_freepdk45.lef delete mode 100644 compiler/temp/sram_2_16_1_freepdk45.lib delete mode 100644 compiler/temp/sram_2_16_1_freepdk45.sp delete mode 100644 compiler/temp/sram_2_16_1_freepdk45.v delete mode 100644 compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib diff --git a/compiler/base/design.py b/compiler/base/design.py index 1beb6337..1d38f5a9 100644 --- a/compiler/base/design.py +++ b/compiler/base/design.py @@ -121,10 +121,9 @@ class design(hierarchy_spice.spice, hierarchy_layout.layout): text+=str(i)+",\n" return text - def analytical_power(self, vdd, temp, load): + def analytical_power(self, proc, vdd, temp, load): """ Get total power of a module """ - #print "Getting power for ",self.name," module" total_module_power = self.return_power() for inst in self.insts: - total_module_power += inst.mod.analytical_power(vdd, temp, load) + total_module_power += inst.mod.analytical_power(proc, vdd, temp, load) return total_module_power diff --git a/compiler/characterizer/delay.py b/compiler/characterizer/delay.py index 8865b71b..072027a1 100644 --- a/compiler/characterizer/delay.py +++ b/compiler/characterizer/delay.py @@ -550,19 +550,24 @@ class delay(): LH_slew.append(bank_delay.slew/1e3) HL_slew.append(bank_delay.slew/1e3) - voltage = 1 - temperature = 20 - power = sram.analytical_power(voltage, temperature, load) - + + power = sram.analytical_power(self.process, self.vdd_voltage, self.temperature, load) + #convert from nW to mW + power.dynamic /= 1e6 + power.leakage /= 1e6 + debug.info(1,"Dynamic Power: {0} mW".format(power.dynamic)) + debug.info(1,"Leakage Power: {0} mW".format(power.leakage)) + #print "Dynamic: ",power.dynamic," nW" + #print "Leakage: ",power.leakage," nW" data = {"min_period": 0, "delay1": LH_delay, "delay0": HL_delay, "slew1": LH_slew, "slew0": HL_slew, - "read0_power": power, - "read1_power": power, - "write0_power": power, - "write1_power": power + "read0_power": power.dynamic, + "read1_power": power.leakage, + "write0_power": power.dynamic, + "write1_power": power.leakage } return data diff --git a/compiler/modules/bank.py b/compiler/modules/bank.py index b936670e..25b8199c 100644 --- a/compiler/modules/bank.py +++ b/compiler/modules/bank.py @@ -1229,22 +1229,3 @@ class bank(design.design): + bitcell_array_delay + bl_t_data_out_delay + data_t_DATA_delay return result - # def analytical_power(self, slew, load): - # """ return analytical power of the bank. Basic skeleton code""" - # msf_addr_power = self.msf_address.analytical_power(slew, self.decoder.input_load()) - # msf_data_in_power = self.msf_data_in.analytical_power(slew, self.decoder.input_load()) - - # decoder_power = self.decoder.analytical_power(slew, load) - - # word_driver_power = self.wordline_driver.analytical_power(slew, self.bitcell_array.input_load()) - - # bitcell_array_power = self.bitcell_array.analytical_power(slew) - - # bl_t_data_out_power = self.sense_amp_array.analytical_power(slew, - # self.bitcell_array.output_load()) - - # data_t_DATA_power = self.tri_gate_array.analytical_power(slew, load) - - # total_power = msf_addr_power + msf_data_in_power + decoder_power + word_driver_power \ - # + bitcell_array_power + bl_t_data_out_power + data_t_DATA_power - # return total_power diff --git a/compiler/modules/bitcell.py b/compiler/modules/bitcell.py index d36b0c07..1fe60867 100644 --- a/compiler/modules/bitcell.py +++ b/compiler/modules/bitcell.py @@ -35,7 +35,7 @@ class bitcell(design.design): result = self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew, swing = swing) return result - def analytical_power(self, vdd, temp, load): + def analytical_power(self, proc, vdd, temp, load): #Power of the bitcell. Mostly known for leakage, but dynamic can also be factored in. #Only consider leakage power for now. Value defined in tech file rather than calculated. from tech import spice diff --git a/compiler/modules/bitcell_array.py b/compiler/modules/bitcell_array.py index f58097dc..6224dfae 100644 --- a/compiler/modules/bitcell_array.py +++ b/compiler/modules/bitcell_array.py @@ -178,27 +178,27 @@ class bitcell_array(design.design): return self.return_delay(cell_delay.delay+wl_to_cell_delay.delay, wl_to_cell_delay.slew) - def analytical_power(self, vdd, temp, load): + def analytical_power(self, proc, vdd, temp, load): #This will be pretty bare bones as the power needs to be determined from the dynamic power #of the word line, leakage power from the cell, and dynamic power of the bitlines as a few #sources for power. These features are tbd. from tech import drc #calculate wl dynamic power, functions not implemented. - #wl_wire = self.gen_wl_wire() - #wl_to_cell_power = wl_wire.return_power_over_wire(slew) - # hypothetical delay from cell to bl end without sense amp + # Dynamic Power from Bitline bl_wire = self.gen_bl_wire() - cell_load = 2 * bl_wire.return_input_cap() # we ingore the wire r - # hence just use the whole c - bl_swing = 0.1 + cell_load = 2 * bl_wire.return_input_cap() + bl_swing = 0.1 #This should probably be defined in the tech file + freq = spice["default_event_rate"] + bitline_dynamic = bl_swing*cell_load*vdd*vdd*freq #not sure if calculation is correct + #Calculate the bitcell power which can include leakage as well as bitline dynamic - cell_power = self.cell.analytical_power(vdd, temp, load) + cell_power = self.cell.analytical_power(proc, vdd, temp, load) #Leakage power grows with entire array. Dynamic currently not accounted for. - total_power = self.return_power(cell_power.dynamic, cell_power.leakage * self.column_size * self.row_size) - #calculate power for entire array based off a single cell + total_power = self.return_power(cell_power.dynamic + bitline_dynamic * self.column_size, + cell_power.leakage * self.column_size * self.row_size) return total_power def gen_wl_wire(self): diff --git a/compiler/modules/control_logic.py b/compiler/modules/control_logic.py index 1b2e416d..0ef142ab 100644 --- a/compiler/modules/control_logic.py +++ b/compiler/modules/control_logic.py @@ -687,14 +687,4 @@ class control_logic(design.design): height=pin.height(), width=pin.width()) - - def analytical_power(self, vdd, temp, load): - #This has yet to be fully determined. - print "Instances:" - total_power = self.return_power() #empty power object - for inst in self.insts: - print inst.name," Instance" - total_power += inst.mod.analytical_power(vdd, temp, load) - - #currently, only return flop array power - return total_power \ No newline at end of file + \ No newline at end of file diff --git a/compiler/modules/hierarchical_decoder.py b/compiler/modules/hierarchical_decoder.py index 07c95aff..12c12007 100644 --- a/compiler/modules/hierarchical_decoder.py +++ b/compiler/modules/hierarchical_decoder.py @@ -494,21 +494,6 @@ class hierarchical_decoder(design.design): result = result + z_t_decodeout_delay return result - # def analytical_power(self, slew, load = 0.0): - # # A -> out - # if self.determine_predecodes(self.num_inputs)[1]==0: - # pre = self.pre2_4 - # nand = self.nand2 - # else: - # pre = self.pre3_8 - # nand = self.nand3 - # a_t_out_power = pre.analytical_power(slew=slew,load = nand.input_load()) - - # out_t_z_power = nand.analytical_power(slew, - # load = self.inv.input_load()) - - # z_t_decodeout_power = self.inv.analytical_power(slew, load = load) - # return a_t_out_power + out_t_z_power + z_t_decodeout_power def input_load(self): if self.determine_predecodes(self.num_inputs)[1]==0: diff --git a/compiler/modules/hierarchical_predecode2x4.py b/compiler/modules/hierarchical_predecode2x4.py index a9752855..5dbacf0e 100644 --- a/compiler/modules/hierarchical_predecode2x4.py +++ b/compiler/modules/hierarchical_predecode2x4.py @@ -55,17 +55,6 @@ class hierarchical_predecode2x4(hierarchical_predecode): return a_t_b_delay + b_t_z_delay + a_t_out_delay - # def analytical_power(self, slew, load = 0.0 ): - # # in -> inbar - # a_t_b_power = self.inv.analytical_power(slew=slew, load=self.nand.input_load()) - - # # inbar -> z - # b_t_z_power = self.nand.analytical_power(slew, load=self.inv.input_load()) - - # # Z -> out - # a_t_out_power = self.inv.analytical_power(slew, load=load) - - # return a_t_b_power + b_t_z_power + a_t_out_power def input_load(self): return self.nand.input_load() diff --git a/compiler/modules/hierarchical_predecode3x8.py b/compiler/modules/hierarchical_predecode3x8.py index 5ecb5e16..ded0c612 100644 --- a/compiler/modules/hierarchical_predecode3x8.py +++ b/compiler/modules/hierarchical_predecode3x8.py @@ -63,17 +63,6 @@ class hierarchical_predecode3x8(hierarchical_predecode): return a_t_b_delay + b_t_z_delay + a_t_out_delay - # def analytical_power(self, slew, load = 0.0 ): - # # in -> inbar - # a_t_b_power = self.inv.analytical_power(slew=slew, load=self.nand.input_load()) - - # # inbar -> z - # b_t_z_power = self.nand.analytical_power(slew, load=self.inv.input_load()) - - # # Z -> out - # a_t_out_power = self.inv.analytical_power(slew, load=load) - - # return a_t_b_power + b_t_z_power + a_t_out_power def input_load(self): return self.nand.input_load() diff --git a/compiler/modules/ms_flop.py b/compiler/modules/ms_flop.py index a9a86ddd..0137ff8b 100644 --- a/compiler/modules/ms_flop.py +++ b/compiler/modules/ms_flop.py @@ -27,11 +27,23 @@ class ms_flop(design.design): result = self.return_delay(spice["msflop_delay"], spice["msflop_slew"]) return result - def analytical_power(self, vdd, temp, load): - #Value taken from tech file. + def analytical_power(self, proc, vdd, temp, load): + #Returns dynamic and leakage power. Results in nW from tech import spice - return self.return_power() - #return spice["msflop_power"] + c_eff = self.calculate_effective_capacitance(load) + f = spice["default_event_rate"] + power_dyn = c_eff*vdd*vdd*f + power_leak = spice["nor2_leakage"] + + total_power = self.return_power(power_dyn, power_leak) + return total_power + + def calculate_effective_capacitance(self, load): + from tech import spice, parameter + c_load = load + c_para = spice["flop_para_cap"]#ff + transistion_prob = spice["flop_transisition_prob"] + return transistion_prob*(c_load + c_para) \ No newline at end of file diff --git a/compiler/modules/ms_flop_array.py b/compiler/modules/ms_flop_array.py index f6297e0b..da3efc6d 100644 --- a/compiler/modules/ms_flop_array.py +++ b/compiler/modules/ms_flop_array.py @@ -135,5 +135,3 @@ class ms_flop_array(design.design): def analytical_delay(self, slew, load=0.0): return self.ms.analytical_delay(slew=slew, load=load) - # def analytical_power(self, vdd, temp, load): - # return self.columns * self.ms.analytical_power(slew=slew, load=load) diff --git a/compiler/modules/replica_bitline.py b/compiler/modules/replica_bitline.py index d6c289c1..6be7dc77 100644 --- a/compiler/modules/replica_bitline.py +++ b/compiler/modules/replica_bitline.py @@ -344,15 +344,3 @@ class replica_bitline(design.design): height=pin.height(), width=pin.width()) - - # def analytical_power(self, vdd, temp, load): - # #This has yet to be fully determined. - # print self.name," Instances:" - # total_power = self.return_power() - # for inst in self.insts: - # print inst.name," Instance" - # total_power += inst.mod.analytical_power(vdd, temp, load) - - # print self.name," Instances End" - # #currently, only return flop array power - # return total_power \ No newline at end of file diff --git a/compiler/modules/sense_amp.py b/compiler/modules/sense_amp.py index 6ad8a595..741c81b1 100644 --- a/compiler/modules/sense_amp.py +++ b/compiler/modules/sense_amp.py @@ -30,7 +30,7 @@ class sense_amp(design.design): result = self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) return self.return_delay(result.delay, result.slew) - def analytical_power(self, vdd, temp, load): + def analytical_power(self, proc, vdd, temp, load): #Not sure how to determine this yet. Sense amps return zero power for now total_power = self.return_power() return total_power diff --git a/compiler/modules/sense_amp_array.py b/compiler/modules/sense_amp_array.py index 1c312d94..df40a38d 100644 --- a/compiler/modules/sense_amp_array.py +++ b/compiler/modules/sense_amp_array.py @@ -118,5 +118,3 @@ class sense_amp_array(design.design): def analytical_delay(self, slew, load=0.0): return self.amp.analytical_delay(slew=slew, load=load) - # def analytical_power(self, slew, load=0.0): - # return self.amp.analytical_power(slew=slew, load=load) diff --git a/compiler/modules/tri_gate.py b/compiler/modules/tri_gate.py index 9b56160f..235c0c40 100644 --- a/compiler/modules/tri_gate.py +++ b/compiler/modules/tri_gate.py @@ -33,7 +33,7 @@ class tri_gate(design.design): c_para = spice["min_tx_drain_c"] return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) - def analytical_power(self, vdd, temp, load): + def analytical_power(self, proc, vdd, temp, load): #Not sure how to determine this yet. Tri-gates return zero power for now total_power = self.return_power() return total_power diff --git a/compiler/modules/tri_gate_array.py b/compiler/modules/tri_gate_array.py index 933cf35f..4bef69c2 100644 --- a/compiler/modules/tri_gate_array.py +++ b/compiler/modules/tri_gate_array.py @@ -112,5 +112,3 @@ class tri_gate_array(design.design): def analytical_delay(self, slew, load=0.0): return self.tri.analytical_delay(slew = slew, load = load) - # def analytical_power(self, slew, load=0.0): - # return self.tri.analytical_power(slew = slew, load = load) diff --git a/compiler/modules/wordline_driver.py b/compiler/modules/wordline_driver.py index 2248b21a..67d414af 100644 --- a/compiler/modules/wordline_driver.py +++ b/compiler/modules/wordline_driver.py @@ -205,15 +205,7 @@ class wordline_driver(design.design): net_t_wl = self.inv.analytical_delay(decode_t_net.slew, load) return decode_t_net + net_t_wl - - # def analytical_power(self, slew, load=0): - # # decode -> net - # decode_p_net = self.nand2.analytical_power(slew, self.inv.input_load()) - # # net -> wl - # net_p_wl = self.inv.analytical_power(slew, load) - - # return decode_p_net + net_p_wl def input_load(self): return self.nand2.input_load() diff --git a/compiler/pgates/pinv.py b/compiler/pgates/pinv.py index 337728ca..4a39d065 100644 --- a/compiler/pgates/pinv.py +++ b/compiler/pgates/pinv.py @@ -242,8 +242,8 @@ class pinv(pgate.pgate): c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) - def analytical_power(self, vdd, temp, load): - #Adding a magic number until I can properly define this. + def analytical_power(self, proc, vdd, temp, load): + #Returns dynamic and leakage power. Results in nW c_eff = self.calculate_effective_capacitance(load) f = spice["default_event_rate"] power_dyn = c_eff*vdd*vdd*f diff --git a/compiler/pgates/pnand2.py b/compiler/pgates/pnand2.py index dc1904dc..98138395 100644 --- a/compiler/pgates/pnand2.py +++ b/compiler/pgates/pnand2.py @@ -214,6 +214,18 @@ class pnand2(pgate.pgate): c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) - def analytical_power(self, vdd, temp, load): - #Adding a magic number until I can properly define this. - return self.return_power() + def analytical_power(self, proc, vdd, temp, load): + #Returns dynamic and leakage power. Results in nW + c_eff = self.calculate_effective_capacitance(load) + f = spice["default_event_rate"] + power_dyn = c_eff*vdd*vdd*f + power_leak = spice["nand2_leakage"] + + total_power = self.return_power(power_dyn, power_leak) + return total_power + + def calculate_effective_capacitance(self, load): + c_load = load + c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff + transistion_prob = spice["nand2_transisition_prob"] + return transistion_prob*(c_load + c_para) diff --git a/compiler/pgates/pnand3.py b/compiler/pgates/pnand3.py index 509aa59d..7fe4897e 100644 --- a/compiler/pgates/pnand3.py +++ b/compiler/pgates/pnand3.py @@ -234,6 +234,18 @@ class pnand3(pgate.pgate): c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) - def analytical_power(self, vdd, temp, load): - #Adding a magic number until I can properly define this. - return self.return_power() + def analytical_power(self, proc, vdd, temp, load): + #Returns dynamic and leakage power. Results in nW + c_eff = self.calculate_effective_capacitance(load) + f = spice["default_event_rate"] + power_dyn = c_eff*vdd*vdd*f + power_leak = spice["nand3_leakage"] + + total_power = self.return_power(power_dyn, power_leak) + return total_power + + def calculate_effective_capacitance(self, load): + c_load = load + c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff + transistion_prob = spice["nand3_transisition_prob"] + return transistion_prob*(c_load + c_para) diff --git a/compiler/pgates/pnor2.py b/compiler/pgates/pnor2.py index 1ee6f64e..e9a71bb4 100644 --- a/compiler/pgates/pnor2.py +++ b/compiler/pgates/pnor2.py @@ -223,4 +223,20 @@ class pnor2(pgate.pgate): r = spice["min_tx_r"]/(self.nmos_size/parameter["min_tx_size"]) c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) + + def analytical_power(self, proc, vdd, temp, load): + #Returns dynamic and leakage power. Results in nW + c_eff = self.calculate_effective_capacitance(load) + f = spice["default_event_rate"] + power_dyn = c_eff*vdd*vdd*f + power_leak = spice["nor2_leakage"] + + total_power = self.return_power(power_dyn, power_leak) + return total_power + + def calculate_effective_capacitance(self, load): + c_load = load + c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff + transistion_prob = spice["nor2_transisition_prob"] + return transistion_prob*(c_load + c_para) \ No newline at end of file diff --git a/compiler/sram.py b/compiler/sram.py index 9b785763..74185aa2 100644 --- a/compiler/sram.py +++ b/compiler/sram.py @@ -1014,30 +1014,6 @@ class sram(design.design): def analytical_delay(self,slew,load): """ LH and HL are the same in analytical model. """ return self.bank.analytical_delay(slew,load) - - # def analytical_power(self, vdd, temp, load): - # """ Just a test function for the power.""" - - # power_sum = 0; - # print "Module Powers" - # # for mod in self.mods: - # # print mod.name," Power: ", mod.analytical_power(slew, load) - # # power_sum += mod.analytical_power(slew, load) - - # # print "Instances:" - # # for inst in self.insts: - # # print inst.name," Instance" - - - # # print "Instances from Modules of Instances:" - # # for inst in self.insts: - # # print inst.mod.name," Module" - # # for mod_inst in inst.mod.insts: - # # print mod_inst.name," Instance" - - - # power_sum = self.control_logic.analytical_power(vdd, temp, load) - # return power_sum def save_output(self): """ Save all the output files while reporting time to do it as well. """ diff --git a/compiler/temp/sram_2_16_1_freepdk45.gds b/compiler/temp/sram_2_16_1_freepdk45.gds deleted file mode 100644 index 44aaa87b9f8db5444567ee33b617312f6cb818b7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 408550 zcmeFa3D{&+b?;sEPCd}{L_^bn(98`s(A^?}B9o}7pg7@d(+n!wGz1aBDTs+0BkFZP zqsD6tdc6)A{1OMQK^(677(`wb$BbsB@}%3%!}%d~d)0%#z#muf2M!d&l)w)&JdFIkRy3{U31ZqtATQ z(Wjr*TQ@s@(#B7`>FwWopY(bwzBJ&rv-SUFH}!hme?!0bi(=dxRt-qp*v*#zM*Ji7iaY2NTl$9o znde5ovr7iVFLtw~Z}=anm*1dIZ0Q^RcmLh!cjaya;upKw(l`8%)XQ(sC${vv)#o3t z{Wtb{hrMFJnfpoho0Ip`k;M2Foh)eqyuFZnak zVK+$yt zZt9h6{Cj>Z>h^l*fatKBCH=mqN8P@k9}pdOv!vhn$*4Q<5d)&bZkF_ioe_11eQ`i^ z*v*!HsQ+ia8vEazePVnsQ}%5Bmfa)P-Lj7ih+phxzW#c>J^Int9@h@Yx`*9t#ot)} zM(VZh1$|;m-&lY5pO1d`|4#$r7rWWgH~f#(%Wu#pw)74E>+Tivw(jEtk~i#TDSu1; zBgVb-4+bP|>}JbAN5-$c{<`wez#2Ix2PTCHyvpwze-l9-EK2g)M`B`%@lQ~ zBk-jg7S7-KjEQC_9h6m;9WjY$4LYa=kr%mC!WT}ADf$kWkr@_WyT{1&xi z{HCModd4*9NxDBB7pKUT?g>`=qIOK=>3G_!PCM!jHKwCz)z}pmwAPFcO0Bk2Ds_ZB zrBcVnqm*N^l#ZI6B^6dy_bn#p zlJVb>;oqSnlK+K8>X!}l8t}K1uLqm)T(w?VJ}z24_drvCj+{kOpk z_ImscegAb)H?!W1y8i3TA^p_x>uhP`+JznGUw+XQ7j8fQl3kbUpW83K@bVoyw{G8h z<<{+&?(&&KJkJ_it2Wfz|Rv>lf{^}_8tF1u{URnnz;)Cw@DhlM}Wyy zbUx4Dx~~n04!fyWviddei@LQZ4TuiAS<}E-S zc)G^DDP7}+=&+k5{UNtTzlWYTAUf=3Nq^AsQFqWM21JM5Ea{h>8FkD4VL)`)&6d8g zo}pU$$FY7*bo`mJXXk&vbRF$(r$r4!huzdGS^ey1qHgY-0nuSMOZo#I6?F%EV?cD+ z&60k@7ou*TCl81YyIIm7`PisC^7aAIVK=SUs=RXTU|p)N`;53smfddtn&2nov-Ezg zYiQZ`Tm9m0@7KC2mVLi{U)JsY>Q}=`DEDM zO^y*ySj}lYj@k+RrnNX~C+vi@4o7WO8DowT_t9N698PcBe#J#Qw$=`DKNffYLA=j`Vg3f)|1*?-KKCU56|W;B z`u}E!&p*-g`Cp)p%lMn*^G|eq{t5lLr`hH6Pjr0#3H`aJng9G#=cMV_{MU8=$*YJz6Shy_%nKN?bp_9rMX##n4THZKb{D?O*9X zlWW!dnMW0l7xBX%{`nKbaiZ*|to7r~;m-=}*R)@=>3{gMf{(}-pAQ7;-w)HjUu_0^ zm*Z7^I&%4C7j1v)j>~;H*BKw)WB!xwu+&wEnZ(&0VeCCq_WFpmVh*kbv&QYID?!|G zYp&OoP3)%ZMLpi?cZ|>IiX?iomGOrEw6-^nc+p`uTl%5#@BY&`esksJM5IekiL%$@ zAJWf$E9%X;3!~nYy{;dMpS*FzZjv|RH(U7|^1t%u(Z9Ls%IM#eJ@}7D46cgiCm}&G zW~=Fr7*stIUmZ$SrE^o=#x>#jG+O;ht6Q-Uhf#Gqk5AQm4^;W z)yNe4K;l((#5}c+s5*F6Wk`GBF78;1d5WugZ)H#F-tyj_y=}cUy`_2{XIW1xRd2<@ z!uc2O+`0WYwT9P|Mm=-4%>38l9qS9<6SZoawu{+*_^p01`P1IvD*bl@R1dHxeTiv1 z%;$gZm*Mr^+%KbUVVP-rS^wMlTg?2$zHy(pZ%o_&PwpQ+m7ST@(TX^`M*r5w)~4-Z zrvGD&&3`SDaZ|&l?fLQlR?ih6=gE09ZO`ZL|NHf~*!5?YV^`HLq;9#gZ`z)(U+okZ zxqkk-^^48lZ}p2=|FwQCa{oPlqTWMij?BaIkBd6~inKj2zy5wguZRD%_Ufsrp57hgweH_Xuj@{f{(YjZ|6fhp12g{BYhTgpihuSiQ8#y{X?tK+KmUO6{=IpQeNNT* zZ!~QW%<5-9qt}m*ea};r++`7Idtg@I-yFB&^KEqO2P17S>Gc}NZpXu@WB-S~$GCzW z=JOxsU-2{l=$QY=pp$(_KT|)SpM6BkJzRL0{yT0!<|MsdAAe1+_tt!cITX=z*QIH@ z-Y28i_U}{99v8`7V)NJO{Ss{#GkW>|0s2UEGoO!oXnS6-ZT>CfM^w(0yIGzD475Ek z|)R=WbF@oL_e{%+)Q zU6Ww`P20tcKE}QDcO%g;|EBGEz4G@XeR8JSht%z?VlizOGyWH=Z^n;b#&2fguidRX zRsL%Frhn(n?CRfr+OdC!{JT%xx2El_{;lb!>ff4P%Ybo==p8(|1au0aoLOF`fJ)Q*6X*SpS>ySAv*RyCi?VxH~pU^|A}4njrd3C)9YFP zi`6&AFaKfuiCyAfoL+OUwP)$SM3VR3*)jjn_R{>Z-zUy+H?s8ok3~JSJ+E*1*SLc| zalHRweTZNBZt*WxAII%+E*px!dOce|jr>iaXa46O68mKSo3VZ>+WwGy|53l_1EuHq z34NgL1wH=f9yst{*V`^;$KTdd|BnBEhn{icXVmy@FU7xDJ@Zfg1C@8`pYaFUp3Q%( z-}#3mN=MzJ543%Ze(r$-eciw9rSTItc^VbJ?RT9%#_c$(ai{Uyp7+04y~h4(9Rr-4 zsBf{F-=zO<+J17O{x?SbER=5c{WVG->|^x(Po?ebH_>)6+keE*c3~VN^y&4xOwafn zXM6m%m*QWnzJGJff1t)cb6(7Upz@zy&yK(M_^1z*zW3v(50pN=Ueq&A>+Ak)FO8r0 z*ltw(w%?U{;>M5TuaDpMlK;i(XLO7Aa(WKxb2zSDR0|zVoI8B{=+_(dThmjwp*|<6-^J=1cQA3?ZRsyG zZgJUFqOL!hwu|-oZ|M2Ff$hT6T+(y>6Fsy&uh-c1RNT^EM55#Rp=o&EC!+r>`)tuwp$CvR*AiJQEcwwK~ZN1PD9=uO*8@z?!ZXLj*F`_s7I1?o7G>pvV% z20l(>i?3(%$Lry|QRMS4bf)cMQO~-|`&eh%-unEkG5=imV7oxY-G5b#KhXB(=XXPT z;zW;Mbf)d4`0M_yGpo1?{%7>{9KFvfhhqPH@t+5@UCic>Z#7x+QE9}bkCFcF1KKX$ zW%^n9T##?LM80RWc9^z{S^taGQ~%@%l0W+2w7rzSYTbBsTnEGY&ARP%M0WL4HvXz_ zR&VTq3k97V*R)0Kg6%OfL-Q4#IN~-o;Q>EORxX09Dn19-T70MpM$gp!t2G4 z*J%4ejr{*sUwa;6arxue%>QYwH3x(LY=@=%hu6dWp=JIeZ6Bxq?fm~H*MI+Sw9n!^ z5$ZgOYxxyzZ=S!_`ajm#LV9|M^@G~0XnS`3_^qCEx9Rhj)@`rTzk%yF$9mLnpz4=z z6r+9vZO_&(`SUvIbB9BnMEs}MGyY?I^SW+AoC|l0@td}bS$()}EImX={!H}g^{hTzH`aC#9r2s! z)9V?1%-j5`NOa`Sw7nF6xPCmVaqBuVpWSi&*wk|e@%nP}`I+YYbNyJ`ah*6kxA2|; zZ5K1~YkapxJw(rSV-tOPJ*yAbhqWC<$8}>9eR@5s=lD51=frWt_w?_N4`_Sq`IW}_ zf2&_y{=)sb%6*`6&vP(b#}Bl;fW4Mp8!};st^qT)O>3S<%ynnlo~=K{ ze-8B*8J;)Q@s4SGss0u}f7F}&YyRjP=C7jd+4DE$t~P(vYq;+)k^H6CGxMjpQ{DSI zi|f|3y)=J|)z_~-_`VNw{Pl;$)?dtf@5_;_JLKQAy?FllzaW2JXT0v1w&&NMIR0>* zPyL6E>sO}jdA;i8&FZ1qNcX*IyO^z?aNS6C2GP;KCiRzIuj?D%Kh@u?F&vN1jL+|K zUkJ~Erq}EG+WsTX8`lZKx|bOGSN&wR=C9_T{6)XkTd|1Eu-@33xY{^R-~O;}G7o9jm-et&<$ z+&S7-^T7Q_#Lc=98SKIT3cbC4tx5W!?^WXtl3wlCP!I0>?PxXS{PXo5`%j~sTP>yT z3*WGC+9P7Ec8j(Dyh?XwL-+0S80VL3FZDovopI;J`)=HF^p<;0qMp+=`{f6tes)x! zkW*j1w6`MsW@^e(`*&n}S9XnK>^te$sas6Ub19~|7icQhO_Q7U=*W}SkSb5xwsy@E zzcl#NpH{sVxIF_8+_uSL9sy?(!4o3N&J4Dgne=zQ?=@*bwP1kC3l)8WYVtn_X zT=cEAr_}p1?^P9D*ZDgYtKQcdqy13-a60%g%}yNSvG?cF{-pDo!H*B8-yTkT7S@dM z9xI)^X=T+OWjNAN>tbCO_cT*{Yh>Doj8cxuPTglXI~bXrvC6Pt8TIX$#c{Id2z!j$ zTD+vk?*fMJs9e`urtkUPSKm>&thYyRMQ^G0Ci;%b>Q}Y>9Vd-_RQN{aYeQZ3j(U^$ zclxs6#LdYr*7uPOJ^SLheb^W4Kb_x%bF)O-#jJkrfL`z5JM|vop}ULk9?*6%uU9{> zzf?MLZ>^4Ve=(r#Vo|^1_oIII*F?Q(yO_~yHgqgAI};i9lsZNLy)>bw?P69>-uG4B;C}nY{F}CmS$$u}BWpe&pW<2_Q}%Bi&~`DaC+|nUD(3H) zx5WIJwu@Q)%tw2@BVViWi+6vU#(&m;wu@Ok<39Wear~PuisLtJ7mNBC#m)QhToLta z+AbFLtM3!_YjnK^y=l9c)sy#?s(HBTLoxrR?P69>-q;SJBY&ptP*{@Zr-d26b9o!}@&sdqZi&=er{Rs1> z_1o;SexN7M(CfBodnx~6|M!zvzl@vuH8&@_Sd2gHcjQ0pzZAb|yO`D2>;LXgQT|x} z61&uYef?;!-}HZaJ?p>!FwNh6wH^Fx@#Y$Bzi&SNdjB7FaLk`c{OR?q|ImNSWBqeK ze|SdEw0(KrKkGJska=VMHEkF3{TIO;j0z@Hto+6Z5QkD59wE)8}+Mx9QCH{Vo|^8txN!?rJBV)ntpnO#(vxrEgv8Bv zjheQX;-CF@&G-M5UR?7sXYv7UTvE5|RC{p! zUK+dV*R)-%=Wj^A{P9u0g5zlEP20t+p1Q{m#4mMZ+FtUH?(RR0`lFAFdee5X=$|-w zAL7QpX?rPt@`fLXU&e3RKFTIVOyhXAetkL7_EP*keXd8nz`ft0 zap+l9({?c*zjVhO7WMq9A$rqxF{__j&^>vdRNlp9=O};I4QRWV(Z{}B_k~E}X8kd3 z&)0v{E&M7n^qusk?fLqNx{&7^;=JKM%)e-RKL1gF_n$_RH}t0Mt@_V?M{hW8yCxmK ziM79a{%YS*8tayIVuJN+NKc=m4|!Annzpz4zoDn@y-s=EGHq|o-;kblg7@*dW!m1# z-;ka-(Gz!v^{b(0-1vcBw@ll|`S&{Ib<4E9ReuftQ>_kPyz z@3&3cTkEHOX*F~GvfJkejqli=J6gY*c8ZQ);H}~(YP9{7M*lYS{H`GSKzQYi&_7~&32>WxBafv6E}Vwe|`M6m;5hU|Gv~ekiI#iM*1hd zp3Prh+fZkL()0Np?-OWyq5kXoP`C1LR_|NTr}#pg)|sLH$Hc!_eLH@}jsI!KKdGMh zH=i5lY4gwH{8hC5+x_Ky0aRL9hhS-o#TUyt89vl`c3sIQM7zl_`L z68~cLj6bY%Vp#W-KhyTo`iFnEgXoCgw0)eOb;f$;&;D&Mu0M*K?HGr3rtNp7p1ASj z`0L}hz2twfdiERCNuc_M{SW;UXnU!Cwf=`XhtjeBSCpRhU9V@?-}HLej=IIKS-o#T zUyt89vl`c3sBg#5`0*d|Fva*M)~jxp?2PrhbSAD}rtM-j|I`oLL3GrgX?th=7nA?Q zE_(Wo?cmZ8`t*7>{>ADWM#~<9}?_^W6&Q zW_~yzdhDI`KTG}-yXYJ7kI<*rv+*xhpT-x)d4T+f@h5hPf3bSjpM!J_03Lj{sP)UV zUCfT3^@HsoI{M$VeVqQl?+)~J|F(-+|HRF9qvE&yuGBMb{EQmE?Ir(<)@$7fc@{(7 z&lu45QvT_Gwu9(dKTO-l=^3Z>9KSdY+l%8@+-%1>Xq{>MU8yH-{5byl_-!xwU#y&$yEAsVE{h$w&p8i80X!{ubp+6kx=|B6oT`cw=+l6to;-)H81U zj2ge~CI5@nGwzi?h~r;%O`Jc|b}^ej{IeZI$Gn-gkJaBY&@+FP|72g;y#KCo{U^k) z?Vxq0bisaC>cjX`oF2D{|MYq}e~ZvF?ju&laUOAfoWF{;uPo0Wdg4UK{8hBQtNvrj zzu7_Gh~GN1L;Q=?hjBYj`3>VYZJ%KL6YI6#2>FNPU;Cem_>Zq=>xcCleW3KL|L6m4 zFT~%_Gj9Bw9rP)_7^ih+hxiw(Z^s|TE&tPwe^Nd1hjmU2eXsnPwwKmF;%7UE4*#a@ zWA(QT^vqx7KiNy`Ux;7ZLF>%0elfmV3hPIFeQJFee=YvT_&dbESUuwo#~<)*vHmM^ z{1IO-_8)rUKu7!)Z7&?ZpkqI8J@aS(wioyRijVC=oUQn6zbp0a`0L}xe|o)`KW|s% zE#=Ynaq+K7<6rxGasEu(#iD<@V_X?w~4V)cyMapE_O zKhgG*|HbOrf8z&|caHx|+e`l0f3qD#$Ntx}y|Z594*nCn=o|5m(5Kh4^|x4kWBl?T z#-G?F{zdCmw>&3F9nSql?7xb(?^EtS^wbeL>aU{hUG=vl|7Hh$h}&^mXWCxyzgT@3 zx8s!GFn-hag8#+p+28D|Ym|XM6u++jZ`%IY!u)Bz&=WVhnVmJ-K2Cq{fxhA2_Iv03 zhq$%f$oOr)3-w{#j}+Y9~|tM5HJUVnxMsE7K?2ITr{dOf@T^tbB%WA=OE-p7mo z_kgyGSv}wAu&>4$sPS|EICT(cdujagd(3O2|1GLT{F}CmdH;vV_dfa$-lG5hp+?*H zDd?Zx>#cs8G~$~56!-oE+Aik(U#`zhj+GvMSNcsg+TMKrv3dPMeh+_X^uOuE=-;$m ztov{N#;5js94E1kbNtld`qz-&*C{ye?{NKPNMBt)_*m3){>b}O_U8F#L(lqEoxe&) zACEcz9MTg%@8k0p)ArW+%aGp3nLh3|Z5Olo$1nR?=;Joib}_4O?|(ZSe+DSpO{AL#oAOxwr#_vgf;KEEa|y`GJ~{rO|~{P$;?2gQ{6{IZdM;^uP} zc(6WyV*X6qTc5u)^u)(@5FPVp+FsI6vHxxO5Bp8;C$(;L*nc+llkY!=^xn^Tzh~Os z+W$3vV|h-;EPFm!al@O%8)~%u!HxNE=x21#Hu^y6$v^KCXnR4A-`%c{{#P6p{hPLn z_4*s~&vy7nhhNk7qF!|u;)le2QjNqPU(fpI`Fiw$()0X0?-OWyVf?)R=BGzLn|~1f zSG4`<`SIfyeW3LCMIUH;L685CCo$wr<2P+Dx%YuQ>k0-Vn!M(e~!^qeJTtdd82A@mI9HpvV8p zpGW_zu8jUo+r?u3*$)5c@Ne2)(&PV#y`%pl-WdH?w0-ZgfAsiAhyRMUm-L$Vpoi!+ z{}s{4*R$hi-q8n2&-|kgw0(^KkSF;Gd6R$B_EP@v%XSbQeofm;dd3&(2fiVWzasS$ zUoVayJ^s<*zoPAh`s4lA+!6h(eNOam+AbFTvmO4?;or2qq^JJa4x(e-H*GKJsXMlV z=%_!__M%?n=NOJW%)KLyzoPAjl*f;r@uOq>6>Tr*x!%05*66_7MePUnHElny;Qt#@ z--pt%-dEJWgT0`i*(e|?ndig#4Pon=# z2S@*=?PAtH^?LNJv2KrftlsAw&=idCcdp)@DG`)|$3(&M(%=+gyoz`p2 zkn6{M|D|cWSl2gxBYyT3>3W42zIWiK1BUAu@%5sf?&a->iN?Z`$7a{)k5X z;Md#PuW9=@J@d!=P&fFmXnUc4&{02uns@ZnL7?r0`U!rMpGueXRa^!C)F*j{jGOv5 zZ7<~?9eIM}9ldFLDSvgn{hD3$jGyaH^Hv3~fT5cJ_W8s*=#z4iS2Q2ls2 z`!#JZ>G^F?p1Xh>&x?69Z5OlgqvLy)0$(2WT=yiemA(1>OO5#Jdiymy>E(y-DZwAt zJDLBAwm+v3zjWbxDZDZ2E1n(SSFh*CU-Mt-()(0#75p>rY!|5b={w>Nw0(@8_|fAZ zT}9gq@z?!Vy7WF(Tm}E+UEePe^3Ug8YAtz-}@qcMcc0_>+QeN{W!(Z(SP{7Q}KuIt&xAzcCk4A zO>d3y^EoQxH*FU?>Fw9-qNo0d6QZMjP1{THqr*>lAH|P8d~Ph-UW&i2w_mfXex>G# z_n~g^U(xnL{h*_M0yXdGse?e<3-uHHCO?%f>8rTL_@_^JANm%*6>YydKmX|HQ%K*T zH*GKVZ}6M^SZ7vojq%Ut<-8ByJA;4Ib}?JO=+-3v;eI#iE80HBzxDQO+Ae1Ov+jh? z`$cs0pJ{t3e)RYW)cm0*{y^Ku__yAEP1{THlfSuJV*dHwBl2(BE@sD%e)c_4&wU8! zP20szdiymy>E9W@|8+*>KBUZ^*jwKp)i{0$I^`Sky;JDTa6cRG+q{2cNN+#Z znYI`G>zYabRq`*+9H#&NXh7S=Z2owD_h^k19&?^@f9`;`i+R0{|MvI`>BaE9(Kiif zyO`JSrS<;v(u?7Hzuz;U?P6Bn|3h7`I7c~vKhW3@tkL#!^7`JNt6sm>>%oP~^gj0= z(Dv4E3^(SF-|bkhnBm^1iGMwy?P50m-bKm3$oW$5vjYbI@%5~p8ee#v@+YF_dyGxn z#k~F%>3Bt4{bIe3)(4}B_qSg)ivZ7=mN z^|S2fs+&|lj3biZX`{)4Yq|NLEz=ji{(*Ry*39=KPG zKb&tU?uxeWm5-nKWu1b@Y|;E3J)rHS^(**)PV|5HJL33F;z+M&khJ z#jKwFHuD7Cx2El-`NO}jvxVzl?@ia=MBBxz|1dwyo92n}GJj^6Pv-CSc|E_)8~nnr zYy9{(HGk>#tbgL?cmsy(b;_SU-Iz)(lBi^cJCzCa%6TgG3}_Co!H`O&<2 z{H%YBKdghvuK6tbXZ^xI<0gLSG4`fW&f-*_z%}Br8jLatv`%A ztasw3<;8Ht}!-6|g(%Lm$iMou5|#^=Z6FMMvS{8hBQ`T21pettJ+ z&3|a##I?U0_aCP1VkZ7LZaybv{NZy`jo-Ar_4#STKleTGIVTLCf1WX*?XAy08+yjg z=e#g{?yK>ewu{;L(aq?-133E>QF_yMv8d;BZuH^vZ|P0jTc3Y7;wSGbe-iV*>X|YB zrtM3~+t-xiM~{DW z#9z_&re42JIr#oy?z`D@PW315wN}(vOxwkJ{u+AxvK>T+f7AAo9>2S3y@V@vM*pVm zV$na_;U69TP1}q552yIW5cf#~hWO*_S^vx%+d=fqpJ{t({M>i6`4Gh~9<@#J%fD&6 zSoF_!VgDh0*ncG2Uee=`9JvOG5@CRVpdPy*$$$k|4iG9dgVQACkEX~ z1KM8FlXtd*==;jQX?sb}_z(Sj9RFcokK;FO7mMR(JI0SLtiOr2m-P5w`4`dusvDz! z({{1wpY8CE4*#a@B|ZK(eIfck;v3PwX}ehT&vy7nhkw)dqF(bJwiAQyqycR&>6v%7 zgXo!m)Ao{{z7Kg4L*C@yw7rx+{IVTHhkw)dk{=k)R{fc_7xfx9 z&ulXOxgW&wo3@L^@v|M{N5}Y0+e`Xz-|v3%`v{$PA1Quuzs*ifeEVX!|MyD++TObVw{iT(xWn&+i{W>}HGb3f*6)Wm^x^*D?Y(&au&%ZB zeysgh;{M4l=EpC;>mQ@|#l0^S<=?bj%-V!8@#FWHr$+x< zo)!I@wu@Q+ocHi~9}K^ruJa$$b}_4;)3HzZopdq$?(91Tv|Y^Wb>10%CtVD`SF7_+ z)ArWyr#HqQ&fkAuW8nN<=O5wxJu&=#y6mmrPp|3Ic{{(KuJd?4d*Hm?48NZ)`|M01 ze%*IH`!CXP|Mf5RAMcZByO8{oB-jc{=dur}h>3Vje z?PAeC=h^rV=iTye+TJ?o18>vX^Q0PWKR2(ZZ|GAP`d9s9 z+Ft74-ZQ2BcCQD+ecP%9({`~K|C$fP_`~ln|DE6`F7*J7AAuK&D6 z^&r|VX7%j%$TJN2*Z$A6y_A3QcK8!w{x)4CDu1T!V%9(U8O00v+%8=INwi(e>KVV+ z8LvC0?WOexzpHi6JzVpk=-;$m%=!=4kCbb;>O*nf%<%i!vbTQ!xl#Y*J^W6zh%Ov| zC)z$vPoMEVq3<+*rtPKvWB(I=XInh%D~ezHKht(GJAVAK9Yhz-UlMK4>$Ps{e7*n1 zNRA)oKdtvlw7qov(0f3yx8XYVpUCgs_oO#%7qjtm+!(GGh@o#3k7>J@)sw$)z94eF zfc%-Zi$(pxFPDya_wX%a=!PSQq=p zv|TLbpX-(6FRZ)DpJ}_8)l>ItZ;JIFu6L?`Oxwl0{u|nVe^q)hT(?pmn6`^qJ#|Z* zka1JLrtPKp(XD=7)U&@vZ`v+q{WJb>z9Hhbuko9wd$!MC}LY zAJ+Xq+t=m&lmBqNSq#@(lz-E9F&jVgzm#=WT=r7s@uUH57qfb{pF20|IPd2;q@wNT z=Jmwy>jdF?vEnyvFI_(%ZpH~2H}z}UUK)Qm{{31n9{*kz>o?p7s5s`6UCfT3y2TH~ zFZFBMUh>a*&+HSWgPg~4zGvG0#JqpT?LJ+zR55G)Hf=BUZ#aMZuKZrBdA~*aRRi*U zbm{fX_+#D9d^M7BP`9S-Guibk>XyAUlJy$BX}jt&<6rak1~;UO^*7Et*V&kNb7sG2H(STg4gcJ)yZI;5>U`70FLqP*qJQ>RalK8vF8beKX4l`i z9pgq{@%_neju}7eE_!qM@~AiS^&hvR?$DbD9vSUs>-edbzqnl}9PPKvZD&WjDSJJC zHNE_v7-jh950ZE6rp$Hy(EMwC2s(52iP695^<#xDP3%6?`*v?(uNl4P!ajZd?}~lr zPrmu)&+1?L_v%@>TF?>1&w491EbQEP-;G<2-g3|Ay_oV?2pr4O+RktyW6-&W=-Q>x^Xc%Uv^HHoR9L&w@e&x%Cx>YKG=9g7vH1io17o?<}SWR zsmb|)Pnp&?wKlzPYHfPojQg-N7BlWccMis_Yc63;9$pnkWewk}HZ8{Y$}_w89#w1j zKH_Oze2-Ey-$$N4t#9Ugdf&`Jnj_+xWzj#Bnl>fG%cD9_*djAm|YK1XG!|2&;R{a~EWQOcQz zYQMZ@q_S2CmGWl&eLkLRq_b~DdqKMrM$Kn8We1-+)5K@HUq)r9@)^&mbS^zAw_|*! zv+P`_b?~cmZ29d}fvt$+Sf^3yym?BWI%6KCEM}=XmzJ`$qKvAebQV2I*&(-De;Z0& zirH^|X31^6nciN#)xG0-YwG{*?X|FQ>BW~kbNj_xw?BK!_HFmtzGM5P>a?Zt?7H#m z5{fna&1@NvPdE89_0Rg*edF7?!-j0zT|Yaxx}}W1n*XGKi>ZIVEd4t${JZXdVUhaA z)7`yqM_>I1oA}p&zHJWq*L)40F6eF0wlC3tZyJ#G7`v(0>+v`AVH@eWqRIP&E1S|| z_kS(@)bTIZN!i+k9p_(u(G?eNKmU?lm+PO~FTU{d9Xq#f-+JZN?U(NI6&J3ugufK| zWJ=a)$DH=J#TwVvF^dZu79Kf%k5H{1DZ?-6NvDIO`q_?hG_=R8>C&uA_rRoE((b5L zwT@cVTdt0}XxA0nb)7GDQDYXD>zeYi)w)V?{{fd@qw5Z`n|eK41#HJ3db597)SI%` z^|daF8lFqu?PgU_qTkb$y{@ly(Ny}GMi=zdCHh=rx0}rv2k%Tgz4&^;zjdZ{X0X@w zjr`L&%XZU$x=w6{am#MXUe`CeD14@%IQt)r{vg*mv74>@HTCz=9Yo0c(y(#lik$oS$*$+%KvHdr_M8VuF%77%AVDS-zR#h{J&rN z#CbJ6c2oAOo*7=Q&sV6wim9euf3g?!o_AAksP_whfrI&1SbMEa`?urRzun`wxPMDm zi^JNbgZ70tMt^bpU15J1w%@vKdU)=?^MT<@NQ_`ud8p_x(z_ zpT=~_&Z|bO%hh%8FtQ(q84j83xVFaH&|0TNPM}LQA>HFA(lKY6>rqEn>_x@6*)R)=1Uwh$Ef;LDKS?Nu&5}N> zocn8A&K(oAeLQbWls!9s?)*W=9YH2~>}E;7k9gSDxuc#y5 zU^jm!AAi_m{3CuOF_WJl6{S)?xM^ks&&V04rBV7|nuLu3O{lbWI=WoWy zCsWScA^%e;=XGQ_oszr2rc;J9{i&kmR~4u8$#-X4*>#^A>T9}v?mtd>b>V1q4@`SM zHAeG#-P+pKg|)qP3k%P_?uBWrSloNd_t$WaX3lR? z#mjEWUa$Cue*O#6={$YmQ3KAODy{6MUN7tAKj;(j8}y0e{LgFp&{u5fWzYIw*dxZh z^eF=pH+HiWzje!0edGb6!)})JyMH(8Rz7_|blAp)wFykTg5l1JF%N9 z8vcj$GoOrlllv!wK2i31{WSHrN59K2su91~&8GiG|IlZvzNWFibimb5j{Rrq^}7Ft zo<3Qn>mt;@x%&Rfo$RLUWxf0deIkB?K5?A?)pJo_v89(i>z_U&Zu-R}ZtP|$e(UHv z^bj3(v!tg^(a}F9I_&0Hz48|HiR3Tn6HED{&w^joyNO@y<~aZ49sd>e8Eo)x7V=M@ zQGfKGN&MK&R{YKSe~a!N-x>R-;vKPn!f%Pi*X#2?tbbq9C$2py>dn@75j6GcGyKwj z74eVVEIi8)o;`V2?7t0(`)rQ=XUblWf2jX9r2aGa(frXrrtD?C{L+8;hxiTp#Bu)j zN&Q!`rI$VHpFSgQ`o$z}>}Dx`>*zc55FK{2q^C~NQNJcS?B-a#@)q=oA{W(Pzv%{bv$CcC!_Kv;Ngzd#{fDQ}NBQ zfA%(;pA!w~*MBDJ&Arb_`b635_0!a=&+tqCRm3lLv+2LlKlI-r--!KZ9;&Mq*iG5% z{u_GwgzsLYZ_Gm<6#K`Ny{wo2pijgv?`w|pe<%|x{}o$$*|YxXGvcOSOyb6Fmg2XL zzC#buVK+;9>J%OQW1_=uj@2t~L7zz8fug~{!u>v^cnL`|Cz*(-E76*tbg^No~8)>Q}L~_e~vPn z&teVfH{TNV=25y@&H0}xd%b>|di5E8>A#Bj#cnqJH~NP@J3-rW{%77>wS(Q1z3#uE zr%z7!+t@eez0ZpMW6ECE%RhaGe~4e+*Bs~n-Yb&MZ0YrS)<1nl-1Lh{+}O=h{MONT z=pj1nW=T(-qN9IIblABq+YGi9&G-_X-1_e=d}p04@BZpvQP%RhaGe~90pPaNm}^wf71 zTYA~E{^>K~re93r#%`A4w~oF;57A*aOM3bQ9rbIX!)}h%D{n!cNdAI8v6MghEcjKu zoA||Uj`jbq$$ujA5d0_J?_cxzr_Y#o`p+bO>}D(eq5e5dTKccz^|60WGn?N4{9-qo{u}*6|2skNw8FAAuCUIjoOYvJr-=T--u$v`4 zb&8JuG0|Z+$Lh6h&?l0&pieC2k3I{2RqrN#v76)klYjhI)O!X0W+DId8S_s6nZ%FX zY{lQKf9?OyzB2ZY`S7{eKc?*U`5)HbnDmJce{j+#o_%9MuRg;s{bvThvYRdcjsBs} z9;@?C`pG%^=qQTZjRL}Z$Y0({(?TSlt20`_*K1|_{DCH z_5X|HKaqI|{u3Yji+uj+Gv=NCGl?I&*@}Ote;%`6?4OG7jQ#T%bH9fFA^kbGM!oqM zUH{?y&y>AhKTW;*48QbWMf_qnoBkX9L;s!sgV=xO1-oMZnX=dYH}v$$`F|h##=PLs zv42e2%X;~z@9+=t%ln$+{9mvx>CBd1uV?+!XT(jvn8c0UEX8jfeTN>R!)})J)G0dp z$3%zS9IIE}f=} zyp#Q(x$DH(f2Qp9_#1lqh-c-{)0Xdzd@fk&i^jWKl+L-z3f^4 z^cnHfFD7wgH%swbN8h1`=&+k5J$-_X`ZdvEH^=Igx1diXe?gyE${&3e{Hoqf{9-r9 z`u}C}pU6A}|A`m>GM|6?jJl)$Oyb9Gw&HKrzxr?I#@Ig<-xK?1r@67=e@Op~uSUJO zQ*+1u&y>AhKTW;*48QbWMf_qnoBkX9L;qd*u@i}AeKf^EmX9mCOKeOe((LeOxH8;lo zGhcK{>_1cXdi)JNeR56eKl4SJKkTOLWxf2}E+%pP-|DO?24Jv3lh#=o86b&?lDiN1p}1s&^B=*v+y2?@ay^ znTOy%@tVSKY0ziPJN;)8KX$Vf|4{$D@Q~O)75^gk&kM~%8uLG-zxwM@Z@y4-!T!&b zyYSuceC3$?&+tqCnZd96&usZ`^bh^_dYyN2{%5}7 z^w@ubr_9z3f^4^ciu}FD7wg zH%swbx9sw$hv=}IB|UYDU+ULHhus{jSKfj?k^BXHVkv+0S@5fRH}Q+z9OwTHv(bM= z%}4NW&gSz^pE2+3|4ib?Znokd>YwX2#r~=ISFwMtGdDH-59wcbThyD^>G}`*KU4O4 z{WSHrYdiU;|0?1ayV>;L=pXv;ZFj`}Gyn8CvHwij>;4;h`s8i@6#K^f(;cyYOxeqN z`KRyj5AnBgipTY9~o^-rG>H~nG~H+HiWzjgE-dWa6YS<+Ld=;$949d>i9 zUU>`pMDiB&iKYC}XTh)P-NY|;bDV$jkN=7%B>!e1|MVI2PXC$2kKJs=->iT2$@MRd z{bRm;UF;uI_WJw}>u*W=#J4{_=@YLXbN?BB=|400RsWeS|Be2k|K9V-*nj4G&xrkJ z%3hDZp{GyYllsqmujUWCDSKHj|MVUHA%25Cah(76roOA#(#xLpPoEJt{bCX~cC!?} zb@Uy2hz`41($gpCs9zHuc5|#=c?|di5E8 z>A#Bj#cnqJH~NSE`@p}){xd)Lg4lni>~;SQJ$>?lZ^gbbKX_s6A5-?SUjFGj{6qZm zzUDapA3P-K%$8oSXZ_P>#7)1L#Eso7#cv&bhaRHCZkF`aDLVScM2FoRt5@EFK9Rfy zePStp^jYw$dN=Wl-5lqi{NumkeUpE)kbnA&d8hwO;>T{b;&0afTY9|@y*&1hd4t}I z<405W`uq>;RcqY8_MyZZo}BcFvgh^cGyKwjX7DS!+4A4$ANud3pNaive(cQHf2Qnp z{|!BT^3l|P=EpRD*iG5XdikgC@DK4D^oisAe=PM~#g<<7tbh89xak*@xUrk1_^qSw z&_i_C&61uzK}Y?X=&+k(^~zh&Cz8LQPb}q+J_~+T?!+z#pW&DO ztB7ChX48M8f9Su@_G154ye9VFXHC7lM*l5US+<{_q)%@CPV5`=vx)_~DSJ_mU;3`1 z{06-_#{XwGCB12#Svdct&xo6TF^L_@3;Czdh@1YasJMgOY{uWLfAz^NuZsO+er~_m zKc?*U`Wx2Yn)HdEJ1^-IZy9s{8Gh+MGx$~inJxcA{dcR*JLg{7>zQ9ZJNBO`dp-V! zo<6xX^`H4=#e&_Gy{wmi`VapQzd@fk&i|J+??Gp_^m;w(pFSgQ`o$z}>}Dx`>*zc5 z5FK{2q^D2NQNJcS?B-a#@)q=o!RX+dp z8S_s6nZ%FXY{fs+KVLdF_D{u|V*h-}JhtI~NdLtjM!oqZUH@VKXUbl$pQc`YhF|)x zB7U)(P5+JlVgGmATp9;-_X-1-~4{8fAhABWB-`4*W(}3K~rvEA`?qD~Y@i*&Vee$i>#{MyH-x&MH zl)YYm!}_l#ed6s;N&3WZjk*5}zx1CO{NCQ{nJxc~`ltWCuUcpSXa3+(vHwij>+v`A z^vCy8|Cv9~{9!j`FYC1}{fB>u-=I$%=l=((?<%(RvSR z!)})J^a(oZ*F=Zi9IIE}f{XhRS>do)HAn6ljuh&mguRg;s{Z|pc z*v+Q@M*q-%zgQakuj0#M|NX*T+VJ1d(8Vq6)USySyE#rz-q2UnxP#s- z~tNt@v{u}kL{_FR2-l_dx#r|VM|MgA1UXQ<_ zSAX<-vHvReHGkMmyK>4;e6jTSwoahv=}IB|UYDj{Y&xVK>L=$s78L z8h6l}h5XTH!LRDw#4mPptbgS__&3i={>?)E=`-S{|0*i(U^kobH|zf`z5ecR4*gSc z<)+X-eN(U3>u*?pThb@4+?Di+yO-}j3x3sq6@%Z~d(pqx^53X``fpF2ce4L8_j+RN zKU4O4{0%+*v1jT(b1%&wc2oAUUjFGn{6qW(ed0L(d!@ds*wV|M^-rG>H~nG~H+HiW zzjgE-dWa6YS<=%d=%`;49d>i9UU>`pMDiE(iKYC}XTh)fz{D?hbDaOZ_Kp54YCeL0 zbKiXa=`-e?{xgXmyV;6=sDIX-68op(&9Q&hnWr@T59#;#pHXkFdvVey%3iOZre6Jp zU;3{iezBWP|Be2k{|;Oo`>*1wWB(m!u5S2m=;@Pv{6l*D(svc* zm-oeg;28f09-s84b!OrGmp&tI`o$z}>}Dx`>*zc55FK{2q^C~N(LW|S?B+N1K|K`J!f3uK(`i!{gzlw@G*v)4A&HC5=@1VEF{xJ{U z9Q((Vy+v`A^v98@|IE8<{;->}m-X^b|KT6vH|P_``M-PWyNWHn>{%hus{fCvWI0 zYTQ9@7V<}*1;46y6TjHavHq3!;NN_7@^2RMPoEJt{Z~%W)uiN{}&^ojQ>-+vbT(|`Df!LRzyZ2520KmB*I&O6!vnWtP3`_GiU z9)Ckmf1I59&pbu*huxIDte1cK5C0IqL7zCz|0${ODz@~pXZ_P>#7)1L#Eso7#cv&b zhaRHCZkF`)2|DW6M2FoRt5@EFK9T$dePStp^jYw$J}~i%-5lrtl!K%Hikgq$-#j>< zfBKAhr~gdi$8NUbAL^fz&WQa}@r$v4PBPDE_#f8KB)zHYKJ5QaGG_{U^%;KYyNdY5 zZZ`cl`iK5|$lkI4D!wuH-$Ts38~z)5`sBfP#=bEh^1|3ZrtI1JM~`3nuA=+~yE(@H zLrzJ0(>n8%ynp(Pxak*@xUrk1_^qSw&_i_C&61uvMMwXb=&+mP^yCeFMU6Y?%|ia@ zv*1_tZsHfaIo7}O9{ih+Oa9G5{^>K~rvEA`?qD~Y@elRSneUAKW1e+v>>pG1di@RS zf0*=%XFVtB6VEK)f7Zt@{bvTh>OZsPztKNE{e9%WhJLMh)7`P^{_RA)o{hh+Yef9b ztgYBT`xN!>i+d(7)b$PjYtD<``@iP)0r}p4>}Kox{~LPV{P2E^zv7Fq%5L_{{`uWG z{G0sl9RAJL@6QeSfB3TKzv3T8{|`5pmHqR(KlnHI(e(rTo2}pf8S=m8Kcatg?e9ka zrtI1Lv*qUwjQWay8ugzu4=n3XoR9j7FNyjS&H22ZadO`oIJ9grdIp&wm;Ho8P-f^l!>ute?EJ^QY~?bqDDy-u>L557!^k>m~o;b2IdOeio?X zCUks$8rb~&tl^(bJ>!pJ{>+{GV%7KOCCXm%AHFx2@$>z;knhcv--@m8&mHprx<85j z&Fc=1{!Q7l{@L>CKaP6yg$G2vDSK8=oIH0(+$PT*VmDjQ9}dO;uD3`3=DQD%{!Q77 z{<-f5{}o>r{d4~pamZfO^S%A(&C|92M`yOazrPVb+gP>$43cV?N)<2nE{|7Pu=H9!-{F}08_4wg;M~L6#cSo?Bt=}IR zieJA^8T2N@td+|_3{(W+Z2CA&fBn?t@F2`__^_<2R3572`K$&&JP|zxwN_H}AYx)SI$r^^BA2MvTAWb#eS$KTNL| z^?dGvzT&H+j?drF%bwK}>8bxI#&4dsM~vT;y`=9Sf6t&F@B=~LKi<4&S- zik>BY85{o^S|6YHJ^XBJmCIOU-45>e}H-avi{fyMSaD; zjrwEF2bJ{?IxFfcelF@CWS&*lKl$NNU-9pw{>kRU%lc>Pa|ZHP@yk*FO!LuY{YxJg z^%eg|)W6hxTv`9ePm21A{}lCqY(A;1f8UO%ulTK~f1kOdtpC)7QD5;pQU59P!m|Er z7e#%=???UD%!|tUpIjXE6@L`iY}76Z8ZAYtZ)>%-<>N_kC8>SNuiP?`uA* ztlx4~)K~mv)Ne7bD(mn6{HU*ZXVl-{e11uP=bd+c1idM()`RdD80^{gkL}iJouK~B zJ+&V2XUbmGAE9*uy?Lb81O80ei~5taPM|kW)_TC7DSJ`>NUam-%|~fH;Lnu3sDGN) z3H0VgS`YX$WiRTVuXO^w`2wv6{F$;B^{>`Cf!=(L)&u@b*^B!BqICkj`A)3|{F$;B z^&i$cf!_QZtq1&>vKRG#r*#6o`30>9{F$;B_21Syf!_R%)&u@b*^ByLYMnrD{=3!# z{!H0R`u=LI6Vg{)qxC?4eN(R&^@nPmKyMzV^#Hvodr^OHtrO_Y`)EBtZ^~ZOKTPWc zdh_fTM!hL}N#A?bp7Hpt;_HLH_bPMGyq@C}zW18r7xP7@#N!vU_5IgF$1gYBE&8wc zpQHa9%)6ESKlHoNzsc{O5tk`@cKq5dJh!3vEAre1cGJ&qEZ4_6YZorPXxA0nw{Fu% zT>JDD=Ze*{A^U_Jyrivm?bvm}w(ZB(w_5VUFs}Mm;k~vt-fPwVjji@syKwP^m+#oQ zb^8?;?by0K{CnH>tygZXzcZg=%$-~L@1)@gk(rabYxbKz?eQV9G-iEb)0<6K28T0q zbuBY{rt9CsnekR+rnOZqGv12K)VAt0N;0$Ry6&T7Hp$GI4|Laz%FLRbmA+6jwb8Iu zre<1O)iR^`2=zB@W;R?#iAMVZmMn7X_VB=32&*Q?4%(x_xVV7 z&8W=yx1oo-$@5&!jJGOh##>FBnfnb^!_JvG^&yMPjDF3xL(M#@xf*3^rnOb3W@=k? z8YMOJ(6?1lcG6HYXQ%Tq&G}f(sG9Nbsg`S|Hk!gZ)7q+*nR?CCwi+8Tt0|dz>|izQ zq#-lsJgj>*M`cF8fZ3sDE^4kunVM;BRm;rPwY_$2t4^aNGv~jfin5c2%v_kx!KbYm z{{~^XW@@7;)J$uuT4w4sQ`@T3D9OylmrtIVXFRgIW>n4W+*s*bdu`7%EAR`Nt5K$A zT3gjJ1lXDc(F=W=Ge75k>zn5*|1K5wdR z)oGN}%r#e5QFhXhnX4buT{Eg?^h-+})|uBdSEEeLw6@CBOl_-9qa-ue{#g}eCk>f- zWxCcjZOy#$_bQ*&JP)61*G9uuwanCOrnOZqGv11Bs%_P2lw{`h&#R*Bq#-k}dqQ{3 zsG7NMQ>AZZ=IYwH_B)%aQ7tpxif(FcRm+UGA~UtEI*pRdyzPBel$|tW=K6Gfb=sP_ z{-u@AR%SfUtTVOI6l$imRV_1quW2*$p22F^IWzCtUX5(5W>n4S8~r=1Gk?=ujWRXU z+NzeBdd<|f8XK{X^gi(ZD#}h8YUV@fn)C=@jNglHs%_P2lw{`S8>%Qf zX~@hi>2s86YsTM0T&|hgXbLsc+NzeBdd<|f>NH9+bL(I=?4%(xUwmr!Y>uiKeRFJw zb>=(G)hJUlt*vUAsn<+xt4^aNGvB&T!R-H4mTl&4RF7uzdslR*rjJ%6xL}se*h$_}heKh%+X>V1_ zOs!_>TXoLNp0BOuuaidI)ZgQo-8G{!v+k5ipI>MChc#EDOwF{ms%55LGqtTcjgoHK zn0}+D%lx}$4ode$bkU5;%t3F>WybTYBfUaqyp_%<+gsH#%f&9t_vWu{&;wXHghlA3vN`Y!k`^Y5BDGu{8xMKdZhetuVvm!;o7+G|ELobI35 zcmCv?!{6uQy$pJVCwZ4|Sh#4{6Sf|o?tM*KJ$qczYJ~hPY*@H(SDcM*KDk>+PwC3% zvv)q>SlxaXX0n?Whk9~1#(R&+wD{H2xzX>I$+Y;@6T8vxy(ZJ*S5M_ezuP9$;#W`P zM!#Dp*Xk+Vq&=ZIx4JK^G`ING6S`4*&&m8UUOkgn6) zw_VHQ$J^Hvxyi34cmluVN>Ae^?d0RtlekfPkBPNBjhp( zfC&A&b+Wobt0!!ecH;TvsoSXC)>^B^%&+|N#BI_}=9l@^Q?=5vMrz@hAkU0fPt-=g z6XqGedYU%*)j~OeU$lCXHfblT4Pw?)v@zzbllOw2piO>{ngn0&cbgXX3C4?7 zPtHcI+)k?1Q?qHjll#>Zvq?Ky{}S^d-$>dC_hrnlo|Khe)#QZx6#VKb*%#+au#R?*Y2QM+xj{$;#+ zLN>)b@fyohut__4|LO_Y81saEq*U=#Y#Q%mF{9O!uSq-E+KyIFy(aBsYYtjH@fx)g z)|Iq81)Ka%R+DJ;1Z>hyyyo!qtF$_P-Zt@gdwTLU`qdOovQ84So_dYit&^{Hda^af zymhi(z^|TmO@1faceB>%iPq?M+hlV@59%q`sGacG48MAkHH~*-zdYRy%18y*i~*&#g|W)Dx>yD)p@DluA9N zI;B$2r%tKVlc`fG^-St?N}fiYQmN-qr&Q_*)G3vE_H-&`c)C=|r|z1(KlNPcluA8O zI;B$2l1{1AQ>0TW_5A3RNqEjmMoamHFJs~=!QqP7?snk=U(gmtvlsp%zy*5`ECO#VESK-Y3Nzc=vUH7 zjwCs<(sQ0sTb&s#>Gk%TpILHSZ>G0bZ*}ju-m3b)dwVS`Tzc^(&)k0T*6q*Uvi;aC z_uRf?yPjIm*Ku*3yWd+_Iimx`&0I(K-;BN+l0P_mWc;_O{}-+=B>ns%^|J%LR_Rys zAI~X!}l8rip_FMUaBh@<~t{9`wV{5PKy-k{T>mD>JI1J3NH z|1Z0#*X!{&^!?XG-OTy{(P1}R`l;jB=Oi1~F6=n}@{6vxaQpd}?7Ce4j1TT^<-y(U zm+tasAFd30o=n+)UKq;+*QCgneChL%M^4loDSOhL-qa~a#cTF))}?!3(sk{qZFJPO zdxeH7chu~AR0aBjp%V0OQ~#`2#K?}CKtHmh=JtsdJ-3hizAXMba;Tz5cGLv=u@yP< zGL2Xr6*=U;p`SS~#rjDz>SnZxqED~a^VjI8R7=f{)RXg}BNJWMX+>-3XV=6|oPEuJ zGtyx|`){>*qbze(%g}w7VSWC8U>#&ya zjmi84{y6@-XExW8xyIftZ90K|W}v74Vh_$=XQ~FD9RD2|{#~!dk!#5W`r%qu?bi3s z{U>WsT1z5_{5SNY))I8AC6Q(Q?APO1=T0-DZuaZukiOB&y$yO@J=lAbsefOo|5T%q z!Cuc_LqEGF>SkYKMjdMr`t*8MAJ!6W$2!FJ;V9!{ik)>NQTD8U?%JrEUo{{)>}E;N z_)Ntp5~(!({#_??r%9uE4^;fYZa%r& zini<0=FyQx@RMnjGj~j@>_2Z><=o{1W!F_S%$QcSDUQfqIL#^=W~j7^uCATqtnRH_ zSUCTZozJ>>*Tv7ea{G?UF57XHrZ?@+8#OTVN}cxHs(Sg?0hhc}|6g`fuV-s$sd{bx zM*Z@`vj#+m-PG$@{q8yr;2ZFF|HXjlu$!%K!f(XC$DZn+SM+*&Xn-aK<&zWV$%^vlkSewY2jfatKBiZ82Qp|Lam6&kCF4!c?Mzw(yo zca^?ni9A4b*v*pvHSddl>-k5A-7NWEcXsq!&p$fsX3KwL{?_YT!x{Ic2h^xs1iRVH ze?!0F3(^0Px7Vn74|cPV|NY({{oYOcAmV_;jomDb{{Ve!HF-ba8v~-lZnnnXsGoz5 zkA4sO#DM6qn!)})RAAUphThBi_>}JXTk&lgj>-k5A-E8@<9m8s0 zuRpfiyn4Vre-`bgUa$90WB%E4{>rE~7v`egl)b2*JtOKXelhB2&2*3M(zyOK=8rz3 z_USv5xUrkE*Znv2%o951&qRmaEa_S2(9NAQAUf=3Nl%}k-$%z^COYh9NzXcoj`h<- zhutjc>2v=)n5l)bKR)F10Kao7JNZtP|$e)e-{+5eg7u$v`4 z>m<4ZzA+#=>}E;NaRPt+4-QD)u$y`%JAT$F{IhhSJ z-7M*s-xzhfJ$OKL*v*oD^(j%e`u}C`P2epl%Jcs|cbj|fZ1>K}Ff79w1jLzv8CGFX zP>@AdWfATSFv93C1H%l98wdi5s0mTxE-DgnOJXqYfbw%gG)e>#B?&>J32I!DC{ZK7 z=XtB1?y7Tc)j8c4{U!hZoX_WezW2P{Prq+fS5;S6SM|BCB6YNj*piHY{^y-;!SNNT zqg~AD7a!qti@#BkI@-mYe#sY|Zl{wfQb)U()9-$i)9wDPiqz3Aw&^?CpMlk`{VDk) zcdP+%bvpleo#KbrFOl_@c9ENLJ2x(^eoc0Hbx@FsJDcbO=# z!Mjy?Ea+?N2Cr_Wn?BG?H+Ee!-Gbp}y2Up%)9o~)nQr&jN4o9G_tNSK*F_uGUtuq% z)!A!b3&dX8&}+*x+29DnC`*IYde8<(m~||QU-c%Q21l6tb(HDq9jFbCun1S=spZ2C z=u=EBA9g)us`>Dg2cvxGx&p1eH1wgLsrwPM2etKVS9CwpL_ZDJ*{S&V;{|G$YR5kl z{oJYe_u~bP{m;33YX1FrL1X{hpr1XJ^s`?*mGi$n`bksq@5c)or+*jpv!>$Tj~6ud zzsHxS=HHJOn15VSdR*CwJ9x~oxn%A7GwaRPW-kEO53g;TkA(Z6+$;otXAkW;n>tpe zbkX{|dZ%q)eb7bA779IXr^SS8cjfbW)kVwFU25A`d34eGJ9+xGY_8+i-^I_Oc4Fg} z%{)zY^!_g1`EFl9)Fp0z2k*4)^S8Qa{oT9Mwyz-SqV;#~O!KBm(~N#{u6(g<;?5kt zS+3h=)_3vk@7fvnrZa2im%n3o+M4Id->o}s&HVCr>Qx!3S+@P1y7OK0Jkz(Y7nap^ z%BH_Vr{B(1-d)aSb%ye- zO}j+v@4g%O^!MEjl>Uypfzsb=Pp0glt-#$i$^zSpwz;ug^2py|SM5|;@20iSQymYU z;f@zGFi(|ln%H+@-}2dQeD8lf`cnASr`Cvwl6M!*M z`}H~4Mc9-2{wtk+pjDAN+QpoH=(!lD97AB=tT>AMf7(TCPx|M6{7^5pHaWcrd#DfQ z0y<6KzajSTp-<&$>Bc4z_D~Sao{G{U>9Le`fuTGn*MF<+LL~17jypk-jcz2ZvT=z4(;N+$UoYOYIga+FAzH> zkZ{aPWId!^gguNu(zkvKE#?_;-e<}8!9VR{{41W3o_?sK|B}#|UCijs&xH5y$+&43 z2mQNneftyn?>oZ##aOfXC*jxZV#fbu`3HY4e$Tf2i`&m9;BN=`n;GyHlnL6!9ise? z^!=E#!g@M5Sh4>ilt0+Tw)P{^_q`Oc4q@Nd1bj7)MY}kZ_K!&I*F)KU@mEBRXn)Nv zW`9Lg?S=>?j$;N~@v@M=stOD`p_h&qH_Gdi7{@K+B8=}<*Lo3l; z^S^J7oXsjPie~0fSyXSJ!#Jo70BbbZ|7aP1s4O)eY^B||>hRs*2rTbvmzy2IYOHTr zafGg;cwDjJTP2moo;1ECuB}0@thPoqWd?HI&9chgy}GswGXhaj%~x+a-)2Gg%vw6% zRzdfSS~|8RP1k~3>(ijO?%O5AdWMg+qo+M5=xNU>d)oZvKh2ViS~~WW|2Oxv2mZ$O zum?}A$R0NBVy>sn{rI6?WDlEm5q2ww{z^Z2PkX7mQceTa!xr1Fl#}`A@dO+e4y67?i?w2L{t^;CJT;UAqfqk5`DLZk7Me1l5b9%P^TvcILZt;(i7TU$}w0}hEdZ?`T@H<)khdmj;ub)OYq$>ggx# zr3|cgt(@XuS{P5Sy_6dI=qkZpE?NW|Pj+;1gyYF-F?=ttLvL48j7N)>Z#b?9dzgPu zYA>aAFwWiE5kGq=o`cKr9d;4+(0>#^*UT~9c(-6lrh|4doBm(9vcpxmJa^}A{re_yOPxCg#-oXoRrEl?CcJlWNizIl~3-b1U;f3kDt+^cMG)m(aD zxAB%5SHb>6n_dN})H&_#8fI^Q_^IWtoyp$XXO;n+kJ{G*>v`Tr-5EFlx?Tf4`g7+R zchB|T!Dh8Sy6>LWTf2VX)^^>ui(A|E;5o-s?>@J->p^?IwOvoWpC=mU-rBBr{z5|! z?RxyCEX_bzKCx`_mVN8EC@!=3Y#kSMcM2TWapS3?*~1=h)Z^beE{YD_r;t}SRMdE= z*lk>q`mN)l$OAtSbzF4wn)S;j zE?u`~nR}5TuH$&HAIxyrIxec*=9+_WsiUis#Q&KkNUa zD{9zJ;)Gp5SloX9Z9CI2K;c@7vnX+S<=TPr=q+ zaNg?Hd4BxCbpLzle&o6KypI2&{jB)8q2~D|Mzj7$^imE&FX>@i)jc)8PwZu$Uk&}F z^Fan5cD)Q8hplIwd44tY@jS8X(Mw#58riC-`FSF?hocG6Q#;P*V4PexD-L0<8|`9S z{>A#O^YrX0$@9*urLn<0z3}|^GEXo1b)MiU0B5Vm>n`0>9NYg%ou~Kvd@8ebo*sVr ztaJYLrnSp2uU5H5z1P`T3(e2$4^-R(GaYFcu_bI5BKc=iiQOtjKw{w2QgkWTC*x;6Gj6_Puq5I(yO@c;Z@r6~`Of%B#!b7Ji=XFd{x_jLui^r%TBBXW z_GJ9@vjqE(V>U}k&Y!1UjNfMwm4B8y&f=K+XhqKApk2((=3u^YmD>D&4v5uhW*0N7 z)R^wsxDM05ICiAV4-xic`sruBq_)49m|7q^9f+C|ut{+aLF%y<4v z{)syu{5=!DJ*j8ZnSY|i54)I)pMF^Hn0}GIW%)yVmVctf54)I)pMKbG(7(ubgLX03 zewh9dulMBda+uz8*GJOlpZR2d5wA$Uw2R&R?}m(F{3Unt{>9<6f94P4P6>czJ67xmu@eQxysfb2K(BjVp=`%gb?zvy3NyG6U0 zYrnW3>n-&n>n-hKuKpJI@P3;5lK0Sx?_zfS8-DlVNT)A}^32bUqJOi?Wc5d@)OYxm z8`ohRsr&ND^>|Ar;-pTTk9hbIVNd2i_j?NBq+Z+;<)0rB_D~<4|GwSbzI`99$n#FS zh%KfcMV8{-L0rvypytr=j@#ZTm)PejKN`$^=KFR$b)0SAYB5aeOUL0py&NaCPk5X? zeZ59JGmtL6cX2u1yBLg;%zaT5QQKZR7WWGLwe5u?*m!t;ukctwTwPbkx35~C{w~~m z{8f3rYU_)y;Y7Z>xqjvs(3iwo?{ORk`C8jQf0JV^|Nseor<3EFR9*V zRy+N3ka1Jd^ZaY|K$HCE`RkuCwfvjyfBUhi|MB(B{WJZGrr@9Xzm0$YXp{I^es=wQ z^Z4n1_luly%mgC%3UAfdusU-@V57@qp#Ubi{63P)_cb-+BJTlUAKX+(ykjb zdIjDu#MWN)o?i3_yte+k>CsDQG{f8a35}H2GloVWJejpwc1G_mjUO&c$}1SMm#p3egG za<6^}qfFncc+Khf4!el$Rx*J>X&1Ztf5Q9s zyauB-7Qg4!Ph{e!U&Ou5O%*ME*u`A@^z*v!IRE17Q4MJqVbA8@>;A**JzsyR*Lz}n zQqO!czlc|)U)sfP{$IbH^Ivj>_b+aj_P>CB5jXQswD@5cbMZ5u{>0~>_-3DfBJ9cZ zoBs1I{y*`2^ARq75%#2>`DA_(uSmbNi{1Rcx!?IO$^1mR-YoW~{WJd(X@rv}z{1dzR|HQ7&f61qN|KhHh_~{pMGyg=3A9gVpKhys? zpMT=#eg28CXY=oK_qzDS&mZgJ7hzB8nNQ{y@rv|IyV%YD=chaWC7GW{*XPCQY5%Oh zjGOr!(l6~|H~$aKcK%B;ACazy#Mx>8%qPap{1Yv1*u`A@^z%=++hqGIK6;_^FT$Qo zKlP6eIDN_Myk5*ad0{@8U&JfYFYRJi|9gA?;*s9JxOXOg_+{M8zmklbb}^Y4fEyZFT)o#NsbVNdFrPv#f#iu6mn*vGFE4ifMc9++r~a42PG9l{uNN~f zZ~M(~`WfQuy9_Ge-X3x##7@b@XNTFe6dn~oBsuio&S=|N5r*2 zT%7jLd}7?pKhffbUChN#KT9yfh2>A&=?dpxggu#l>USD*`jTi%%r0hr35)q;ei5%o zzqE^8{U6}{izj;jqJNSzS@~!FF>dBxNybgPn2De1+|%cuxR=jA5%z5U?fDNbesQnW zE`AaAq@MX?ei5%ozqE_p{O`4+^Iwwrh;;2G?wIz^d}7?pKhffbUChN#KP!Ii{EI7J z?);0eC(}>;%6U#-@@B6W=cV<`C-aMVMf#;(?CSpz?_WIC`xg&M`)B?!ZsuP}#!b7J ziJ$2|%;%rD%IBX5dp7?Ldlddq|HW13;X95i!k*MKpUf}f73r6Dv77%@yEy+PnUC}@ z?vnP;d}7?pKhffbUChN#KhJUF-X)KDrSmUhdoumhAG3{%zvNrJUfd?FXFi#K#4FM- z?P6E|tGs{lbnjnWmG;m4W8BQYl8l>nF%v)2e~QmP@l>CGBJA1xJLUT>e(}@`T>K*J zNj>w){32eFerXrG`9Jj!od1%{N2Kdi@ek7enNN(H`6pW3u#36)>1TDn^I!5>=YO@B z8~>($JFget>Gfjf`2+LG{370x@N0IloBt!cfAK8uUpyk8e~h2`SCVlv9x)R?^Y2AI z|4RCNdy&{B{&kPJ_{A5kckzp`XUm`YMZ6__zR^GP5%#3s;@`{pf04+1WV*z?GJ5!B z+{{1G;$}Qdhufa@zr~Gzw@SXo`QIYu#=jBgmL)F!lJD_)aY;IU=8ySBoF(Dc>|!_n zM|uC^8t-2`D(#>7!?>A$B^fvEVkUl`rz?H_mGt>`rPw9@SNxlcU%c`n7rzL5^87KM z%rD|C>GO^L{r05Z;(wa+f2GKLWV*zsrTsIX7&r4zw73}$)8V#f{ogR%`7il8=l=#V zH~x({Z`jrA#oN4I%shW!{+M6HSrUHDE_U;OtoJXj_5Q_U^ZCd4nSUi2H{%gA@$)>r z(dS=DpKoszyTt#;KX>tqZ`|zS7hzAHKjxG9MZ6__zR|zmp440X`#S$`6q%1qm$+}* zKl6!kGyg=3oAEFmZhO}MyJkE8CI86zf0sBr;~#OpYY(p%Kj8IZ=J^Bj$NVDBlJIMG zv77%Bynk_>_b;B1iJyKEH}kI~d5_oL^b$_2NgpUd%jyVE&k2#90!4 z%`SHHf139%UhMsgr{(jH@iYHQGH%8r#_>lh4+?#5^#9ygw7!lw@DcfcnE#Q!bv8F+ z=f_H#4t9|nlKQ^SI9)&bYW#qvgI%OKsUO&uo3R7>8lI+uT{QDJb{Tfh52E-7(Z=yJ zG*HrXu#4P~^gr~V(@i_4r0HN6v-;uvoNoB;lBR=Q%<4yOa=OtSN}3LKF{>Z_snbnI zUE>Ed9qb~_$@I@So}00_;@c%n2fN4(N&UU6U)*2oWNI@m>; zL;unIA+4Mry8t_(43S(h)$7Xn)~#;E6m?uVMRLUy?P6}_6n{sSE5@i3xnhiVv2Ep8 z6#u|#w_=U@T_17B;fgidMc9++AH1_D_Q7?Edhuy!PiPlmPwJ;_R}=?+&*{a3UWk?Z zu#2!K^}{bIif5voqJQxS)Ct-}*pvE^zb%U6|HbLW=e`Il_hA=dPwJArV+=u?f-27RV^ILJB%Jhrx!~G2HBJA1pbKWWai=1~#|6*?bDeK7xmpT6> z|I*c;4~qB=qu}}*wO{nZd7Jbva^5EGVs8E>>)9v&$oUsPh5j_{BJ5fJocBooBIiBQ zznGi<$a;GB3g^G%$6fusTU?R%&v}FNFLK@>{foKzgRJLYM?anCU;OKZuKtU#C)3aC z6zAR1zsPxaw2QgKSKITa^4Z`Vs8Es>;DsnJO3r`arOTRF|+cUemHN3 z{zcB)p0it}KS%v<9s6PDUp($< zR{vWf?Ai45xikHXeC|yDV($4f>VNCx6P^E(e`EE(b+UM3-ant)(tpWooqs+*W*o35 z<7fR}^B2y)c+S4A{)@0D^*lbGd(wYN@0ZU%{r04u_5XrXo&S;#x%z*Bcxv81pBvJD z$=5i)e11v)uqXYq{$Khr=U=>Re^>uS*pvE!)$X|){qy;o5q`}sX8g1Mzx;IPzvOpZ z{eQW5dfq>uThVXH*E#=ue#SUpPsY#s|JqMF|Kg1YyZSG}p49XBeC|X4CB0uh|MA;K0- z=lqL*^-Nd)Mc9*i9-rgh^k35Z<@mSXp47Ace`c-oU-Ad8{(nY{-{>3N|M2)6H>UrR zZ*hJ(e(kp>{j>hx`vvD;{OYq^{TE?R>K8ri)(vfYcEGDK7Dc;=FvjsWS^4FDT=z!3 z$aQbDi@Ei0+>h&Ss292JhITQx{)YQ;-7)nd*B#R?=GGr`Kdu|4UgWw_+Qr=ZQS4{W zZJ{s8=eD$qx#!Q^kLw4h7dh{qb}={qp8Ij$7WE?MZP6~a&EJZ~zxRc2yy>Rc4`YTR zx<3W&qTYm)IR7?pg8n&wg5zA>g&0AsmIe*1?n@d0EulViR{O5CX>O?*_r%r@Dr?++gjGycO zNv`{6{9oL{qwme^(8;*bbS6vJ?uHXts8^B;ti&=^<&V}9_pj^ zXBpZVwwucjsmOMdcCoGfjP(1Uo#p3gXn#evTeOR?hyJ7f1JlQO&rE;Gx4Lw5{y|-^I-M4fo?4`=~GZC0g-a%#43|&<>&i%fG{x9P%`2&*|UlKF@U+($vI;SuB zL#KaOT$e8Y-1BD_I(^BXIQ`GW3$yyx(8Z>&`0u7~4T%@$^^3PSeaT-s{bF%TUcdAT zr!V@AM+c5G0ntJhmw8#92 zuxIt(M>|cu_ye@Z{D`n;^}j|tO}+RVw8#92u;=uxF|^armmEiXj899%_N;z4wA0j! zyQ4j(UW7fXKM3tK_2R+o_(3nip4C4W?KJh`322Y07h%up*P^|qz9iaX>eq^y@pJC? z613OUmqdGPb}=*l%l+Pf_L};VXphYqn`sV|B4*z96v{x$deB-(50OQJnC zyObUR#d!W^)PG;`8`tlL-tGfPo_^ZJw*G(AezJegbz|(mmwcz| zw{!iN-<~}G+|GHk)Qg-qOS=erR?l_2)R(;3>A8NF$ALYo-?GH%OTNeHxc-QG*iAo* zTME44+5HX@-tT-BhH3HUG@-&_X>{H8sD!hbLqU#>=hns_XB!4R(h}SSO@#H z^w~7F(tCx+I$>2Wai#YPkG1xQUXGRCD?HXqj_T!D>Ak{Zz2Vqij+NdkJl3rz^m459 zUg5Dm>DPqh&trP8@K~5{ul7rC_P6$~@GZ{5H%F~kw2zL%eS)?t+9y0tYM<~pL5q|; zPHLaSPHLa=@JWgt#@Hj#HQ~Q?^_|nO#{8B~VUU*jR@2}C8*7o238uT&WSGJ$E z_59VwUH$!e6aPa;VyxcJFKeQo_Fk-?@b~vk^dl{-oAC3yn&?L-uztdi4>ZwF|18!| z`1w^$^fMP@{e&N1XriBmd-V(b{H7*)l)d6|KR(e!&+>n@f4^iCJgP^x4wF6>Hp9a{ImXiu)2q8 zl>U$V_p3EYKkMHoZ=72CKQ{&ctiN|x_kE4hf1iK9SCjO!{(t=so2Q@GuLq~#pY{KN z>Rz>W`sx3l{QISvq@VTwyKkIY`hPeD|E&LyRrlA8(*FxTKc-3gS^pn@^OVxxDyHC{ z_5X=#OrS~nThsmfb(*9f^}jXq?Ndwt{3-ZH{cp{y#xWYDe~Ev;OOy1Y{(tVJ*cAMu{w_-FmUpcRM!&EseN zzx2v8|sx^fEsS^r;NjV0DjKmFe@)I5IH|JUBpTu=XRoPvMW|C_4u&qnEg*J$(j zS^sbOL~}jUfBO{tv;P0-3#R7(j#;JuX zJ3Xe+`uEG{ewzLKdY##$BKwbzoNb#DG5NiHPg?P5|w`WN{25qRFOZpyhvqS7y`7r9?6VUgGS#ZE?!RSpPJi9>V|7HOUyh4L z&HTgah)Tb#e*R+>M5W)icKzonh)Tb#P2Z)>^s6MSdAC4|vp{X;_~Rz5UTV$DNCC008$RAQY&LnT%?G*o_R zZBr#yH8k{z^$g9FT*=T-i8Txjl~}#dP>FR54V74#&`^mr2@RE4jnGhubqEcWSb@+` ziM0ofl>eiCExk)i&$bV143N(AH`H%6vAUq466*>YDzT!Vp%QBe8Y;1hprI1$2O27| za-g9SYX%xBv09*+lIsT=DzQSKp%QBY8Y-XrL{lZ!2{iPH6#@;FSR2q#iB$m&l~@nZ zP>GcQlPSCORsC83C=Wlg{{1Ot|5tt0amX#nvWg7hw5^9?6A6Gxhnb|ZZ&(T zADW`RFV?$Nfdi{W7l-!?bgT|+^Dmv)RgaPur2l%j_#u|ajEL?y#r2}u!}NFPr#;~G zqU9fsE5aV?M?(LtQ*itbK>vw~LyzD)>>{?O^#|kJz1{6E4lc)c*hSbweH4Em$`I4v zcWp(cgLV{;=*MUmo1%GzW>CUiHmTewryd5 zTRT%A?bD8T@w)vtRQoSIaMJx-+m!n+nKQKJ+|BDQT|05^#tobC@5F^`H?LX0Y+~8v z%O*B#2tD;5ig$4Nb;IzKRbF&ayHe*A%I%P*jq9(N#ABQ?yt6@PvbQl&Up9ttwSaUS zf4%8^nSkz$S~{MyrsElQmZK};wDxv=ENIuq%65I_)^^=rm$tU+!F6S8yN;^6wO!YB zBilM}ZP)Y9BmbYY>-%qQ*SEIoHd|tAe?7RaZSAk)UT156{XYAA!`<`zujsFDhjEzH zU5?)SIvU=Y7q~UzZ&VaF2K+Mqr(JwjbY~j16xgdM4#6MZF;Vh<8u1YiiS*H(x%uI~ z^v@62ngznYh;P=z=(tyzd<<&EQd2xG@05!L;yry^cZE(8p)`dgS|b zyy2K*fSwvV5@8SXFDhxg*I=9+LlOs3LTMLaPwP2`^a{7XI5-dAIfmp3d#I1%ANvmc zzNIL}F=a#?x(sg{fn9_>skd>0XTTrFkUR%ZK(=!X$rJXZetbLVUJd_vn~XS!T0*-B zds5Fc%&{Y$_mU09kizrd(-_in4kGQl(guav#*l{I>f%K*Fy`dPkZk{D%O~5v*)?hI zO-;v;sBAcf(_Pf|y_nA1zQ<9D2%q)x~2+mrE2x9h=9Pg1Yr`0Yvk zZvOo5@iwO?si$4c#V_67zjk_(IvvMvPx_Z`*_lpHQm^Cq?Mc0KD?aV?B=tIu-=5U( z@6Z21i=CdNo^~;pe(4TR+))ay8Ydr~jmvk!K9l6oD-Z%^uv zIt*7O^dpb@YCv4w%`V3M$f*7wai!B8iJk?IV}#D^Vn%O%&>J-Wo@3iP{~~&c$@q`> zf{z>J)zU%IFYRK+KjXw242xT2uhioAggxnh)mrG^?fi*@=&8~!>ir(W=&;P}E28{6 zWYFn#9O-DM-fvI(-~WA1uj5EZJN15hQorw!PQUWZiqz3A=HlPypPf#}k&bri{r05) zJ+F0o9Y;FasrTEH`rUVPdL2hP+Nt;3llq;$;PiNhiJeE1I@-lt`nO-}bUKc7v{Uc5 zC;cxPbb1{}I@+oC+mrfv?{j(`M>^W6_uG^Du_K*+u0Q`Ib+n7Q^iTh1r`K_$qn&!c zJ?VeiwN9_&NJl&MetS~iznjzRIMUHhz26?{qq~b^c5!!Q$GlHO&lA1%V|EeEp3x(Z z@4^1>tH}2TQ!nP;AN(9YGvS!?ynjr8=ZgpVY;aknQnf> zZ2EB*$=}ZA-K98oE5xviuv`A)ZcFc(TFEEoSLvxfeGTOI8CV_d({-IEXYUN2YB6Pf z58qw?xFa9ye%zT$>K}L3{O-pczpDOm=WOYI-1(2xKkkADx*vDjRrQa%ct!W)?r=-} zyT?#D&56_&-G%Goae+5NbGu)6+n_c*otare5r{&DyISoh;DT~hzJ%jS1K?tWL* zKkkYx-H&^~BlVAa-~-){`}9@yk9+8f?#Dg+mioti#+$kymqY47TiR3keb#^GkK6u^ zW%|HJ?P^ax>^@_4sp+^5wEJNG)b+7yM+8;F2hVL?9|zx6&&L6e)c3LfL_Hs@Q~1<6 zAIqPp=Y#D*T_5|rtDXto(TJs;y&)%P*`iF!WR-@~U?9i0BIdOp}!t?OgjVfB2lpIz5S z-#41fo4Kdc&)n1LXM9o2`=8~{+pOE1e%5VHH|rT< zUO(HPx3Qg_er#u_n|-I4*N^-2HvUeh=llnj-|>UQ4t+ebwg}tzL3w|hi2pCg-y+fM zVg5z>{&A=4|6|eV`q3EkIDUIlKQ!WWL$4K`ZfHa_ds08ra=MXgM5p5$SLxqxPwJ=t zm($I7spxdm|4TG`Qa|fwPB;4!(dlOWOw8-Y|JCW{yjXO)@qZQb`WCLVOlRN1imlJ% z+`}$ndouok*E!uFe@zg_A*rKX%;`CjPu(ysNg{Q$i#h%1%bjlYiHg+GF6Q(zw>aI* zUsj}!b}^?PyU^*z@at@hpQMgpkl~;y1f^w)2mX?fe>qXoZ-~c>7rsW#8jSdn4uGPn#--|D~yN z`Xf!1v;MZJa{L=jm93XGRSs-!s+_jIsdALh%Nmu0nJ;Ln96O~__SnC6br}8YlWW~Y z___<-5wQ+6H1}>jUuU6vw%2v^=f{I}hT}!mpXX%3We2zwq}l#_-%_*z_>ip&-2WoJ zh3(O#{rMXDN&EACzCY5(_Q>}!9dUMUiu_O7pRb{BYY*-CMbw{1U)J*6*x^6w&se|b zso4K*`19l(SlUI{!~Bc%EECk(_1Kd-D=VID`o{6sZO<(-i@ctaPfZnbuQkdPjcOD; zHKT}V8sitSJ=DiFo4qN_!R1O9v2{B1u#2!K^^BLgfw79zSuf8sr#C;y zKl*3>kt`Fmi@E&kzZbpq1L6O90lxq(?c#w^{89e*LrZ->_AN;r?P5+(KPda=-_y!3 z>>{>@`4{_##^Oi*m84(V#hia1JLA_M6iH;!k*OA4|Vh}Qb)VkrjM?SeQ$7a_n{5uhvac+7hyL4qqrd}S4^lc%U;X>J~{F$ zdlwnMXJl}XN;#vPS)1z}`+7FIXy}~v6CBvL)b*k*>)2#t>}X4JUVZIqp!D^M@ouUY zud6#KyXd!^v%dFqx;o=^n<8D8I}cxnkjhKeuJ?7Nm%eowoa{M54SleFki9?t!B=qK(RYKl8SVejf7kntF8Zi-n(R43 z-wjCd_Ysq^!++Gfu=|edu|MxSJndd$5lRW~DL~l6_#?gT1D)M>c-lP&v|^jSar|9d zfao1Xh`Wrup3?3+e42gzN1AiB*ymES`;O~>C-)s4ck-8QTDN)a#Ce+rF1t&K3RBD)Kr_yNE4eiHQ13+g#&xi)L4(j&`w4AC)MoSeo`mf2-tM+_AXA zlONcV{@IT2fIbfU3*wS@;ydgj>`DDXT-&Kzcy~qWXcu$(Mfam$@Ou2%>e&^kqg}-I z(0@nz`xm?PmwbmMyXY4e=k+72oxbFwPCp{9j`Y#_v;Gp|xBikRm+LKNUox$SQ8!{=DxJ1H-Y%*2CDM8dXSQp5NGnPquG~%DnU3<;uxEo3=He~S zESe*{>#~@Qn{fPAK(TvqP%&N%+j}qPVb#=(p`qiJPaL;w0t1h_j|l1&u7Y>r?+Oy9 z4_7K%2Vj()jOxXVs;5Am{=63J5$qU;%T7l6pk86yr|?JrydR@qv@wpdlTPo`I;)tD zE6Yf+N3mZqr)U*BBE>rtPbsi=H>z_=Z{W|jcjyU~?H<}g)?c=ZOJv_J6|d7-`>A#_ z(951{2V3vLG4M3ih~7Zohw;BC`$*b9d&X=>$yslA_n)HdYKJDfZ=`NyTc;nz-2m@j zMcKiy9S-u(ou`p)9jW8_5@k>8(O&jp|2rI~bF2hu5oHGx{$1SLp}l81w*R1uUz8n8 z>gj*lRnGq~eAB-uJDAkB9&>tKQlFNiyBce^K^y`hC9htr(~;)G`0X zQEyM{u|KZ2{R=$bgLogocTx5Q`SfqQjZ5d^-Cg=c*}-J`ZG4vbeyA(IHs0`de81O~ zYrj3IXZ(CC1UVn+=j;kmb}*^u`C&ej%r~AtQTBBHxqP4bdPmkf8#nTlJy-vjzVSD@ z^v^-QGu@)>U^;%ZJ3F9_W&5?`b3wE}qU>No@8aI>9geIwj9-*JSAY7hf@T!?MxwoD zeJUxt|82VAa)hCJcLK{jKP1~-mVZ%pFdM%;3rG2 z?U>)5)pLLDw;1;$)QPf#Nxkl)bl+t8hxSDGRepO?-~ZP*U%O*}lIQQlfU^7XL0|sj zC!&uc-nXFqgWLZ-^v|d$`{K7m@ptI$X*l$=Z*h82_L(op>qoD4{yD3SZ&?;)Z(F+` z_{aV?;Cx-}NFCqkEXtnK58#~xGmk^u;4Gwn5F;F->|mJxk$*lf+3p3K{D;o+31q&r{SakuOMg5vh4Z#xFPHy|`1}XwUzB~pUQzrV z`tfs|e*P?{7iAwmC$Arz=k&vOyYnx~jyF7o`4{+i=bdkWVmY9W=UN;ejY@)>Nz4U%HCCvK8N&?e{bJzTH3$G zkNqZ%-`jtedd!|IaQuQ~x;b_!%AWIY`RCKAd=shn+w=K9Mg7csou65&L7YEPb};Fm z`Of`F>UjP{*>ifPkNc6cmpi>EdmTOFr=I+M=v%LKen|T5dv8Vh_uG5QKc!ow-skV{ zRKEZ*)6b%HF8@W@!K8nlH||GL$9xxM@2cl`zt^+;l>WVaLEL|h+8@S^?UTpv?Y~Ps z>plIGjGOgels)I)@(&uNKgvIE|6S|Hzv2APyV;#TQFbtS{+a*WkED+0Pn12UXS%r` zIqw#)_msVkp83b)kiQQ->o@(7^vn7$%ASiq%0HiO>4Tq1 z)9>xM_@}C8xgGtfE5FmPbM;S@9Zcpw%MbS>sbl>UW$#r#19hMEPwYh>#xI>nz2BaU zf2#U0{q)Q9i@n4@RXxiu{gBLemS0hJFqwXqAMQs|$ND46p3{f^nQrPu>izbl|8_m& zr=I+M=)?3YZn2m2Pg#Ej`tP4c{)4k{|Hk(Pin4=={KNhGZFpX@%JV;v`Dfs}DEq3E z9)4ee`^S47x5xkdMLkh=FySBP_h@MM@q85gZ+sVJ-zUlj8=b#E-zfj%nTbd@?-Q7Q-S>#HxAp%! z^z0{6uYRK_dt3jrL$7`culqgpzal;JpL$aL22u95>u02Ay;D6?y%S|`tADY+e*KAl zgQNc_l>hId+=G1YDxa5$vV&pyjr6SV+>fNLc(|hM-SjhY&qV#K=Q+J7JDBv(xVaxm z9pe{e@1|eyVW(gCG^ZD32ebYce!=OtdA8GwvV&RuHh6Bs_!mt$y(l}F)HB~0C&{>( z|Dx=<_)UlSNybgR$oT#CtbfMI{TMg(qU_z`pEv6C^LQTxeM#Bl=g%GGZ_dx1e(q+c z7i9;t@sEGo>F2z_=|$PWq@L%E@f#68%OB%6%ASdzI>t#dZt6tYbMaHhIPExypL)hm z%ASkAbsyRh$7}w}V;zv@bgSFw#Q*qU>N&&vM5& zNyg9eBg&qO-*kwRWZcw?jNfn1`e&Tnk8x8k%ASj#^9L9w$+-JpRZ;d_{H8;kB;%%D zWc+@6GJew`ev)xhFEW0=J*gi+nPr?L;~)4%McH%ln+|c3jGKCq@%!yr|BRFSF>dNb z*>m~N@;mw~SN^8I%#}Y;b};Fm=bihJ)bad_vgh>7U+zaz$NU#%&*_@LiPs*=hf+xhOmO!~7ww1~JM$ zH?8l-y%*>I8_^FQcsjm|vd8NHqU#^m<#G3P3TeM#Bl`CHNX3-$6V zmdDNLd43ruNgd0ND0?n`>gdOa_^D_7M%lagmtKBF*}-i7@tVzi;CKb|ucYkp{I!n! z<9G-4!*@G>;znfOEhrOqFx zj4R`x`NnZS;}>22asCp|Luo%PpMRX^L_Nn5sVgb_)xGIUzutd&+>D;-XPidpn14Ku zQT9yy)X|R-@l$8x-lXhZ{A<6`ulFxIm@NN&Xd}n|uqep!-NDaQlpRd!ZSMF8@|}NN z3l#pz9?u_->QDb)z~@Y)pTx6s>x+uA&&>G00R6pF;Fo+1{B1zlPf6?9?(jETjJ%I{ zJHCsu$M^qH`~wF#J;~qfpkCy0{q|)3(eI4Moqt}hdHzM&!K|L`9goB7ja|PzWe1ab z)|=V4Am2c?mv;T|lpW0K?YalO_4lr;C_9+cv)o(%A7p#M^oz2CNj>AX`wx&hYrj2Z z2ebNNwztr;zF7O=DLa_dGv9f>jp%pS`4?r!uOg3Q(`5G_^lR-7$n#GBqU>N&&-`PY zYDcX7K>Vcax%jE0A0x^kbu4#A*)#r`Zfhq%mLFZWN!i=lk6^tf_CE^uEAMdRx*f(L z%HFnqC(?7=f%}oR?&sl(vUk&SoecH1{t0?f_O|s;k$=W->uf;k7{4fcH~oV1UHTWj z&*??k!SMV?{uwv-BdO!~izs_HJ=bYbk9qQ@7iDi-zZLnn@mJ_c>X?3!dcQpxzm311 zfO3ypOQ)^dJ09a#pGSKF+WI4o&)t!ZpXuj*By~3a<|%tl&vbJ?l6o6| z^OQZOxA8aVZTt;78-Mep-fvIlzx5YpA^q$({2|hfXE`NhkFQ@*`Lpr6Q=mgzYyJI? zR~++pFzJ5~<87>`BC!9aTA}~6p1HJQW>zpwTY3rW-aTtf}X+6pd#+EX~o6y_%C-sZG9;DuHPvpOgf7(@!R__tNC_9+cUDe?0#@f1>Q^^Y6-^t@B3tqmJcHls&D-{=DWa_Qd&aJ%aC| z?3wqd@ch_1Z_w6#%SxajGy^TGT&JKMA>usXXEDEK)=bQo8#w9zm21NdpiB@{MkBjoIj?U=TDS9*M2g8 zte*hdx^d*6D0{B|(OTx$kAt>u{4Xoo`f;~Ck^e4!TPKeAt^erTA*Mt2T>HcJ#@Z>+ z+AXgy+cmd6;UD$IUnk1zhR;9hS$_QXq`vPew9{*G-pRiQ|2?4WYt!u)`x&hN#K*m0e@4_lzdad$vA@ed(()gDDUtc=x2N^UCtM%P>n7iy!0V^I zN5R|E*H4`PPa(fndp?T%{xZIcvainM-&sDrpglK3`bEnJw>=sEz>i%0C0TFFb`B{2Wk331r)NJ>_O#yRTmNED9EbTwol*8=`|EUl z3q4WpsAKsv%AP5IEFa7#(ykjQKceip_OH0px4$6UU#45M_SbDs=O6sCp3=Xyd+;yH zo@@V@?{>ch+Wi{*i?V}B|Gd9qeIeQ2@%~Gc9Zc%kZ=QiVY`VZ4T_FEk1;~2N_Eb}Fk ze&)N~uY*i4^Iw!5O!~LCh2gvz@A2nPls$g`Np$^Z{#iK(t=uF3MA_TQfAGF5`2A=6 z&GO{^7se9o{)?17zW<8!cAfeb>MLTk`45O)49%jpNWB z&;N+@);~u%C2ig;`k$iBpM||`{%oY@JJ;tS|H%1BH{ZD~$_^&;pYbuDN#>vR-#ukd z=by{>ncs3`+}8j0lsy-}^}oOE(r@!7k$%zUPa^$o^CzS9TmSod#Lxcs2>6#3ckp&F zk$xBVcHech`P050S^wMH+v-p7{x;;-OOa-LSdT=OCB2VllK1Zf?I-+x3i~cZx&LxN zzE6jCG46jv{@LF1I@Qa2G>6^%qeySTg{&eWA{YCmq zKI+mxBKr1sMj5}}l6%!~F=O%IVfWpKQ3!lywEu!N-?6*olp^~E>TbvA`znrn4Bs$$ z9)6(Gm8ANS@clkZ)>|Z-qGNo&dgpI@B=+9)1-PDny1+X`*DrbclBN4B_0MvA1YOj9 z-@x7UwNzhb>SYJi@y#}{x$o8~O?`KqMf3w^y`o7TbQ}pW&Ay{aezYHn?+bczV&XY! z7L&@yHmxRkLp6ObSXkx9zANjNkLJEvKAQP%KNsvL&OM+>9&{WDG0oq(Nq)2+iRI(T ziHYZ^Sxh{`tiwZ(HOU)OBR>YwuB!b=mk+kSxt6&9uX>H6>ydubG$!T8^jmw$kM=l} zA2YsPje507bv*P<$lU<<$|7Ge+W!d}cjJu0R-|J$( zBEFh;(fQt1XMd!JIGem5+xMeZ$M45RR~7HLp7vMbW zSikZ7b?2f~_?{!8oYjYX+}m>f2yA#Yavyhj;@~L0!!E)e_PjgwU-5eJ2TngAekG&7 z*7===-ZTA^<`;G`=bxi9)N!?^NFD8BPCx&4r`z`6iqz3Aw&|mu_W_?kPU3SQ@=M(D zx}u<6ggwl^NPo~tPIu5hRHTk}F{eKmBfk6`a(PASXcw_1>Hn}LPIuUcDpE(gh%KRh zc36IvpMYZcV(kCIfWIsXvx_gz>pAo3fZw8@DSpoB7mM-Crw;$~UXJ{FGwz^0=Z`qO z2zxgE@$->?%W;P){=3tUi}B2v4*%Q#0t2^bcEug8c6t%^tp9B`JH5CF8P5C@VbAKh z!jb;Pxvy|~v2EpJNBlD{a{7|E7SaDq@uJ9oRR0&v!^p!ui1p@*GjUax zdoumgw}JlEXs^)26Rq8XU2JQAqWnAiCfs*CTomWsSaIy^qM%*G_N4zk-|76WxVIwx z(k{07kJ_*Kw?c<@f5Fm{$G z{zRTP+C|ty|55y$=`j7lqG0;P8RtL`y9j%zkNi_NhEb~{ejE^^S7sNNrS)w0#__c8 zSwF2fXN_wQMQjiKNBM_=u449`MRDvmD~>(K<)?`4Nj=-`@%2S<{I>!=?%MNl(f{t( zup7CF(og?`I~2u{n*-kB{11vdME)Z^{SOSe^p`|^FuORE*0bI3`?sPv3TY7g(Vo#R z!XBnS@_+1oF7D%w4~V$UE@t9CdQY#L84xz)WmzqE@P|13Y-?u`6G`74RG!|dYDY5zRW zi$*cDhwD|z8{PR|B*t$Jh|WK+`wMaXR}?(O0}@go5dx7o!^{Kwtk zbr%MN&g^1FPd|%(2!HS|Zi~*o`S*l98UINihwcMKaq8D9Zi{2mE@FF9|NMnccg9mI zQb)U()1Q5!yKbHRHx=!=1-qELeo;TRJ+61qmpmKa13m1?_|HQ5;q_D3QC&A_r+>da zsXy(PPX7Ybe;$XVj&?B@|4|2Fzhx-DXhTclx?y%PbNx60ZOm-+e@+>zICdmn3by z|9MXL%zqP|j{RZk{q|5FUH|t-zVov`>VU|;1MMR0p+0)^|1W$EuU5xcn{yqJ=q8R>{qBwL;MfUq> z7qLA|fAr>l`WyL_i(j1n92bWOd#LZoKj}p2#5R4DfAl{O>16(i^HG0j7hwU13GXs6z95A{+0v7F6)kt=`V`~g?~MA$=pNBNs~h>zcMKF+Dd;fd{`z9au?>ZA12 z&yGkZ^RMKWoc|rgBP0Kjp5=@>9mfcr*~M5NS|xD)QGq z_z|%^)JOi$n&I~4b)FxR{V3W+n3MVyuQFM&64zgTNXAXO2y>|K$iMlx&NKhS1&7(8 z3K8~DAH{$2y>8!Ao>y@U?Fa2*oBv49dcqIukI1;g`U89DKPvy!@VZf;%`b`ekROi6 z7&F(8$*#ZjPrHa?Wc<79e!*W4LjQqrbm>2Iq0wk8j(;R?L`q=GY_d z0QM|}|L)*heW*s~3|+Kt!=)3;wLeGx{l_8;_SrYwXAnJFeA@THuYo(iJPv=?ev*Aw zg!^zLGuUSa;@Plf!+HBoEDQHyn-%P(sH1(3xj)*+jyJr%e7 zakpTvV;_n3>hRb8jP8u(_4a3*JV_L7sXprXXs?ban4S~5c;-H*#NH-7&S^i7d~J); zw085F^~)zNUAJcWg#C}`E-!N&IdF#Mx6jw*57{Wc^0l`9upduNPyeW;jr|{Z?bP)2 zucry9Gquxy@Qzc{(?6b4G*15^pPZVW{?(gklK%a1Wu1zi{`EAZssE|y>0eJ%n);uL zp8oNart$e_{s;PbbA~ppy=eWqbJt9qvu^YHbsN@}t!R7AXIq9(yXR$WIhMJW1FdVi zT;^AFBi# z>*;@>)m+ajSKq%j*E9ZY{Wxq;etJ$n{Vy79?w?n_g+K0H&mI-~;IsYMc`q?j!~3q; ze!fAk8oSp#uU>4tzrdS;8kdLte~9}fKd#nGobA_xLr@=7$XvpwlqPyaiv za_PsdI^W2N`>NdhGCxyibkWepwG-#8sWs!v&(gt+uX8V{n^wvk@@U^W60>Ue=MyE^0p)0Wj6Mp;$t&%%8E_bKlHaVKx zIX9T+Ik(t$D0SbiIi9n^IePYUKF>nN;2O-8p2jbOgZLAl>{>@`i}JPbZk*<*{NuiM6ZT%?Ia!>=_CJ} zzf-h!d>s1oEAE80o^}!2v;Oxyv?wmQ9{DGtheZE-iibw}$p0mW7p*-WgZ^t3_r%CL z?IN~k{V&|7C@%eU(JJYlEVLGi`$YQ4|D{`s*8Fc5#bvFE3vq#?UBvdR|LMrTi+^0S z#2JX6=@(%S^^yOJ|Fme0-dYr!_N_Pr`AEBn?MXfT%>7kS?DU(8^R9FLMQjiCXwj?k zzZ3Fp&TU1p^V2KNTZQAnE@FGA?FuSJP>f4xYb~uXFl?MSoX2*_CJH z*)H89c)H5;lgu~T#ct_823Jk`7muCm{EM(><3Dl4=}W%J=}#2n_u6*k-?MO)rvH+E z!bQ};fYRn z(ae&jgI#RbN9phXf;(>mI1bMr$@50LsPl)r*x@-t=d9WA;_#W0rNCd|vB#V_?WDn_(j@&` z-j5!F?^y);TIrue-*f(No@G6bDWxAhmUey5{x5rm^*Gw~wbG9sOS`^j|C=^jkE2~* z%fEUi?dPwT@$Q|ku^vae|DNODxYBwI?fP2rt7kF8-P53D$&Ey?r9fW*cjt#6al}by zt~|@vKCec*mQ(wl0@Ozwe#+rzF0H5b{RF9(wW+&A?t2Ni`+WY9Z6gC{&2v%v{sEL7 zqaa;;GPiK$S8nZFFJh{3-IsbreKmRmQtww!?fV2s^D|Fw%dH;LjH|u;);vp0Gx`8h zx0jF}e4_^-_42kfcRA0#AAmI19l>GL=mAWo>{28AwI9lk5u7e*^;dl;pIesaj&Xsp zU_@lBm^CzX(WbTMUa)4<`D;;ceP1Yg$9~@&qy(S7ODhiSitn(C*kUbCO5cAs`W`>W zpQm&p?4drIspq_6(B*3PA31`j8vKa(H`GUcG5)3k-xJAq?TFOTF6s%9k3Z^**+9sD z;=bcc@Z#BrCl<7eu!sI5J^fI}chrc~(Jton^uxI6UmW@a=U>dl-+!;u4ct|cI@-mY z-qMfI`HmcZNah>uBFtg>qx`4F@*d}{wJM<#`@*~0?`j7IT>Ey@q z-;+ApMViO)H)=iIi!R)F$;5@rCN5h#v3!4IQZ;AG@?t~p`{Mo6Jsr32{cv9GyB+3v zZCrnaO;=lU?q)Ylz&$2cH0{ro_zr^p150m9<_tl&?$WiB9Ajd`1|5Y@-spSU4W&{& z=HwG4YFew1W4_EI&wA4IeWt#KepEJl^~2x%kfygjh%eza-%Ehh;DZtkUEA}FE>{)m zP+}Wg8+=)2q?_Csn`R^4#U({wF}D~kW)!ph|1*ju#V*CXp>n3mxog+2pIFJ8LEp+n z^WpnGinDq;a_;7etgW<**dErhK#wN}KZ5RD?Ej65{l_`Gi0uhIe4K>8ze8nPS#jvO z&MsnmLJvR3I$ht=ibKzJb}_9-%s;`tC^`LCia1GMrd>39#=p}ItrU@#$bW}EXr-_X zqpJKR9*!D_<(pmon_OWJ{ReuSw?puE5B?CdIP^_t7hzB6oqy`+UmW_Tvx{jx@{MJs zAN=cz{b*8Y7qLCzANl;3F5P{HRkSw~!Y=00Kk#cG>xk&mGVp8B>4(nJZ-j1>OTS}YKX{03NBI?@zs!|i$DAJ9n11-R zb7qA8Ikbx%`ly$}Uk+mV<(h1f<(77_t^7uM{&E0y{U54mMcBjg6ZvO3r)~i4ut**4Vou*e zyH6c^mm+nvi)lU1?f-E)Yrk+Da%g*J7t?xZ(S8p91&H*EBdE``i?C{fe2fLW9f5>;HyYHZiR_|aJbM=S&4Bd|N z|CEZ;_HtSg+mrdnzSpz|;QRcF!_UY0hh4<>q`q%=&SC$dqAyG6k6D>=+mrt7`pt9A>$lPA26+8OJbrsp zkG)*EU00FkgdZ_|{@|0>fB3cQzY+f*PP=H^lm7V@Hs)L3e+Oi~(Jm(Q569*;8|RPp zS8Sc`>|*ZxvE1`++OAig{AC;3MQl&Tul?A5aeuYrw6i_-+mm`-Cwcv3eIxBSxPFST zC-uB;F@CmxB6YNjx%k;mazE-tyNm=h~xshMk&A;28)R)%@TtC=e^E$%v zK)VQgLXY!|>lbxA|KcdiAM9dUkC^>=(s{G%(084E*fV$iWByq_A^$|`?7HNc)+0`o z8ih3@<&5`lEN^xn_fPN-`=DoA`3>Sn z+R%Pb*ZO?L!GCggF|BuT^B2ha7a;$|hrM0gBZ|Kj<{y7)jrVTMzmmv5vx|qN^_I`j z^Ua{t8{6_9_Avh<|J2t!f03SXPNomzZ#(}_s$TIk-Hc^mBN=LAIg zHM@9pI{&SlLtkK)43A@kE-e4BC(mDl`V-|p%R zT#mYTjBhtYv>Rp@k4fjhwKLESJkK4+*w+5w_{sCvp#6&SpK+?)6WQ*C@wc^KPpV$= zGu`w*S^9JSr>f^T3(p_h?~+Kr*~Mi3`*t4s;smERwzdE1^VjJ55#>MQWWL4MpE&+p z{!L9^#m{szj>*!W_CHmklE>_s2>f4A3r=Jc#* z^xLkdeFS>HJsJO0^-QPIEz+;0zlZpzs%QD5UzT5y`A)kCdm{a={7}boCyrd>>|!ta z$p5>&-ZQOtanf(B_wghDeEJgcm)jfa6Y1|c{;BF+zoqQAHt4_horM@~LwOq)aW2_+ zKT9-wn16#||5xR&z5Zm%Z=kQzAD=&GADR682YSY-xJAV+nmvp^nq|S~Z^Ku*`!8{n z_x-SouqX65_OVXS=Wo=LqqCh|OzTnp{sZ+4_b~hgY?1FFqg`x!A6XE8<)8PDir>cn z5trEJe>6OQHvW%(tBwDQUqyS2@eBS2j^7@pKhoRyKXm;c!u}$3W*6iBZ=|>J|C6CT z4Syo;f6Ok%zl0a*ZTufP8~+!fGrO44bDU!ERQzGwq9pF;%`ToAo&U(cjXywd;}0Tq zW*0Mh8-IY##{Wg=%q}MNIR6+YVcZ-)Dfy7Mi%Gpp=kPBGka$LzXtw)?|Q1@>KG1ep6xHarz z+xT^K{`m{?Hh#TRMH{zU@!X&-dK_EapXtDzo9;wW!L|6mrnNom|i2t0caQF`4=5} zj#E)5+Ov1)Mc8Zkmrg`nNj>`)t#k4BhXHXPY<4l8{}IK{{Wwl$zkcc2f1J~c*j~%O zbRyzQ>N(EC`6mOvsL1;W+Qqi{BT@X^kN2z8i=4MYy9j$N|I&#${{_k&idLFNwI#E@tANOfSD;F8==K<2=6$We2{*X)Ev@ zb`kbu{gAv3nQ_?puHm2g zu5^n`H|=6B{q)Cj&hjS?zsASm341broSCi*=CCs_t_6z_c)59L*k{|G+=DIh{SL zf}Wb{|8je%kKRYyVE$&H$MJvU%1Mp+n}Htrd=ma}{o(zW$Z|}(*w+3BdiX!q>1xd1 z4D`+q+s*L)kL_OO{x#4$|J2vGe{Iv_7zfb5$aK5oI+{Ik{@i}7?-swO?psA{5B1Uf zyB6mU!henVd%^q-=b!y<`WN-wR&48k2mYa-()>H;zkKe?HUM#q>bb8NKmQH<<2-Zz zCDPx^{KFYIe7E-=;b=cYPR!#h?T&Rg@SIy6*8dx*f6aN}&p64Qv0gml++7Hd7}bH! zuK@KroT;3@zK*@%gdG?^hnL(yt5$?}{0C5o*fuTvgTc@A8J~ zUE%des{QZs?ib}!7vHU0;rq0vzWMfm)^nTs9vsC9n%C6#0Pe*xhowySa{qpBf0nzY zdtfTQ3yf>@<{M?O>W(7 zi?D{**I<5)*VgkNk5BvGX=&|@+(!SdwKLlx{EzzvN3)y%j{F}F)@+U!+xfRxEi2b{ z*|xB2ej6N^I=nlxBz3flZTdLRn6@7lcoA4dyT0SAi0z^Os6WH;Fn)MnBGNDIBJ4^1 zLe2xmXW`ujBXzWk*b?eH;@|aF7r(gM?(SG3>{&h6PBHx=^PV3O_D~{Tc)*3+m^qs;_cI}&H$T?iZeZ(=B~QM#%&#oqsjHoe7hDxw&oOl0>3?M(;_|nPs6WX(7$NE6$85nds08}H|R;DJ?8UKke<@l^diimKIj8ciMAi(Ytxoiw76jxu|3oWV=N}Gs}ITw^)A1s`L!yF zgIgGv-=6VbTOajh&uoKTjMrGi*YuZQzhm(?6!32mJMCiTt(vyqOIk(I53j=*%u=^mhDB z-oGcGJ1AfL_RxRaeq0XiiST`0K-B$$cJah0{z%VtIn;CClK9{3Vn)xkP<`*k{utjB z`**|tu#2##<433*BV@fTiRTUcsQrLFqwlQ0HqOoi;J9J^N1t#3-ojD631q*eD<;-V zTom;UH=v`p%K7VM|JGye`){a@zw|&meEae7`&yPBcl`YRJbti$jdy?ye9E3S$x~K& zQIrQ%>OKZBHh6Q1l?LBxH9LZpXW#DN2tB=xgmp#N%3d@b?_2q*)nVmWSD)>At3$r6 znc?miP}j13c2|4RXGc*rzrE>vt%dH4S~_1_p?gLx9qUnIB&u5qCwT+8>oXU#F;YE% zcbn{998`=K!^MJP3}f>%3-sCe{_peGY{vWkegF6;QJ;urDRCmq{e9>*wqM$h?c0~oU~ z{s{aszKgP7k@im=KcwXw^rGxwGW~q(&n);M$I#E<^H@=KFsbkVR#D9PF?8Vk$KYsn zMcKimp3kzF|K!})x$_{(4kq=i1)PIt{7b}v0?Buk7-e6X*DpX@K|Sw)sS{-fv;OB} z?85XYzoz$;9Zc%EKld{t|CEnL*)w|j<%i@s~kP=HR}IwDW#@McKimp5=b-uU+|{kNYmxA5nHNsb{^lath8xJz%{R zWzUsg=2L5#&$pv}{(H&}CjB#h&gmove&*sAWe1ab-WSb8{Ucdlng62fV5o1q=Yr-1 zEGe!Ya-9h49Vt7Q)zAI9)3Y2~{(H&}CiQk-1pg%4J-!7`q<_CXt$z*97yPrAV*VFZ zls)Ian1H`W5kJUu)4wP?nDk#f?EI5fkMFKX|9*R@kAB0#%FkuK{PZIotiPTuZwEtt z$36SVS6u$jL5G9qO_Uu>>Y2YYU+nUE7U~hxFUk%k^~^W(1J2yy^rGxJ|IDA+sIw&F z<~^4vI~e+p#~kYW@6hW!>%5Dyx1Il3U;q4f=#@^TTa>*m{n2xf2JKJu+^+u}IB$Q4 zd)h#V3KVNjVx!GTsp(H7fQFO7(_tzH{#R8&yxMp_XSZM78#K*Wjmw%UkrpQqk> zYS-HPRIR=Dx&D6s;r@K!oAa)E>V0ciwQALxdapFSC_9+e{~kAS><>Np8|XhAQFedr zX}rzDOa6H;-Ne1of50i&cQ}s|We1b~$EvSAZ~jU8?U~ge{rl}n|2>~JJxM+5U!>k| z|Fh|v%irwZZ%_J<^^^Z(^)I$l|8e=LqkplT^3#9can}C*SfgS4i?V~+_?1pNQTCjk z?aOo|b!>l8_MD#OXF8HPmS2?p&zh?h_dt3F__k`7dpl_FUZ^tB^x z{BZmY{6A*nha<)hzdafMSoNIWa{O|Hf8Ni?am-Qn%=k4{eRKTH{yQrFBfkE`HtMew zKXlH&*hcvo-yqJ@$f5U|e^GWY+kQ$XohW-w&-j^+q>k~6vi~{t&E;?Q@3*&A{=tW= z{sMiywi`cn^dIQ!rAc49@1~3SLrLGo_*2reez^ZRYQGa@FYkY)=W-dp<|&%Dh_W}$ zU&?yUTi~aI`AbR9^6NOM+dh{UlNL)AIf&UugQtzcqcJ>=&l>T>WPJ zj)xntD0?P;>KMNx;-`-BJIbDkpY_&ETlxD$*p@X^&{rv2)*B)+<)kIaJl&( zywCgx%Dy~Ze&$cTBlPr3y`$`9ed(He{c4Oq4?+)4-xc*Yvq9OxIR28J?-JzsKFRe8 z-s2(44yN@;Kkh`+jr%10Z;QW0*~`x*mHhL$Y_6A(Q-6VS^fV|tnDkFw&v~ZjdIj~O z>|jz~{1g1$P!x{vuQ(iKzag!!Z)NK>B-guKJ9u&(!*5UeXMIn5m(^cO`*}OIC-t>! z;Nx3}8)SLwvm2B>_guBgO%7a(d|uKZ+rw{9`giR;KWg`X_U%u~K0gyb+K>H>_09HX z`-!rHN&nO-9p?+wi?UbgIo}w~f3S~B`w#mK_8-R0_7v&gZ%@V_>lruoV!L|A$$aED zQ1(pxEI0QTlKVUBPm~=@#vki>zD~W^uAb-nqxqK|O!{ZJ>4$XV73xovJr{qhXWXoR zv0Xjm9L>M%x%k7lf$@Xu=NvyoH=fwIk}Q9$cjw{Ii|y)pzRrB)SCk#h`sX^WALm^B zZrnp0vNx^Ymd1bTl+K-RLMO^zrRVwTX#QmfG_qU!FR(yIE1qBdYULZnDo!*ET&=ZLr%xshfj}*vV%!IpWmH<{~YfJ@!t$l_VWC( z6hF&7jpsk$be{j9{G#k&)<5U&^v}6F{RhgvV_MJt&hspi=U+Yb24!zL|0><{#p|X_ zM^evmM3guzVJ&TAI?7mW#6|Hf60G=AJg+kzr6k@$_~c$*P?glY0&fhje1e` zrt`OwzWyt;*B?=Tpu6sib`WK6y8c_zv)nx2bMx;RR{lOu*}-i5JWu40=^4K$d(-)2 z$v<^G&*hKjztoGegGvAHz7y`JEBtvH`)}F*x8d`@;T)WRdgS?|C_9++@8yP$a{kicAvAgl> zEUQO%{{rgM-M{b!$7K9&{K7HQjbAr{9KSr>{Rc8g#+kZ&zH(YxXx&Qd> zN&oKtg%4QVZv6%AFS_*?l%r|=rR1OE7WWg^Z%=e*^XB?%zPY@w}JqPqO{}_GJ8S-Dv=R2hnag25|jJoZ;zA9WDO@x!(!^%^%w9!EHSh#W`!_N1QUF4MW=5A^Q%<0(6s)H8pM*JMBT zHToB22a|e@`y_SrFH-NfC-rXK1@1>1{}`6vp47Yb6{I6MZnE7)>izblp7{?vYkr2t zgBX8B*}=zx2!i z@0;R}dePng2)hV-tS^l})Uc1cxIMWa^Cvd#za{^?|AOZW@qK*sOS_2eS^pfT=wIac z#h=)8{A=;gwDd3XS{D6_usi<~v9vj@|L7&dM=u`U9o@X~6eaFTFZyn^R9Li0y?x`~ zor}r*!erkDWO`5A&+SD@Ml~Dvpv~!D@4wX9o<|;`YisCn9CKDR!a1>mYR(``n|8+nvIl<75mj_$_k%;k8ABeZPX6-q|sZX4W9@Y(Kh-X zcDSwj>f?S~)ZN|gdlluk08H!ekDdnEdkMPWc-yne_b;&`@N@U7d-lB#|G=;BefXdK z;_;(&{Zk1#&`0-w;&~fBpNTm`{kUOMWzZY)wqyV#`fI)045 z*(Y~4l%CvS%gS?S%G%`(WoCqo_eQHl>{A>nhwxu}I$wLxtt{(MN4assw>or~ZaIG~ zdsF$@-$A@nUwP&$@QSc>-{VE)VR zJBdqR*Xcc*^pSsz zGxwO^;?M?{9A|bht;cx1zv<$+DBGQOF{ht+uj%`DLZ z=hSik5vikH%<0)rsAK;VsiR$N(zn##oEcVsfwx#&%n>u^qD)D@9KQnZHvR5mx%@5u zC){9qaUu>K{E4u~_1B`GcZcc4`HM_1!tV6Q7UvS@Uo?F7$i@qBsBWA~So&0|tD(cQ zmY?vc+_}W0UiVi;zHzGdj!w)K<2`0=YevrV{oX6lZ*#{dehyI(Njf<~O)h z8gOrT2UCioF<4hL1 z)7}~Abg+wA{rACc72F6Q(rKW6%u_GBa%AW#hm^Ko)Mw^NBVw5Qb)U()1R>qJ}~}BQJnF`26uc8f5R?f zd$RwW`KakupVc6Bw2L|YntM#Q_Rt2Yqg~AD&py?3XaBT8>Sz~p`t|#pZvDSDNFD8B zPJiBaO*e9OgVfP3=JXrxHQmM|8>EhQF{i)q<)*vvXAM$EyO`5&UT(V04>d>~?P5-U z$q!6-=_?zgj&?Dpf7N}ad-X95Qb)U((_en3=`R08gVfP3=JZ#sG~HDXH%J}rVorYz zR(G+zxOPK>)X^^H^w&LLy4Ri1Aa%5hIsNr(O?Ulo8l;YPF{dBC9xvs^m6|iBN5s`W zXBYidn9+{kXY6RYVO-f@K90~iyO_~CKRDNO{ylfa>ICiLE}tlkKcy9|SKv5vFV4Wv z!0{*W`$ggGV)^OL7CrKJdhy;{yxueCpXH?AVH~dl;n&&4vj5Wj>EgFoyTIepBxVowJJ>z4L?eJHMW+ciP2V`7e6f%Dws0h$y$Si{YwRY z@6?N|f2a4%`DZyog-dX6wWTzOa5E*-~N&5#qX>!y$E|8e_6kc`P~NXz&IS~mv*tj z|97wg%lO6buJG}D!k+dIzb@`iHR$4oUChOQ=)YLphhhH9_#F|qvx}MdneI`n-cuL& z&!&G=T$+x5@q5hgq1e~x-w}SDU99rI+57io{kix(AKjexPk)U2<)=nu+_a0C_}R}M z-^bc7@E&Ww$Hjfp{;7ZRG}8xu&-71<<)^D!`XBwW-v!by`k(VpR``GXRX%>tC*SS; zdt!UG|GBu){{k5|;&JhlnfTdGjGO%@5b-;^n2De1o*HNRz&DuwDY5)?LaF}P&*+!! z9SFb9E>`$w{kix(pE}(8_srEF{W5O$zd*)KyO@ce{pZ*H)_#FESo{52?9a3x^uNHl z62~9$m*<#XggxE<=wI~9_70?9+Qkb0zg%ek#a|!hB5r3FGx0Os(|emf5H@EQ%TE`#^gsG#dk4ZV`ycDivC98t-oGd7&&BWg^kwP# zqhH3&{uju&X%{o`v;RH2zqMcBz1Duuish%nOXa8jxmTDz@Q0>`$wy}9^3pFhg`_srEF{W5O$zd*)KyO@a| z{ioJD(Y0TLZ+7ih>lG)a%TImnDbtJf^Gq+oo^Jnb%s<-O`FEsW+Qkb0^+o1i?0u<^ z-xKz^2CVr+X(08d1{H*BKX8qY-*e~#-oGcdXZxRvAN?u;v{d6&NcRu?W{j$9S;n&&43jeG>7r*CpoKL&BJahF&zl@vx zFOYH5E@t9q|Cuw@+Ar{})_!xushReJe%3EdFV5a*dJ*7<3>C#elin3 z+lg_r{{XaCuCnzdix+pYa}6{n@kPyJ5Mm|ooZBGZeor`sR>jDFePf%Hqe zSmA%?CFWn;^+X@PC+une@ay76{}Wx@u#36)*?$-}+bPpXnB1osIgyFPVOk zxFQ`t`x*VRy#wLb*~KdV*LnY*tUnjO=c4P<{^^%-v;PG$Zra66{Omvb9&YUy_@K4l zzT)9&|I{yAZ~DNeO}|WBpV6bA(J%X7ApO!VR`}l+>r5Q~#ASDS|DLdC`=5&&{V$Ml zBOVt&nTen6#JJgi0ui^fi<$VD?ts~*5ByuxA0W<7$IpI7zijV7_;q%%!awWH#qW6l z&ShO(p1Jy?U&hV;7s$A27c=p*|E!p0?HBkiYrhrZtaSOQU;f{w7Z1MF^dju(_D4UX zU$%E3{n9R0_&<0r^DnMA#mDand)hzzy13E*L>D*gVlIBR6XRz41tM-|7c=oQ-9cDq zqdxH8On;DgSUP_8Gx}wF2g0wji&g$_@cunne=dH{gKkLsr(ed+{uju&X%{o`v;Q1( zRN=;tz^_{S9V3>%C{dysK*a6rVkUm3J7G)H2mYPuPY}0E$IpI7zijV7 z_;q%%!awWJ#qW8-%e{ZkT>a56<7WR0WZblinfTfNPMvG*7x*4)zf;Az>GD&5(*KxV zJoz=I7hzAgKl&N{vb_W8mv*tj|H=EAfAQ4Qef*xVr~SjPiyQqI47X^sB^|rsHQnqhGdnApAPJSmpoC-oGd7&&BV#>dk5Y^vk%} z{{k5|?P4Z=_MbJ!S^EV(V(qs^EZ;xTGXAZ*(DZ@7HT^oV{C3Q;9{r4d+5ZCRmv*tj z{~D|_asDT+`?&Y-346Bxxwz5)0vR{raq*Lx_}Na3oBbycaXY)1iJ$4t+1B)d?>GH9 z;g?Hvfe&MsE?XT7=jJJO}m(hpZ)LrZLIwQ-)HT2 zzPL@g{M5hlPo@{oyUO$;?CJJLKcio^cOd=JE>`$I??Ce}o!+CTNLzS#7Ezc>A>#q!%(TgE^7 zW&aDLU-UocpRDkI8P=IN{)w-?+xz!~J=_0W+~|LSj2rQ|_{mKCY$wLe{u7A!on6et z&vci+$n=5#VEW6&7p3E8Kcio^cOd*ayIA3$_2%ODynL`%z5$jAG|HQZ4yQ16e%aoE^h>)~<^NFc z-}9a4`1n2FacIUr{JOZ&{{k5|?P4Z=_8-R0_6tPZ&Ms!+XS$oQ&PILUf0+Je@sxD@ z>}T}L_6~$!XBVsdzsvjgWc|7LJ#T(j+CTj=ZuY-G#!b7JiJ$%N124Ds3;cn#-v`8( zr~OlZ+ZCn{e8Kd$iMjjl(9h_X?Hx$Jw2Kw~KY(>6j(_59_j>=HuxH0V7dQG}Amc_n zE`Bl-Kii3Mv;PDlZf6%W@iX1+JDEQ4A5DL|xKlcQ_A~lrdk4a=vx^n}S#K_W&)Y}5 zf6rX~(J$j>{|jW?w2PVe*?;a@WbGGtm$lzrV($Jq=PpXu(vIve$Y z|7rR=#M9I9v!Br~+dB|`on5T*{~qt(llAA~_q^jhY5(-gxY_>#88_`>CVuw6Po8P* z7x-gqzfX#1ru|d@FV~n}yr;+XBJAn$2mOqG+1`QlOS@R%|C3l};`k^2%YEiwggxya zeqG$?f1-;Ub}<(}+lg_r{{$j#XBRW^Gu@|mGkxI4O#f+dw{-mMXY|YV4uoH47c2a; z-dy~ipT5BR_srEF{W5O$zd*)KyO@ce{pSn2TKffl)Y|V0V($Jq=s!2b^nq_N{pZ9f z>H1?oqhI#FK>DR!tnz=1_wV_IjXr+Q&mEKT55F#M^uIvHO}m(hpZ$k%v;6`Qx3i0x z_?hmrSZAX?@JFWqtT>#GpZ$z}+1`Qh>+E8c|Mz+So~%C?zvpM)m-bJ;jGO&0ka5#4 zX5wf6duXk-U*Hqgeh-Oj)BdUd>g!A|er=rTMcC8h5BeGXvb_W8mv*tj|3g@3;`k?i z^#SuQ!k+dIzb@cmEvp-yAZ1 z;LWE0rZ|+YKlU^FW&aDLU)seg|0j6=o{wJS*7ZL3uN51i<$V@e;7C0 zFA#A%yO@ce=^nv48})&YoBk1TO*($|Gx}wF2g0wji&g$_^Zq?qe=dH{M{Y~|r(ed+ z{uju&X%{o`v;Y0*Tx-9;pIiI=NIW;~pZcG?!Sv!!Cz)P^Jw5)QpV2SdJCJ^97c2b# z2X#Pdm)BfSt#f|;h**9;`e;~GVk9rSAX=&xY_>#88_`>CVuvxr}wh<3;dL|-_v65 z{yFHMnrZsLcbfhwab~*y*w5&f{V$MyX&0;fpX~j6K7FZ=-}9-HGydV%#f|I0uL{gdK3>G;{t=$Gvs2*1uQR{6if`}bu1x%fSw zyd&+Oei=9WUm)YAUChMK{`b2PYrnu>S^ND?97+49{tq{rUi_Z{(~GdD#~<`F`el0u z(l6~|h5z4Sor&Y0_=m5We-ZYyfB1EAqyLF6ZrH_K{A?%2&HfXJxSd_h#LslkE;D`L zXHEaCxGWt%`x*VRy#wLb*~JR~tTz|G=d+i4|DL(}qhH3&{uju&X%{o`qyN;4{apJs z_!-xJ^+L?uKZpMJ{2Xilz+0{TpBLw(>ks|R`9=S0kbY?wtNg#r`#08$*ZBC2wdY@! z@sEDy;zs`qWZblinfTFvT-<2C1`)Tji<$V@|DMA-8{0qdm)8EziRY!`M?Z6Z(cTTh zud|C){_pbsjjsM&{HQ+{-(6|{>}QOd{V$Ml(=KM>XaAdYf%U(@|FZU*BwmpAPyLje zOfOEIZh8^+^!S5*M!)QTf%HqeSmA#X)|oK=)x{}~n12!Ww14<@aet~o7dPx;E`GKX z<7WR0MBL6UX5weMfrCsR_yyAshzF(PXFsD~_P;>*b#}4BKkLoK?>TU__wSjjKl)|d z=zk3|Zra66{Omt75483R{Jgc_Ofh%=9Q4z-HhtjVn|`{ub-Mo8&*+!^FOYs|7pwfA z;r)BgyvoP#IsJ@`fB1EAqyGgmZra66{OmuBo9!2fxSd_h#Lsj?SZAX?@EOw&i5t`L zv!Br~+dB|`on5T*|55MXllAA~_Z<3Y+CTj=ZuY-G#!b7JiJ$#%-ezmRz~5Q>%@a4L z{Zqf)J4`RWXtwD^*wf<=`WgMQy#wi&cCo_$JghTu{1dl()clLEr~SjPiyQqdysK*a6rVkUm3Td>0PfnPHH0&zt;e)co^WqSw0ud|C4{#kD>e$NHhdH$N@xSO$yr*|dyl--H#J?*FXBW3jm!Ii=zOCs4-*5V#i`(Y)FTBX~ zf&XCo7sMB3^|cv0IDLa3a{Af~F?0V9;;-$vlj#Hh(eyitnd|4&AGn+813zZ^1I5hs zC+bgJYWhI*17{aA>$gmI?%t*k#JY#Gi<$Kgrn_R9=>u`z;Ot`N{DJA-c97`%fbC~G^|IPHD5f97vpRd2v^nw3w`mc*G&Fh~y*7Sj2 zH~kahv3dO;Pc(htw@m*>F?0VU>Zv|>iqkjvA5LE%6f^f9QNR7^rVsp2({C@Ho{qo3 z?}vX^6gOh-AwGujfIkuTNjDWpkBNP;{o*|>{L~Z@_p!y$E|&{~nAJ)Qf+I@ql^}_N@M67$>L~KaTN$ zdJ*=l{sD{=)QexnctE`fdshEFj1$z0-^X}By$E|&{~L@G)QitxJfL2LJ*ThtVVrh`usexJ?npD zoTU$ZgZUp3$CdOg{zvNOKk#pSeqz0(FFk+6@?SH_(g)sX{;v@ymGmwCujx1cfp7Eq ziTx#gi~m~&EPdcj=KmIPprmi{f6GMkA9#z;Pn=lNxA_0?bW0!j4)gzEae7JL;{U@_ z&41v#eSYH9lD@_N=Vx2`z`r&BpBHDB^ez5BKh69HzSrj`PAln4{yEUB_WfKu3;mHl z5%y&NWx7jzKNT-Uf8tMsJ*$6{?`Pth(I5B|VbAK{=lhBHezYflBJ5fHKl^qT??!v@ zC&Hf9Kj_;@{K}T57hzB8SN^^zzVt~q{|kIzUwnTCb`jf?`a@CnFaEvh#V^gr`6uim z>`DFM7%T6;-t^)ZClm$kBJ4^15nn2b`!3J2SLBz&X%}Hn z`e(X9979;%K-@>+>|*BmE2f+C8!N9kca!Nw*t7n(UufkGyu>{?O^=F!HufYbL4t6oCho2qyGXLV9 z*e6_Ep0FqV&s|;=yZxys=04Qm?if#L7qLC9f4%7#Kl34-4t6oChabjG|KjeL|2zMl zP4SnGAM|%Tj(?ng2ENteI9|*g|EPcIn{ECn9)Qv;L1c+Vp|Q zkNF-W9-Y@8{uR@UM<74yMcA|cR~%vbK;%dL3h{`%{(vu=UgWpus25?+`rqdzrVm7Z z)bAs{B(GnBa}U{?;;+Y)^GLAqS)z!MX~kC4epG-OuLBf zN&im&Gq3mDSz}$^@!W)JsCIkVmtBg zv8VSBDsD07f6C#eoAO|T)X^?h>Jhiodoph7#dhMK@&@l8RNP|D|AKQ(x8UauQb)U3 zsYl#S@5#8S7u$({!H2wmP;rYn|0i#aYcH6m@%o2&_(GgZ!!E*}?Efb`g?$a@nbeD% zyU;Gep46{;ZBZP8n5h?6>{JxAi?Ap4h_k-SK~CQw#u8^2GwbiCVDA6w3(bGvZ?P6Z zyLe&R|7kc@{OwOnFTQ&n)_!0YVNb^2_p+imX+5T(-;ek-{-#}ApVzO#SVz5h(w$!K z347ZAt>*XmVHBH(55)TUdx~QIgAMM0`3&tMwkQ41zYgEc-Y|rXnbFt|Iaqh_e{2KA% zynYz|=s)m%rXLo!$?GpU(DZ?yH~l4I=K4S5JQn*e{foz8|D|1oJzM^>KW=*QoIOl0 z!k*RdeT(VEeYP;Y2zye0>_*HJ|H0CWhwOl|z%Ig`)xY~m(~Gxaf23Z7J*z+br=}N= zINS6h>{|4g9M z;V-dSUn)P>U8v`H}$}U_Rc`3gI&z(JNbV5#G;rst^cg`o7b!x85v%^ zY18Ug6-EDC^xk6fV2_Pq_~x~%E2Nz(-pRdeb@UMo-NzamS8rZDydDdtO)0S!qBu}zn>Vc=K4&#Dw@O3W ztD`!L($1UKfA0Eqn^tdHbME>zt4D^{u3NKlEuvYvWYfk=FDiNA9(T&h;03jP>BdcK zN7ipp5lX4I{gnG2xnlCsuU@-$)4Gcq`EJ#>V(>9kB7Uw#=|i(elzA@7yl(UAktM^I ztY5uk*!_Fy@Z!rBmr9S>FusHjN!i>q!6e+sCGV;m$GXYcoDFHvNu%HW&4WPRd_9@b0nsKhe*Iy7hnVy<_u##eHMb zzwLps=|A}3*z}+I>e%#Oe`IX>CmtP}{*T`slfFLqgR$wi|8Xb!LvSANer`NM9 zX|>mX%lZQ@$6Y34(7U@z=5`<-=Uera%ip=aJfApfXUtjN z*nR(_f81p=ruaM4x5hv6H!c3#?mvtlcj=5N{?7ER@n7RtbGqq&j30OTj4A%k^sVvV z;#Y&ZjURUjjVb=l^sVuK_}wl3JL*5U%VewcW>mtATET7C=iKF^ z`fPhYWjVEK7x`>k&w1)t^z^S)$8P*{o;nsi{cF{*8~>cAjzv%ZT6ONmKj*1q(bGRx zqnnR2ZMQ$?sbkUay$$Zh>F7MSe4KE1^Nc}H|HmBOefjBsEPDDsyrXr3*7A=Ro$D*hIeU^{S|5)_&zoeses@C=&i=O^>*?(;1AB+BE+>vsa zziYai@$bY7aP`gKwcd^XB!~_f!+AF1ukW&7_w{$`fw=o*qN-TU7TSDzO8ySBTjfA}Ah-rW^2hIJz9-~Hq6%TN8`KOCF>ntwJuZp7%HQZI1T za8@0&XMde(%f5pia=(Y|O3nWNgl&fw5+0tN6Le* zXegIY*<;ssa<-|Gt7p)uVu#OIWX{_z6mJWM7)^=7;N8ru^)Uc1asitrp zJJ%!dMug(grPN;Pid*UvZD^kw=d~zqr`o6Y_F5FTQ|Qwux`+|1l^yaxrh2P814BAbdYOh)uv?HfC&vPpLUW4m~p=M22ptgLSuKX4ywZvH-eMN!;4u^9CJH(tEC zWhAJ`;o{e|R zn8drcajvOyUwn4Q<;E2k`EG8lHX?_AsXIL4`FqH*r}94AbsJox#WT+~yyI$(yf+_z zD(30_4s!IgOV(nziTze6xp&$6NsF?gcl*1>;q#mgO+G7>{(f;NFY0i=SapDl7V{aa zWh?18JnGt4F9wQw(N~Nw_9%8QhKdRJdqOdzSWryu$7+ke2OD)WKCER;COcDaq^|aKvhN<`Vgq@MZrFE>AopZ-PJ!KA(q^Dh2K>iYh*LD|8izUP~`rjPhZ z=NH%bMcKimp7p;y>W!o>u7BCVq`rJOy8{-*|I2ebMY4UDdr9Zc)dZiDD6 zYDcyo+l`bR%|h*!hDD?H|kH6eeyXa z|B)W${vR8!N$Ps=^rXmf+;30#xA>-`4H)N~TP%K2b}*?gP;YZTV)ZwFk=36lJDAkd zFUsfq4`B>q{fV;Y%1{4HM^eZ36J>AG_c!XltMZrhU6jA1@1p!A{aDN2#s1UcU;Byn z8&USA{ime&`-f})HuoRQha2Oc8@DYK~o z?B8!s`j7QnTxsNY{;}%W|LKRM zU(WkP*>nEKs&9_J*}vc3Uiq;fyZZBF{8jo={Lnf7o^6#M``zSk+5R_#V-fd1QFbsH zKl`83Nhivl)3g6E9Z4PgpD6pEQ{Nnavwy!mTmC7#jb4AUgGoKh&2*!cU-q1y{e$&H zvfkK#MA>uoH SAN`Q@%l;?Ip7TFeeRKTH{{8l3{c}6(nN+=rvghib@iX0M<(ECD zuYDPH_Hwiv*moK7c}0V=gUR^Es_)s?{FC(G^R)))-)~R)AFIAO{$~Gvd(yw#{$Qg1 zyd7&aNxh37=|(NTx99ZiH~qi1{xbn@bKw3b$_^&|k5$ipM?WO}vj2;+=lqXV-yDCl zf4@Cj{&DE@Os9GiWe1ab#?N%4m0$L(9>*_NPoS%}p$28o*54TQ9QWymq<ux z$ExS?jebb^6=l!)r_R;0*K__rJt=#x{uw{hjaGiyoAkY7Jbx+ayBL2;`Y!r^N#8~N z_r>M!;{2OzR=!X|al)b$ET-GDzNq-MLIC(z!mIh@9OT!WxqlpW0KXWnP}Su0I1$_{4rv%YKk*_`jdzbHGH)zAJf z({Hia^rGxwQqOgN))UEk>wQ&&vghj0=}>

y3Jm_2;)|{j;8!j`c>pD0{B{oDTIz zvfii{S$}?e)<5fs=~!>ni?ZkHuZHX1TP{SOCGP;=6jAnt>H4Q`s{>8H)#ptgDEonV zJ>Ndee763M=>ugyIj`RaFQB4+o8Oo|P|nC~ zIsUT#98rJuKUw`b%ATn|>R5k{s6Xmhe~z+e>W@0spCjszI@X_~?3wzbj`in=`lF8Z z=O}xo{-|R;k*qiBMA@_ThjDN48tA~G9`s|3AENAFy8fXXSa13PjKkCi%3gkhYpMO| zck1z`pZX2c2g-hY-v5++O+V$+rVo^T-?X0lEz3!=+}wXf*>mNmj^%em`Kf359c9my zpE{P`5#^_j<#&`lQ-11Len*s_I+ov2_DuP?|FN7T%gy~yls#8|>R5h9l$&~%-%<8V z`Ke?19Z_!TSbj&@Gv%j_<#$B6sbl#aWzUqK`wh!UvfSK%MA>uYr_S|%66K~o5asvV z)B6u}uK$xLH}!!iKlRw2)w}+WbSyXZfha%q*q+qazK*`~3)C0LFRs*2Y*6;5FR+yM zU+Q@8hRcWJ9rV11gp?gj`tMm`{z>}p`BH=Q@3&|5^yAXOFZJ|K%AWJjelz|m#1BrW zS-%lw2b2D(8+VTB$312GK-tUJe@pep_Msn=e%XGa>^c9`(Z3`7Q&0bnvgiC`{B?dn z`sMg7%AWNPo%2t^FZF@+Z`+gg$8n2(Nc!ctCCZ-jPaXX`!aw!&?$AwPdA`KONe zNrZfE_4$FaH{Czcs>ivL@?pQB&QbO^rpsUdTWC370eKE49Vt7QEI;d=pSAlVPte?-}v?%ycIAM4%qPUr)DzEygzmyG5=*k7EEU+qTnz7e*c$aeJG zljV=~?s^~eV!L{-*No<0b};Fm<>q}Rq`U6~^(V^SbpJ_9{k!#=QS`xH{(@GEKKS{T zwLjN=*bjoeV*Fw{mY;F6{zcirWci(L%IJE=@3&|5!4Kl5f5z|VHe8g z{7yG%bUoLh{q}7A2R~c~hkv*3P5QWU{@L%Dj&#Q>^gB`ZD!p4TA4MPRlhXAc`)Azj z_kljHCjXP;<2T3M!RJwKa0vf#{ZW)1jO(vOKmXIF-|hg@i?V}By<0zCSh)3Dw|;|| z17$C-Ka~9UJcsort{;JJ-RQ~&Wp7$PiuAbe@N;Z)&rc$bgYma0`=06e`#o^MP=Djz4t}A*K5qvT{*nH5_^iO;o=x!w z`{C{Br+*doFb?xC&vx|eH?F?Hxo4pMaLy~r4yNPBn8$P+|F*>OmgAo&dsdIS4)?iZ z{!ITb648Iz7ESlRm+GI_ZFrwO*@JPE*KI`EbM43TcKRTDK7jwe)S&EOvi!vr_*&U* z823Q-`x^FPQTAN_?|r4!9~sK+%g_4r+q3?+tC@dy-3xswQ1&|aV};E>FpsWXgZmG3 zk3Z9of*xeO@%SOi4yNlL$6u!7aoE*6<`1ImIX#a*>?h^VKl&2%Jn zEWapwPS5kZp1*-#uuvtY{haenv39Mh_e>vhlxk2h^7qLCA|B^mDe~e=of8qJpolWnz z$NKX4i!q1uB$i*?exa3LOwXTuJ#qYn-i^0l{X(;g8h3Rz{<{m_eWj#!IcoA( zML*wMW94p7*EG_P1H5Qa$3- zyZduxA9ICot~%perCs{2W4zh=7w!1IdVDe2y|b~Y+>=ji_}+7mRJli0quf)U>DISf zZSUGQ%RL6)UqKK3Zll~w_U@?ML)ceeIhJzwpWm%-mV2CgA4*kU8@0vI&%5`{<4VT& zXz#d)`da;Nw{POT4{PxznNodYG-`PdO{6UD#kll1-z?hlmWm2xy%!_W&KwV#-oR3& zI~Dz7Aw9Od-C{H)UxVu2f@)(PjuovQN2{HnvttF05u?>k#rrh;(Su`UdCaTO`J+PX z7~4h(aWrVRH9{G~aiUbKIMn0q9piqCw|C4c28+Fl>G8jdx&8LNzopUl{_OjBdXlsB zBs|^#;@%!mTpy7~b=t*4t?!MuBT?x{j#T!0bAz?1_HPk?#YfW8k=U#Fg$etC(uvZy zlzcEM`Cuq{>l^P?@@Nehd3IiMv~yz);`EG}HOC+5B1XO-$&H+O2PYu|?n1 ze%OxnhW@=o#BwnHjeccx_Sk=u9``t7eS!5S&U}gKMcA|Y9lvaPanTW`7h#X}rSkVY zh_tA`-jhWuH;)GF$9}uhPsHizw0`%=+`Tr#W#fCfC@X)7R98dC@5k^rvp1Cr8{0^A zb(Ct+c*|=tV!^op>b2$QA0IVrPxo)Ye1(tJYf&d@E%#8@ZrK5U#t>gFM(Ug^S1iznS zv>ngSP)_WZT`I9hj;74kP}mpQ3!`_bwjBSk68>l&;-Z2uPVS3H)KpFgsso#>xU__wU$$ z^!^ZTlTMUQY|)qQpD3O%UF}GbIuz;&F|V(mWxDzUqUq{qiFtj`4@}p4h-kW=ABcH< z-^)zbcdux=zL$x4egC7T8@Hcmy8cJSyng%%rW=2^Xu9!O2WLI{?Q#2+>VLvlO*e6g zXu1hs6`eh)pXA$Z(nm}`=_96_bflQqPxkFLWs&KpEHd3>Uc*8BetXjYRNrn>Z#Vta z+f6t15Hat6z_;7rcBUWP&U6F!ih2EzZ?~cMnttfLrW@K%Y|&$UjOOlhaHKmI{r%Pk z>mSG8u#4Cpm%pUvbFS3)+}t2_w2L`?Kh}?_@5j2aNFD8BPS1ONshjZH2C1W6%;_in zkLf03{h9fY)X^^H^jJr7x`B%tq>gqmryqLCbkojjkUHAMoSx6y7uz81>;_p5+C^+n zwqNg!rt8BsDCR>_N4uEQk9(cz#^aDgJxLwyVopEt3e!z|p+V|s7jyb47n^Ph*6-<` zq>gqmryo4ubc0xfrk9()j6)U%R5eaQ_$I2CYA?`Rz`Rx%|9o{j1k(UVq8D;WZmKY{ox_&tJEB^~mDk z#g{D}zFUX+T4snLnRSog#Mt90y zoW6CZ95>Rfa^l)=O^`h3J#HMwr(z?P_&gHPKFu*yfV^KT)5*!P^Dmr`CKi8w^U(|Y(*t9NH z^1py{S@_+ab8<)XyWml=#eYdZ%DRY)AL}BXE&7)F`|KYre(~Nbph3Hcu*c;u`JeNM z#Xa{7(frPNM6C9|>Pho2p0wWli?ApC_j3-7dUNLjj^?-jBVwih+A-!o@L2O-6R|z^ z-%@`Mf6d|-zka;MFT$SH-~MIOiy!psVj}FZzEuB1AGh*PJ5aQ84}Dy$EPwI%H_X5I z#7P#v2z%214)>Vf7auB`-yQA|EB)67Z2t)yv~}H@i0!femij;E(-yyY?g19R2zyrl ztRFwbKl*iT5%ySLs{gHc4vBuZHP5LWt=wDPEmoGl`1DsSe(^I$Tl^yIvH#}s*B03L z8MwWTpEVKNbNYf`73BCOE?;NH)nEQO(~DR5b!idytRB}r zoPSZ*L&T=*BF*(z9PqzQFCNHe2r&MMu*d#O?LXr<*S^J!?~B&%GmaCTJ=VAQ=k*ZQ zpQ!60V%2q#pJC0K`oPy&{67RaFkdXe{EmuKY{yM|EY=C9+$sV zf7`AyzuP`0n%`|#i7oz1`bDRjZqZLg(=9qxY|%H@e{KKiuKpV290}#$U!0zff0XNL z?zoHVYM#~pcNj4Lfj61|9mMjp6s7Xd-oxcz%>KM+erN9?R{Ae){;l;N@$DBo|Ah#9 zvi&CSZhj|!Ml`>ZcNeSuuYcP7i?8H8XxRTm*pvRZ+sFKF_eIhCZnuwE?f(v(e{=s4 zKlCc|FTx)CZ|*()}GSva#L+f9UOE`CW!B@qc`^=>vaa`j3mN^Y!=Tb*2w|()3>z*X8x!UvK(A zK3j%*`My|w7fDO}&tTn%0-H!S|$T|LV8sm6H$$vEdV!NOJM%VQ*?$gfk zCv1<$k4Qi2b$xf-z;%63pSk^KZXBj=!n@LFeYbBGxgwxGw|!*WH&v>V6Ed zo%=GV<9!*V?#B?D?#pP3AM<2?{vsaa&tF8?GvzOe6aD$KxXPbDi?C<)=lb(kas5fC zON?J4>{yu+`5iLht&pYi8!;%9CC zU5Kz}^1~yU1;qm!k*LD25tTtIArtJ znuzUL{q{EhtBDJ3{#O%W&+7NH^|P9|%+}9pBJ5fHu`k&1M?CHtSN@s^drn_GV*0>u zn7$CPJ*&Uc*S~m`uYVEtoW3?~lEoi*qs2c>oRrlUCw$V{Up#SNYkv{;od4oa<4hm; z2GjpZ9A|o55&Da~FDxII?jCDRbv4SP`_&Gb+)f$Osp7m=4Yre4I#rz4`Wfxyl};7s zb>$ZA$%Db6dMD$XlCGR1kNQ^k3uN2WNh zbgDS7^vD$Fl};7ql^L0$yfUfcywW36oL4$koL72eit|dRiu0--zb5q}SASnYdi-Ll z>hUX|N^vn&dSpT@Zf2EF73Y;6nc}?Csp7oSBU7ALI#rxkdSr_8N~enRN{>u&Ug=bE zUg?o3&MTcN&MQ4K#d)Pu#d)PirZ}&3syMIo$Q0+5P8H{s9+~32(y8LS(j!xxS2|Uc zS7v02^2(%&^Gc6QabD?EabD?>Db6dMD$c8V{2F{ca;+S{n5ugG%BNCXOjSL8u&Ug=bEUg?o3&MTcN&MQ4K#d)PuMR{dLrYNsWsyMIo$Q0+5 zP8H{s9+~32(y8LSs>iQcxHS{Mit|UNsvf`csT3DeRgYi!RB>MEkqPB;;}`Qvr;77R zk4$l1=~QuE>5(bUE1fFND?KvBd8Jdud8J3DIInc7IIr}`6z7#r73Y;6nc}?Csp7oS zBU7ALI#rxkdSr_8N~enRN{>u&Ug=a(UYU_8$}5v9&MQ4K#d)Pu#d)PirZ}&3syMIe z@oURBBG=0Ci>a!|uY4-S#Z=YfS3XsoS9)YZx!m~0ywa)SywW36oL4$koL72eit|dR zit|d3OmSZ6RB>MEktxnAohr^NJu=04rBlUurAMYXuXL(7uk^?i=ao(s=an9r;=IzS z;=Ix$Q=C^iRg_m|WQy|2q>A%Ok4$l1=~QuE>5(bUE1fFNt9tyJKN-1Jj$cewJ$~g= zDK4g}9>4Ob;=Ix$6UybrFXoj_73Y;6nc}?Csp7oSBU7ALI#rxkdSr_8N~enRN{>u& zUg=bEUg?o3&MTcN&MQ4K#d)Pu#d)PirZ}&3syMIo$Q0+5P8H{s9+~32(y5}nG9y!z zS0+`QS9)ZM^Gc_R^Gc6QabD?EabDHq*TTO+u9f2#Q&o>&`BaLFsjA1Xe5yFF^vHyA zx$%p6rBlUurAMYXuXL(7uk^?i=ao(s=an9r;=IzS;=Ix$Q=C^iRh(CPWQy}jr;77R zk4$l1=~QuE>5(bUE1fFND?KvBd8Jdud8J3DIInc7D6h=O6y=pk73Y;6nc}?Csp7oS zBU7ALI#ryP#xG1j+I;^Oo;bGmQSE_81Kg@u``Y9?v~b^d?g?xEB(l9Vsf+huCEuY{ z;nzQbY<~A@DsP3>KYiTvrle)Z-Yks>JbMPdu_X}}c-ZsXK|L5-+ZNn#? z=*9at+jj@el>V99E|mUh z+Afs-IomFj{t4SIl>XV;E|mVM+0m4hqb7R8U(TD7E2DK!&vsQ#_uOokN<0zUr4rA= zcB#Zuuw5$g{A-s=Jo(zC63@JLsl?N+T`KXMYj;XM`P!ut&$f1{#8a(ZD)BsPcS=6t zYV)@xP4mV!_A@-i>gRq<=Rwt4KH=*9c0O;!)2pWK{CtE@wtByspW{*2^7ms}-lD$2 zy+wU5zC<0bQs+z5!eTTq>c$p5 z_B6fu6ZJd?+jj+T74h%OXcwJ5uD_DLXUKFtH;bm@TfCW%-=5U>PcU8on?%#`E#B1o z?MeNFn&~FIRx};o;!VBZp47XyB_myZwxuU)_u)UhMOj2XNxgduHuUZ-*q+q6w_tnb z^zJR#(7Cr@ds6S-g6)~pv;Cg`CCZ8Ni!W?MS=g?guqWep<-EqfecO}Y$fI4vmwjV> zY5&oFI{t2G*zZWh?d;+irk_~x7U>Nal;0wa5-70IBcdP8( z+^urlNJH7qbt3Ce=to_==@|Xt8tPV-*VEC9($_6~|2c!Y!@RELm^&1GziX(Nj4zk0 z-*CzB;@y$nAF0YC)hXCZ1~DT2M}rfu#ow@t*dF)v7Cqu}da<_2^djuBKKfn>71*Qj zZH3-58=QE*GZ!MZ$NK0kG>rr&+vQPbBN?=H!H&tOC8dj{@nG;au=vdRle z$1wLjAm65laVwN*1avR!NXIto zW+k3F*UF_c&vDIXQ`4f6eOhB=UyK<4I_3{dHu=9003(e;wKXI;UU2HP<~zkacuEl(vNxt1Uh#G#IZ$RT9ov8utHE`}ZGf z>$&}3Z;jb=ey0_M#iyL+k+vD<=_CKDzGfu9{ zihcizzhM`f%3s!VUG_>#FZRvA-&~jVggy3Oiof@d@cXw&dqso&mm*KtMQl&%-MZ`{ z@W*vo&%WbO?av}lPuP=sd}E^My#Z#|Fu z55$*NFR?D$|89#nUYB+0buUD`+KKet&GGv8!u7_k)@7;GT6>4b=mS2w|V`!F9Kf>M(c^?`wdX@hvL6mBVuoNvkmdkQg3gaE$)K!pTK`2j`r>+ z?o!g1_IBroiRqs|ur?LM{SG4jiubm@QvB4&uPDD_*?%-zV}#~x6Qi`7Svun1$I>pk z?aqJ8x_J4x$Sa;3R&Q9lba-*7>vCB!0@v_0D_(Ikn(z3E8|-meTlBipCTc_%VNdFN zuEMBh}6+8=JbCNNA_PK_MhU&;OWYZE+E1lm%sJM-kCn?E9_|2UgP*xJ@ggD z!7YrZ?IU~p`qGiL_b9ly6YclW2Kyhw->{3hE2cae*HL#&FZR3|f5R@q9@k&VfBj+e z+p|Z5^h>*#^WRg4pXV&S*o*y)b`kcZ|1n=zz}bVFfvk#%`s7(fpXk5LFdjw1>L2ct zpNi;g^{4lDh#ix1zQ0{``w{FS>~Z`heeHQ@F|O6$81WzApLTIhT2KGf(|;gz&Ms#3 z&d;#-@5#7n7yE|O{<+fO{BkD5I7s+)b}{3BwEBZTi{G=U{>tOu3-GrM{7s4YKC}+) z;x?uDOZuMQLyP^iudl(L3()^y7n{b9lD_^L#M+OvZ-|JQqO*(rY5$1SW`x)W-OP~e zW@Z?TAE}w4@4uz^IfL%~4$6<2wb=Ir{tdebyX(IbySn0kfxFArUGX2~4uRUw(a%bE z2;|fEoZXy0x}#w6K2>)V;EsiSdd3sYbSu*{o+y2O{h)Nm!vB{uAHokc?cUu4X>o%_*Sv-8n`qhhv-M^O%FTQMXeBRcGWV|E}!Xe1!~i}rI+m!=Gmp=>=2Gq{0(uw;(5X!@Hgxt%&s;^JI+sk zrIQuY(VO@qsiR$lIjNudRwpZFZPg%kw2L|YWTfS9%5@D=N4tnEN&f>lM)EgsXM@zy zE@DekKl^UeZGo=CA4whUBFwSAbex$q9UE`QS2wZZEB3t@f5R^3?rdYa@t-!mIN<=( zi?ApCPuR!%#XQgX=X?+TX&0;f_de<4_Z*LTtBcDM+mrq$!5`zEa$tilZrH_K{5&6L z+~Ytuk`*sVNb^ozl?ieZA8XRyO@c;j(TU@tbdVl(=JxUKL`6B>rb4!v&ApMo{k^& zzcu58Ufkwj(~Gbt^{i*-7v&Y{mv*tr{~X*I>*Dv^2HlVSU&QvLf7UbO9(rSgE^gSx zT>Ly2WZbNOk#W;5=HlmmGVesR^+zLq%l4mnV);C+G=8z27a`ruM;qJ;ccs%VVtcau zjB`(=0dZ}*{L~CyZt({`Z+Q)hm#6iNbLj0Bzc_7{ z#V^91EI;di?sgV`;B8j_b47mza=fh*l==_*&76rAf8d*~Kg|&*ru{Si+5ctni(72A z_(j;0@w5IHoNMt1{@m(+fp~6O&-k~$+Ts@%)-8S!_N0HtzwliazqrF(i(iC2sb~G~ zw$S1ayu<2$H*sNF&;GOPREt0Gt=4~b6{n{4+;4aOoy9Nia+&SVBJ9cdS^xWDtYH5S z#Qx;$;z(N0`1iZc;un`;f2Li8J?WqEFME&0FYdpM#V^91)U*CqF0%Lo@3Q(|DK1Lu z*?(3{v-ktCKRUZOEv;w#2jglT#~<;K%PoEp_GJ95=VLCg_ye&&IlGAKAv~K(?Z3y~ zVDXE`O|u;{AW7OAE_5PZ*+Rk z-28Fd)4bow5#iU_#VY@pH#`5HT<3HCJ#+Kt`S8oQx4Wl7#!tJLi=TeDuh4(s6Xu`C zAHO|Y|D5+Zy(i~=)QP$IAM45aMZAIV>+E9Y_~+uodaCp9$$7Bz?>X=f>HfodV*IQ> zk#W;5=HjOx9{1=!@HX?$ z&$(;7f6v_fmG#89S$`tqr(Mj&Pyamb(tqI3%|DO7etWk57Ch$lp4*>gIuYBGde)Qk zi+BU!*V)C)@!!SwA@ARF`}y9#=YkKV{j;7JKkH9q+_a0i`01a=eb! p<0Mf4@Ch zes16OBc>O3+uiFtVNdE=PtGsm4TN837c=t@=l|EkWeCchqU^~AVYe7Vls)?Xmz9nLOh<{vKIPrcrAzq3s*?(0{S$B#1qWj#5+h&K>^on5T*|Bv3k z=YHFJ|DOB)W4irVPl(UepUAjr7jyBm{G9i&{sQkZ|0~7J{D=A#A2+?Yau2Wfggx8; zoL|Hn2*0d9`gg4I|6A|hbLDy7zvqhIrv0;?7&rT$$oOd&bMdqMoOiMQ0x|D$b}=*m za_N5N^`6JR()8jnKTF5Y`f+{{Zy@|SyIAG_W8S~#u{(PIp2vJF?Vt68_+0&ojGJ~b z7yp9S+qk)KUV|JrX&0Nu&(iw0yHB9N-03^`pC{LCX%}fu&41~K$36NNdEBF2Y&!mx z{L`oVy}3d9Nqkl2i|Co;RN9sk+8)+AF^GBxRyoq{|^CsHG-292@ zIBrufa@?j}%#Ghn$8n2#k>eKaVs89mI`%v2MfN+|#a#c>eJ%^<*?lg|r<3cv5j2XY~hS3}W2kK^Tkp6JgKlPyCwc#Z||f zUW7f?m->Hwp{1>(5A#Q|-_tI_9P3N#N7V5C0LCAP`s6R%FOYq*A7dTrnfgG~Cx6?D zx%Q8mAXZsKMJ14v8e~f?d((e5;{-L*YuV?+w^;gupiJ$#%PG9%_8UO5G zcCTmsFIdyPp7C%0n(pskN1&F@~%{{9^-p_H(tD%AAfOUx7XrqD)%lzzrF$O`VFTqLFlm-XHq#W&w;Ua;r&7!Yb*R> zuF9YJ#jBP}+BW=R&BFWLtL(Q8E!Hc%7LMbX+t6aI!fQMEE|9em)+xMJ?^RB%qDv*#AVyPG^kTm{f!f91 z)6o}@JUSxbG^hY-6bg+xq z9_z8EH~e!QZ3brTv#*PItHm=zEI*6WqF?w+(~CQ7G`$FW*8h%Mn?CUGO~0eKbzZ;h z8PkjVUu1d__N0H-fA6-qH+i3k@3;ELnXp@b#P(QUs(;>B#rogk$_5X-8u7p`=IUR5 zan|bMaD-oH7c>61-fVuiK{sU_B>mDZ=KODa2GZiFOMl`veOTXvU4%U@f2sYMZprng z7ne>jy$E|&KmIDyi+sm7^A%x_^`&PCr`(J)p1trN`cUAzagP!0;$9_v=`9a@lf?MX zGXq&(yL0 z1EF(vF{5{WUgrIK4y-r-;>4F_;{S^Gi~4f@NybgPnDNgz$30;2i|}Xhd%~WqKeiM7 za^@Wfzs@d}{g=kCS+lWX@=wtJuLkL#b`jf?{^^%*DdzRPz~5Lred30azT|(-TJt*x zX&DDO?uQ`kV$T1-El9_{cUwf%r?ZPIOa5E-U+$;uKjQ3HTm6f$C(F+@WG58pT z_&49Ihbsge$CZ9s>21@y?~56jy^pzN<$QbiJTB-4r}eL0H?kV9bUt_WdUWrEir&;a z5Z#PvVb-^1-N?xBUN{K*I2jv{vD5u~Mvxjl{M>MOWaH|!@-a%v%5@ZKX1svhzY$8% zwr1f6JJ-CpgEe}^w(P^5`Dv=#_R4cFo-7#4`t#?|)#kPzXLB#&Qz?XfBfhNK;R=YKSxuc|d+55g!|VL< zr9Iz%#Ku#io$35Y-Nl)wAEUca`q3H6mM<^jD3)HI3gdFeN*j4GnnH;cH9zu>%b)Ge z!gI9liT%>MqjbEh#pj!Dq{jbv{|tYmdmjk?E#jZ?@uu}XJzfX2qmSnMs2BfM$i7?n zZ|E_9=sD2-ZFK*R{YUS9=}KQZUm5MKknU>&PcRM?=!q8pCH-h`g`|#eg*4{%qkR{P zI(`?+*rG4Zm)!f5aK7W-ujJ|OPr)2itYyx3`1VL_$6F;Eq>gqmr+06E+y-eeKjq1; z`>qr6bKg7iggtJ*QvB|_PSCmUI(af4_gyE?oSx;cUuf|M{uZ&&E?$`S@7}KXpY)G< zc7%4=;x{^b9Dk|(UH{k>@g9P7BKooVmEZ34m}6w$Epb8V-4e)y@73%=S^q<~O1@jK uJDH diff --git a/compiler/temp/sram_2_16_1_freepdk45.lef b/compiler/temp/sram_2_16_1_freepdk45.lef deleted file mode 100644 index 4b27036d..00000000 --- a/compiler/temp/sram_2_16_1_freepdk45.lef +++ /dev/null @@ -1,11206 +0,0 @@ -VERSION 5.4 ; -NAMESCASESENSITIVE ON ; -BUSBITCHARS "[]" ; -DIVIDERCHAR "/" ; -UNITS - DATABASE MICRONS 1000 ; -END UNITS -SITE MacroSite - CLASS Core ; - SIZE 24385.0 by 72042.5 ; -END MacroSite -MACRO sram_2_16_1_freepdk45 - CLASS BLOCK ; - SIZE 24385.0 BY 72042.5 ; - SYMMETRY X Y R90 ; - SITE MacroSite ; - PIN DATA[0] - DIRECTION INOUT ; - PORT - LAYER metal2 ; - RECT 16402.5 35.0 16472.5 175.0 ; - END - END DATA[0] - PIN DATA[1] - DIRECTION INOUT ; - PORT - LAYER metal2 ; - RECT 19222.5 35.0 19292.5 175.0 ; - END - END DATA[1] - PIN ADDR[0] - DIRECTION INPUT ; - PORT - LAYER metal3 ; - RECT 4175.0 10372.5 4655.0 10442.5 ; - END - END ADDR[0] - PIN ADDR[1] - DIRECTION INPUT ; - PORT - LAYER metal3 ; - RECT 4175.0 9667.5 4655.0 9737.5 ; - END - END ADDR[1] - PIN ADDR[2] - DIRECTION INPUT ; - PORT - LAYER metal3 ; - RECT 4175.0 8962.5 4655.0 9032.5 ; - END - END ADDR[2] - PIN ADDR[3] - DIRECTION INPUT ; - PORT - LAYER metal3 ; - RECT 4175.0 8257.5 4655.0 8327.5 ; - END - END ADDR[3] - PIN ADDR[4] - DIRECTION INPUT ; - PORT - LAYER metal3 ; - RECT 4175.0 7552.5 4655.0 7622.5 ; - END - END ADDR[4] - PIN ADDR[5] - DIRECTION INPUT ; - PORT - LAYER metal3 ; - RECT 4175.0 6847.5 4655.0 6917.5 ; - END - END ADDR[5] - PIN ADDR[6] - DIRECTION INPUT ; - PORT - LAYER metal3 ; - RECT 4175.0 6142.5 4655.0 6212.5 ; - END - END ADDR[6] - PIN CSb - DIRECTION INPUT ; - PORT - LAYER metal3 ; - RECT 1187.5 27450.0 1257.5 27590.0 ; - END - END CSb - PIN WEb - DIRECTION INPUT ; - PORT - LAYER metal3 ; - RECT 1892.5 27450.0 1962.5 27590.0 ; - END - END WEb - PIN OEb - DIRECTION INPUT ; - PORT - LAYER metal3 ; - RECT 482.5 27450.0 552.5 27590.0 ; - END - END OEb - PIN clk - DIRECTION INPUT ; - PORT - LAYER metal1 ; - RECT 3340.0 27450.0 3475.0 27640.0 ; - END - END clk - PIN vdd - DIRECTION INOUT ; - USE POWER ; - SHAPE ABUTMENT ; - PORT - LAYER metal1 ; - RECT 21920.0 35.0 22270.0 72077.5 ; - LAYER metal1 ; - RECT 4175.0 35.0 4525.0 72077.5 ; - END - END vdd - PIN gnd - DIRECTION INOUT ; - USE GROUND ; - SHAPE ABUTMENT ; - PORT - LAYER metal2 ; - RECT 13992.5 35.0 14342.5 72077.5 ; - END - END gnd - OBS - LAYER metal1 ; - RECT 4317.5 35155.0 4382.5 35360.0 ; - RECT 8100.0 27905.0 8165.0 27970.0 ; - RECT 8100.0 27632.5 8165.0 27697.5 ; - RECT 8030.0 27905.0 8132.5 27970.0 ; - RECT 8100.0 27665.0 8165.0 27937.5 ; - RECT 8132.5 27632.5 8235.0 27697.5 ; - RECT 13227.5 27905.0 13292.5 27970.0 ; - RECT 13227.5 27417.5 13292.5 27482.5 ; - RECT 10525.0 27905.0 13260.0 27970.0 ; - RECT 13227.5 27450.0 13292.5 27937.5 ; - RECT 13260.0 27417.5 15995.0 27482.5 ; - RECT 8100.0 29340.0 8165.0 29405.0 ; - RECT 8100.0 29612.5 8165.0 29677.5 ; - RECT 8030.0 29340.0 8132.5 29405.0 ; - RECT 8100.0 29372.5 8165.0 29645.0 ; - RECT 8132.5 29612.5 8235.0 29677.5 ; - RECT 13227.5 29340.0 13292.5 29405.0 ; - RECT 13227.5 29827.5 13292.5 29892.5 ; - RECT 10525.0 29340.0 13260.0 29405.0 ; - RECT 13227.5 29372.5 13292.5 29860.0 ; - RECT 13260.0 29827.5 15995.0 29892.5 ; - RECT 8100.0 30595.0 8165.0 30660.0 ; - RECT 8100.0 30322.5 8165.0 30387.5 ; - RECT 8030.0 30595.0 8132.5 30660.0 ; - RECT 8100.0 30355.0 8165.0 30627.5 ; - RECT 8132.5 30322.5 8235.0 30387.5 ; - RECT 13227.5 30595.0 13292.5 30660.0 ; - RECT 13227.5 30107.5 13292.5 30172.5 ; - RECT 10525.0 30595.0 13260.0 30660.0 ; - RECT 13227.5 30140.0 13292.5 30627.5 ; - RECT 13260.0 30107.5 15995.0 30172.5 ; - RECT 8100.0 32030.0 8165.0 32095.0 ; - RECT 8100.0 32302.5 8165.0 32367.5 ; - RECT 8030.0 32030.0 8132.5 32095.0 ; - RECT 8100.0 32062.5 8165.0 32335.0 ; - RECT 8132.5 32302.5 8235.0 32367.5 ; - RECT 13227.5 32030.0 13292.5 32095.0 ; - RECT 13227.5 32517.5 13292.5 32582.5 ; - RECT 10525.0 32030.0 13260.0 32095.0 ; - RECT 13227.5 32062.5 13292.5 32550.0 ; - RECT 13260.0 32517.5 15995.0 32582.5 ; - RECT 8100.0 33285.0 8165.0 33350.0 ; - RECT 8100.0 33012.5 8165.0 33077.5 ; - RECT 8030.0 33285.0 8132.5 33350.0 ; - RECT 8100.0 33045.0 8165.0 33317.5 ; - RECT 8132.5 33012.5 8235.0 33077.5 ; - RECT 13227.5 33285.0 13292.5 33350.0 ; - RECT 13227.5 32797.5 13292.5 32862.5 ; - RECT 10525.0 33285.0 13260.0 33350.0 ; - RECT 13227.5 32830.0 13292.5 33317.5 ; - RECT 13260.0 32797.5 15995.0 32862.5 ; - RECT 8100.0 34720.0 8165.0 34785.0 ; - RECT 8100.0 34992.5 8165.0 35057.5 ; - RECT 8030.0 34720.0 8132.5 34785.0 ; - RECT 8100.0 34752.5 8165.0 35025.0 ; - RECT 8132.5 34992.5 8235.0 35057.5 ; - RECT 13227.5 34720.0 13292.5 34785.0 ; - RECT 13227.5 35207.5 13292.5 35272.5 ; - RECT 10525.0 34720.0 13260.0 34785.0 ; - RECT 13227.5 34752.5 13292.5 35240.0 ; - RECT 13260.0 35207.5 15995.0 35272.5 ; - RECT 8100.0 35975.0 8165.0 36040.0 ; - RECT 8100.0 35702.5 8165.0 35767.5 ; - RECT 8030.0 35975.0 8132.5 36040.0 ; - RECT 8100.0 35735.0 8165.0 36007.5 ; - RECT 8132.5 35702.5 8235.0 35767.5 ; - RECT 13227.5 35975.0 13292.5 36040.0 ; - RECT 13227.5 35487.5 13292.5 35552.5 ; - RECT 10525.0 35975.0 13260.0 36040.0 ; - RECT 13227.5 35520.0 13292.5 36007.5 ; - RECT 13260.0 35487.5 15995.0 35552.5 ; - RECT 8100.0 37410.0 8165.0 37475.0 ; - RECT 8100.0 37682.5 8165.0 37747.5 ; - RECT 8030.0 37410.0 8132.5 37475.0 ; - RECT 8100.0 37442.5 8165.0 37715.0 ; - RECT 8132.5 37682.5 8235.0 37747.5 ; - RECT 13227.5 37410.0 13292.5 37475.0 ; - RECT 13227.5 37897.5 13292.5 37962.5 ; - RECT 10525.0 37410.0 13260.0 37475.0 ; - RECT 13227.5 37442.5 13292.5 37930.0 ; - RECT 13260.0 37897.5 15995.0 37962.5 ; - RECT 8100.0 38665.0 8165.0 38730.0 ; - RECT 8100.0 38392.5 8165.0 38457.5 ; - RECT 8030.0 38665.0 8132.5 38730.0 ; - RECT 8100.0 38425.0 8165.0 38697.5 ; - RECT 8132.5 38392.5 8235.0 38457.5 ; - RECT 13227.5 38665.0 13292.5 38730.0 ; - RECT 13227.5 38177.5 13292.5 38242.5 ; - RECT 10525.0 38665.0 13260.0 38730.0 ; - RECT 13227.5 38210.0 13292.5 38697.5 ; - RECT 13260.0 38177.5 15995.0 38242.5 ; - RECT 8100.0 40100.0 8165.0 40165.0 ; - RECT 8100.0 40372.5 8165.0 40437.5 ; - RECT 8030.0 40100.0 8132.5 40165.0 ; - RECT 8100.0 40132.5 8165.0 40405.0 ; - RECT 8132.5 40372.5 8235.0 40437.5 ; - RECT 13227.5 40100.0 13292.5 40165.0 ; - RECT 13227.5 40587.5 13292.5 40652.5 ; - RECT 10525.0 40100.0 13260.0 40165.0 ; - RECT 13227.5 40132.5 13292.5 40620.0 ; - RECT 13260.0 40587.5 15995.0 40652.5 ; - RECT 8100.0 41355.0 8165.0 41420.0 ; - RECT 8100.0 41082.5 8165.0 41147.5 ; - RECT 8030.0 41355.0 8132.5 41420.0 ; - RECT 8100.0 41115.0 8165.0 41387.5 ; - RECT 8132.5 41082.5 8235.0 41147.5 ; - RECT 13227.5 41355.0 13292.5 41420.0 ; - RECT 13227.5 40867.5 13292.5 40932.5 ; - RECT 10525.0 41355.0 13260.0 41420.0 ; - RECT 13227.5 40900.0 13292.5 41387.5 ; - RECT 13260.0 40867.5 15995.0 40932.5 ; - RECT 8100.0 42790.0 8165.0 42855.0 ; - RECT 8100.0 43062.5 8165.0 43127.5 ; - RECT 8030.0 42790.0 8132.5 42855.0 ; - RECT 8100.0 42822.5 8165.0 43095.0 ; - RECT 8132.5 43062.5 8235.0 43127.5 ; - RECT 13227.5 42790.0 13292.5 42855.0 ; - RECT 13227.5 43277.5 13292.5 43342.5 ; - RECT 10525.0 42790.0 13260.0 42855.0 ; - RECT 13227.5 42822.5 13292.5 43310.0 ; - RECT 13260.0 43277.5 15995.0 43342.5 ; - RECT 8100.0 44045.0 8165.0 44110.0 ; - RECT 8100.0 43772.5 8165.0 43837.5 ; - RECT 8030.0 44045.0 8132.5 44110.0 ; - RECT 8100.0 43805.0 8165.0 44077.5 ; - RECT 8132.5 43772.5 8235.0 43837.5 ; - RECT 13227.5 44045.0 13292.5 44110.0 ; - RECT 13227.5 43557.5 13292.5 43622.5 ; - RECT 10525.0 44045.0 13260.0 44110.0 ; - RECT 13227.5 43590.0 13292.5 44077.5 ; - RECT 13260.0 43557.5 15995.0 43622.5 ; - RECT 8100.0 45480.0 8165.0 45545.0 ; - RECT 8100.0 45752.5 8165.0 45817.5 ; - RECT 8030.0 45480.0 8132.5 45545.0 ; - RECT 8100.0 45512.5 8165.0 45785.0 ; - RECT 8132.5 45752.5 8235.0 45817.5 ; - RECT 13227.5 45480.0 13292.5 45545.0 ; - RECT 13227.5 45967.5 13292.5 46032.5 ; - RECT 10525.0 45480.0 13260.0 45545.0 ; - RECT 13227.5 45512.5 13292.5 46000.0 ; - RECT 13260.0 45967.5 15995.0 46032.5 ; - RECT 8100.0 46735.0 8165.0 46800.0 ; - RECT 8100.0 46462.5 8165.0 46527.5 ; - RECT 8030.0 46735.0 8132.5 46800.0 ; - RECT 8100.0 46495.0 8165.0 46767.5 ; - RECT 8132.5 46462.5 8235.0 46527.5 ; - RECT 13227.5 46735.0 13292.5 46800.0 ; - RECT 13227.5 46247.5 13292.5 46312.5 ; - RECT 10525.0 46735.0 13260.0 46800.0 ; - RECT 13227.5 46280.0 13292.5 46767.5 ; - RECT 13260.0 46247.5 15995.0 46312.5 ; - RECT 8100.0 48170.0 8165.0 48235.0 ; - RECT 8100.0 48442.5 8165.0 48507.5 ; - RECT 8030.0 48170.0 8132.5 48235.0 ; - RECT 8100.0 48202.5 8165.0 48475.0 ; - RECT 8132.5 48442.5 8235.0 48507.5 ; - RECT 13227.5 48170.0 13292.5 48235.0 ; - RECT 13227.5 48657.5 13292.5 48722.5 ; - RECT 10525.0 48170.0 13260.0 48235.0 ; - RECT 13227.5 48202.5 13292.5 48690.0 ; - RECT 13260.0 48657.5 15995.0 48722.5 ; - RECT 8100.0 49425.0 8165.0 49490.0 ; - RECT 8100.0 49152.5 8165.0 49217.5 ; - RECT 8030.0 49425.0 8132.5 49490.0 ; - RECT 8100.0 49185.0 8165.0 49457.5 ; - RECT 8132.5 49152.5 8235.0 49217.5 ; - RECT 13227.5 49425.0 13292.5 49490.0 ; - RECT 13227.5 48937.5 13292.5 49002.5 ; - RECT 10525.0 49425.0 13260.0 49490.0 ; - RECT 13227.5 48970.0 13292.5 49457.5 ; - RECT 13260.0 48937.5 15995.0 49002.5 ; - RECT 8100.0 50860.0 8165.0 50925.0 ; - RECT 8100.0 51132.5 8165.0 51197.5 ; - RECT 8030.0 50860.0 8132.5 50925.0 ; - RECT 8100.0 50892.5 8165.0 51165.0 ; - RECT 8132.5 51132.5 8235.0 51197.5 ; - RECT 13227.5 50860.0 13292.5 50925.0 ; - RECT 13227.5 51347.5 13292.5 51412.5 ; - RECT 10525.0 50860.0 13260.0 50925.0 ; - RECT 13227.5 50892.5 13292.5 51380.0 ; - RECT 13260.0 51347.5 15995.0 51412.5 ; - RECT 8100.0 52115.0 8165.0 52180.0 ; - RECT 8100.0 51842.5 8165.0 51907.5 ; - RECT 8030.0 52115.0 8132.5 52180.0 ; - RECT 8100.0 51875.0 8165.0 52147.5 ; - RECT 8132.5 51842.5 8235.0 51907.5 ; - RECT 13227.5 52115.0 13292.5 52180.0 ; - RECT 13227.5 51627.5 13292.5 51692.5 ; - RECT 10525.0 52115.0 13260.0 52180.0 ; - RECT 13227.5 51660.0 13292.5 52147.5 ; - RECT 13260.0 51627.5 15995.0 51692.5 ; - RECT 8100.0 53550.0 8165.0 53615.0 ; - RECT 8100.0 53822.5 8165.0 53887.5 ; - RECT 8030.0 53550.0 8132.5 53615.0 ; - RECT 8100.0 53582.5 8165.0 53855.0 ; - RECT 8132.5 53822.5 8235.0 53887.5 ; - RECT 13227.5 53550.0 13292.5 53615.0 ; - RECT 13227.5 54037.5 13292.5 54102.5 ; - RECT 10525.0 53550.0 13260.0 53615.0 ; - RECT 13227.5 53582.5 13292.5 54070.0 ; - RECT 13260.0 54037.5 15995.0 54102.5 ; - RECT 8100.0 54805.0 8165.0 54870.0 ; - RECT 8100.0 54532.5 8165.0 54597.5 ; - RECT 8030.0 54805.0 8132.5 54870.0 ; - RECT 8100.0 54565.0 8165.0 54837.5 ; - RECT 8132.5 54532.5 8235.0 54597.5 ; - RECT 13227.5 54805.0 13292.5 54870.0 ; - RECT 13227.5 54317.5 13292.5 54382.5 ; - RECT 10525.0 54805.0 13260.0 54870.0 ; - RECT 13227.5 54350.0 13292.5 54837.5 ; - RECT 13260.0 54317.5 15995.0 54382.5 ; - RECT 8100.0 56240.0 8165.0 56305.0 ; - RECT 8100.0 56512.5 8165.0 56577.5 ; - RECT 8030.0 56240.0 8132.5 56305.0 ; - RECT 8100.0 56272.5 8165.0 56545.0 ; - RECT 8132.5 56512.5 8235.0 56577.5 ; - RECT 13227.5 56240.0 13292.5 56305.0 ; - RECT 13227.5 56727.5 13292.5 56792.5 ; - RECT 10525.0 56240.0 13260.0 56305.0 ; - RECT 13227.5 56272.5 13292.5 56760.0 ; - RECT 13260.0 56727.5 15995.0 56792.5 ; - RECT 8100.0 57495.0 8165.0 57560.0 ; - RECT 8100.0 57222.5 8165.0 57287.5 ; - RECT 8030.0 57495.0 8132.5 57560.0 ; - RECT 8100.0 57255.0 8165.0 57527.5 ; - RECT 8132.5 57222.5 8235.0 57287.5 ; - RECT 13227.5 57495.0 13292.5 57560.0 ; - RECT 13227.5 57007.5 13292.5 57072.5 ; - RECT 10525.0 57495.0 13260.0 57560.0 ; - RECT 13227.5 57040.0 13292.5 57527.5 ; - RECT 13260.0 57007.5 15995.0 57072.5 ; - RECT 8100.0 58930.0 8165.0 58995.0 ; - RECT 8100.0 59202.5 8165.0 59267.5 ; - RECT 8030.0 58930.0 8132.5 58995.0 ; - RECT 8100.0 58962.5 8165.0 59235.0 ; - RECT 8132.5 59202.5 8235.0 59267.5 ; - RECT 13227.5 58930.0 13292.5 58995.0 ; - RECT 13227.5 59417.5 13292.5 59482.5 ; - RECT 10525.0 58930.0 13260.0 58995.0 ; - RECT 13227.5 58962.5 13292.5 59450.0 ; - RECT 13260.0 59417.5 15995.0 59482.5 ; - RECT 8100.0 60185.0 8165.0 60250.0 ; - RECT 8100.0 59912.5 8165.0 59977.5 ; - RECT 8030.0 60185.0 8132.5 60250.0 ; - RECT 8100.0 59945.0 8165.0 60217.5 ; - RECT 8132.5 59912.5 8235.0 59977.5 ; - RECT 13227.5 60185.0 13292.5 60250.0 ; - RECT 13227.5 59697.5 13292.5 59762.5 ; - RECT 10525.0 60185.0 13260.0 60250.0 ; - RECT 13227.5 59730.0 13292.5 60217.5 ; - RECT 13260.0 59697.5 15995.0 59762.5 ; - RECT 8100.0 61620.0 8165.0 61685.0 ; - RECT 8100.0 61892.5 8165.0 61957.5 ; - RECT 8030.0 61620.0 8132.5 61685.0 ; - RECT 8100.0 61652.5 8165.0 61925.0 ; - RECT 8132.5 61892.5 8235.0 61957.5 ; - RECT 13227.5 61620.0 13292.5 61685.0 ; - RECT 13227.5 62107.5 13292.5 62172.5 ; - RECT 10525.0 61620.0 13260.0 61685.0 ; - RECT 13227.5 61652.5 13292.5 62140.0 ; - RECT 13260.0 62107.5 15995.0 62172.5 ; - RECT 8100.0 62875.0 8165.0 62940.0 ; - RECT 8100.0 62602.5 8165.0 62667.5 ; - RECT 8030.0 62875.0 8132.5 62940.0 ; - RECT 8100.0 62635.0 8165.0 62907.5 ; - RECT 8132.5 62602.5 8235.0 62667.5 ; - RECT 13227.5 62875.0 13292.5 62940.0 ; - RECT 13227.5 62387.5 13292.5 62452.5 ; - RECT 10525.0 62875.0 13260.0 62940.0 ; - RECT 13227.5 62420.0 13292.5 62907.5 ; - RECT 13260.0 62387.5 15995.0 62452.5 ; - RECT 8100.0 64310.0 8165.0 64375.0 ; - RECT 8100.0 64582.5 8165.0 64647.5 ; - RECT 8030.0 64310.0 8132.5 64375.0 ; - RECT 8100.0 64342.5 8165.0 64615.0 ; - RECT 8132.5 64582.5 8235.0 64647.5 ; - RECT 13227.5 64310.0 13292.5 64375.0 ; - RECT 13227.5 64797.5 13292.5 64862.5 ; - RECT 10525.0 64310.0 13260.0 64375.0 ; - RECT 13227.5 64342.5 13292.5 64830.0 ; - RECT 13260.0 64797.5 15995.0 64862.5 ; - RECT 8100.0 65565.0 8165.0 65630.0 ; - RECT 8100.0 65292.5 8165.0 65357.5 ; - RECT 8030.0 65565.0 8132.5 65630.0 ; - RECT 8100.0 65325.0 8165.0 65597.5 ; - RECT 8132.5 65292.5 8235.0 65357.5 ; - RECT 13227.5 65565.0 13292.5 65630.0 ; - RECT 13227.5 65077.5 13292.5 65142.5 ; - RECT 10525.0 65565.0 13260.0 65630.0 ; - RECT 13227.5 65110.0 13292.5 65597.5 ; - RECT 13260.0 65077.5 15995.0 65142.5 ; - RECT 8100.0 67000.0 8165.0 67065.0 ; - RECT 8100.0 67272.5 8165.0 67337.5 ; - RECT 8030.0 67000.0 8132.5 67065.0 ; - RECT 8100.0 67032.5 8165.0 67305.0 ; - RECT 8132.5 67272.5 8235.0 67337.5 ; - RECT 13227.5 67000.0 13292.5 67065.0 ; - RECT 13227.5 67487.5 13292.5 67552.5 ; - RECT 10525.0 67000.0 13260.0 67065.0 ; - RECT 13227.5 67032.5 13292.5 67520.0 ; - RECT 13260.0 67487.5 15995.0 67552.5 ; - RECT 8100.0 68255.0 8165.0 68320.0 ; - RECT 8100.0 67982.5 8165.0 68047.5 ; - RECT 8030.0 68255.0 8132.5 68320.0 ; - RECT 8100.0 68015.0 8165.0 68287.5 ; - RECT 8132.5 67982.5 8235.0 68047.5 ; - RECT 13227.5 68255.0 13292.5 68320.0 ; - RECT 13227.5 67767.5 13292.5 67832.5 ; - RECT 10525.0 68255.0 13260.0 68320.0 ; - RECT 13227.5 67800.0 13292.5 68287.5 ; - RECT 13260.0 67767.5 15995.0 67832.5 ; - RECT 8100.0 69690.0 8165.0 69755.0 ; - RECT 8100.0 69962.5 8165.0 70027.5 ; - RECT 8030.0 69690.0 8132.5 69755.0 ; - RECT 8100.0 69722.5 8165.0 69995.0 ; - RECT 8132.5 69962.5 8235.0 70027.5 ; - RECT 13227.5 69690.0 13292.5 69755.0 ; - RECT 13227.5 70177.5 13292.5 70242.5 ; - RECT 10525.0 69690.0 13260.0 69755.0 ; - RECT 13227.5 69722.5 13292.5 70210.0 ; - RECT 13260.0 70177.5 15995.0 70242.5 ; - RECT 8690.0 27277.5 16085.0 27342.5 ; - RECT 8690.0 29967.5 16085.0 30032.5 ; - RECT 8690.0 32657.5 16085.0 32722.5 ; - RECT 8690.0 35347.5 16085.0 35412.5 ; - RECT 8690.0 38037.5 16085.0 38102.5 ; - RECT 8690.0 40727.5 16085.0 40792.5 ; - RECT 8690.0 43417.5 16085.0 43482.5 ; - RECT 8690.0 46107.5 16085.0 46172.5 ; - RECT 8690.0 48797.5 16085.0 48862.5 ; - RECT 8690.0 51487.5 16085.0 51552.5 ; - RECT 8690.0 54177.5 16085.0 54242.5 ; - RECT 8690.0 56867.5 16085.0 56932.5 ; - RECT 8690.0 59557.5 16085.0 59622.5 ; - RECT 8690.0 62247.5 16085.0 62312.5 ; - RECT 8690.0 64937.5 16085.0 65002.5 ; - RECT 8690.0 67627.5 16085.0 67692.5 ; - RECT 8690.0 70317.5 16085.0 70382.5 ; - RECT 4175.0 28622.5 22270.0 28687.5 ; - RECT 4175.0 31312.5 22270.0 31377.5 ; - RECT 4175.0 34002.5 22270.0 34067.5 ; - RECT 4175.0 36692.5 22270.0 36757.5 ; - RECT 4175.0 39382.5 22270.0 39447.5 ; - RECT 4175.0 42072.5 22270.0 42137.5 ; - RECT 4175.0 44762.5 22270.0 44827.5 ; - RECT 4175.0 47452.5 22270.0 47517.5 ; - RECT 4175.0 50142.5 22270.0 50207.5 ; - RECT 4175.0 52832.5 22270.0 52897.5 ; - RECT 4175.0 55522.5 22270.0 55587.5 ; - RECT 4175.0 58212.5 22270.0 58277.5 ; - RECT 4175.0 60902.5 22270.0 60967.5 ; - RECT 4175.0 63592.5 22270.0 63657.5 ; - RECT 4175.0 66282.5 22270.0 66347.5 ; - RECT 4175.0 68972.5 22270.0 69037.5 ; - RECT 10720.0 11342.5 11977.5 11407.5 ; - RECT 10445.0 12687.5 12182.5 12752.5 ; - RECT 11635.0 16722.5 12387.5 16787.5 ; - RECT 11360.0 18067.5 12592.5 18132.5 ; - RECT 11085.0 19412.5 12797.5 19477.5 ; - RECT 11635.0 11137.5 11772.5 11202.5 ; - RECT 11635.0 13827.5 11772.5 13892.5 ; - RECT 11635.0 16517.5 11772.5 16582.5 ; - RECT 11635.0 19207.5 11772.5 19272.5 ; - RECT 11635.0 21897.5 11772.5 21962.5 ; - RECT 11635.0 24587.5 11772.5 24652.5 ; - RECT 4175.0 12482.5 11635.0 12547.5 ; - RECT 4175.0 15172.5 11635.0 15237.5 ; - RECT 4175.0 17862.5 11635.0 17927.5 ; - RECT 4175.0 20552.5 11635.0 20617.5 ; - RECT 4175.0 23242.5 11635.0 23307.5 ; - RECT 4175.0 25932.5 11635.0 25997.5 ; - RECT 13002.5 25307.5 16085.0 25372.5 ; - RECT 13207.5 25167.5 16085.0 25232.5 ; - RECT 13412.5 25027.5 16085.0 25092.5 ; - RECT 13617.5 24887.5 16085.0 24952.5 ; - RECT 11225.0 630.0 13002.5 695.0 ; - RECT 11225.0 2065.0 13207.5 2130.0 ; - RECT 11225.0 3320.0 13412.5 3385.0 ; - RECT 11225.0 4755.0 13617.5 4820.0 ; - RECT 11225.0 2.5 13992.5 67.5 ; - RECT 11225.0 2692.5 13992.5 2757.5 ; - RECT 11225.0 5382.5 13992.5 5447.5 ; - RECT 4175.0 1347.5 13992.5 1412.5 ; - RECT 4175.0 4037.5 13992.5 4102.5 ; - RECT 11095.0 10375.0 11977.5 10440.0 ; - RECT 11095.0 9670.0 12182.5 9735.0 ; - RECT 11095.0 8965.0 12387.5 9030.0 ; - RECT 11095.0 8260.0 12592.5 8325.0 ; - RECT 11095.0 7555.0 12797.5 7620.0 ; - RECT 11095.0 10727.5 14127.5 10792.5 ; - RECT 11095.0 10022.5 14127.5 10087.5 ; - RECT 11095.0 9317.5 14127.5 9382.5 ; - RECT 11095.0 8612.5 14127.5 8677.5 ; - RECT 11095.0 7907.5 14127.5 7972.5 ; - RECT 11095.0 7202.5 14127.5 7267.5 ; - RECT 11095.0 6497.5 14127.5 6562.5 ; - RECT 11095.0 5792.5 14127.5 5857.5 ; - RECT 7865.0 5587.5 7930.0 5652.5 ; - RECT 7865.0 5620.0 7930.0 5825.0 ; - RECT 4175.0 5587.5 7897.5 5652.5 ; - RECT 10825.0 5587.5 10890.0 5652.5 ; - RECT 10825.0 5620.0 10890.0 5825.0 ; - RECT 4175.0 5587.5 10857.5 5652.5 ; - RECT 5875.0 5587.5 5940.0 5652.5 ; - RECT 5875.0 5620.0 5940.0 5825.0 ; - RECT 4175.0 5587.5 5907.5 5652.5 ; - RECT 8835.0 5587.5 8900.0 5652.5 ; - RECT 8835.0 5620.0 8900.0 5825.0 ; - RECT 4175.0 5587.5 8867.5 5652.5 ; - RECT 15197.5 9170.0 16085.0 9235.0 ; - RECT 14787.5 6985.0 16085.0 7050.0 ; - RECT 14992.5 8532.5 16085.0 8597.5 ; - RECT 15197.5 71327.5 16085.0 71392.5 ; - RECT 15402.5 15672.5 16085.0 15737.5 ; - RECT 15607.5 19697.5 16085.0 19762.5 ; - RECT 4860.0 10932.5 4925.0 10997.5 ; - RECT 4860.0 10760.0 4925.0 10965.0 ; - RECT 4892.5 10932.5 14582.5 10997.5 ; - RECT 8465.0 70522.5 14647.5 70587.5 ; - RECT 16085.0 72012.5 21920.0 72077.5 ; - RECT 16085.0 24270.0 21920.0 24335.0 ; - RECT 16085.0 15802.5 21920.0 15867.5 ; - RECT 16085.0 12175.0 21920.0 12240.0 ; - RECT 16085.0 15135.0 21920.0 15200.0 ; - RECT 16085.0 10185.0 21920.0 10250.0 ; - RECT 16085.0 13145.0 21920.0 13210.0 ; - RECT 16085.0 7115.0 21920.0 7180.0 ; - RECT 14342.5 8402.5 16085.0 8467.5 ; - RECT 14342.5 19827.5 16085.0 19892.5 ; - RECT 14342.5 9330.0 16085.0 9395.0 ; - RECT 14342.5 16605.0 16085.0 16670.0 ; - RECT 16085.0 27310.0 16790.0 28655.0 ; - RECT 16085.0 30000.0 16790.0 28655.0 ; - RECT 16085.0 30000.0 16790.0 31345.0 ; - RECT 16085.0 32690.0 16790.0 31345.0 ; - RECT 16085.0 32690.0 16790.0 34035.0 ; - RECT 16085.0 35380.0 16790.0 34035.0 ; - RECT 16085.0 35380.0 16790.0 36725.0 ; - RECT 16085.0 38070.0 16790.0 36725.0 ; - RECT 16085.0 38070.0 16790.0 39415.0 ; - RECT 16085.0 40760.0 16790.0 39415.0 ; - RECT 16085.0 40760.0 16790.0 42105.0 ; - RECT 16085.0 43450.0 16790.0 42105.0 ; - RECT 16085.0 43450.0 16790.0 44795.0 ; - RECT 16085.0 46140.0 16790.0 44795.0 ; - RECT 16085.0 46140.0 16790.0 47485.0 ; - RECT 16085.0 48830.0 16790.0 47485.0 ; - RECT 16085.0 48830.0 16790.0 50175.0 ; - RECT 16085.0 51520.0 16790.0 50175.0 ; - RECT 16085.0 51520.0 16790.0 52865.0 ; - RECT 16085.0 54210.0 16790.0 52865.0 ; - RECT 16085.0 54210.0 16790.0 55555.0 ; - RECT 16085.0 56900.0 16790.0 55555.0 ; - RECT 16085.0 56900.0 16790.0 58245.0 ; - RECT 16085.0 59590.0 16790.0 58245.0 ; - RECT 16085.0 59590.0 16790.0 60935.0 ; - RECT 16085.0 62280.0 16790.0 60935.0 ; - RECT 16085.0 62280.0 16790.0 63625.0 ; - RECT 16085.0 64970.0 16790.0 63625.0 ; - RECT 16085.0 64970.0 16790.0 66315.0 ; - RECT 16085.0 67660.0 16790.0 66315.0 ; - RECT 16085.0 67660.0 16790.0 69005.0 ; - RECT 16085.0 70350.0 16790.0 69005.0 ; - RECT 16790.0 27310.0 17495.0 28655.0 ; - RECT 16790.0 30000.0 17495.0 28655.0 ; - RECT 16790.0 30000.0 17495.0 31345.0 ; - RECT 16790.0 32690.0 17495.0 31345.0 ; - RECT 16790.0 32690.0 17495.0 34035.0 ; - RECT 16790.0 35380.0 17495.0 34035.0 ; - RECT 16790.0 35380.0 17495.0 36725.0 ; - RECT 16790.0 38070.0 17495.0 36725.0 ; - RECT 16790.0 38070.0 17495.0 39415.0 ; - RECT 16790.0 40760.0 17495.0 39415.0 ; - RECT 16790.0 40760.0 17495.0 42105.0 ; - RECT 16790.0 43450.0 17495.0 42105.0 ; - RECT 16790.0 43450.0 17495.0 44795.0 ; - RECT 16790.0 46140.0 17495.0 44795.0 ; - RECT 16790.0 46140.0 17495.0 47485.0 ; - RECT 16790.0 48830.0 17495.0 47485.0 ; - RECT 16790.0 48830.0 17495.0 50175.0 ; - RECT 16790.0 51520.0 17495.0 50175.0 ; - RECT 16790.0 51520.0 17495.0 52865.0 ; - RECT 16790.0 54210.0 17495.0 52865.0 ; - RECT 16790.0 54210.0 17495.0 55555.0 ; - RECT 16790.0 56900.0 17495.0 55555.0 ; - RECT 16790.0 56900.0 17495.0 58245.0 ; - RECT 16790.0 59590.0 17495.0 58245.0 ; - RECT 16790.0 59590.0 17495.0 60935.0 ; - RECT 16790.0 62280.0 17495.0 60935.0 ; - RECT 16790.0 62280.0 17495.0 63625.0 ; - RECT 16790.0 64970.0 17495.0 63625.0 ; - RECT 16790.0 64970.0 17495.0 66315.0 ; - RECT 16790.0 67660.0 17495.0 66315.0 ; - RECT 16790.0 67660.0 17495.0 69005.0 ; - RECT 16790.0 70350.0 17495.0 69005.0 ; - RECT 17495.0 27310.0 18200.0 28655.0 ; - RECT 17495.0 30000.0 18200.0 28655.0 ; - RECT 17495.0 30000.0 18200.0 31345.0 ; - RECT 17495.0 32690.0 18200.0 31345.0 ; - RECT 17495.0 32690.0 18200.0 34035.0 ; - RECT 17495.0 35380.0 18200.0 34035.0 ; - RECT 17495.0 35380.0 18200.0 36725.0 ; - RECT 17495.0 38070.0 18200.0 36725.0 ; - RECT 17495.0 38070.0 18200.0 39415.0 ; - RECT 17495.0 40760.0 18200.0 39415.0 ; - RECT 17495.0 40760.0 18200.0 42105.0 ; - RECT 17495.0 43450.0 18200.0 42105.0 ; - RECT 17495.0 43450.0 18200.0 44795.0 ; - RECT 17495.0 46140.0 18200.0 44795.0 ; - RECT 17495.0 46140.0 18200.0 47485.0 ; - RECT 17495.0 48830.0 18200.0 47485.0 ; - RECT 17495.0 48830.0 18200.0 50175.0 ; - RECT 17495.0 51520.0 18200.0 50175.0 ; - RECT 17495.0 51520.0 18200.0 52865.0 ; - RECT 17495.0 54210.0 18200.0 52865.0 ; - RECT 17495.0 54210.0 18200.0 55555.0 ; - RECT 17495.0 56900.0 18200.0 55555.0 ; - RECT 17495.0 56900.0 18200.0 58245.0 ; - RECT 17495.0 59590.0 18200.0 58245.0 ; - RECT 17495.0 59590.0 18200.0 60935.0 ; - RECT 17495.0 62280.0 18200.0 60935.0 ; - RECT 17495.0 62280.0 18200.0 63625.0 ; - RECT 17495.0 64970.0 18200.0 63625.0 ; - RECT 17495.0 64970.0 18200.0 66315.0 ; - RECT 17495.0 67660.0 18200.0 66315.0 ; - RECT 17495.0 67660.0 18200.0 69005.0 ; - RECT 17495.0 70350.0 18200.0 69005.0 ; - RECT 18200.0 27310.0 18905.0 28655.0 ; - RECT 18200.0 30000.0 18905.0 28655.0 ; - RECT 18200.0 30000.0 18905.0 31345.0 ; - RECT 18200.0 32690.0 18905.0 31345.0 ; - RECT 18200.0 32690.0 18905.0 34035.0 ; - RECT 18200.0 35380.0 18905.0 34035.0 ; - RECT 18200.0 35380.0 18905.0 36725.0 ; - RECT 18200.0 38070.0 18905.0 36725.0 ; - RECT 18200.0 38070.0 18905.0 39415.0 ; - RECT 18200.0 40760.0 18905.0 39415.0 ; - RECT 18200.0 40760.0 18905.0 42105.0 ; - RECT 18200.0 43450.0 18905.0 42105.0 ; - RECT 18200.0 43450.0 18905.0 44795.0 ; - RECT 18200.0 46140.0 18905.0 44795.0 ; - RECT 18200.0 46140.0 18905.0 47485.0 ; - RECT 18200.0 48830.0 18905.0 47485.0 ; - RECT 18200.0 48830.0 18905.0 50175.0 ; - RECT 18200.0 51520.0 18905.0 50175.0 ; - RECT 18200.0 51520.0 18905.0 52865.0 ; - RECT 18200.0 54210.0 18905.0 52865.0 ; - RECT 18200.0 54210.0 18905.0 55555.0 ; - RECT 18200.0 56900.0 18905.0 55555.0 ; - RECT 18200.0 56900.0 18905.0 58245.0 ; - RECT 18200.0 59590.0 18905.0 58245.0 ; - RECT 18200.0 59590.0 18905.0 60935.0 ; - RECT 18200.0 62280.0 18905.0 60935.0 ; - RECT 18200.0 62280.0 18905.0 63625.0 ; - RECT 18200.0 64970.0 18905.0 63625.0 ; - RECT 18200.0 64970.0 18905.0 66315.0 ; - RECT 18200.0 67660.0 18905.0 66315.0 ; - RECT 18200.0 67660.0 18905.0 69005.0 ; - RECT 18200.0 70350.0 18905.0 69005.0 ; - RECT 18905.0 27310.0 19610.0 28655.0 ; - RECT 18905.0 30000.0 19610.0 28655.0 ; - RECT 18905.0 30000.0 19610.0 31345.0 ; - RECT 18905.0 32690.0 19610.0 31345.0 ; - RECT 18905.0 32690.0 19610.0 34035.0 ; - RECT 18905.0 35380.0 19610.0 34035.0 ; - RECT 18905.0 35380.0 19610.0 36725.0 ; - RECT 18905.0 38070.0 19610.0 36725.0 ; - RECT 18905.0 38070.0 19610.0 39415.0 ; - RECT 18905.0 40760.0 19610.0 39415.0 ; - RECT 18905.0 40760.0 19610.0 42105.0 ; - RECT 18905.0 43450.0 19610.0 42105.0 ; - RECT 18905.0 43450.0 19610.0 44795.0 ; - RECT 18905.0 46140.0 19610.0 44795.0 ; - RECT 18905.0 46140.0 19610.0 47485.0 ; - RECT 18905.0 48830.0 19610.0 47485.0 ; - RECT 18905.0 48830.0 19610.0 50175.0 ; - RECT 18905.0 51520.0 19610.0 50175.0 ; - RECT 18905.0 51520.0 19610.0 52865.0 ; - RECT 18905.0 54210.0 19610.0 52865.0 ; - RECT 18905.0 54210.0 19610.0 55555.0 ; - RECT 18905.0 56900.0 19610.0 55555.0 ; - RECT 18905.0 56900.0 19610.0 58245.0 ; - RECT 18905.0 59590.0 19610.0 58245.0 ; - RECT 18905.0 59590.0 19610.0 60935.0 ; - RECT 18905.0 62280.0 19610.0 60935.0 ; - RECT 18905.0 62280.0 19610.0 63625.0 ; - RECT 18905.0 64970.0 19610.0 63625.0 ; - RECT 18905.0 64970.0 19610.0 66315.0 ; - RECT 18905.0 67660.0 19610.0 66315.0 ; - RECT 18905.0 67660.0 19610.0 69005.0 ; - RECT 18905.0 70350.0 19610.0 69005.0 ; - RECT 19610.0 27310.0 20315.0 28655.0 ; - RECT 19610.0 30000.0 20315.0 28655.0 ; - RECT 19610.0 30000.0 20315.0 31345.0 ; - RECT 19610.0 32690.0 20315.0 31345.0 ; - RECT 19610.0 32690.0 20315.0 34035.0 ; - RECT 19610.0 35380.0 20315.0 34035.0 ; - RECT 19610.0 35380.0 20315.0 36725.0 ; - RECT 19610.0 38070.0 20315.0 36725.0 ; - RECT 19610.0 38070.0 20315.0 39415.0 ; - RECT 19610.0 40760.0 20315.0 39415.0 ; - RECT 19610.0 40760.0 20315.0 42105.0 ; - RECT 19610.0 43450.0 20315.0 42105.0 ; - RECT 19610.0 43450.0 20315.0 44795.0 ; - RECT 19610.0 46140.0 20315.0 44795.0 ; - RECT 19610.0 46140.0 20315.0 47485.0 ; - RECT 19610.0 48830.0 20315.0 47485.0 ; - RECT 19610.0 48830.0 20315.0 50175.0 ; - RECT 19610.0 51520.0 20315.0 50175.0 ; - RECT 19610.0 51520.0 20315.0 52865.0 ; - RECT 19610.0 54210.0 20315.0 52865.0 ; - RECT 19610.0 54210.0 20315.0 55555.0 ; - RECT 19610.0 56900.0 20315.0 55555.0 ; - RECT 19610.0 56900.0 20315.0 58245.0 ; - RECT 19610.0 59590.0 20315.0 58245.0 ; - RECT 19610.0 59590.0 20315.0 60935.0 ; - RECT 19610.0 62280.0 20315.0 60935.0 ; - RECT 19610.0 62280.0 20315.0 63625.0 ; - RECT 19610.0 64970.0 20315.0 63625.0 ; - RECT 19610.0 64970.0 20315.0 66315.0 ; - RECT 19610.0 67660.0 20315.0 66315.0 ; - RECT 19610.0 67660.0 20315.0 69005.0 ; - RECT 19610.0 70350.0 20315.0 69005.0 ; - RECT 20315.0 27310.0 21020.0 28655.0 ; - RECT 20315.0 30000.0 21020.0 28655.0 ; - RECT 20315.0 30000.0 21020.0 31345.0 ; - RECT 20315.0 32690.0 21020.0 31345.0 ; - RECT 20315.0 32690.0 21020.0 34035.0 ; - RECT 20315.0 35380.0 21020.0 34035.0 ; - RECT 20315.0 35380.0 21020.0 36725.0 ; - RECT 20315.0 38070.0 21020.0 36725.0 ; - RECT 20315.0 38070.0 21020.0 39415.0 ; - RECT 20315.0 40760.0 21020.0 39415.0 ; - RECT 20315.0 40760.0 21020.0 42105.0 ; - RECT 20315.0 43450.0 21020.0 42105.0 ; - RECT 20315.0 43450.0 21020.0 44795.0 ; - RECT 20315.0 46140.0 21020.0 44795.0 ; - RECT 20315.0 46140.0 21020.0 47485.0 ; - RECT 20315.0 48830.0 21020.0 47485.0 ; - RECT 20315.0 48830.0 21020.0 50175.0 ; - RECT 20315.0 51520.0 21020.0 50175.0 ; - RECT 20315.0 51520.0 21020.0 52865.0 ; - RECT 20315.0 54210.0 21020.0 52865.0 ; - RECT 20315.0 54210.0 21020.0 55555.0 ; - RECT 20315.0 56900.0 21020.0 55555.0 ; - RECT 20315.0 56900.0 21020.0 58245.0 ; - RECT 20315.0 59590.0 21020.0 58245.0 ; - RECT 20315.0 59590.0 21020.0 60935.0 ; - RECT 20315.0 62280.0 21020.0 60935.0 ; - RECT 20315.0 62280.0 21020.0 63625.0 ; - RECT 20315.0 64970.0 21020.0 63625.0 ; - RECT 20315.0 64970.0 21020.0 66315.0 ; - RECT 20315.0 67660.0 21020.0 66315.0 ; - RECT 20315.0 67660.0 21020.0 69005.0 ; - RECT 20315.0 70350.0 21020.0 69005.0 ; - RECT 21020.0 27310.0 21725.0 28655.0 ; - RECT 21020.0 30000.0 21725.0 28655.0 ; - RECT 21020.0 30000.0 21725.0 31345.0 ; - RECT 21020.0 32690.0 21725.0 31345.0 ; - RECT 21020.0 32690.0 21725.0 34035.0 ; - RECT 21020.0 35380.0 21725.0 34035.0 ; - RECT 21020.0 35380.0 21725.0 36725.0 ; - RECT 21020.0 38070.0 21725.0 36725.0 ; - RECT 21020.0 38070.0 21725.0 39415.0 ; - RECT 21020.0 40760.0 21725.0 39415.0 ; - RECT 21020.0 40760.0 21725.0 42105.0 ; - RECT 21020.0 43450.0 21725.0 42105.0 ; - RECT 21020.0 43450.0 21725.0 44795.0 ; - RECT 21020.0 46140.0 21725.0 44795.0 ; - RECT 21020.0 46140.0 21725.0 47485.0 ; - RECT 21020.0 48830.0 21725.0 47485.0 ; - RECT 21020.0 48830.0 21725.0 50175.0 ; - RECT 21020.0 51520.0 21725.0 50175.0 ; - RECT 21020.0 51520.0 21725.0 52865.0 ; - RECT 21020.0 54210.0 21725.0 52865.0 ; - RECT 21020.0 54210.0 21725.0 55555.0 ; - RECT 21020.0 56900.0 21725.0 55555.0 ; - RECT 21020.0 56900.0 21725.0 58245.0 ; - RECT 21020.0 59590.0 21725.0 58245.0 ; - RECT 21020.0 59590.0 21725.0 60935.0 ; - RECT 21020.0 62280.0 21725.0 60935.0 ; - RECT 21020.0 62280.0 21725.0 63625.0 ; - RECT 21020.0 64970.0 21725.0 63625.0 ; - RECT 21020.0 64970.0 21725.0 66315.0 ; - RECT 21020.0 67660.0 21725.0 66315.0 ; - RECT 21020.0 67660.0 21725.0 69005.0 ; - RECT 21020.0 70350.0 21725.0 69005.0 ; - RECT 15995.0 27417.5 21815.0 27482.5 ; - RECT 15995.0 29827.5 21815.0 29892.5 ; - RECT 15995.0 30107.5 21815.0 30172.5 ; - RECT 15995.0 32517.5 21815.0 32582.5 ; - RECT 15995.0 32797.5 21815.0 32862.5 ; - RECT 15995.0 35207.5 21815.0 35272.5 ; - RECT 15995.0 35487.5 21815.0 35552.5 ; - RECT 15995.0 37897.5 21815.0 37962.5 ; - RECT 15995.0 38177.5 21815.0 38242.5 ; - RECT 15995.0 40587.5 21815.0 40652.5 ; - RECT 15995.0 40867.5 21815.0 40932.5 ; - RECT 15995.0 43277.5 21815.0 43342.5 ; - RECT 15995.0 43557.5 21815.0 43622.5 ; - RECT 15995.0 45967.5 21815.0 46032.5 ; - RECT 15995.0 46247.5 21815.0 46312.5 ; - RECT 15995.0 48657.5 21815.0 48722.5 ; - RECT 15995.0 48937.5 21815.0 49002.5 ; - RECT 15995.0 51347.5 21815.0 51412.5 ; - RECT 15995.0 51627.5 21815.0 51692.5 ; - RECT 15995.0 54037.5 21815.0 54102.5 ; - RECT 15995.0 54317.5 21815.0 54382.5 ; - RECT 15995.0 56727.5 21815.0 56792.5 ; - RECT 15995.0 57007.5 21815.0 57072.5 ; - RECT 15995.0 59417.5 21815.0 59482.5 ; - RECT 15995.0 59697.5 21815.0 59762.5 ; - RECT 15995.0 62107.5 21815.0 62172.5 ; - RECT 15995.0 62387.5 21815.0 62452.5 ; - RECT 15995.0 64797.5 21815.0 64862.5 ; - RECT 15995.0 65077.5 21815.0 65142.5 ; - RECT 15995.0 67487.5 21815.0 67552.5 ; - RECT 15995.0 67767.5 21815.0 67832.5 ; - RECT 15995.0 70177.5 21815.0 70242.5 ; - RECT 15995.0 28622.5 21815.0 28687.5 ; - RECT 15995.0 31312.5 21815.0 31377.5 ; - RECT 15995.0 34002.5 21815.0 34067.5 ; - RECT 15995.0 36692.5 21815.0 36757.5 ; - RECT 15995.0 39382.5 21815.0 39447.5 ; - RECT 15995.0 42072.5 21815.0 42137.5 ; - RECT 15995.0 44762.5 21815.0 44827.5 ; - RECT 15995.0 47452.5 21815.0 47517.5 ; - RECT 15995.0 50142.5 21815.0 50207.5 ; - RECT 15995.0 52832.5 21815.0 52897.5 ; - RECT 15995.0 55522.5 21815.0 55587.5 ; - RECT 15995.0 58212.5 21815.0 58277.5 ; - RECT 15995.0 60902.5 21815.0 60967.5 ; - RECT 15995.0 63592.5 21815.0 63657.5 ; - RECT 15995.0 66282.5 21815.0 66347.5 ; - RECT 15995.0 68972.5 21815.0 69037.5 ; - RECT 15995.0 27277.5 21815.0 27342.5 ; - RECT 15995.0 29967.5 21815.0 30032.5 ; - RECT 15995.0 32657.5 21815.0 32722.5 ; - RECT 15995.0 35347.5 21815.0 35412.5 ; - RECT 15995.0 38037.5 21815.0 38102.5 ; - RECT 15995.0 40727.5 21815.0 40792.5 ; - RECT 15995.0 43417.5 21815.0 43482.5 ; - RECT 15995.0 46107.5 21815.0 46172.5 ; - RECT 15995.0 48797.5 21815.0 48862.5 ; - RECT 15995.0 51487.5 21815.0 51552.5 ; - RECT 15995.0 54177.5 21815.0 54242.5 ; - RECT 15995.0 56867.5 21815.0 56932.5 ; - RECT 15995.0 59557.5 21815.0 59622.5 ; - RECT 15995.0 62247.5 21815.0 62312.5 ; - RECT 15995.0 64937.5 21815.0 65002.5 ; - RECT 15995.0 67627.5 21815.0 67692.5 ; - RECT 15995.0 70317.5 21815.0 70382.5 ; - RECT 16437.5 71562.5 16502.5 72077.5 ; - RECT 16247.5 71032.5 16312.5 71167.5 ; - RECT 16437.5 71032.5 16502.5 71167.5 ; - RECT 16437.5 71032.5 16502.5 71167.5 ; - RECT 16247.5 71032.5 16312.5 71167.5 ; - RECT 16247.5 71562.5 16312.5 71697.5 ; - RECT 16437.5 71562.5 16502.5 71697.5 ; - RECT 16437.5 71562.5 16502.5 71697.5 ; - RECT 16247.5 71562.5 16312.5 71697.5 ; - RECT 16437.5 71562.5 16502.5 71697.5 ; - RECT 16627.5 71562.5 16692.5 71697.5 ; - RECT 16627.5 71562.5 16692.5 71697.5 ; - RECT 16437.5 71562.5 16502.5 71697.5 ; - RECT 16417.5 71327.5 16282.5 71392.5 ; - RECT 16437.5 71875.0 16502.5 72010.0 ; - RECT 16247.5 71032.5 16312.5 71167.5 ; - RECT 16437.5 71032.5 16502.5 71167.5 ; - RECT 16247.5 71562.5 16312.5 71697.5 ; - RECT 16627.5 71562.5 16692.5 71697.5 ; - RECT 16085.0 71327.5 16790.0 71392.5 ; - RECT 16085.0 72012.5 16790.0 72077.5 ; - RECT 17142.5 71562.5 17207.5 72077.5 ; - RECT 16952.5 71032.5 17017.5 71167.5 ; - RECT 17142.5 71032.5 17207.5 71167.5 ; - RECT 17142.5 71032.5 17207.5 71167.5 ; - RECT 16952.5 71032.5 17017.5 71167.5 ; - RECT 16952.5 71562.5 17017.5 71697.5 ; - RECT 17142.5 71562.5 17207.5 71697.5 ; - RECT 17142.5 71562.5 17207.5 71697.5 ; - RECT 16952.5 71562.5 17017.5 71697.5 ; - RECT 17142.5 71562.5 17207.5 71697.5 ; - RECT 17332.5 71562.5 17397.5 71697.5 ; - RECT 17332.5 71562.5 17397.5 71697.5 ; - RECT 17142.5 71562.5 17207.5 71697.5 ; - RECT 17122.5 71327.5 16987.5 71392.5 ; - RECT 17142.5 71875.0 17207.5 72010.0 ; - RECT 16952.5 71032.5 17017.5 71167.5 ; - RECT 17142.5 71032.5 17207.5 71167.5 ; - RECT 16952.5 71562.5 17017.5 71697.5 ; - RECT 17332.5 71562.5 17397.5 71697.5 ; - RECT 16790.0 71327.5 17495.0 71392.5 ; - RECT 16790.0 72012.5 17495.0 72077.5 ; - RECT 17847.5 71562.5 17912.5 72077.5 ; - RECT 17657.5 71032.5 17722.5 71167.5 ; - RECT 17847.5 71032.5 17912.5 71167.5 ; - RECT 17847.5 71032.5 17912.5 71167.5 ; - RECT 17657.5 71032.5 17722.5 71167.5 ; - RECT 17657.5 71562.5 17722.5 71697.5 ; - RECT 17847.5 71562.5 17912.5 71697.5 ; - RECT 17847.5 71562.5 17912.5 71697.5 ; - RECT 17657.5 71562.5 17722.5 71697.5 ; - RECT 17847.5 71562.5 17912.5 71697.5 ; - RECT 18037.5 71562.5 18102.5 71697.5 ; - RECT 18037.5 71562.5 18102.5 71697.5 ; - RECT 17847.5 71562.5 17912.5 71697.5 ; - RECT 17827.5 71327.5 17692.5 71392.5 ; - RECT 17847.5 71875.0 17912.5 72010.0 ; - RECT 17657.5 71032.5 17722.5 71167.5 ; - RECT 17847.5 71032.5 17912.5 71167.5 ; - RECT 17657.5 71562.5 17722.5 71697.5 ; - RECT 18037.5 71562.5 18102.5 71697.5 ; - RECT 17495.0 71327.5 18200.0 71392.5 ; - RECT 17495.0 72012.5 18200.0 72077.5 ; - RECT 18552.5 71562.5 18617.5 72077.5 ; - RECT 18362.5 71032.5 18427.5 71167.5 ; - RECT 18552.5 71032.5 18617.5 71167.5 ; - RECT 18552.5 71032.5 18617.5 71167.5 ; - RECT 18362.5 71032.5 18427.5 71167.5 ; - RECT 18362.5 71562.5 18427.5 71697.5 ; - RECT 18552.5 71562.5 18617.5 71697.5 ; - RECT 18552.5 71562.5 18617.5 71697.5 ; - RECT 18362.5 71562.5 18427.5 71697.5 ; - RECT 18552.5 71562.5 18617.5 71697.5 ; - RECT 18742.5 71562.5 18807.5 71697.5 ; - RECT 18742.5 71562.5 18807.5 71697.5 ; - RECT 18552.5 71562.5 18617.5 71697.5 ; - RECT 18532.5 71327.5 18397.5 71392.5 ; - RECT 18552.5 71875.0 18617.5 72010.0 ; - RECT 18362.5 71032.5 18427.5 71167.5 ; - RECT 18552.5 71032.5 18617.5 71167.5 ; - RECT 18362.5 71562.5 18427.5 71697.5 ; - RECT 18742.5 71562.5 18807.5 71697.5 ; - RECT 18200.0 71327.5 18905.0 71392.5 ; - RECT 18200.0 72012.5 18905.0 72077.5 ; - RECT 19257.5 71562.5 19322.5 72077.5 ; - RECT 19067.5 71032.5 19132.5 71167.5 ; - RECT 19257.5 71032.5 19322.5 71167.5 ; - RECT 19257.5 71032.5 19322.5 71167.5 ; - RECT 19067.5 71032.5 19132.5 71167.5 ; - RECT 19067.5 71562.5 19132.5 71697.5 ; - RECT 19257.5 71562.5 19322.5 71697.5 ; - RECT 19257.5 71562.5 19322.5 71697.5 ; - RECT 19067.5 71562.5 19132.5 71697.5 ; - RECT 19257.5 71562.5 19322.5 71697.5 ; - RECT 19447.5 71562.5 19512.5 71697.5 ; - RECT 19447.5 71562.5 19512.5 71697.5 ; - RECT 19257.5 71562.5 19322.5 71697.5 ; - RECT 19237.5 71327.5 19102.5 71392.5 ; - RECT 19257.5 71875.0 19322.5 72010.0 ; - RECT 19067.5 71032.5 19132.5 71167.5 ; - RECT 19257.5 71032.5 19322.5 71167.5 ; - RECT 19067.5 71562.5 19132.5 71697.5 ; - RECT 19447.5 71562.5 19512.5 71697.5 ; - RECT 18905.0 71327.5 19610.0 71392.5 ; - RECT 18905.0 72012.5 19610.0 72077.5 ; - RECT 19962.5 71562.5 20027.5 72077.5 ; - RECT 19772.5 71032.5 19837.5 71167.5 ; - RECT 19962.5 71032.5 20027.5 71167.5 ; - RECT 19962.5 71032.5 20027.5 71167.5 ; - RECT 19772.5 71032.5 19837.5 71167.5 ; - RECT 19772.5 71562.5 19837.5 71697.5 ; - RECT 19962.5 71562.5 20027.5 71697.5 ; - RECT 19962.5 71562.5 20027.5 71697.5 ; - RECT 19772.5 71562.5 19837.5 71697.5 ; - RECT 19962.5 71562.5 20027.5 71697.5 ; - RECT 20152.5 71562.5 20217.5 71697.5 ; - RECT 20152.5 71562.5 20217.5 71697.5 ; - RECT 19962.5 71562.5 20027.5 71697.5 ; - RECT 19942.5 71327.5 19807.5 71392.5 ; - RECT 19962.5 71875.0 20027.5 72010.0 ; - RECT 19772.5 71032.5 19837.5 71167.5 ; - RECT 19962.5 71032.5 20027.5 71167.5 ; - RECT 19772.5 71562.5 19837.5 71697.5 ; - RECT 20152.5 71562.5 20217.5 71697.5 ; - RECT 19610.0 71327.5 20315.0 71392.5 ; - RECT 19610.0 72012.5 20315.0 72077.5 ; - RECT 20667.5 71562.5 20732.5 72077.5 ; - RECT 20477.5 71032.5 20542.5 71167.5 ; - RECT 20667.5 71032.5 20732.5 71167.5 ; - RECT 20667.5 71032.5 20732.5 71167.5 ; - RECT 20477.5 71032.5 20542.5 71167.5 ; - RECT 20477.5 71562.5 20542.5 71697.5 ; - RECT 20667.5 71562.5 20732.5 71697.5 ; - RECT 20667.5 71562.5 20732.5 71697.5 ; - RECT 20477.5 71562.5 20542.5 71697.5 ; - RECT 20667.5 71562.5 20732.5 71697.5 ; - RECT 20857.5 71562.5 20922.5 71697.5 ; - RECT 20857.5 71562.5 20922.5 71697.5 ; - RECT 20667.5 71562.5 20732.5 71697.5 ; - RECT 20647.5 71327.5 20512.5 71392.5 ; - RECT 20667.5 71875.0 20732.5 72010.0 ; - RECT 20477.5 71032.5 20542.5 71167.5 ; - RECT 20667.5 71032.5 20732.5 71167.5 ; - RECT 20477.5 71562.5 20542.5 71697.5 ; - RECT 20857.5 71562.5 20922.5 71697.5 ; - RECT 20315.0 71327.5 21020.0 71392.5 ; - RECT 20315.0 72012.5 21020.0 72077.5 ; - RECT 21372.5 71562.5 21437.5 72077.5 ; - RECT 21182.5 71032.5 21247.5 71167.5 ; - RECT 21372.5 71032.5 21437.5 71167.5 ; - RECT 21372.5 71032.5 21437.5 71167.5 ; - RECT 21182.5 71032.5 21247.5 71167.5 ; - RECT 21182.5 71562.5 21247.5 71697.5 ; - RECT 21372.5 71562.5 21437.5 71697.5 ; - RECT 21372.5 71562.5 21437.5 71697.5 ; - RECT 21182.5 71562.5 21247.5 71697.5 ; - RECT 21372.5 71562.5 21437.5 71697.5 ; - RECT 21562.5 71562.5 21627.5 71697.5 ; - RECT 21562.5 71562.5 21627.5 71697.5 ; - RECT 21372.5 71562.5 21437.5 71697.5 ; - RECT 21352.5 71327.5 21217.5 71392.5 ; - RECT 21372.5 71875.0 21437.5 72010.0 ; - RECT 21182.5 71032.5 21247.5 71167.5 ; - RECT 21372.5 71032.5 21437.5 71167.5 ; - RECT 21182.5 71562.5 21247.5 71697.5 ; - RECT 21562.5 71562.5 21627.5 71697.5 ; - RECT 21020.0 71327.5 21725.0 71392.5 ; - RECT 21020.0 72012.5 21725.0 72077.5 ; - RECT 16085.0 71327.5 21725.0 71392.5 ; - RECT 16085.0 72012.5 21725.0 72077.5 ; - RECT 16235.0 24745.0 18420.0 24815.0 ; - RECT 16570.0 24605.0 18755.0 24675.0 ; - RECT 19055.0 24745.0 21240.0 24815.0 ; - RECT 19390.0 24605.0 21575.0 24675.0 ; - RECT 16500.0 27102.5 16565.0 27167.5 ; - RECT 16235.0 27102.5 16532.5 27167.5 ; - RECT 16500.0 26720.0 16565.0 27135.0 ; - RECT 16310.0 25552.5 16375.0 25617.5 ; - RECT 16342.5 25552.5 16605.0 25617.5 ; - RECT 16310.0 25585.0 16375.0 25860.0 ; - RECT 16310.0 25792.5 16375.0 25927.5 ; - RECT 16500.0 25792.5 16565.0 25927.5 ; - RECT 16500.0 25792.5 16565.0 25927.5 ; - RECT 16310.0 25792.5 16375.0 25927.5 ; - RECT 16310.0 26652.5 16375.0 26787.5 ; - RECT 16500.0 26652.5 16565.0 26787.5 ; - RECT 16500.0 26652.5 16565.0 26787.5 ; - RECT 16310.0 26652.5 16375.0 26787.5 ; - RECT 16237.5 27067.5 16302.5 27202.5 ; - RECT 16572.5 25517.5 16637.5 25652.5 ; - RECT 16310.0 26652.5 16375.0 26787.5 ; - RECT 16500.0 25792.5 16565.0 25927.5 ; - RECT 16757.5 25692.5 16822.5 25827.5 ; - RECT 16757.5 25692.5 16822.5 25827.5 ; - RECT 17205.0 27102.5 17270.0 27167.5 ; - RECT 16940.0 27102.5 17237.5 27167.5 ; - RECT 17205.0 26720.0 17270.0 27135.0 ; - RECT 17015.0 25552.5 17080.0 25617.5 ; - RECT 17047.5 25552.5 17310.0 25617.5 ; - RECT 17015.0 25585.0 17080.0 25860.0 ; - RECT 17015.0 25792.5 17080.0 25927.5 ; - RECT 17205.0 25792.5 17270.0 25927.5 ; - RECT 17205.0 25792.5 17270.0 25927.5 ; - RECT 17015.0 25792.5 17080.0 25927.5 ; - RECT 17015.0 26652.5 17080.0 26787.5 ; - RECT 17205.0 26652.5 17270.0 26787.5 ; - RECT 17205.0 26652.5 17270.0 26787.5 ; - RECT 17015.0 26652.5 17080.0 26787.5 ; - RECT 16942.5 27067.5 17007.5 27202.5 ; - RECT 17277.5 25517.5 17342.5 25652.5 ; - RECT 17015.0 26652.5 17080.0 26787.5 ; - RECT 17205.0 25792.5 17270.0 25927.5 ; - RECT 17462.5 25692.5 17527.5 25827.5 ; - RECT 17462.5 25692.5 17527.5 25827.5 ; - RECT 17910.0 27102.5 17975.0 27167.5 ; - RECT 17645.0 27102.5 17942.5 27167.5 ; - RECT 17910.0 26720.0 17975.0 27135.0 ; - RECT 17720.0 25552.5 17785.0 25617.5 ; - RECT 17752.5 25552.5 18015.0 25617.5 ; - RECT 17720.0 25585.0 17785.0 25860.0 ; - RECT 17720.0 25792.5 17785.0 25927.5 ; - RECT 17910.0 25792.5 17975.0 25927.5 ; - RECT 17910.0 25792.5 17975.0 25927.5 ; - RECT 17720.0 25792.5 17785.0 25927.5 ; - RECT 17720.0 26652.5 17785.0 26787.5 ; - RECT 17910.0 26652.5 17975.0 26787.5 ; - RECT 17910.0 26652.5 17975.0 26787.5 ; - RECT 17720.0 26652.5 17785.0 26787.5 ; - RECT 17647.5 27067.5 17712.5 27202.5 ; - RECT 17982.5 25517.5 18047.5 25652.5 ; - RECT 17720.0 26652.5 17785.0 26787.5 ; - RECT 17910.0 25792.5 17975.0 25927.5 ; - RECT 18167.5 25692.5 18232.5 25827.5 ; - RECT 18167.5 25692.5 18232.5 25827.5 ; - RECT 18615.0 27102.5 18680.0 27167.5 ; - RECT 18350.0 27102.5 18647.5 27167.5 ; - RECT 18615.0 26720.0 18680.0 27135.0 ; - RECT 18425.0 25552.5 18490.0 25617.5 ; - RECT 18457.5 25552.5 18720.0 25617.5 ; - RECT 18425.0 25585.0 18490.0 25860.0 ; - RECT 18425.0 25792.5 18490.0 25927.5 ; - RECT 18615.0 25792.5 18680.0 25927.5 ; - RECT 18615.0 25792.5 18680.0 25927.5 ; - RECT 18425.0 25792.5 18490.0 25927.5 ; - RECT 18425.0 26652.5 18490.0 26787.5 ; - RECT 18615.0 26652.5 18680.0 26787.5 ; - RECT 18615.0 26652.5 18680.0 26787.5 ; - RECT 18425.0 26652.5 18490.0 26787.5 ; - RECT 18352.5 27067.5 18417.5 27202.5 ; - RECT 18687.5 25517.5 18752.5 25652.5 ; - RECT 18425.0 26652.5 18490.0 26787.5 ; - RECT 18615.0 25792.5 18680.0 25927.5 ; - RECT 18872.5 25692.5 18937.5 25827.5 ; - RECT 18872.5 25692.5 18937.5 25827.5 ; - RECT 19320.0 27102.5 19385.0 27167.5 ; - RECT 19055.0 27102.5 19352.5 27167.5 ; - RECT 19320.0 26720.0 19385.0 27135.0 ; - RECT 19130.0 25552.5 19195.0 25617.5 ; - RECT 19162.5 25552.5 19425.0 25617.5 ; - RECT 19130.0 25585.0 19195.0 25860.0 ; - RECT 19130.0 25792.5 19195.0 25927.5 ; - RECT 19320.0 25792.5 19385.0 25927.5 ; - RECT 19320.0 25792.5 19385.0 25927.5 ; - RECT 19130.0 25792.5 19195.0 25927.5 ; - RECT 19130.0 26652.5 19195.0 26787.5 ; - RECT 19320.0 26652.5 19385.0 26787.5 ; - RECT 19320.0 26652.5 19385.0 26787.5 ; - RECT 19130.0 26652.5 19195.0 26787.5 ; - RECT 19057.5 27067.5 19122.5 27202.5 ; - RECT 19392.5 25517.5 19457.5 25652.5 ; - RECT 19130.0 26652.5 19195.0 26787.5 ; - RECT 19320.0 25792.5 19385.0 25927.5 ; - RECT 19577.5 25692.5 19642.5 25827.5 ; - RECT 19577.5 25692.5 19642.5 25827.5 ; - RECT 20025.0 27102.5 20090.0 27167.5 ; - RECT 19760.0 27102.5 20057.5 27167.5 ; - RECT 20025.0 26720.0 20090.0 27135.0 ; - RECT 19835.0 25552.5 19900.0 25617.5 ; - RECT 19867.5 25552.5 20130.0 25617.5 ; - RECT 19835.0 25585.0 19900.0 25860.0 ; - RECT 19835.0 25792.5 19900.0 25927.5 ; - RECT 20025.0 25792.5 20090.0 25927.5 ; - RECT 20025.0 25792.5 20090.0 25927.5 ; - RECT 19835.0 25792.5 19900.0 25927.5 ; - RECT 19835.0 26652.5 19900.0 26787.5 ; - RECT 20025.0 26652.5 20090.0 26787.5 ; - RECT 20025.0 26652.5 20090.0 26787.5 ; - RECT 19835.0 26652.5 19900.0 26787.5 ; - RECT 19762.5 27067.5 19827.5 27202.5 ; - RECT 20097.5 25517.5 20162.5 25652.5 ; - RECT 19835.0 26652.5 19900.0 26787.5 ; - RECT 20025.0 25792.5 20090.0 25927.5 ; - RECT 20282.5 25692.5 20347.5 25827.5 ; - RECT 20282.5 25692.5 20347.5 25827.5 ; - RECT 20730.0 27102.5 20795.0 27167.5 ; - RECT 20465.0 27102.5 20762.5 27167.5 ; - RECT 20730.0 26720.0 20795.0 27135.0 ; - RECT 20540.0 25552.5 20605.0 25617.5 ; - RECT 20572.5 25552.5 20835.0 25617.5 ; - RECT 20540.0 25585.0 20605.0 25860.0 ; - RECT 20540.0 25792.5 20605.0 25927.5 ; - RECT 20730.0 25792.5 20795.0 25927.5 ; - RECT 20730.0 25792.5 20795.0 25927.5 ; - RECT 20540.0 25792.5 20605.0 25927.5 ; - RECT 20540.0 26652.5 20605.0 26787.5 ; - RECT 20730.0 26652.5 20795.0 26787.5 ; - RECT 20730.0 26652.5 20795.0 26787.5 ; - RECT 20540.0 26652.5 20605.0 26787.5 ; - RECT 20467.5 27067.5 20532.5 27202.5 ; - RECT 20802.5 25517.5 20867.5 25652.5 ; - RECT 20540.0 26652.5 20605.0 26787.5 ; - RECT 20730.0 25792.5 20795.0 25927.5 ; - RECT 20987.5 25692.5 21052.5 25827.5 ; - RECT 20987.5 25692.5 21052.5 25827.5 ; - RECT 21435.0 27102.5 21500.0 27167.5 ; - RECT 21170.0 27102.5 21467.5 27167.5 ; - RECT 21435.0 26720.0 21500.0 27135.0 ; - RECT 21245.0 25552.5 21310.0 25617.5 ; - RECT 21277.5 25552.5 21540.0 25617.5 ; - RECT 21245.0 25585.0 21310.0 25860.0 ; - RECT 21245.0 25792.5 21310.0 25927.5 ; - RECT 21435.0 25792.5 21500.0 25927.5 ; - RECT 21435.0 25792.5 21500.0 25927.5 ; - RECT 21245.0 25792.5 21310.0 25927.5 ; - RECT 21245.0 26652.5 21310.0 26787.5 ; - RECT 21435.0 26652.5 21500.0 26787.5 ; - RECT 21435.0 26652.5 21500.0 26787.5 ; - RECT 21245.0 26652.5 21310.0 26787.5 ; - RECT 21172.5 27067.5 21237.5 27202.5 ; - RECT 21507.5 25517.5 21572.5 25652.5 ; - RECT 21245.0 26652.5 21310.0 26787.5 ; - RECT 21435.0 25792.5 21500.0 25927.5 ; - RECT 21692.5 25692.5 21757.5 25827.5 ; - RECT 21692.5 25692.5 21757.5 25827.5 ; - RECT 16505.0 25307.5 16370.0 25372.5 ; - RECT 17210.0 25167.5 17075.0 25232.5 ; - RECT 17915.0 25027.5 17780.0 25092.5 ; - RECT 18620.0 24887.5 18485.0 24952.5 ; - RECT 19325.0 25307.5 19190.0 25372.5 ; - RECT 20030.0 25167.5 19895.0 25232.5 ; - RECT 20735.0 25027.5 20600.0 25092.5 ; - RECT 21440.0 24887.5 21305.0 24952.5 ; - RECT 16370.0 24747.5 16235.0 24812.5 ; - RECT 16570.0 24607.5 16435.0 24672.5 ; - RECT 17075.0 24747.5 16940.0 24812.5 ; - RECT 17275.0 24607.5 17140.0 24672.5 ; - RECT 17780.0 24747.5 17645.0 24812.5 ; - RECT 17980.0 24607.5 17845.0 24672.5 ; - RECT 18485.0 24747.5 18350.0 24812.5 ; - RECT 18685.0 24607.5 18550.0 24672.5 ; - RECT 19190.0 24747.5 19055.0 24812.5 ; - RECT 19390.0 24607.5 19255.0 24672.5 ; - RECT 19895.0 24747.5 19760.0 24812.5 ; - RECT 20095.0 24607.5 19960.0 24672.5 ; - RECT 20600.0 24747.5 20465.0 24812.5 ; - RECT 20800.0 24607.5 20665.0 24672.5 ; - RECT 21305.0 24747.5 21170.0 24812.5 ; - RECT 21505.0 24607.5 21370.0 24672.5 ; - RECT 16085.0 25305.0 21725.0 25375.0 ; - RECT 16085.0 25165.0 21725.0 25235.0 ; - RECT 16085.0 25025.0 21725.0 25095.0 ; - RECT 16085.0 24885.0 21725.0 24955.0 ; - RECT 8402.5 630.0 8467.5 695.0 ; - RECT 8402.5 1152.5 8467.5 1217.5 ; - RECT 8165.0 630.0 8435.0 695.0 ; - RECT 8402.5 662.5 8467.5 1185.0 ; - RECT 8435.0 1152.5 8680.0 1217.5 ; - RECT 7295.0 630.0 7935.0 695.0 ; - RECT 8402.5 2065.0 8467.5 2130.0 ; - RECT 8402.5 2497.5 8467.5 2562.5 ; - RECT 8165.0 2065.0 8435.0 2130.0 ; - RECT 8402.5 2097.5 8467.5 2530.0 ; - RECT 8435.0 2497.5 8955.0 2562.5 ; - RECT 7570.0 2065.0 7935.0 2130.0 ; - RECT 7295.0 2827.5 9230.0 2892.5 ; - RECT 7570.0 4172.5 9505.0 4237.5 ; - RECT 8680.0 642.5 9805.0 707.5 ; - RECT 8955.0 427.5 10062.5 492.5 ; - RECT 9230.0 2052.5 9805.0 2117.5 ; - RECT 8955.0 2267.5 10062.5 2332.5 ; - RECT 8680.0 3332.5 9805.0 3397.5 ; - RECT 9505.0 3117.5 10062.5 3182.5 ; - RECT 9230.0 4742.5 9805.0 4807.5 ; - RECT 9505.0 4957.5 10062.5 5022.5 ; - RECT 10510.0 642.5 10575.0 707.5 ; - RECT 10510.0 630.0 10575.0 695.0 ; - RECT 10292.5 642.5 10542.5 707.5 ; - RECT 10510.0 662.5 10575.0 675.0 ; - RECT 10542.5 630.0 10790.0 695.0 ; - RECT 10510.0 2052.5 10575.0 2117.5 ; - RECT 10510.0 2065.0 10575.0 2130.0 ; - RECT 10292.5 2052.5 10542.5 2117.5 ; - RECT 10510.0 2085.0 10575.0 2097.5 ; - RECT 10542.5 2065.0 10790.0 2130.0 ; - RECT 10510.0 3332.5 10575.0 3397.5 ; - RECT 10510.0 3320.0 10575.0 3385.0 ; - RECT 10292.5 3332.5 10542.5 3397.5 ; - RECT 10510.0 3352.5 10575.0 3365.0 ; - RECT 10542.5 3320.0 10790.0 3385.0 ; - RECT 10510.0 4742.5 10575.0 4807.5 ; - RECT 10510.0 4755.0 10575.0 4820.0 ; - RECT 10292.5 4742.5 10542.5 4807.5 ; - RECT 10510.0 4775.0 10575.0 4787.5 ; - RECT 10542.5 4755.0 10790.0 4820.0 ; - RECT 8237.5 1195.0 8302.5 1380.0 ; - RECT 8237.5 35.0 8302.5 220.0 ; - RECT 7877.5 152.5 7942.5 2.5 ; - RECT 7877.5 1037.5 7942.5 1412.5 ; - RECT 8067.5 152.5 8132.5 1037.5 ; - RECT 7877.5 1037.5 7942.5 1172.5 ; - RECT 8067.5 1037.5 8132.5 1172.5 ; - RECT 8067.5 1037.5 8132.5 1172.5 ; - RECT 7877.5 1037.5 7942.5 1172.5 ; - RECT 7877.5 152.5 7942.5 287.5 ; - RECT 8067.5 152.5 8132.5 287.5 ; - RECT 8067.5 152.5 8132.5 287.5 ; - RECT 7877.5 152.5 7942.5 287.5 ; - RECT 8237.5 1127.5 8302.5 1262.5 ; - RECT 8237.5 152.5 8302.5 287.5 ; - RECT 7935.0 595.0 8000.0 730.0 ; - RECT 7935.0 595.0 8000.0 730.0 ; - RECT 8100.0 630.0 8165.0 695.0 ; - RECT 7810.0 1347.5 8370.0 1412.5 ; - RECT 7810.0 2.5 8370.0 67.5 ; - RECT 8237.5 1565.0 8302.5 1380.0 ; - RECT 8237.5 2725.0 8302.5 2540.0 ; - RECT 7877.5 2607.5 7942.5 2757.5 ; - RECT 7877.5 1722.5 7942.5 1347.5 ; - RECT 8067.5 2607.5 8132.5 1722.5 ; - RECT 7877.5 1722.5 7942.5 1587.5 ; - RECT 8067.5 1722.5 8132.5 1587.5 ; - RECT 8067.5 1722.5 8132.5 1587.5 ; - RECT 7877.5 1722.5 7942.5 1587.5 ; - RECT 7877.5 2607.5 7942.5 2472.5 ; - RECT 8067.5 2607.5 8132.5 2472.5 ; - RECT 8067.5 2607.5 8132.5 2472.5 ; - RECT 7877.5 2607.5 7942.5 2472.5 ; - RECT 8237.5 1632.5 8302.5 1497.5 ; - RECT 8237.5 2607.5 8302.5 2472.5 ; - RECT 7935.0 2165.0 8000.0 2030.0 ; - RECT 7935.0 2165.0 8000.0 2030.0 ; - RECT 8100.0 2130.0 8165.0 2065.0 ; - RECT 7810.0 1412.5 8370.0 1347.5 ; - RECT 7810.0 2757.5 8370.0 2692.5 ; - RECT 11092.5 1195.0 11157.5 1380.0 ; - RECT 11092.5 35.0 11157.5 220.0 ; - RECT 10732.5 152.5 10797.5 2.5 ; - RECT 10732.5 1037.5 10797.5 1412.5 ; - RECT 10922.5 152.5 10987.5 1037.5 ; - RECT 10732.5 1037.5 10797.5 1172.5 ; - RECT 10922.5 1037.5 10987.5 1172.5 ; - RECT 10922.5 1037.5 10987.5 1172.5 ; - RECT 10732.5 1037.5 10797.5 1172.5 ; - RECT 10732.5 152.5 10797.5 287.5 ; - RECT 10922.5 152.5 10987.5 287.5 ; - RECT 10922.5 152.5 10987.5 287.5 ; - RECT 10732.5 152.5 10797.5 287.5 ; - RECT 11092.5 1127.5 11157.5 1262.5 ; - RECT 11092.5 152.5 11157.5 287.5 ; - RECT 10790.0 595.0 10855.0 730.0 ; - RECT 10790.0 595.0 10855.0 730.0 ; - RECT 10955.0 630.0 11020.0 695.0 ; - RECT 10665.0 1347.5 11225.0 1412.5 ; - RECT 10665.0 2.5 11225.0 67.5 ; - RECT 11092.5 1565.0 11157.5 1380.0 ; - RECT 11092.5 2725.0 11157.5 2540.0 ; - RECT 10732.5 2607.5 10797.5 2757.5 ; - RECT 10732.5 1722.5 10797.5 1347.5 ; - RECT 10922.5 2607.5 10987.5 1722.5 ; - RECT 10732.5 1722.5 10797.5 1587.5 ; - RECT 10922.5 1722.5 10987.5 1587.5 ; - RECT 10922.5 1722.5 10987.5 1587.5 ; - RECT 10732.5 1722.5 10797.5 1587.5 ; - RECT 10732.5 2607.5 10797.5 2472.5 ; - RECT 10922.5 2607.5 10987.5 2472.5 ; - RECT 10922.5 2607.5 10987.5 2472.5 ; - RECT 10732.5 2607.5 10797.5 2472.5 ; - RECT 11092.5 1632.5 11157.5 1497.5 ; - RECT 11092.5 2607.5 11157.5 2472.5 ; - RECT 10790.0 2165.0 10855.0 2030.0 ; - RECT 10790.0 2165.0 10855.0 2030.0 ; - RECT 10955.0 2130.0 11020.0 2065.0 ; - RECT 10665.0 1412.5 11225.0 1347.5 ; - RECT 10665.0 2757.5 11225.0 2692.5 ; - RECT 11092.5 3885.0 11157.5 4070.0 ; - RECT 11092.5 2725.0 11157.5 2910.0 ; - RECT 10732.5 2842.5 10797.5 2692.5 ; - RECT 10732.5 3727.5 10797.5 4102.5 ; - RECT 10922.5 2842.5 10987.5 3727.5 ; - RECT 10732.5 3727.5 10797.5 3862.5 ; - RECT 10922.5 3727.5 10987.5 3862.5 ; - RECT 10922.5 3727.5 10987.5 3862.5 ; - RECT 10732.5 3727.5 10797.5 3862.5 ; - RECT 10732.5 2842.5 10797.5 2977.5 ; - RECT 10922.5 2842.5 10987.5 2977.5 ; - RECT 10922.5 2842.5 10987.5 2977.5 ; - RECT 10732.5 2842.5 10797.5 2977.5 ; - RECT 11092.5 3817.5 11157.5 3952.5 ; - RECT 11092.5 2842.5 11157.5 2977.5 ; - RECT 10790.0 3285.0 10855.0 3420.0 ; - RECT 10790.0 3285.0 10855.0 3420.0 ; - RECT 10955.0 3320.0 11020.0 3385.0 ; - RECT 10665.0 4037.5 11225.0 4102.5 ; - RECT 10665.0 2692.5 11225.0 2757.5 ; - RECT 11092.5 4255.0 11157.5 4070.0 ; - RECT 11092.5 5415.0 11157.5 5230.0 ; - RECT 10732.5 5297.5 10797.5 5447.5 ; - RECT 10732.5 4412.5 10797.5 4037.5 ; - RECT 10922.5 5297.5 10987.5 4412.5 ; - RECT 10732.5 4412.5 10797.5 4277.5 ; - RECT 10922.5 4412.5 10987.5 4277.5 ; - RECT 10922.5 4412.5 10987.5 4277.5 ; - RECT 10732.5 4412.5 10797.5 4277.5 ; - RECT 10732.5 5297.5 10797.5 5162.5 ; - RECT 10922.5 5297.5 10987.5 5162.5 ; - RECT 10922.5 5297.5 10987.5 5162.5 ; - RECT 10732.5 5297.5 10797.5 5162.5 ; - RECT 11092.5 4322.5 11157.5 4187.5 ; - RECT 11092.5 5297.5 11157.5 5162.5 ; - RECT 10790.0 4855.0 10855.0 4720.0 ; - RECT 10790.0 4855.0 10855.0 4720.0 ; - RECT 10955.0 4820.0 11020.0 4755.0 ; - RECT 10665.0 4102.5 11225.0 4037.5 ; - RECT 10665.0 5447.5 11225.0 5382.5 ; - RECT 9812.5 197.5 9877.5 2.5 ; - RECT 9812.5 1037.5 9877.5 1412.5 ; - RECT 10192.5 1037.5 10257.5 1412.5 ; - RECT 10362.5 1195.0 10427.5 1380.0 ; - RECT 10362.5 35.0 10427.5 220.0 ; - RECT 9812.5 1037.5 9877.5 1172.5 ; - RECT 10002.5 1037.5 10067.5 1172.5 ; - RECT 10002.5 1037.5 10067.5 1172.5 ; - RECT 9812.5 1037.5 9877.5 1172.5 ; - RECT 10002.5 1037.5 10067.5 1172.5 ; - RECT 10192.5 1037.5 10257.5 1172.5 ; - RECT 10192.5 1037.5 10257.5 1172.5 ; - RECT 10002.5 1037.5 10067.5 1172.5 ; - RECT 9812.5 197.5 9877.5 332.5 ; - RECT 10002.5 197.5 10067.5 332.5 ; - RECT 10002.5 197.5 10067.5 332.5 ; - RECT 9812.5 197.5 9877.5 332.5 ; - RECT 10002.5 197.5 10067.5 332.5 ; - RECT 10192.5 197.5 10257.5 332.5 ; - RECT 10192.5 197.5 10257.5 332.5 ; - RECT 10002.5 197.5 10067.5 332.5 ; - RECT 10362.5 1127.5 10427.5 1262.5 ; - RECT 10362.5 152.5 10427.5 287.5 ; - RECT 10197.5 427.5 10062.5 492.5 ; - RECT 9940.0 642.5 9805.0 707.5 ; - RECT 10002.5 1037.5 10067.5 1172.5 ; - RECT 10192.5 197.5 10257.5 332.5 ; - RECT 10292.5 642.5 10157.5 707.5 ; - RECT 9805.0 642.5 9940.0 707.5 ; - RECT 10062.5 427.5 10197.5 492.5 ; - RECT 10157.5 642.5 10292.5 707.5 ; - RECT 9745.0 1347.5 10665.0 1412.5 ; - RECT 9745.0 2.5 10665.0 67.5 ; - RECT 9812.5 2562.5 9877.5 2757.5 ; - RECT 9812.5 1722.5 9877.5 1347.5 ; - RECT 10192.5 1722.5 10257.5 1347.5 ; - RECT 10362.5 1565.0 10427.5 1380.0 ; - RECT 10362.5 2725.0 10427.5 2540.0 ; - RECT 9812.5 1722.5 9877.5 1587.5 ; - RECT 10002.5 1722.5 10067.5 1587.5 ; - RECT 10002.5 1722.5 10067.5 1587.5 ; - RECT 9812.5 1722.5 9877.5 1587.5 ; - RECT 10002.5 1722.5 10067.5 1587.5 ; - RECT 10192.5 1722.5 10257.5 1587.5 ; - RECT 10192.5 1722.5 10257.5 1587.5 ; - RECT 10002.5 1722.5 10067.5 1587.5 ; - RECT 9812.5 2562.5 9877.5 2427.5 ; - RECT 10002.5 2562.5 10067.5 2427.5 ; - RECT 10002.5 2562.5 10067.5 2427.5 ; - RECT 9812.5 2562.5 9877.5 2427.5 ; - RECT 10002.5 2562.5 10067.5 2427.5 ; - RECT 10192.5 2562.5 10257.5 2427.5 ; - RECT 10192.5 2562.5 10257.5 2427.5 ; - RECT 10002.5 2562.5 10067.5 2427.5 ; - RECT 10362.5 1632.5 10427.5 1497.5 ; - RECT 10362.5 2607.5 10427.5 2472.5 ; - RECT 10197.5 2332.5 10062.5 2267.5 ; - RECT 9940.0 2117.5 9805.0 2052.5 ; - RECT 10002.5 1722.5 10067.5 1587.5 ; - RECT 10192.5 2562.5 10257.5 2427.5 ; - RECT 10292.5 2117.5 10157.5 2052.5 ; - RECT 9805.0 2117.5 9940.0 2052.5 ; - RECT 10062.5 2332.5 10197.5 2267.5 ; - RECT 10157.5 2117.5 10292.5 2052.5 ; - RECT 9745.0 1412.5 10665.0 1347.5 ; - RECT 9745.0 2757.5 10665.0 2692.5 ; - RECT 9812.5 2887.5 9877.5 2692.5 ; - RECT 9812.5 3727.5 9877.5 4102.5 ; - RECT 10192.5 3727.5 10257.5 4102.5 ; - RECT 10362.5 3885.0 10427.5 4070.0 ; - RECT 10362.5 2725.0 10427.5 2910.0 ; - RECT 9812.5 3727.5 9877.5 3862.5 ; - RECT 10002.5 3727.5 10067.5 3862.5 ; - RECT 10002.5 3727.5 10067.5 3862.5 ; - RECT 9812.5 3727.5 9877.5 3862.5 ; - RECT 10002.5 3727.5 10067.5 3862.5 ; - RECT 10192.5 3727.5 10257.5 3862.5 ; - RECT 10192.5 3727.5 10257.5 3862.5 ; - RECT 10002.5 3727.5 10067.5 3862.5 ; - RECT 9812.5 2887.5 9877.5 3022.5 ; - RECT 10002.5 2887.5 10067.5 3022.5 ; - RECT 10002.5 2887.5 10067.5 3022.5 ; - RECT 9812.5 2887.5 9877.5 3022.5 ; - RECT 10002.5 2887.5 10067.5 3022.5 ; - RECT 10192.5 2887.5 10257.5 3022.5 ; - RECT 10192.5 2887.5 10257.5 3022.5 ; - RECT 10002.5 2887.5 10067.5 3022.5 ; - RECT 10362.5 3817.5 10427.5 3952.5 ; - RECT 10362.5 2842.5 10427.5 2977.5 ; - RECT 10197.5 3117.5 10062.5 3182.5 ; - RECT 9940.0 3332.5 9805.0 3397.5 ; - RECT 10002.5 3727.5 10067.5 3862.5 ; - RECT 10192.5 2887.5 10257.5 3022.5 ; - RECT 10292.5 3332.5 10157.5 3397.5 ; - RECT 9805.0 3332.5 9940.0 3397.5 ; - RECT 10062.5 3117.5 10197.5 3182.5 ; - RECT 10157.5 3332.5 10292.5 3397.5 ; - RECT 9745.0 4037.5 10665.0 4102.5 ; - RECT 9745.0 2692.5 10665.0 2757.5 ; - RECT 9812.5 5252.5 9877.5 5447.5 ; - RECT 9812.5 4412.5 9877.5 4037.5 ; - RECT 10192.5 4412.5 10257.5 4037.5 ; - RECT 10362.5 4255.0 10427.5 4070.0 ; - RECT 10362.5 5415.0 10427.5 5230.0 ; - RECT 9812.5 4412.5 9877.5 4277.5 ; - RECT 10002.5 4412.5 10067.5 4277.5 ; - RECT 10002.5 4412.5 10067.5 4277.5 ; - RECT 9812.5 4412.5 9877.5 4277.5 ; - RECT 10002.5 4412.5 10067.5 4277.5 ; - RECT 10192.5 4412.5 10257.5 4277.5 ; - RECT 10192.5 4412.5 10257.5 4277.5 ; - RECT 10002.5 4412.5 10067.5 4277.5 ; - RECT 9812.5 5252.5 9877.5 5117.5 ; - RECT 10002.5 5252.5 10067.5 5117.5 ; - RECT 10002.5 5252.5 10067.5 5117.5 ; - RECT 9812.5 5252.5 9877.5 5117.5 ; - RECT 10002.5 5252.5 10067.5 5117.5 ; - RECT 10192.5 5252.5 10257.5 5117.5 ; - RECT 10192.5 5252.5 10257.5 5117.5 ; - RECT 10002.5 5252.5 10067.5 5117.5 ; - RECT 10362.5 4322.5 10427.5 4187.5 ; - RECT 10362.5 5297.5 10427.5 5162.5 ; - RECT 10197.5 5022.5 10062.5 4957.5 ; - RECT 9940.0 4807.5 9805.0 4742.5 ; - RECT 10002.5 4412.5 10067.5 4277.5 ; - RECT 10192.5 5252.5 10257.5 5117.5 ; - RECT 10292.5 4807.5 10157.5 4742.5 ; - RECT 9805.0 4807.5 9940.0 4742.5 ; - RECT 10062.5 5022.5 10197.5 4957.5 ; - RECT 10157.5 4807.5 10292.5 4742.5 ; - RECT 9745.0 4102.5 10665.0 4037.5 ; - RECT 9745.0 5447.5 10665.0 5382.5 ; - RECT 8747.5 1152.5 8612.5 1217.5 ; - RECT 7362.5 630.0 7227.5 695.0 ; - RECT 9022.5 2497.5 8887.5 2562.5 ; - RECT 7637.5 2065.0 7502.5 2130.0 ; - RECT 7362.5 2827.5 7227.5 2892.5 ; - RECT 9297.5 2827.5 9162.5 2892.5 ; - RECT 7637.5 4172.5 7502.5 4237.5 ; - RECT 9572.5 4172.5 9437.5 4237.5 ; - RECT 8747.5 642.5 8612.5 707.5 ; - RECT 9022.5 427.5 8887.5 492.5 ; - RECT 9297.5 2052.5 9162.5 2117.5 ; - RECT 9022.5 2267.5 8887.5 2332.5 ; - RECT 8747.5 3332.5 8612.5 3397.5 ; - RECT 9572.5 3117.5 9437.5 3182.5 ; - RECT 9297.5 4742.5 9162.5 4807.5 ; - RECT 9572.5 4957.5 9437.5 5022.5 ; - RECT 11020.0 630.0 11225.0 695.0 ; - RECT 11020.0 2065.0 11225.0 2130.0 ; - RECT 11020.0 3320.0 11225.0 3385.0 ; - RECT 11020.0 4755.0 11225.0 4820.0 ; - RECT 7260.0 1347.5 11225.0 1412.5 ; - RECT 7260.0 4037.5 11225.0 4102.5 ; - RECT 7260.0 2.5 11225.0 67.5 ; - RECT 7260.0 2692.5 11225.0 2757.5 ; - RECT 7260.0 5382.5 11225.0 5447.5 ; - RECT 16085.0 19580.0 16790.0 24465.0 ; - RECT 18905.0 19580.0 19610.0 24465.0 ; - RECT 16085.0 19697.5 21725.0 19762.5 ; - RECT 16085.0 24270.0 21725.0 24335.0 ; - RECT 16085.0 19827.5 21725.0 19892.5 ; - RECT 16085.0 15405.0 16790.0 19580.0 ; - RECT 18905.0 15405.0 19610.0 19580.0 ; - RECT 16085.0 15672.5 21725.0 15737.5 ; - RECT 16085.0 15802.5 21725.0 15867.5 ; - RECT 16085.0 16605.0 21725.0 16670.0 ; - RECT 16085.0 8965.0 16790.0 15405.0 ; - RECT 18905.0 8965.0 19610.0 15405.0 ; - RECT 16085.0 9170.0 21725.0 9235.0 ; - RECT 16085.0 12175.0 21725.0 12240.0 ; - RECT 16085.0 15135.0 21725.0 15200.0 ; - RECT 16085.0 10185.0 21725.0 10250.0 ; - RECT 16085.0 13145.0 21725.0 13210.0 ; - RECT 16085.0 9330.0 21725.0 9395.0 ; - RECT 16085.0 8965.0 16790.0 5990.0 ; - RECT 18905.0 8965.0 19610.0 5990.0 ; - RECT 16085.0 8597.5 19610.0 8532.5 ; - RECT 16085.0 7050.0 19610.0 6985.0 ; - RECT 16085.0 7180.0 19610.0 7115.0 ; - RECT 16085.0 8467.5 19610.0 8402.5 ; - RECT 7520.0 27917.5 7585.0 27982.5 ; - RECT 7520.0 27905.0 7585.0 27970.0 ; - RECT 7302.5 27917.5 7552.5 27982.5 ; - RECT 7520.0 27937.5 7585.0 27950.0 ; - RECT 7552.5 27905.0 7800.0 27970.0 ; - RECT 7520.0 29327.5 7585.0 29392.5 ; - RECT 7520.0 29340.0 7585.0 29405.0 ; - RECT 7302.5 29327.5 7552.5 29392.5 ; - RECT 7520.0 29360.0 7585.0 29372.5 ; - RECT 7552.5 29340.0 7800.0 29405.0 ; - RECT 7520.0 30607.5 7585.0 30672.5 ; - RECT 7520.0 30595.0 7585.0 30660.0 ; - RECT 7302.5 30607.5 7552.5 30672.5 ; - RECT 7520.0 30627.5 7585.0 30640.0 ; - RECT 7552.5 30595.0 7800.0 30660.0 ; - RECT 7520.0 32017.5 7585.0 32082.5 ; - RECT 7520.0 32030.0 7585.0 32095.0 ; - RECT 7302.5 32017.5 7552.5 32082.5 ; - RECT 7520.0 32050.0 7585.0 32062.5 ; - RECT 7552.5 32030.0 7800.0 32095.0 ; - RECT 7520.0 33297.5 7585.0 33362.5 ; - RECT 7520.0 33285.0 7585.0 33350.0 ; - RECT 7302.5 33297.5 7552.5 33362.5 ; - RECT 7520.0 33317.5 7585.0 33330.0 ; - RECT 7552.5 33285.0 7800.0 33350.0 ; - RECT 7520.0 34707.5 7585.0 34772.5 ; - RECT 7520.0 34720.0 7585.0 34785.0 ; - RECT 7302.5 34707.5 7552.5 34772.5 ; - RECT 7520.0 34740.0 7585.0 34752.5 ; - RECT 7552.5 34720.0 7800.0 34785.0 ; - RECT 7520.0 35987.5 7585.0 36052.5 ; - RECT 7520.0 35975.0 7585.0 36040.0 ; - RECT 7302.5 35987.5 7552.5 36052.5 ; - RECT 7520.0 36007.5 7585.0 36020.0 ; - RECT 7552.5 35975.0 7800.0 36040.0 ; - RECT 7520.0 37397.5 7585.0 37462.5 ; - RECT 7520.0 37410.0 7585.0 37475.0 ; - RECT 7302.5 37397.5 7552.5 37462.5 ; - RECT 7520.0 37430.0 7585.0 37442.5 ; - RECT 7552.5 37410.0 7800.0 37475.0 ; - RECT 7520.0 38677.5 7585.0 38742.5 ; - RECT 7520.0 38665.0 7585.0 38730.0 ; - RECT 7302.5 38677.5 7552.5 38742.5 ; - RECT 7520.0 38697.5 7585.0 38710.0 ; - RECT 7552.5 38665.0 7800.0 38730.0 ; - RECT 7520.0 40087.5 7585.0 40152.5 ; - RECT 7520.0 40100.0 7585.0 40165.0 ; - RECT 7302.5 40087.5 7552.5 40152.5 ; - RECT 7520.0 40120.0 7585.0 40132.5 ; - RECT 7552.5 40100.0 7800.0 40165.0 ; - RECT 7520.0 41367.5 7585.0 41432.5 ; - RECT 7520.0 41355.0 7585.0 41420.0 ; - RECT 7302.5 41367.5 7552.5 41432.5 ; - RECT 7520.0 41387.5 7585.0 41400.0 ; - RECT 7552.5 41355.0 7800.0 41420.0 ; - RECT 7520.0 42777.5 7585.0 42842.5 ; - RECT 7520.0 42790.0 7585.0 42855.0 ; - RECT 7302.5 42777.5 7552.5 42842.5 ; - RECT 7520.0 42810.0 7585.0 42822.5 ; - RECT 7552.5 42790.0 7800.0 42855.0 ; - RECT 7520.0 44057.5 7585.0 44122.5 ; - RECT 7520.0 44045.0 7585.0 44110.0 ; - RECT 7302.5 44057.5 7552.5 44122.5 ; - RECT 7520.0 44077.5 7585.0 44090.0 ; - RECT 7552.5 44045.0 7800.0 44110.0 ; - RECT 7520.0 45467.5 7585.0 45532.5 ; - RECT 7520.0 45480.0 7585.0 45545.0 ; - RECT 7302.5 45467.5 7552.5 45532.5 ; - RECT 7520.0 45500.0 7585.0 45512.5 ; - RECT 7552.5 45480.0 7800.0 45545.0 ; - RECT 7520.0 46747.5 7585.0 46812.5 ; - RECT 7520.0 46735.0 7585.0 46800.0 ; - RECT 7302.5 46747.5 7552.5 46812.5 ; - RECT 7520.0 46767.5 7585.0 46780.0 ; - RECT 7552.5 46735.0 7800.0 46800.0 ; - RECT 7520.0 48157.5 7585.0 48222.5 ; - RECT 7520.0 48170.0 7585.0 48235.0 ; - RECT 7302.5 48157.5 7552.5 48222.5 ; - RECT 7520.0 48190.0 7585.0 48202.5 ; - RECT 7552.5 48170.0 7800.0 48235.0 ; - RECT 7520.0 49437.5 7585.0 49502.5 ; - RECT 7520.0 49425.0 7585.0 49490.0 ; - RECT 7302.5 49437.5 7552.5 49502.5 ; - RECT 7520.0 49457.5 7585.0 49470.0 ; - RECT 7552.5 49425.0 7800.0 49490.0 ; - RECT 7520.0 50847.5 7585.0 50912.5 ; - RECT 7520.0 50860.0 7585.0 50925.0 ; - RECT 7302.5 50847.5 7552.5 50912.5 ; - RECT 7520.0 50880.0 7585.0 50892.5 ; - RECT 7552.5 50860.0 7800.0 50925.0 ; - RECT 7520.0 52127.5 7585.0 52192.5 ; - RECT 7520.0 52115.0 7585.0 52180.0 ; - RECT 7302.5 52127.5 7552.5 52192.5 ; - RECT 7520.0 52147.5 7585.0 52160.0 ; - RECT 7552.5 52115.0 7800.0 52180.0 ; - RECT 7520.0 53537.5 7585.0 53602.5 ; - RECT 7520.0 53550.0 7585.0 53615.0 ; - RECT 7302.5 53537.5 7552.5 53602.5 ; - RECT 7520.0 53570.0 7585.0 53582.5 ; - RECT 7552.5 53550.0 7800.0 53615.0 ; - RECT 7520.0 54817.5 7585.0 54882.5 ; - RECT 7520.0 54805.0 7585.0 54870.0 ; - RECT 7302.5 54817.5 7552.5 54882.5 ; - RECT 7520.0 54837.5 7585.0 54850.0 ; - RECT 7552.5 54805.0 7800.0 54870.0 ; - RECT 7520.0 56227.5 7585.0 56292.5 ; - RECT 7520.0 56240.0 7585.0 56305.0 ; - RECT 7302.5 56227.5 7552.5 56292.5 ; - RECT 7520.0 56260.0 7585.0 56272.5 ; - RECT 7552.5 56240.0 7800.0 56305.0 ; - RECT 7520.0 57507.5 7585.0 57572.5 ; - RECT 7520.0 57495.0 7585.0 57560.0 ; - RECT 7302.5 57507.5 7552.5 57572.5 ; - RECT 7520.0 57527.5 7585.0 57540.0 ; - RECT 7552.5 57495.0 7800.0 57560.0 ; - RECT 7520.0 58917.5 7585.0 58982.5 ; - RECT 7520.0 58930.0 7585.0 58995.0 ; - RECT 7302.5 58917.5 7552.5 58982.5 ; - RECT 7520.0 58950.0 7585.0 58962.5 ; - RECT 7552.5 58930.0 7800.0 58995.0 ; - RECT 7520.0 60197.5 7585.0 60262.5 ; - RECT 7520.0 60185.0 7585.0 60250.0 ; - RECT 7302.5 60197.5 7552.5 60262.5 ; - RECT 7520.0 60217.5 7585.0 60230.0 ; - RECT 7552.5 60185.0 7800.0 60250.0 ; - RECT 7520.0 61607.5 7585.0 61672.5 ; - RECT 7520.0 61620.0 7585.0 61685.0 ; - RECT 7302.5 61607.5 7552.5 61672.5 ; - RECT 7520.0 61640.0 7585.0 61652.5 ; - RECT 7552.5 61620.0 7800.0 61685.0 ; - RECT 7520.0 62887.5 7585.0 62952.5 ; - RECT 7520.0 62875.0 7585.0 62940.0 ; - RECT 7302.5 62887.5 7552.5 62952.5 ; - RECT 7520.0 62907.5 7585.0 62920.0 ; - RECT 7552.5 62875.0 7800.0 62940.0 ; - RECT 7520.0 64297.5 7585.0 64362.5 ; - RECT 7520.0 64310.0 7585.0 64375.0 ; - RECT 7302.5 64297.5 7552.5 64362.5 ; - RECT 7520.0 64330.0 7585.0 64342.5 ; - RECT 7552.5 64310.0 7800.0 64375.0 ; - RECT 7520.0 65577.5 7585.0 65642.5 ; - RECT 7520.0 65565.0 7585.0 65630.0 ; - RECT 7302.5 65577.5 7552.5 65642.5 ; - RECT 7520.0 65597.5 7585.0 65610.0 ; - RECT 7552.5 65565.0 7800.0 65630.0 ; - RECT 7520.0 66987.5 7585.0 67052.5 ; - RECT 7520.0 67000.0 7585.0 67065.0 ; - RECT 7302.5 66987.5 7552.5 67052.5 ; - RECT 7520.0 67020.0 7585.0 67032.5 ; - RECT 7552.5 67000.0 7800.0 67065.0 ; - RECT 7520.0 68267.5 7585.0 68332.5 ; - RECT 7520.0 68255.0 7585.0 68320.0 ; - RECT 7302.5 68267.5 7552.5 68332.5 ; - RECT 7520.0 68287.5 7585.0 68300.0 ; - RECT 7552.5 68255.0 7800.0 68320.0 ; - RECT 7520.0 69677.5 7585.0 69742.5 ; - RECT 7520.0 69690.0 7585.0 69755.0 ; - RECT 7302.5 69677.5 7552.5 69742.5 ; - RECT 7520.0 69710.0 7585.0 69722.5 ; - RECT 7552.5 69690.0 7800.0 69755.0 ; - RECT 4690.0 11765.0 6755.0 11830.0 ; - RECT 4865.0 13200.0 6755.0 13265.0 ; - RECT 5040.0 14455.0 6755.0 14520.0 ; - RECT 5215.0 15890.0 6755.0 15955.0 ; - RECT 5390.0 17145.0 6755.0 17210.0 ; - RECT 5565.0 18580.0 6755.0 18645.0 ; - RECT 5740.0 19835.0 6755.0 19900.0 ; - RECT 5915.0 21270.0 6755.0 21335.0 ; - RECT 6090.0 22525.0 6755.0 22590.0 ; - RECT 6265.0 23960.0 6755.0 24025.0 ; - RECT 6440.0 25215.0 6755.0 25280.0 ; - RECT 6615.0 26650.0 6755.0 26715.0 ; - RECT 4690.0 27917.5 6815.0 27982.5 ; - RECT 5390.0 27702.5 7072.5 27767.5 ; - RECT 4690.0 29327.5 6815.0 29392.5 ; - RECT 5565.0 29542.5 7072.5 29607.5 ; - RECT 4690.0 30607.5 6815.0 30672.5 ; - RECT 5740.0 30392.5 7072.5 30457.5 ; - RECT 4690.0 32017.5 6815.0 32082.5 ; - RECT 5915.0 32232.5 7072.5 32297.5 ; - RECT 4690.0 33297.5 6815.0 33362.5 ; - RECT 6090.0 33082.5 7072.5 33147.5 ; - RECT 4690.0 34707.5 6815.0 34772.5 ; - RECT 6265.0 34922.5 7072.5 34987.5 ; - RECT 4690.0 35987.5 6815.0 36052.5 ; - RECT 6440.0 35772.5 7072.5 35837.5 ; - RECT 4690.0 37397.5 6815.0 37462.5 ; - RECT 6615.0 37612.5 7072.5 37677.5 ; - RECT 4865.0 38677.5 6815.0 38742.5 ; - RECT 5390.0 38462.5 7072.5 38527.5 ; - RECT 4865.0 40087.5 6815.0 40152.5 ; - RECT 5565.0 40302.5 7072.5 40367.5 ; - RECT 4865.0 41367.5 6815.0 41432.5 ; - RECT 5740.0 41152.5 7072.5 41217.5 ; - RECT 4865.0 42777.5 6815.0 42842.5 ; - RECT 5915.0 42992.5 7072.5 43057.5 ; - RECT 4865.0 44057.5 6815.0 44122.5 ; - RECT 6090.0 43842.5 7072.5 43907.5 ; - RECT 4865.0 45467.5 6815.0 45532.5 ; - RECT 6265.0 45682.5 7072.5 45747.5 ; - RECT 4865.0 46747.5 6815.0 46812.5 ; - RECT 6440.0 46532.5 7072.5 46597.5 ; - RECT 4865.0 48157.5 6815.0 48222.5 ; - RECT 6615.0 48372.5 7072.5 48437.5 ; - RECT 5040.0 49437.5 6815.0 49502.5 ; - RECT 5390.0 49222.5 7072.5 49287.5 ; - RECT 5040.0 50847.5 6815.0 50912.5 ; - RECT 5565.0 51062.5 7072.5 51127.5 ; - RECT 5040.0 52127.5 6815.0 52192.5 ; - RECT 5740.0 51912.5 7072.5 51977.5 ; - RECT 5040.0 53537.5 6815.0 53602.5 ; - RECT 5915.0 53752.5 7072.5 53817.5 ; - RECT 5040.0 54817.5 6815.0 54882.5 ; - RECT 6090.0 54602.5 7072.5 54667.5 ; - RECT 5040.0 56227.5 6815.0 56292.5 ; - RECT 6265.0 56442.5 7072.5 56507.5 ; - RECT 5040.0 57507.5 6815.0 57572.5 ; - RECT 6440.0 57292.5 7072.5 57357.5 ; - RECT 5040.0 58917.5 6815.0 58982.5 ; - RECT 6615.0 59132.5 7072.5 59197.5 ; - RECT 5215.0 60197.5 6815.0 60262.5 ; - RECT 5390.0 59982.5 7072.5 60047.5 ; - RECT 5215.0 61607.5 6815.0 61672.5 ; - RECT 5565.0 61822.5 7072.5 61887.5 ; - RECT 5215.0 62887.5 6815.0 62952.5 ; - RECT 5740.0 62672.5 7072.5 62737.5 ; - RECT 5215.0 64297.5 6815.0 64362.5 ; - RECT 5915.0 64512.5 7072.5 64577.5 ; - RECT 5215.0 65577.5 6815.0 65642.5 ; - RECT 6090.0 65362.5 7072.5 65427.5 ; - RECT 5215.0 66987.5 6815.0 67052.5 ; - RECT 6265.0 67202.5 7072.5 67267.5 ; - RECT 5215.0 68267.5 6815.0 68332.5 ; - RECT 6440.0 68052.5 7072.5 68117.5 ; - RECT 5215.0 69677.5 6815.0 69742.5 ; - RECT 6615.0 69892.5 7072.5 69957.5 ; - RECT 9577.5 11765.0 9512.5 11830.0 ; - RECT 9577.5 12287.5 9512.5 12352.5 ; - RECT 9815.0 11765.0 9545.0 11830.0 ; - RECT 9577.5 11797.5 9512.5 12320.0 ; - RECT 9545.0 12287.5 9300.0 12352.5 ; - RECT 10685.0 11765.0 10045.0 11830.0 ; - RECT 9577.5 13200.0 9512.5 13265.0 ; - RECT 9577.5 13632.5 9512.5 13697.5 ; - RECT 9815.0 13200.0 9545.0 13265.0 ; - RECT 9577.5 13232.5 9512.5 13665.0 ; - RECT 9545.0 13632.5 9025.0 13697.5 ; - RECT 10410.0 13200.0 10045.0 13265.0 ; - RECT 10685.0 13962.5 8750.0 14027.5 ; - RECT 10410.0 15307.5 8475.0 15372.5 ; - RECT 9300.0 11777.5 8175.0 11842.5 ; - RECT 9025.0 11562.5 7917.5 11627.5 ; - RECT 8750.0 13187.5 8175.0 13252.5 ; - RECT 9025.0 13402.5 7917.5 13467.5 ; - RECT 9300.0 14467.5 8175.0 14532.5 ; - RECT 8475.0 14252.5 7917.5 14317.5 ; - RECT 8750.0 15877.5 8175.0 15942.5 ; - RECT 8475.0 16092.5 7917.5 16157.5 ; - RECT 7470.0 11777.5 7405.0 11842.5 ; - RECT 7470.0 11765.0 7405.0 11830.0 ; - RECT 7687.5 11777.5 7437.5 11842.5 ; - RECT 7470.0 11797.5 7405.0 11810.0 ; - RECT 7437.5 11765.0 7190.0 11830.0 ; - RECT 7470.0 13187.5 7405.0 13252.5 ; - RECT 7470.0 13200.0 7405.0 13265.0 ; - RECT 7687.5 13187.5 7437.5 13252.5 ; - RECT 7470.0 13220.0 7405.0 13232.5 ; - RECT 7437.5 13200.0 7190.0 13265.0 ; - RECT 7470.0 14467.5 7405.0 14532.5 ; - RECT 7470.0 14455.0 7405.0 14520.0 ; - RECT 7687.5 14467.5 7437.5 14532.5 ; - RECT 7470.0 14487.5 7405.0 14500.0 ; - RECT 7437.5 14455.0 7190.0 14520.0 ; - RECT 7470.0 15877.5 7405.0 15942.5 ; - RECT 7470.0 15890.0 7405.0 15955.0 ; - RECT 7687.5 15877.5 7437.5 15942.5 ; - RECT 7470.0 15910.0 7405.0 15922.5 ; - RECT 7437.5 15890.0 7190.0 15955.0 ; - RECT 9742.5 12330.0 9677.5 12515.0 ; - RECT 9742.5 11170.0 9677.5 11355.0 ; - RECT 10102.5 11287.5 10037.5 11137.5 ; - RECT 10102.5 12172.5 10037.5 12547.5 ; - RECT 9912.5 11287.5 9847.5 12172.5 ; - RECT 10102.5 12172.5 10037.5 12307.5 ; - RECT 9912.5 12172.5 9847.5 12307.5 ; - RECT 9912.5 12172.5 9847.5 12307.5 ; - RECT 10102.5 12172.5 10037.5 12307.5 ; - RECT 10102.5 11287.5 10037.5 11422.5 ; - RECT 9912.5 11287.5 9847.5 11422.5 ; - RECT 9912.5 11287.5 9847.5 11422.5 ; - RECT 10102.5 11287.5 10037.5 11422.5 ; - RECT 9742.5 12262.5 9677.5 12397.5 ; - RECT 9742.5 11287.5 9677.5 11422.5 ; - RECT 10045.0 11730.0 9980.0 11865.0 ; - RECT 10045.0 11730.0 9980.0 11865.0 ; - RECT 9880.0 11765.0 9815.0 11830.0 ; - RECT 10170.0 12482.5 9610.0 12547.5 ; - RECT 10170.0 11137.5 9610.0 11202.5 ; - RECT 9742.5 12700.0 9677.5 12515.0 ; - RECT 9742.5 13860.0 9677.5 13675.0 ; - RECT 10102.5 13742.5 10037.5 13892.5 ; - RECT 10102.5 12857.5 10037.5 12482.5 ; - RECT 9912.5 13742.5 9847.5 12857.5 ; - RECT 10102.5 12857.5 10037.5 12722.5 ; - RECT 9912.5 12857.5 9847.5 12722.5 ; - RECT 9912.5 12857.5 9847.5 12722.5 ; - RECT 10102.5 12857.5 10037.5 12722.5 ; - RECT 10102.5 13742.5 10037.5 13607.5 ; - RECT 9912.5 13742.5 9847.5 13607.5 ; - RECT 9912.5 13742.5 9847.5 13607.5 ; - RECT 10102.5 13742.5 10037.5 13607.5 ; - RECT 9742.5 12767.5 9677.5 12632.5 ; - RECT 9742.5 13742.5 9677.5 13607.5 ; - RECT 10045.0 13300.0 9980.0 13165.0 ; - RECT 10045.0 13300.0 9980.0 13165.0 ; - RECT 9880.0 13265.0 9815.0 13200.0 ; - RECT 10170.0 12547.5 9610.0 12482.5 ; - RECT 10170.0 13892.5 9610.0 13827.5 ; - RECT 6887.5 12330.0 6822.5 12515.0 ; - RECT 6887.5 11170.0 6822.5 11355.0 ; - RECT 7247.5 11287.5 7182.5 11137.5 ; - RECT 7247.5 12172.5 7182.5 12547.5 ; - RECT 7057.5 11287.5 6992.5 12172.5 ; - RECT 7247.5 12172.5 7182.5 12307.5 ; - RECT 7057.5 12172.5 6992.5 12307.5 ; - RECT 7057.5 12172.5 6992.5 12307.5 ; - RECT 7247.5 12172.5 7182.5 12307.5 ; - RECT 7247.5 11287.5 7182.5 11422.5 ; - RECT 7057.5 11287.5 6992.5 11422.5 ; - RECT 7057.5 11287.5 6992.5 11422.5 ; - RECT 7247.5 11287.5 7182.5 11422.5 ; - RECT 6887.5 12262.5 6822.5 12397.5 ; - RECT 6887.5 11287.5 6822.5 11422.5 ; - RECT 7190.0 11730.0 7125.0 11865.0 ; - RECT 7190.0 11730.0 7125.0 11865.0 ; - RECT 7025.0 11765.0 6960.0 11830.0 ; - RECT 7315.0 12482.5 6755.0 12547.5 ; - RECT 7315.0 11137.5 6755.0 11202.5 ; - RECT 6887.5 12700.0 6822.5 12515.0 ; - RECT 6887.5 13860.0 6822.5 13675.0 ; - RECT 7247.5 13742.5 7182.5 13892.5 ; - RECT 7247.5 12857.5 7182.5 12482.5 ; - RECT 7057.5 13742.5 6992.5 12857.5 ; - RECT 7247.5 12857.5 7182.5 12722.5 ; - RECT 7057.5 12857.5 6992.5 12722.5 ; - RECT 7057.5 12857.5 6992.5 12722.5 ; - RECT 7247.5 12857.5 7182.5 12722.5 ; - RECT 7247.5 13742.5 7182.5 13607.5 ; - RECT 7057.5 13742.5 6992.5 13607.5 ; - RECT 7057.5 13742.5 6992.5 13607.5 ; - RECT 7247.5 13742.5 7182.5 13607.5 ; - RECT 6887.5 12767.5 6822.5 12632.5 ; - RECT 6887.5 13742.5 6822.5 13607.5 ; - RECT 7190.0 13300.0 7125.0 13165.0 ; - RECT 7190.0 13300.0 7125.0 13165.0 ; - RECT 7025.0 13265.0 6960.0 13200.0 ; - RECT 7315.0 12547.5 6755.0 12482.5 ; - RECT 7315.0 13892.5 6755.0 13827.5 ; - RECT 6887.5 15020.0 6822.5 15205.0 ; - RECT 6887.5 13860.0 6822.5 14045.0 ; - RECT 7247.5 13977.5 7182.5 13827.5 ; - RECT 7247.5 14862.5 7182.5 15237.5 ; - RECT 7057.5 13977.5 6992.5 14862.5 ; - RECT 7247.5 14862.5 7182.5 14997.5 ; - RECT 7057.5 14862.5 6992.5 14997.5 ; - RECT 7057.5 14862.5 6992.5 14997.5 ; - RECT 7247.5 14862.5 7182.5 14997.5 ; - RECT 7247.5 13977.5 7182.5 14112.5 ; - RECT 7057.5 13977.5 6992.5 14112.5 ; - RECT 7057.5 13977.5 6992.5 14112.5 ; - RECT 7247.5 13977.5 7182.5 14112.5 ; - RECT 6887.5 14952.5 6822.5 15087.5 ; - RECT 6887.5 13977.5 6822.5 14112.5 ; - RECT 7190.0 14420.0 7125.0 14555.0 ; - RECT 7190.0 14420.0 7125.0 14555.0 ; - RECT 7025.0 14455.0 6960.0 14520.0 ; - RECT 7315.0 15172.5 6755.0 15237.5 ; - RECT 7315.0 13827.5 6755.0 13892.5 ; - RECT 6887.5 15390.0 6822.5 15205.0 ; - RECT 6887.5 16550.0 6822.5 16365.0 ; - RECT 7247.5 16432.5 7182.5 16582.5 ; - RECT 7247.5 15547.5 7182.5 15172.5 ; - RECT 7057.5 16432.5 6992.5 15547.5 ; - RECT 7247.5 15547.5 7182.5 15412.5 ; - RECT 7057.5 15547.5 6992.5 15412.5 ; - RECT 7057.5 15547.5 6992.5 15412.5 ; - RECT 7247.5 15547.5 7182.5 15412.5 ; - RECT 7247.5 16432.5 7182.5 16297.5 ; - RECT 7057.5 16432.5 6992.5 16297.5 ; - RECT 7057.5 16432.5 6992.5 16297.5 ; - RECT 7247.5 16432.5 7182.5 16297.5 ; - RECT 6887.5 15457.5 6822.5 15322.5 ; - RECT 6887.5 16432.5 6822.5 16297.5 ; - RECT 7190.0 15990.0 7125.0 15855.0 ; - RECT 7190.0 15990.0 7125.0 15855.0 ; - RECT 7025.0 15955.0 6960.0 15890.0 ; - RECT 7315.0 15237.5 6755.0 15172.5 ; - RECT 7315.0 16582.5 6755.0 16517.5 ; - RECT 8167.5 11332.5 8102.5 11137.5 ; - RECT 8167.5 12172.5 8102.5 12547.5 ; - RECT 7787.5 12172.5 7722.5 12547.5 ; - RECT 7617.5 12330.0 7552.5 12515.0 ; - RECT 7617.5 11170.0 7552.5 11355.0 ; - RECT 8167.5 12172.5 8102.5 12307.5 ; - RECT 7977.5 12172.5 7912.5 12307.5 ; - RECT 7977.5 12172.5 7912.5 12307.5 ; - RECT 8167.5 12172.5 8102.5 12307.5 ; - RECT 7977.5 12172.5 7912.5 12307.5 ; - RECT 7787.5 12172.5 7722.5 12307.5 ; - RECT 7787.5 12172.5 7722.5 12307.5 ; - RECT 7977.5 12172.5 7912.5 12307.5 ; - RECT 8167.5 11332.5 8102.5 11467.5 ; - RECT 7977.5 11332.5 7912.5 11467.5 ; - RECT 7977.5 11332.5 7912.5 11467.5 ; - RECT 8167.5 11332.5 8102.5 11467.5 ; - RECT 7977.5 11332.5 7912.5 11467.5 ; - RECT 7787.5 11332.5 7722.5 11467.5 ; - RECT 7787.5 11332.5 7722.5 11467.5 ; - RECT 7977.5 11332.5 7912.5 11467.5 ; - RECT 7617.5 12262.5 7552.5 12397.5 ; - RECT 7617.5 11287.5 7552.5 11422.5 ; - RECT 7782.5 11562.5 7917.5 11627.5 ; - RECT 8040.0 11777.5 8175.0 11842.5 ; - RECT 7977.5 12172.5 7912.5 12307.5 ; - RECT 7787.5 11332.5 7722.5 11467.5 ; - RECT 7687.5 11777.5 7822.5 11842.5 ; - RECT 8175.0 11777.5 8040.0 11842.5 ; - RECT 7917.5 11562.5 7782.5 11627.5 ; - RECT 7822.5 11777.5 7687.5 11842.5 ; - RECT 8235.0 12482.5 7315.0 12547.5 ; - RECT 8235.0 11137.5 7315.0 11202.5 ; - RECT 8167.5 13697.5 8102.5 13892.5 ; - RECT 8167.5 12857.5 8102.5 12482.5 ; - RECT 7787.5 12857.5 7722.5 12482.5 ; - RECT 7617.5 12700.0 7552.5 12515.0 ; - RECT 7617.5 13860.0 7552.5 13675.0 ; - RECT 8167.5 12857.5 8102.5 12722.5 ; - RECT 7977.5 12857.5 7912.5 12722.5 ; - RECT 7977.5 12857.5 7912.5 12722.5 ; - RECT 8167.5 12857.5 8102.5 12722.5 ; - RECT 7977.5 12857.5 7912.5 12722.5 ; - RECT 7787.5 12857.5 7722.5 12722.5 ; - RECT 7787.5 12857.5 7722.5 12722.5 ; - RECT 7977.5 12857.5 7912.5 12722.5 ; - RECT 8167.5 13697.5 8102.5 13562.5 ; - RECT 7977.5 13697.5 7912.5 13562.5 ; - RECT 7977.5 13697.5 7912.5 13562.5 ; - RECT 8167.5 13697.5 8102.5 13562.5 ; - RECT 7977.5 13697.5 7912.5 13562.5 ; - RECT 7787.5 13697.5 7722.5 13562.5 ; - RECT 7787.5 13697.5 7722.5 13562.5 ; - RECT 7977.5 13697.5 7912.5 13562.5 ; - RECT 7617.5 12767.5 7552.5 12632.5 ; - RECT 7617.5 13742.5 7552.5 13607.5 ; - RECT 7782.5 13467.5 7917.5 13402.5 ; - RECT 8040.0 13252.5 8175.0 13187.5 ; - RECT 7977.5 12857.5 7912.5 12722.5 ; - RECT 7787.5 13697.5 7722.5 13562.5 ; - RECT 7687.5 13252.5 7822.5 13187.5 ; - RECT 8175.0 13252.5 8040.0 13187.5 ; - RECT 7917.5 13467.5 7782.5 13402.5 ; - RECT 7822.5 13252.5 7687.5 13187.5 ; - RECT 8235.0 12547.5 7315.0 12482.5 ; - RECT 8235.0 13892.5 7315.0 13827.5 ; - RECT 8167.5 14022.5 8102.5 13827.5 ; - RECT 8167.5 14862.5 8102.5 15237.5 ; - RECT 7787.5 14862.5 7722.5 15237.5 ; - RECT 7617.5 15020.0 7552.5 15205.0 ; - RECT 7617.5 13860.0 7552.5 14045.0 ; - RECT 8167.5 14862.5 8102.5 14997.5 ; - RECT 7977.5 14862.5 7912.5 14997.5 ; - RECT 7977.5 14862.5 7912.5 14997.5 ; - RECT 8167.5 14862.5 8102.5 14997.5 ; - RECT 7977.5 14862.5 7912.5 14997.5 ; - RECT 7787.5 14862.5 7722.5 14997.5 ; - RECT 7787.5 14862.5 7722.5 14997.5 ; - RECT 7977.5 14862.5 7912.5 14997.5 ; - RECT 8167.5 14022.5 8102.5 14157.5 ; - RECT 7977.5 14022.5 7912.5 14157.5 ; - RECT 7977.5 14022.5 7912.5 14157.5 ; - RECT 8167.5 14022.5 8102.5 14157.5 ; - RECT 7977.5 14022.5 7912.5 14157.5 ; - RECT 7787.5 14022.5 7722.5 14157.5 ; - RECT 7787.5 14022.5 7722.5 14157.5 ; - RECT 7977.5 14022.5 7912.5 14157.5 ; - RECT 7617.5 14952.5 7552.5 15087.5 ; - RECT 7617.5 13977.5 7552.5 14112.5 ; - RECT 7782.5 14252.5 7917.5 14317.5 ; - RECT 8040.0 14467.5 8175.0 14532.5 ; - RECT 7977.5 14862.5 7912.5 14997.5 ; - RECT 7787.5 14022.5 7722.5 14157.5 ; - RECT 7687.5 14467.5 7822.5 14532.5 ; - RECT 8175.0 14467.5 8040.0 14532.5 ; - RECT 7917.5 14252.5 7782.5 14317.5 ; - RECT 7822.5 14467.5 7687.5 14532.5 ; - RECT 8235.0 15172.5 7315.0 15237.5 ; - RECT 8235.0 13827.5 7315.0 13892.5 ; - RECT 8167.5 16387.5 8102.5 16582.5 ; - RECT 8167.5 15547.5 8102.5 15172.5 ; - RECT 7787.5 15547.5 7722.5 15172.5 ; - RECT 7617.5 15390.0 7552.5 15205.0 ; - RECT 7617.5 16550.0 7552.5 16365.0 ; - RECT 8167.5 15547.5 8102.5 15412.5 ; - RECT 7977.5 15547.5 7912.5 15412.5 ; - RECT 7977.5 15547.5 7912.5 15412.5 ; - RECT 8167.5 15547.5 8102.5 15412.5 ; - RECT 7977.5 15547.5 7912.5 15412.5 ; - RECT 7787.5 15547.5 7722.5 15412.5 ; - RECT 7787.5 15547.5 7722.5 15412.5 ; - RECT 7977.5 15547.5 7912.5 15412.5 ; - RECT 8167.5 16387.5 8102.5 16252.5 ; - RECT 7977.5 16387.5 7912.5 16252.5 ; - RECT 7977.5 16387.5 7912.5 16252.5 ; - RECT 8167.5 16387.5 8102.5 16252.5 ; - RECT 7977.5 16387.5 7912.5 16252.5 ; - RECT 7787.5 16387.5 7722.5 16252.5 ; - RECT 7787.5 16387.5 7722.5 16252.5 ; - RECT 7977.5 16387.5 7912.5 16252.5 ; - RECT 7617.5 15457.5 7552.5 15322.5 ; - RECT 7617.5 16432.5 7552.5 16297.5 ; - RECT 7782.5 16157.5 7917.5 16092.5 ; - RECT 8040.0 15942.5 8175.0 15877.5 ; - RECT 7977.5 15547.5 7912.5 15412.5 ; - RECT 7787.5 16387.5 7722.5 16252.5 ; - RECT 7687.5 15942.5 7822.5 15877.5 ; - RECT 8175.0 15942.5 8040.0 15877.5 ; - RECT 7917.5 16157.5 7782.5 16092.5 ; - RECT 7822.5 15942.5 7687.5 15877.5 ; - RECT 8235.0 15237.5 7315.0 15172.5 ; - RECT 8235.0 16582.5 7315.0 16517.5 ; - RECT 9232.5 12287.5 9367.5 12352.5 ; - RECT 10617.5 11765.0 10752.5 11830.0 ; - RECT 8957.5 13632.5 9092.5 13697.5 ; - RECT 10342.5 13200.0 10477.5 13265.0 ; - RECT 10617.5 13962.5 10752.5 14027.5 ; - RECT 8682.5 13962.5 8817.5 14027.5 ; - RECT 10342.5 15307.5 10477.5 15372.5 ; - RECT 8407.5 15307.5 8542.5 15372.5 ; - RECT 9232.5 11777.5 9367.5 11842.5 ; - RECT 8957.5 11562.5 9092.5 11627.5 ; - RECT 8682.5 13187.5 8817.5 13252.5 ; - RECT 8957.5 13402.5 9092.5 13467.5 ; - RECT 9232.5 14467.5 9367.5 14532.5 ; - RECT 8407.5 14252.5 8542.5 14317.5 ; - RECT 8682.5 15877.5 8817.5 15942.5 ; - RECT 8407.5 16092.5 8542.5 16157.5 ; - RECT 6960.0 11765.0 6755.0 11830.0 ; - RECT 6960.0 13200.0 6755.0 13265.0 ; - RECT 6960.0 14455.0 6755.0 14520.0 ; - RECT 6960.0 15890.0 6755.0 15955.0 ; - RECT 10720.0 12482.5 6755.0 12547.5 ; - RECT 10720.0 15172.5 6755.0 15237.5 ; - RECT 10720.0 11137.5 6755.0 11202.5 ; - RECT 10720.0 13827.5 6755.0 13892.5 ; - RECT 10720.0 16517.5 6755.0 16582.5 ; - RECT 10217.5 17145.0 10152.5 17210.0 ; - RECT 10217.5 17667.5 10152.5 17732.5 ; - RECT 10455.0 17145.0 10185.0 17210.0 ; - RECT 10217.5 17177.5 10152.5 17700.0 ; - RECT 10185.0 17667.5 9940.0 17732.5 ; - RECT 11600.0 17145.0 10685.0 17210.0 ; - RECT 10217.5 18580.0 10152.5 18645.0 ; - RECT 10217.5 19012.5 10152.5 19077.5 ; - RECT 10455.0 18580.0 10185.0 18645.0 ; - RECT 10217.5 18612.5 10152.5 19045.0 ; - RECT 10185.0 19012.5 9665.0 19077.5 ; - RECT 11325.0 18580.0 10685.0 18645.0 ; - RECT 10217.5 19835.0 10152.5 19900.0 ; - RECT 10217.5 20357.5 10152.5 20422.5 ; - RECT 10455.0 19835.0 10185.0 19900.0 ; - RECT 10217.5 19867.5 10152.5 20390.0 ; - RECT 10185.0 20357.5 9390.0 20422.5 ; - RECT 11050.0 19835.0 10685.0 19900.0 ; - RECT 11600.0 20687.5 9115.0 20752.5 ; - RECT 11325.0 22032.5 8840.0 22097.5 ; - RECT 11050.0 23377.5 8565.0 23442.5 ; - RECT 9940.0 17205.0 8197.5 17270.0 ; - RECT 9665.0 17065.0 8007.5 17130.0 ; - RECT 9390.0 16925.0 7817.5 16990.0 ; - RECT 9115.0 18520.0 8197.5 18585.0 ; - RECT 9665.0 18660.0 8007.5 18725.0 ; - RECT 9390.0 18800.0 7817.5 18865.0 ; - RECT 9940.0 19895.0 8197.5 19960.0 ; - RECT 8840.0 19755.0 8007.5 19820.0 ; - RECT 9390.0 19615.0 7817.5 19680.0 ; - RECT 9115.0 21210.0 8197.5 21275.0 ; - RECT 8840.0 21350.0 8007.5 21415.0 ; - RECT 9390.0 21490.0 7817.5 21555.0 ; - RECT 9940.0 22585.0 8197.5 22650.0 ; - RECT 9665.0 22445.0 8007.5 22510.0 ; - RECT 8565.0 22305.0 7817.5 22370.0 ; - RECT 9115.0 23900.0 8197.5 23965.0 ; - RECT 9665.0 24040.0 8007.5 24105.0 ; - RECT 8565.0 24180.0 7817.5 24245.0 ; - RECT 9940.0 25275.0 8197.5 25340.0 ; - RECT 8840.0 25135.0 8007.5 25200.0 ; - RECT 8565.0 24995.0 7817.5 25060.0 ; - RECT 9115.0 26590.0 8197.5 26655.0 ; - RECT 8840.0 26730.0 8007.5 26795.0 ; - RECT 8565.0 26870.0 7817.5 26935.0 ; - RECT 7437.5 17205.0 7372.5 17270.0 ; - RECT 7437.5 17145.0 7372.5 17210.0 ; - RECT 7622.5 17205.0 7405.0 17270.0 ; - RECT 7437.5 17177.5 7372.5 17237.5 ; - RECT 7405.0 17145.0 7190.0 17210.0 ; - RECT 7437.5 18520.0 7372.5 18585.0 ; - RECT 7437.5 18580.0 7372.5 18645.0 ; - RECT 7622.5 18520.0 7405.0 18585.0 ; - RECT 7437.5 18552.5 7372.5 18612.5 ; - RECT 7405.0 18580.0 7190.0 18645.0 ; - RECT 7437.5 19895.0 7372.5 19960.0 ; - RECT 7437.5 19835.0 7372.5 19900.0 ; - RECT 7622.5 19895.0 7405.0 19960.0 ; - RECT 7437.5 19867.5 7372.5 19927.5 ; - RECT 7405.0 19835.0 7190.0 19900.0 ; - RECT 7437.5 21210.0 7372.5 21275.0 ; - RECT 7437.5 21270.0 7372.5 21335.0 ; - RECT 7622.5 21210.0 7405.0 21275.0 ; - RECT 7437.5 21242.5 7372.5 21302.5 ; - RECT 7405.0 21270.0 7190.0 21335.0 ; - RECT 7437.5 22585.0 7372.5 22650.0 ; - RECT 7437.5 22525.0 7372.5 22590.0 ; - RECT 7622.5 22585.0 7405.0 22650.0 ; - RECT 7437.5 22557.5 7372.5 22617.5 ; - RECT 7405.0 22525.0 7190.0 22590.0 ; - RECT 7437.5 23900.0 7372.5 23965.0 ; - RECT 7437.5 23960.0 7372.5 24025.0 ; - RECT 7622.5 23900.0 7405.0 23965.0 ; - RECT 7437.5 23932.5 7372.5 23992.5 ; - RECT 7405.0 23960.0 7190.0 24025.0 ; - RECT 7437.5 25275.0 7372.5 25340.0 ; - RECT 7437.5 25215.0 7372.5 25280.0 ; - RECT 7622.5 25275.0 7405.0 25340.0 ; - RECT 7437.5 25247.5 7372.5 25307.5 ; - RECT 7405.0 25215.0 7190.0 25280.0 ; - RECT 7437.5 26590.0 7372.5 26655.0 ; - RECT 7437.5 26650.0 7372.5 26715.0 ; - RECT 7622.5 26590.0 7405.0 26655.0 ; - RECT 7437.5 26622.5 7372.5 26682.5 ; - RECT 7405.0 26650.0 7190.0 26715.0 ; - RECT 10382.5 17710.0 10317.5 17895.0 ; - RECT 10382.5 16550.0 10317.5 16735.0 ; - RECT 10742.5 16667.5 10677.5 16517.5 ; - RECT 10742.5 17552.5 10677.5 17927.5 ; - RECT 10552.5 16667.5 10487.5 17552.5 ; - RECT 10742.5 17552.5 10677.5 17687.5 ; - RECT 10552.5 17552.5 10487.5 17687.5 ; - RECT 10552.5 17552.5 10487.5 17687.5 ; - RECT 10742.5 17552.5 10677.5 17687.5 ; - RECT 10742.5 16667.5 10677.5 16802.5 ; - RECT 10552.5 16667.5 10487.5 16802.5 ; - RECT 10552.5 16667.5 10487.5 16802.5 ; - RECT 10742.5 16667.5 10677.5 16802.5 ; - RECT 10382.5 17642.5 10317.5 17777.5 ; - RECT 10382.5 16667.5 10317.5 16802.5 ; - RECT 10685.0 17110.0 10620.0 17245.0 ; - RECT 10685.0 17110.0 10620.0 17245.0 ; - RECT 10520.0 17145.0 10455.0 17210.0 ; - RECT 10810.0 17862.5 10250.0 17927.5 ; - RECT 10810.0 16517.5 10250.0 16582.5 ; - RECT 10382.5 18080.0 10317.5 17895.0 ; - RECT 10382.5 19240.0 10317.5 19055.0 ; - RECT 10742.5 19122.5 10677.5 19272.5 ; - RECT 10742.5 18237.5 10677.5 17862.5 ; - RECT 10552.5 19122.5 10487.5 18237.5 ; - RECT 10742.5 18237.5 10677.5 18102.5 ; - RECT 10552.5 18237.5 10487.5 18102.5 ; - RECT 10552.5 18237.5 10487.5 18102.5 ; - RECT 10742.5 18237.5 10677.5 18102.5 ; - RECT 10742.5 19122.5 10677.5 18987.5 ; - RECT 10552.5 19122.5 10487.5 18987.5 ; - RECT 10552.5 19122.5 10487.5 18987.5 ; - RECT 10742.5 19122.5 10677.5 18987.5 ; - RECT 10382.5 18147.5 10317.5 18012.5 ; - RECT 10382.5 19122.5 10317.5 18987.5 ; - RECT 10685.0 18680.0 10620.0 18545.0 ; - RECT 10685.0 18680.0 10620.0 18545.0 ; - RECT 10520.0 18645.0 10455.0 18580.0 ; - RECT 10810.0 17927.5 10250.0 17862.5 ; - RECT 10810.0 19272.5 10250.0 19207.5 ; - RECT 10382.5 20400.0 10317.5 20585.0 ; - RECT 10382.5 19240.0 10317.5 19425.0 ; - RECT 10742.5 19357.5 10677.5 19207.5 ; - RECT 10742.5 20242.5 10677.5 20617.5 ; - RECT 10552.5 19357.5 10487.5 20242.5 ; - RECT 10742.5 20242.5 10677.5 20377.5 ; - RECT 10552.5 20242.5 10487.5 20377.5 ; - RECT 10552.5 20242.5 10487.5 20377.5 ; - RECT 10742.5 20242.5 10677.5 20377.5 ; - RECT 10742.5 19357.5 10677.5 19492.5 ; - RECT 10552.5 19357.5 10487.5 19492.5 ; - RECT 10552.5 19357.5 10487.5 19492.5 ; - RECT 10742.5 19357.5 10677.5 19492.5 ; - RECT 10382.5 20332.5 10317.5 20467.5 ; - RECT 10382.5 19357.5 10317.5 19492.5 ; - RECT 10685.0 19800.0 10620.0 19935.0 ; - RECT 10685.0 19800.0 10620.0 19935.0 ; - RECT 10520.0 19835.0 10455.0 19900.0 ; - RECT 10810.0 20552.5 10250.0 20617.5 ; - RECT 10810.0 19207.5 10250.0 19272.5 ; - RECT 6887.5 17710.0 6822.5 17895.0 ; - RECT 6887.5 16550.0 6822.5 16735.0 ; - RECT 7247.5 16667.5 7182.5 16517.5 ; - RECT 7247.5 17552.5 7182.5 17927.5 ; - RECT 7057.5 16667.5 6992.5 17552.5 ; - RECT 7247.5 17552.5 7182.5 17687.5 ; - RECT 7057.5 17552.5 6992.5 17687.5 ; - RECT 7057.5 17552.5 6992.5 17687.5 ; - RECT 7247.5 17552.5 7182.5 17687.5 ; - RECT 7247.5 16667.5 7182.5 16802.5 ; - RECT 7057.5 16667.5 6992.5 16802.5 ; - RECT 7057.5 16667.5 6992.5 16802.5 ; - RECT 7247.5 16667.5 7182.5 16802.5 ; - RECT 6887.5 17642.5 6822.5 17777.5 ; - RECT 6887.5 16667.5 6822.5 16802.5 ; - RECT 7190.0 17110.0 7125.0 17245.0 ; - RECT 7190.0 17110.0 7125.0 17245.0 ; - RECT 7025.0 17145.0 6960.0 17210.0 ; - RECT 7315.0 17862.5 6755.0 17927.5 ; - RECT 7315.0 16517.5 6755.0 16582.5 ; - RECT 6887.5 18080.0 6822.5 17895.0 ; - RECT 6887.5 19240.0 6822.5 19055.0 ; - RECT 7247.5 19122.5 7182.5 19272.5 ; - RECT 7247.5 18237.5 7182.5 17862.5 ; - RECT 7057.5 19122.5 6992.5 18237.5 ; - RECT 7247.5 18237.5 7182.5 18102.5 ; - RECT 7057.5 18237.5 6992.5 18102.5 ; - RECT 7057.5 18237.5 6992.5 18102.5 ; - RECT 7247.5 18237.5 7182.5 18102.5 ; - RECT 7247.5 19122.5 7182.5 18987.5 ; - RECT 7057.5 19122.5 6992.5 18987.5 ; - RECT 7057.5 19122.5 6992.5 18987.5 ; - RECT 7247.5 19122.5 7182.5 18987.5 ; - RECT 6887.5 18147.5 6822.5 18012.5 ; - RECT 6887.5 19122.5 6822.5 18987.5 ; - RECT 7190.0 18680.0 7125.0 18545.0 ; - RECT 7190.0 18680.0 7125.0 18545.0 ; - RECT 7025.0 18645.0 6960.0 18580.0 ; - RECT 7315.0 17927.5 6755.0 17862.5 ; - RECT 7315.0 19272.5 6755.0 19207.5 ; - RECT 6887.5 20400.0 6822.5 20585.0 ; - RECT 6887.5 19240.0 6822.5 19425.0 ; - RECT 7247.5 19357.5 7182.5 19207.5 ; - RECT 7247.5 20242.5 7182.5 20617.5 ; - RECT 7057.5 19357.5 6992.5 20242.5 ; - RECT 7247.5 20242.5 7182.5 20377.5 ; - RECT 7057.5 20242.5 6992.5 20377.5 ; - RECT 7057.5 20242.5 6992.5 20377.5 ; - RECT 7247.5 20242.5 7182.5 20377.5 ; - RECT 7247.5 19357.5 7182.5 19492.5 ; - RECT 7057.5 19357.5 6992.5 19492.5 ; - RECT 7057.5 19357.5 6992.5 19492.5 ; - RECT 7247.5 19357.5 7182.5 19492.5 ; - RECT 6887.5 20332.5 6822.5 20467.5 ; - RECT 6887.5 19357.5 6822.5 19492.5 ; - RECT 7190.0 19800.0 7125.0 19935.0 ; - RECT 7190.0 19800.0 7125.0 19935.0 ; - RECT 7025.0 19835.0 6960.0 19900.0 ; - RECT 7315.0 20552.5 6755.0 20617.5 ; - RECT 7315.0 19207.5 6755.0 19272.5 ; - RECT 6887.5 20770.0 6822.5 20585.0 ; - RECT 6887.5 21930.0 6822.5 21745.0 ; - RECT 7247.5 21812.5 7182.5 21962.5 ; - RECT 7247.5 20927.5 7182.5 20552.5 ; - RECT 7057.5 21812.5 6992.5 20927.5 ; - RECT 7247.5 20927.5 7182.5 20792.5 ; - RECT 7057.5 20927.5 6992.5 20792.5 ; - RECT 7057.5 20927.5 6992.5 20792.5 ; - RECT 7247.5 20927.5 7182.5 20792.5 ; - RECT 7247.5 21812.5 7182.5 21677.5 ; - RECT 7057.5 21812.5 6992.5 21677.5 ; - RECT 7057.5 21812.5 6992.5 21677.5 ; - RECT 7247.5 21812.5 7182.5 21677.5 ; - RECT 6887.5 20837.5 6822.5 20702.5 ; - RECT 6887.5 21812.5 6822.5 21677.5 ; - RECT 7190.0 21370.0 7125.0 21235.0 ; - RECT 7190.0 21370.0 7125.0 21235.0 ; - RECT 7025.0 21335.0 6960.0 21270.0 ; - RECT 7315.0 20617.5 6755.0 20552.5 ; - RECT 7315.0 21962.5 6755.0 21897.5 ; - RECT 6887.5 23090.0 6822.5 23275.0 ; - RECT 6887.5 21930.0 6822.5 22115.0 ; - RECT 7247.5 22047.5 7182.5 21897.5 ; - RECT 7247.5 22932.5 7182.5 23307.5 ; - RECT 7057.5 22047.5 6992.5 22932.5 ; - RECT 7247.5 22932.5 7182.5 23067.5 ; - RECT 7057.5 22932.5 6992.5 23067.5 ; - RECT 7057.5 22932.5 6992.5 23067.5 ; - RECT 7247.5 22932.5 7182.5 23067.5 ; - RECT 7247.5 22047.5 7182.5 22182.5 ; - RECT 7057.5 22047.5 6992.5 22182.5 ; - RECT 7057.5 22047.5 6992.5 22182.5 ; - RECT 7247.5 22047.5 7182.5 22182.5 ; - RECT 6887.5 23022.5 6822.5 23157.5 ; - RECT 6887.5 22047.5 6822.5 22182.5 ; - RECT 7190.0 22490.0 7125.0 22625.0 ; - RECT 7190.0 22490.0 7125.0 22625.0 ; - RECT 7025.0 22525.0 6960.0 22590.0 ; - RECT 7315.0 23242.5 6755.0 23307.5 ; - RECT 7315.0 21897.5 6755.0 21962.5 ; - RECT 6887.5 23460.0 6822.5 23275.0 ; - RECT 6887.5 24620.0 6822.5 24435.0 ; - RECT 7247.5 24502.5 7182.5 24652.5 ; - RECT 7247.5 23617.5 7182.5 23242.5 ; - RECT 7057.5 24502.5 6992.5 23617.5 ; - RECT 7247.5 23617.5 7182.5 23482.5 ; - RECT 7057.5 23617.5 6992.5 23482.5 ; - RECT 7057.5 23617.5 6992.5 23482.5 ; - RECT 7247.5 23617.5 7182.5 23482.5 ; - RECT 7247.5 24502.5 7182.5 24367.5 ; - RECT 7057.5 24502.5 6992.5 24367.5 ; - RECT 7057.5 24502.5 6992.5 24367.5 ; - RECT 7247.5 24502.5 7182.5 24367.5 ; - RECT 6887.5 23527.5 6822.5 23392.5 ; - RECT 6887.5 24502.5 6822.5 24367.5 ; - RECT 7190.0 24060.0 7125.0 23925.0 ; - RECT 7190.0 24060.0 7125.0 23925.0 ; - RECT 7025.0 24025.0 6960.0 23960.0 ; - RECT 7315.0 23307.5 6755.0 23242.5 ; - RECT 7315.0 24652.5 6755.0 24587.5 ; - RECT 6887.5 25780.0 6822.5 25965.0 ; - RECT 6887.5 24620.0 6822.5 24805.0 ; - RECT 7247.5 24737.5 7182.5 24587.5 ; - RECT 7247.5 25622.5 7182.5 25997.5 ; - RECT 7057.5 24737.5 6992.5 25622.5 ; - RECT 7247.5 25622.5 7182.5 25757.5 ; - RECT 7057.5 25622.5 6992.5 25757.5 ; - RECT 7057.5 25622.5 6992.5 25757.5 ; - RECT 7247.5 25622.5 7182.5 25757.5 ; - RECT 7247.5 24737.5 7182.5 24872.5 ; - RECT 7057.5 24737.5 6992.5 24872.5 ; - RECT 7057.5 24737.5 6992.5 24872.5 ; - RECT 7247.5 24737.5 7182.5 24872.5 ; - RECT 6887.5 25712.5 6822.5 25847.5 ; - RECT 6887.5 24737.5 6822.5 24872.5 ; - RECT 7190.0 25180.0 7125.0 25315.0 ; - RECT 7190.0 25180.0 7125.0 25315.0 ; - RECT 7025.0 25215.0 6960.0 25280.0 ; - RECT 7315.0 25932.5 6755.0 25997.5 ; - RECT 7315.0 24587.5 6755.0 24652.5 ; - RECT 6887.5 26150.0 6822.5 25965.0 ; - RECT 6887.5 27310.0 6822.5 27125.0 ; - RECT 7247.5 27192.5 7182.5 27342.5 ; - RECT 7247.5 26307.5 7182.5 25932.5 ; - RECT 7057.5 27192.5 6992.5 26307.5 ; - RECT 7247.5 26307.5 7182.5 26172.5 ; - RECT 7057.5 26307.5 6992.5 26172.5 ; - RECT 7057.5 26307.5 6992.5 26172.5 ; - RECT 7247.5 26307.5 7182.5 26172.5 ; - RECT 7247.5 27192.5 7182.5 27057.5 ; - RECT 7057.5 27192.5 6992.5 27057.5 ; - RECT 7057.5 27192.5 6992.5 27057.5 ; - RECT 7247.5 27192.5 7182.5 27057.5 ; - RECT 6887.5 26217.5 6822.5 26082.5 ; - RECT 6887.5 27192.5 6822.5 27057.5 ; - RECT 7190.0 26750.0 7125.0 26615.0 ; - RECT 7190.0 26750.0 7125.0 26615.0 ; - RECT 7025.0 26715.0 6960.0 26650.0 ; - RECT 7315.0 25997.5 6755.0 25932.5 ; - RECT 7315.0 27342.5 6755.0 27277.5 ; - RECT 8257.5 16712.5 8192.5 16517.5 ; - RECT 8257.5 17552.5 8192.5 17927.5 ; - RECT 7877.5 17552.5 7812.5 17927.5 ; - RECT 7517.5 17710.0 7452.5 17895.0 ; - RECT 7517.5 16550.0 7452.5 16735.0 ; - RECT 8257.5 17552.5 8192.5 17687.5 ; - RECT 8067.5 17552.5 8002.5 17687.5 ; - RECT 8067.5 17552.5 8002.5 17687.5 ; - RECT 8257.5 17552.5 8192.5 17687.5 ; - RECT 8067.5 17552.5 8002.5 17687.5 ; - RECT 7877.5 17552.5 7812.5 17687.5 ; - RECT 7877.5 17552.5 7812.5 17687.5 ; - RECT 8067.5 17552.5 8002.5 17687.5 ; - RECT 7877.5 17552.5 7812.5 17687.5 ; - RECT 7687.5 17552.5 7622.5 17687.5 ; - RECT 7687.5 17552.5 7622.5 17687.5 ; - RECT 7877.5 17552.5 7812.5 17687.5 ; - RECT 8257.5 16712.5 8192.5 16847.5 ; - RECT 8067.5 16712.5 8002.5 16847.5 ; - RECT 8067.5 16712.5 8002.5 16847.5 ; - RECT 8257.5 16712.5 8192.5 16847.5 ; - RECT 8067.5 16712.5 8002.5 16847.5 ; - RECT 7877.5 16712.5 7812.5 16847.5 ; - RECT 7877.5 16712.5 7812.5 16847.5 ; - RECT 8067.5 16712.5 8002.5 16847.5 ; - RECT 7877.5 16712.5 7812.5 16847.5 ; - RECT 7687.5 16712.5 7622.5 16847.5 ; - RECT 7687.5 16712.5 7622.5 16847.5 ; - RECT 7877.5 16712.5 7812.5 16847.5 ; - RECT 7517.5 17642.5 7452.5 17777.5 ; - RECT 7517.5 16667.5 7452.5 16802.5 ; - RECT 7682.5 16925.0 7817.5 16990.0 ; - RECT 7872.5 17065.0 8007.5 17130.0 ; - RECT 8062.5 17205.0 8197.5 17270.0 ; - RECT 8067.5 17552.5 8002.5 17687.5 ; - RECT 7687.5 17552.5 7622.5 17687.5 ; - RECT 7687.5 16712.5 7622.5 16847.5 ; - RECT 7687.5 17170.0 7622.5 17305.0 ; - RECT 8197.5 17205.0 8062.5 17270.0 ; - RECT 8007.5 17065.0 7872.5 17130.0 ; - RECT 7817.5 16925.0 7682.5 16990.0 ; - RECT 7687.5 17170.0 7622.5 17305.0 ; - RECT 8325.0 17862.5 7315.0 17927.5 ; - RECT 8325.0 16517.5 7315.0 16582.5 ; - RECT 8257.5 19077.5 8192.5 19272.5 ; - RECT 8257.5 18237.5 8192.5 17862.5 ; - RECT 7877.5 18237.5 7812.5 17862.5 ; - RECT 7517.5 18080.0 7452.5 17895.0 ; - RECT 7517.5 19240.0 7452.5 19055.0 ; - RECT 8257.5 18237.5 8192.5 18102.5 ; - RECT 8067.5 18237.5 8002.5 18102.5 ; - RECT 8067.5 18237.5 8002.5 18102.5 ; - RECT 8257.5 18237.5 8192.5 18102.5 ; - RECT 8067.5 18237.5 8002.5 18102.5 ; - RECT 7877.5 18237.5 7812.5 18102.5 ; - RECT 7877.5 18237.5 7812.5 18102.5 ; - RECT 8067.5 18237.5 8002.5 18102.5 ; - RECT 7877.5 18237.5 7812.5 18102.5 ; - RECT 7687.5 18237.5 7622.5 18102.5 ; - RECT 7687.5 18237.5 7622.5 18102.5 ; - RECT 7877.5 18237.5 7812.5 18102.5 ; - RECT 8257.5 19077.5 8192.5 18942.5 ; - RECT 8067.5 19077.5 8002.5 18942.5 ; - RECT 8067.5 19077.5 8002.5 18942.5 ; - RECT 8257.5 19077.5 8192.5 18942.5 ; - RECT 8067.5 19077.5 8002.5 18942.5 ; - RECT 7877.5 19077.5 7812.5 18942.5 ; - RECT 7877.5 19077.5 7812.5 18942.5 ; - RECT 8067.5 19077.5 8002.5 18942.5 ; - RECT 7877.5 19077.5 7812.5 18942.5 ; - RECT 7687.5 19077.5 7622.5 18942.5 ; - RECT 7687.5 19077.5 7622.5 18942.5 ; - RECT 7877.5 19077.5 7812.5 18942.5 ; - RECT 7517.5 18147.5 7452.5 18012.5 ; - RECT 7517.5 19122.5 7452.5 18987.5 ; - RECT 7682.5 18865.0 7817.5 18800.0 ; - RECT 7872.5 18725.0 8007.5 18660.0 ; - RECT 8062.5 18585.0 8197.5 18520.0 ; - RECT 8067.5 18237.5 8002.5 18102.5 ; - RECT 7687.5 18237.5 7622.5 18102.5 ; - RECT 7687.5 19077.5 7622.5 18942.5 ; - RECT 7687.5 18620.0 7622.5 18485.0 ; - RECT 8197.5 18585.0 8062.5 18520.0 ; - RECT 8007.5 18725.0 7872.5 18660.0 ; - RECT 7817.5 18865.0 7682.5 18800.0 ; - RECT 7687.5 18620.0 7622.5 18485.0 ; - RECT 8325.0 17927.5 7315.0 17862.5 ; - RECT 8325.0 19272.5 7315.0 19207.5 ; - RECT 8257.5 19402.5 8192.5 19207.5 ; - RECT 8257.5 20242.5 8192.5 20617.5 ; - RECT 7877.5 20242.5 7812.5 20617.5 ; - RECT 7517.5 20400.0 7452.5 20585.0 ; - RECT 7517.5 19240.0 7452.5 19425.0 ; - RECT 8257.5 20242.5 8192.5 20377.5 ; - RECT 8067.5 20242.5 8002.5 20377.5 ; - RECT 8067.5 20242.5 8002.5 20377.5 ; - RECT 8257.5 20242.5 8192.5 20377.5 ; - RECT 8067.5 20242.5 8002.5 20377.5 ; - RECT 7877.5 20242.5 7812.5 20377.5 ; - RECT 7877.5 20242.5 7812.5 20377.5 ; - RECT 8067.5 20242.5 8002.5 20377.5 ; - RECT 7877.5 20242.5 7812.5 20377.5 ; - RECT 7687.5 20242.5 7622.5 20377.5 ; - RECT 7687.5 20242.5 7622.5 20377.5 ; - RECT 7877.5 20242.5 7812.5 20377.5 ; - RECT 8257.5 19402.5 8192.5 19537.5 ; - RECT 8067.5 19402.5 8002.5 19537.5 ; - RECT 8067.5 19402.5 8002.5 19537.5 ; - RECT 8257.5 19402.5 8192.5 19537.5 ; - RECT 8067.5 19402.5 8002.5 19537.5 ; - RECT 7877.5 19402.5 7812.5 19537.5 ; - RECT 7877.5 19402.5 7812.5 19537.5 ; - RECT 8067.5 19402.5 8002.5 19537.5 ; - RECT 7877.5 19402.5 7812.5 19537.5 ; - RECT 7687.5 19402.5 7622.5 19537.5 ; - RECT 7687.5 19402.5 7622.5 19537.5 ; - RECT 7877.5 19402.5 7812.5 19537.5 ; - RECT 7517.5 20332.5 7452.5 20467.5 ; - RECT 7517.5 19357.5 7452.5 19492.5 ; - RECT 7682.5 19615.0 7817.5 19680.0 ; - RECT 7872.5 19755.0 8007.5 19820.0 ; - RECT 8062.5 19895.0 8197.5 19960.0 ; - RECT 8067.5 20242.5 8002.5 20377.5 ; - RECT 7687.5 20242.5 7622.5 20377.5 ; - RECT 7687.5 19402.5 7622.5 19537.5 ; - RECT 7687.5 19860.0 7622.5 19995.0 ; - RECT 8197.5 19895.0 8062.5 19960.0 ; - RECT 8007.5 19755.0 7872.5 19820.0 ; - RECT 7817.5 19615.0 7682.5 19680.0 ; - RECT 7687.5 19860.0 7622.5 19995.0 ; - RECT 8325.0 20552.5 7315.0 20617.5 ; - RECT 8325.0 19207.5 7315.0 19272.5 ; - RECT 8257.5 21767.5 8192.5 21962.5 ; - RECT 8257.5 20927.5 8192.5 20552.5 ; - RECT 7877.5 20927.5 7812.5 20552.5 ; - RECT 7517.5 20770.0 7452.5 20585.0 ; - RECT 7517.5 21930.0 7452.5 21745.0 ; - RECT 8257.5 20927.5 8192.5 20792.5 ; - RECT 8067.5 20927.5 8002.5 20792.5 ; - RECT 8067.5 20927.5 8002.5 20792.5 ; - RECT 8257.5 20927.5 8192.5 20792.5 ; - RECT 8067.5 20927.5 8002.5 20792.5 ; - RECT 7877.5 20927.5 7812.5 20792.5 ; - RECT 7877.5 20927.5 7812.5 20792.5 ; - RECT 8067.5 20927.5 8002.5 20792.5 ; - RECT 7877.5 20927.5 7812.5 20792.5 ; - RECT 7687.5 20927.5 7622.5 20792.5 ; - RECT 7687.5 20927.5 7622.5 20792.5 ; - RECT 7877.5 20927.5 7812.5 20792.5 ; - RECT 8257.5 21767.5 8192.5 21632.5 ; - RECT 8067.5 21767.5 8002.5 21632.5 ; - RECT 8067.5 21767.5 8002.5 21632.5 ; - RECT 8257.5 21767.5 8192.5 21632.5 ; - RECT 8067.5 21767.5 8002.5 21632.5 ; - RECT 7877.5 21767.5 7812.5 21632.5 ; - RECT 7877.5 21767.5 7812.5 21632.5 ; - RECT 8067.5 21767.5 8002.5 21632.5 ; - RECT 7877.5 21767.5 7812.5 21632.5 ; - RECT 7687.5 21767.5 7622.5 21632.5 ; - RECT 7687.5 21767.5 7622.5 21632.5 ; - RECT 7877.5 21767.5 7812.5 21632.5 ; - RECT 7517.5 20837.5 7452.5 20702.5 ; - RECT 7517.5 21812.5 7452.5 21677.5 ; - RECT 7682.5 21555.0 7817.5 21490.0 ; - RECT 7872.5 21415.0 8007.5 21350.0 ; - RECT 8062.5 21275.0 8197.5 21210.0 ; - RECT 8067.5 20927.5 8002.5 20792.5 ; - RECT 7687.5 20927.5 7622.5 20792.5 ; - RECT 7687.5 21767.5 7622.5 21632.5 ; - RECT 7687.5 21310.0 7622.5 21175.0 ; - RECT 8197.5 21275.0 8062.5 21210.0 ; - RECT 8007.5 21415.0 7872.5 21350.0 ; - RECT 7817.5 21555.0 7682.5 21490.0 ; - RECT 7687.5 21310.0 7622.5 21175.0 ; - RECT 8325.0 20617.5 7315.0 20552.5 ; - RECT 8325.0 21962.5 7315.0 21897.5 ; - RECT 8257.5 22092.5 8192.5 21897.5 ; - RECT 8257.5 22932.5 8192.5 23307.5 ; - RECT 7877.5 22932.5 7812.5 23307.5 ; - RECT 7517.5 23090.0 7452.5 23275.0 ; - RECT 7517.5 21930.0 7452.5 22115.0 ; - RECT 8257.5 22932.5 8192.5 23067.5 ; - RECT 8067.5 22932.5 8002.5 23067.5 ; - RECT 8067.5 22932.5 8002.5 23067.5 ; - RECT 8257.5 22932.5 8192.5 23067.5 ; - RECT 8067.5 22932.5 8002.5 23067.5 ; - RECT 7877.5 22932.5 7812.5 23067.5 ; - RECT 7877.5 22932.5 7812.5 23067.5 ; - RECT 8067.5 22932.5 8002.5 23067.5 ; - RECT 7877.5 22932.5 7812.5 23067.5 ; - RECT 7687.5 22932.5 7622.5 23067.5 ; - RECT 7687.5 22932.5 7622.5 23067.5 ; - RECT 7877.5 22932.5 7812.5 23067.5 ; - RECT 8257.5 22092.5 8192.5 22227.5 ; - RECT 8067.5 22092.5 8002.5 22227.5 ; - RECT 8067.5 22092.5 8002.5 22227.5 ; - RECT 8257.5 22092.5 8192.5 22227.5 ; - RECT 8067.5 22092.5 8002.5 22227.5 ; - RECT 7877.5 22092.5 7812.5 22227.5 ; - RECT 7877.5 22092.5 7812.5 22227.5 ; - RECT 8067.5 22092.5 8002.5 22227.5 ; - RECT 7877.5 22092.5 7812.5 22227.5 ; - RECT 7687.5 22092.5 7622.5 22227.5 ; - RECT 7687.5 22092.5 7622.5 22227.5 ; - RECT 7877.5 22092.5 7812.5 22227.5 ; - RECT 7517.5 23022.5 7452.5 23157.5 ; - RECT 7517.5 22047.5 7452.5 22182.5 ; - RECT 7682.5 22305.0 7817.5 22370.0 ; - RECT 7872.5 22445.0 8007.5 22510.0 ; - RECT 8062.5 22585.0 8197.5 22650.0 ; - RECT 8067.5 22932.5 8002.5 23067.5 ; - RECT 7687.5 22932.5 7622.5 23067.5 ; - RECT 7687.5 22092.5 7622.5 22227.5 ; - RECT 7687.5 22550.0 7622.5 22685.0 ; - RECT 8197.5 22585.0 8062.5 22650.0 ; - RECT 8007.5 22445.0 7872.5 22510.0 ; - RECT 7817.5 22305.0 7682.5 22370.0 ; - RECT 7687.5 22550.0 7622.5 22685.0 ; - RECT 8325.0 23242.5 7315.0 23307.5 ; - RECT 8325.0 21897.5 7315.0 21962.5 ; - RECT 8257.5 24457.5 8192.5 24652.5 ; - RECT 8257.5 23617.5 8192.5 23242.5 ; - RECT 7877.5 23617.5 7812.5 23242.5 ; - RECT 7517.5 23460.0 7452.5 23275.0 ; - RECT 7517.5 24620.0 7452.5 24435.0 ; - RECT 8257.5 23617.5 8192.5 23482.5 ; - RECT 8067.5 23617.5 8002.5 23482.5 ; - RECT 8067.5 23617.5 8002.5 23482.5 ; - RECT 8257.5 23617.5 8192.5 23482.5 ; - RECT 8067.5 23617.5 8002.5 23482.5 ; - RECT 7877.5 23617.5 7812.5 23482.5 ; - RECT 7877.5 23617.5 7812.5 23482.5 ; - RECT 8067.5 23617.5 8002.5 23482.5 ; - RECT 7877.5 23617.5 7812.5 23482.5 ; - RECT 7687.5 23617.5 7622.5 23482.5 ; - RECT 7687.5 23617.5 7622.5 23482.5 ; - RECT 7877.5 23617.5 7812.5 23482.5 ; - RECT 8257.5 24457.5 8192.5 24322.5 ; - RECT 8067.5 24457.5 8002.5 24322.5 ; - RECT 8067.5 24457.5 8002.5 24322.5 ; - RECT 8257.5 24457.5 8192.5 24322.5 ; - RECT 8067.5 24457.5 8002.5 24322.5 ; - RECT 7877.5 24457.5 7812.5 24322.5 ; - RECT 7877.5 24457.5 7812.5 24322.5 ; - RECT 8067.5 24457.5 8002.5 24322.5 ; - RECT 7877.5 24457.5 7812.5 24322.5 ; - RECT 7687.5 24457.5 7622.5 24322.5 ; - RECT 7687.5 24457.5 7622.5 24322.5 ; - RECT 7877.5 24457.5 7812.5 24322.5 ; - RECT 7517.5 23527.5 7452.5 23392.5 ; - RECT 7517.5 24502.5 7452.5 24367.5 ; - RECT 7682.5 24245.0 7817.5 24180.0 ; - RECT 7872.5 24105.0 8007.5 24040.0 ; - RECT 8062.5 23965.0 8197.5 23900.0 ; - RECT 8067.5 23617.5 8002.5 23482.5 ; - RECT 7687.5 23617.5 7622.5 23482.5 ; - RECT 7687.5 24457.5 7622.5 24322.5 ; - RECT 7687.5 24000.0 7622.5 23865.0 ; - RECT 8197.5 23965.0 8062.5 23900.0 ; - RECT 8007.5 24105.0 7872.5 24040.0 ; - RECT 7817.5 24245.0 7682.5 24180.0 ; - RECT 7687.5 24000.0 7622.5 23865.0 ; - RECT 8325.0 23307.5 7315.0 23242.5 ; - RECT 8325.0 24652.5 7315.0 24587.5 ; - RECT 8257.5 24782.5 8192.5 24587.5 ; - RECT 8257.5 25622.5 8192.5 25997.5 ; - RECT 7877.5 25622.5 7812.5 25997.5 ; - RECT 7517.5 25780.0 7452.5 25965.0 ; - RECT 7517.5 24620.0 7452.5 24805.0 ; - RECT 8257.5 25622.5 8192.5 25757.5 ; - RECT 8067.5 25622.5 8002.5 25757.5 ; - RECT 8067.5 25622.5 8002.5 25757.5 ; - RECT 8257.5 25622.5 8192.5 25757.5 ; - RECT 8067.5 25622.5 8002.5 25757.5 ; - RECT 7877.5 25622.5 7812.5 25757.5 ; - RECT 7877.5 25622.5 7812.5 25757.5 ; - RECT 8067.5 25622.5 8002.5 25757.5 ; - RECT 7877.5 25622.5 7812.5 25757.5 ; - RECT 7687.5 25622.5 7622.5 25757.5 ; - RECT 7687.5 25622.5 7622.5 25757.5 ; - RECT 7877.5 25622.5 7812.5 25757.5 ; - RECT 8257.5 24782.5 8192.5 24917.5 ; - RECT 8067.5 24782.5 8002.5 24917.5 ; - RECT 8067.5 24782.5 8002.5 24917.5 ; - RECT 8257.5 24782.5 8192.5 24917.5 ; - RECT 8067.5 24782.5 8002.5 24917.5 ; - RECT 7877.5 24782.5 7812.5 24917.5 ; - RECT 7877.5 24782.5 7812.5 24917.5 ; - RECT 8067.5 24782.5 8002.5 24917.5 ; - RECT 7877.5 24782.5 7812.5 24917.5 ; - RECT 7687.5 24782.5 7622.5 24917.5 ; - RECT 7687.5 24782.5 7622.5 24917.5 ; - RECT 7877.5 24782.5 7812.5 24917.5 ; - RECT 7517.5 25712.5 7452.5 25847.5 ; - RECT 7517.5 24737.5 7452.5 24872.5 ; - RECT 7682.5 24995.0 7817.5 25060.0 ; - RECT 7872.5 25135.0 8007.5 25200.0 ; - RECT 8062.5 25275.0 8197.5 25340.0 ; - RECT 8067.5 25622.5 8002.5 25757.5 ; - RECT 7687.5 25622.5 7622.5 25757.5 ; - RECT 7687.5 24782.5 7622.5 24917.5 ; - RECT 7687.5 25240.0 7622.5 25375.0 ; - RECT 8197.5 25275.0 8062.5 25340.0 ; - RECT 8007.5 25135.0 7872.5 25200.0 ; - RECT 7817.5 24995.0 7682.5 25060.0 ; - RECT 7687.5 25240.0 7622.5 25375.0 ; - RECT 8325.0 25932.5 7315.0 25997.5 ; - RECT 8325.0 24587.5 7315.0 24652.5 ; - RECT 8257.5 27147.5 8192.5 27342.5 ; - RECT 8257.5 26307.5 8192.5 25932.5 ; - RECT 7877.5 26307.5 7812.5 25932.5 ; - RECT 7517.5 26150.0 7452.5 25965.0 ; - RECT 7517.5 27310.0 7452.5 27125.0 ; - RECT 8257.5 26307.5 8192.5 26172.5 ; - RECT 8067.5 26307.5 8002.5 26172.5 ; - RECT 8067.5 26307.5 8002.5 26172.5 ; - RECT 8257.5 26307.5 8192.5 26172.5 ; - RECT 8067.5 26307.5 8002.5 26172.5 ; - RECT 7877.5 26307.5 7812.5 26172.5 ; - RECT 7877.5 26307.5 7812.5 26172.5 ; - RECT 8067.5 26307.5 8002.5 26172.5 ; - RECT 7877.5 26307.5 7812.5 26172.5 ; - RECT 7687.5 26307.5 7622.5 26172.5 ; - RECT 7687.5 26307.5 7622.5 26172.5 ; - RECT 7877.5 26307.5 7812.5 26172.5 ; - RECT 8257.5 27147.5 8192.5 27012.5 ; - RECT 8067.5 27147.5 8002.5 27012.5 ; - RECT 8067.5 27147.5 8002.5 27012.5 ; - RECT 8257.5 27147.5 8192.5 27012.5 ; - RECT 8067.5 27147.5 8002.5 27012.5 ; - RECT 7877.5 27147.5 7812.5 27012.5 ; - RECT 7877.5 27147.5 7812.5 27012.5 ; - RECT 8067.5 27147.5 8002.5 27012.5 ; - RECT 7877.5 27147.5 7812.5 27012.5 ; - RECT 7687.5 27147.5 7622.5 27012.5 ; - RECT 7687.5 27147.5 7622.5 27012.5 ; - RECT 7877.5 27147.5 7812.5 27012.5 ; - RECT 7517.5 26217.5 7452.5 26082.5 ; - RECT 7517.5 27192.5 7452.5 27057.5 ; - RECT 7682.5 26935.0 7817.5 26870.0 ; - RECT 7872.5 26795.0 8007.5 26730.0 ; - RECT 8062.5 26655.0 8197.5 26590.0 ; - RECT 8067.5 26307.5 8002.5 26172.5 ; - RECT 7687.5 26307.5 7622.5 26172.5 ; - RECT 7687.5 27147.5 7622.5 27012.5 ; - RECT 7687.5 26690.0 7622.5 26555.0 ; - RECT 8197.5 26655.0 8062.5 26590.0 ; - RECT 8007.5 26795.0 7872.5 26730.0 ; - RECT 7817.5 26935.0 7682.5 26870.0 ; - RECT 7687.5 26690.0 7622.5 26555.0 ; - RECT 8325.0 25997.5 7315.0 25932.5 ; - RECT 8325.0 27342.5 7315.0 27277.5 ; - RECT 9872.5 17667.5 10007.5 17732.5 ; - RECT 11532.5 17145.0 11667.5 17210.0 ; - RECT 9597.5 19012.5 9732.5 19077.5 ; - RECT 11257.5 18580.0 11392.5 18645.0 ; - RECT 9322.5 20357.5 9457.5 20422.5 ; - RECT 10982.5 19835.0 11117.5 19900.0 ; - RECT 11532.5 20687.5 11667.5 20752.5 ; - RECT 9047.5 20687.5 9182.5 20752.5 ; - RECT 11257.5 22032.5 11392.5 22097.5 ; - RECT 8772.5 22032.5 8907.5 22097.5 ; - RECT 10982.5 23377.5 11117.5 23442.5 ; - RECT 8497.5 23377.5 8632.5 23442.5 ; - RECT 9872.5 17205.0 10007.5 17270.0 ; - RECT 9597.5 17065.0 9732.5 17130.0 ; - RECT 9322.5 16925.0 9457.5 16990.0 ; - RECT 9047.5 18520.0 9182.5 18585.0 ; - RECT 9597.5 18660.0 9732.5 18725.0 ; - RECT 9322.5 18800.0 9457.5 18865.0 ; - RECT 9872.5 19895.0 10007.5 19960.0 ; - RECT 8772.5 19755.0 8907.5 19820.0 ; - RECT 9322.5 19615.0 9457.5 19680.0 ; - RECT 9047.5 21210.0 9182.5 21275.0 ; - RECT 8772.5 21350.0 8907.5 21415.0 ; - RECT 9322.5 21490.0 9457.5 21555.0 ; - RECT 9872.5 22585.0 10007.5 22650.0 ; - RECT 9597.5 22445.0 9732.5 22510.0 ; - RECT 8497.5 22305.0 8632.5 22370.0 ; - RECT 9047.5 23900.0 9182.5 23965.0 ; - RECT 9597.5 24040.0 9732.5 24105.0 ; - RECT 8497.5 24180.0 8632.5 24245.0 ; - RECT 9872.5 25275.0 10007.5 25340.0 ; - RECT 8772.5 25135.0 8907.5 25200.0 ; - RECT 8497.5 24995.0 8632.5 25060.0 ; - RECT 9047.5 26590.0 9182.5 26655.0 ; - RECT 8772.5 26730.0 8907.5 26795.0 ; - RECT 8497.5 26870.0 8632.5 26935.0 ; - RECT 6960.0 17145.0 6755.0 17210.0 ; - RECT 6960.0 18580.0 6755.0 18645.0 ; - RECT 6960.0 19835.0 6755.0 19900.0 ; - RECT 6960.0 21270.0 6755.0 21335.0 ; - RECT 6960.0 22525.0 6755.0 22590.0 ; - RECT 6960.0 23960.0 6755.0 24025.0 ; - RECT 6960.0 25215.0 6755.0 25280.0 ; - RECT 6960.0 26650.0 6755.0 26715.0 ; - RECT 11635.0 17862.5 6755.0 17927.5 ; - RECT 11635.0 20552.5 6755.0 20617.5 ; - RECT 11635.0 23242.5 6755.0 23307.5 ; - RECT 11635.0 25932.5 6755.0 25997.5 ; - RECT 11635.0 16517.5 6755.0 16582.5 ; - RECT 11635.0 19207.5 6755.0 19272.5 ; - RECT 11635.0 21897.5 6755.0 21962.5 ; - RECT 11635.0 24587.5 6755.0 24652.5 ; - RECT 11635.0 27277.5 6755.0 27342.5 ; - RECT 6822.5 27472.5 6887.5 27277.5 ; - RECT 6822.5 28312.5 6887.5 28687.5 ; - RECT 7202.5 28312.5 7267.5 28687.5 ; - RECT 7372.5 28470.0 7437.5 28655.0 ; - RECT 7372.5 27310.0 7437.5 27495.0 ; - RECT 6822.5 28312.5 6887.5 28447.5 ; - RECT 7012.5 28312.5 7077.5 28447.5 ; - RECT 7012.5 28312.5 7077.5 28447.5 ; - RECT 6822.5 28312.5 6887.5 28447.5 ; - RECT 7012.5 28312.5 7077.5 28447.5 ; - RECT 7202.5 28312.5 7267.5 28447.5 ; - RECT 7202.5 28312.5 7267.5 28447.5 ; - RECT 7012.5 28312.5 7077.5 28447.5 ; - RECT 6822.5 27472.5 6887.5 27607.5 ; - RECT 7012.5 27472.5 7077.5 27607.5 ; - RECT 7012.5 27472.5 7077.5 27607.5 ; - RECT 6822.5 27472.5 6887.5 27607.5 ; - RECT 7012.5 27472.5 7077.5 27607.5 ; - RECT 7202.5 27472.5 7267.5 27607.5 ; - RECT 7202.5 27472.5 7267.5 27607.5 ; - RECT 7012.5 27472.5 7077.5 27607.5 ; - RECT 7372.5 28402.5 7437.5 28537.5 ; - RECT 7372.5 27427.5 7437.5 27562.5 ; - RECT 7207.5 27702.5 7072.5 27767.5 ; - RECT 6950.0 27917.5 6815.0 27982.5 ; - RECT 7012.5 28312.5 7077.5 28447.5 ; - RECT 7202.5 27472.5 7267.5 27607.5 ; - RECT 7302.5 27917.5 7167.5 27982.5 ; - RECT 6815.0 27917.5 6950.0 27982.5 ; - RECT 7072.5 27702.5 7207.5 27767.5 ; - RECT 7167.5 27917.5 7302.5 27982.5 ; - RECT 6755.0 28622.5 7675.0 28687.5 ; - RECT 6755.0 27277.5 7675.0 27342.5 ; - RECT 6822.5 29837.5 6887.5 30032.5 ; - RECT 6822.5 28997.5 6887.5 28622.5 ; - RECT 7202.5 28997.5 7267.5 28622.5 ; - RECT 7372.5 28840.0 7437.5 28655.0 ; - RECT 7372.5 30000.0 7437.5 29815.0 ; - RECT 6822.5 28997.5 6887.5 28862.5 ; - RECT 7012.5 28997.5 7077.5 28862.5 ; - RECT 7012.5 28997.5 7077.5 28862.5 ; - RECT 6822.5 28997.5 6887.5 28862.5 ; - RECT 7012.5 28997.5 7077.5 28862.5 ; - RECT 7202.5 28997.5 7267.5 28862.5 ; - RECT 7202.5 28997.5 7267.5 28862.5 ; - RECT 7012.5 28997.5 7077.5 28862.5 ; - RECT 6822.5 29837.5 6887.5 29702.5 ; - RECT 7012.5 29837.5 7077.5 29702.5 ; - RECT 7012.5 29837.5 7077.5 29702.5 ; - RECT 6822.5 29837.5 6887.5 29702.5 ; - RECT 7012.5 29837.5 7077.5 29702.5 ; - RECT 7202.5 29837.5 7267.5 29702.5 ; - RECT 7202.5 29837.5 7267.5 29702.5 ; - RECT 7012.5 29837.5 7077.5 29702.5 ; - RECT 7372.5 28907.5 7437.5 28772.5 ; - RECT 7372.5 29882.5 7437.5 29747.5 ; - RECT 7207.5 29607.5 7072.5 29542.5 ; - RECT 6950.0 29392.5 6815.0 29327.5 ; - RECT 7012.5 28997.5 7077.5 28862.5 ; - RECT 7202.5 29837.5 7267.5 29702.5 ; - RECT 7302.5 29392.5 7167.5 29327.5 ; - RECT 6815.0 29392.5 6950.0 29327.5 ; - RECT 7072.5 29607.5 7207.5 29542.5 ; - RECT 7167.5 29392.5 7302.5 29327.5 ; - RECT 6755.0 28687.5 7675.0 28622.5 ; - RECT 6755.0 30032.5 7675.0 29967.5 ; - RECT 6822.5 30162.5 6887.5 29967.5 ; - RECT 6822.5 31002.5 6887.5 31377.5 ; - RECT 7202.5 31002.5 7267.5 31377.5 ; - RECT 7372.5 31160.0 7437.5 31345.0 ; - RECT 7372.5 30000.0 7437.5 30185.0 ; - RECT 6822.5 31002.5 6887.5 31137.5 ; - RECT 7012.5 31002.5 7077.5 31137.5 ; - RECT 7012.5 31002.5 7077.5 31137.5 ; - RECT 6822.5 31002.5 6887.5 31137.5 ; - RECT 7012.5 31002.5 7077.5 31137.5 ; - RECT 7202.5 31002.5 7267.5 31137.5 ; - RECT 7202.5 31002.5 7267.5 31137.5 ; - RECT 7012.5 31002.5 7077.5 31137.5 ; - RECT 6822.5 30162.5 6887.5 30297.5 ; - RECT 7012.5 30162.5 7077.5 30297.5 ; - RECT 7012.5 30162.5 7077.5 30297.5 ; - RECT 6822.5 30162.5 6887.5 30297.5 ; - RECT 7012.5 30162.5 7077.5 30297.5 ; - RECT 7202.5 30162.5 7267.5 30297.5 ; - RECT 7202.5 30162.5 7267.5 30297.5 ; - RECT 7012.5 30162.5 7077.5 30297.5 ; - RECT 7372.5 31092.5 7437.5 31227.5 ; - RECT 7372.5 30117.5 7437.5 30252.5 ; - RECT 7207.5 30392.5 7072.5 30457.5 ; - RECT 6950.0 30607.5 6815.0 30672.5 ; - RECT 7012.5 31002.5 7077.5 31137.5 ; - RECT 7202.5 30162.5 7267.5 30297.5 ; - RECT 7302.5 30607.5 7167.5 30672.5 ; - RECT 6815.0 30607.5 6950.0 30672.5 ; - RECT 7072.5 30392.5 7207.5 30457.5 ; - RECT 7167.5 30607.5 7302.5 30672.5 ; - RECT 6755.0 31312.5 7675.0 31377.5 ; - RECT 6755.0 29967.5 7675.0 30032.5 ; - RECT 6822.5 32527.5 6887.5 32722.5 ; - RECT 6822.5 31687.5 6887.5 31312.5 ; - RECT 7202.5 31687.5 7267.5 31312.5 ; - RECT 7372.5 31530.0 7437.5 31345.0 ; - RECT 7372.5 32690.0 7437.5 32505.0 ; - RECT 6822.5 31687.5 6887.5 31552.5 ; - RECT 7012.5 31687.5 7077.5 31552.5 ; - RECT 7012.5 31687.5 7077.5 31552.5 ; - RECT 6822.5 31687.5 6887.5 31552.5 ; - RECT 7012.5 31687.5 7077.5 31552.5 ; - RECT 7202.5 31687.5 7267.5 31552.5 ; - RECT 7202.5 31687.5 7267.5 31552.5 ; - RECT 7012.5 31687.5 7077.5 31552.5 ; - RECT 6822.5 32527.5 6887.5 32392.5 ; - RECT 7012.5 32527.5 7077.5 32392.5 ; - RECT 7012.5 32527.5 7077.5 32392.5 ; - RECT 6822.5 32527.5 6887.5 32392.5 ; - RECT 7012.5 32527.5 7077.5 32392.5 ; - RECT 7202.5 32527.5 7267.5 32392.5 ; - RECT 7202.5 32527.5 7267.5 32392.5 ; - RECT 7012.5 32527.5 7077.5 32392.5 ; - RECT 7372.5 31597.5 7437.5 31462.5 ; - RECT 7372.5 32572.5 7437.5 32437.5 ; - RECT 7207.5 32297.5 7072.5 32232.5 ; - RECT 6950.0 32082.5 6815.0 32017.5 ; - RECT 7012.5 31687.5 7077.5 31552.5 ; - RECT 7202.5 32527.5 7267.5 32392.5 ; - RECT 7302.5 32082.5 7167.5 32017.5 ; - RECT 6815.0 32082.5 6950.0 32017.5 ; - RECT 7072.5 32297.5 7207.5 32232.5 ; - RECT 7167.5 32082.5 7302.5 32017.5 ; - RECT 6755.0 31377.5 7675.0 31312.5 ; - RECT 6755.0 32722.5 7675.0 32657.5 ; - RECT 6822.5 32852.5 6887.5 32657.5 ; - RECT 6822.5 33692.5 6887.5 34067.5 ; - RECT 7202.5 33692.5 7267.5 34067.5 ; - RECT 7372.5 33850.0 7437.5 34035.0 ; - RECT 7372.5 32690.0 7437.5 32875.0 ; - RECT 6822.5 33692.5 6887.5 33827.5 ; - RECT 7012.5 33692.5 7077.5 33827.5 ; - RECT 7012.5 33692.5 7077.5 33827.5 ; - RECT 6822.5 33692.5 6887.5 33827.5 ; - RECT 7012.5 33692.5 7077.5 33827.5 ; - RECT 7202.5 33692.5 7267.5 33827.5 ; - RECT 7202.5 33692.5 7267.5 33827.5 ; - RECT 7012.5 33692.5 7077.5 33827.5 ; - RECT 6822.5 32852.5 6887.5 32987.5 ; - RECT 7012.5 32852.5 7077.5 32987.5 ; - RECT 7012.5 32852.5 7077.5 32987.5 ; - RECT 6822.5 32852.5 6887.5 32987.5 ; - RECT 7012.5 32852.5 7077.5 32987.5 ; - RECT 7202.5 32852.5 7267.5 32987.5 ; - RECT 7202.5 32852.5 7267.5 32987.5 ; - RECT 7012.5 32852.5 7077.5 32987.5 ; - RECT 7372.5 33782.5 7437.5 33917.5 ; - RECT 7372.5 32807.5 7437.5 32942.5 ; - RECT 7207.5 33082.5 7072.5 33147.5 ; - RECT 6950.0 33297.5 6815.0 33362.5 ; - RECT 7012.5 33692.5 7077.5 33827.5 ; - RECT 7202.5 32852.5 7267.5 32987.5 ; - RECT 7302.5 33297.5 7167.5 33362.5 ; - RECT 6815.0 33297.5 6950.0 33362.5 ; - RECT 7072.5 33082.5 7207.5 33147.5 ; - RECT 7167.5 33297.5 7302.5 33362.5 ; - RECT 6755.0 34002.5 7675.0 34067.5 ; - RECT 6755.0 32657.5 7675.0 32722.5 ; - RECT 6822.5 35217.5 6887.5 35412.5 ; - RECT 6822.5 34377.5 6887.5 34002.5 ; - RECT 7202.5 34377.5 7267.5 34002.5 ; - RECT 7372.5 34220.0 7437.5 34035.0 ; - RECT 7372.5 35380.0 7437.5 35195.0 ; - RECT 6822.5 34377.5 6887.5 34242.5 ; - RECT 7012.5 34377.5 7077.5 34242.5 ; - RECT 7012.5 34377.5 7077.5 34242.5 ; - RECT 6822.5 34377.5 6887.5 34242.5 ; - RECT 7012.5 34377.5 7077.5 34242.5 ; - RECT 7202.5 34377.5 7267.5 34242.5 ; - RECT 7202.5 34377.5 7267.5 34242.5 ; - RECT 7012.5 34377.5 7077.5 34242.5 ; - RECT 6822.5 35217.5 6887.5 35082.5 ; - RECT 7012.5 35217.5 7077.5 35082.5 ; - RECT 7012.5 35217.5 7077.5 35082.5 ; - RECT 6822.5 35217.5 6887.5 35082.5 ; - RECT 7012.5 35217.5 7077.5 35082.5 ; - RECT 7202.5 35217.5 7267.5 35082.5 ; - RECT 7202.5 35217.5 7267.5 35082.5 ; - RECT 7012.5 35217.5 7077.5 35082.5 ; - RECT 7372.5 34287.5 7437.5 34152.5 ; - RECT 7372.5 35262.5 7437.5 35127.5 ; - RECT 7207.5 34987.5 7072.5 34922.5 ; - RECT 6950.0 34772.5 6815.0 34707.5 ; - RECT 7012.5 34377.5 7077.5 34242.5 ; - RECT 7202.5 35217.5 7267.5 35082.5 ; - RECT 7302.5 34772.5 7167.5 34707.5 ; - RECT 6815.0 34772.5 6950.0 34707.5 ; - RECT 7072.5 34987.5 7207.5 34922.5 ; - RECT 7167.5 34772.5 7302.5 34707.5 ; - RECT 6755.0 34067.5 7675.0 34002.5 ; - RECT 6755.0 35412.5 7675.0 35347.5 ; - RECT 6822.5 35542.5 6887.5 35347.5 ; - RECT 6822.5 36382.5 6887.5 36757.5 ; - RECT 7202.5 36382.5 7267.5 36757.5 ; - RECT 7372.5 36540.0 7437.5 36725.0 ; - RECT 7372.5 35380.0 7437.5 35565.0 ; - RECT 6822.5 36382.5 6887.5 36517.5 ; - RECT 7012.5 36382.5 7077.5 36517.5 ; - RECT 7012.5 36382.5 7077.5 36517.5 ; - RECT 6822.5 36382.5 6887.5 36517.5 ; - RECT 7012.5 36382.5 7077.5 36517.5 ; - RECT 7202.5 36382.5 7267.5 36517.5 ; - RECT 7202.5 36382.5 7267.5 36517.5 ; - RECT 7012.5 36382.5 7077.5 36517.5 ; - RECT 6822.5 35542.5 6887.5 35677.5 ; - RECT 7012.5 35542.5 7077.5 35677.5 ; - RECT 7012.5 35542.5 7077.5 35677.5 ; - RECT 6822.5 35542.5 6887.5 35677.5 ; - RECT 7012.5 35542.5 7077.5 35677.5 ; - RECT 7202.5 35542.5 7267.5 35677.5 ; - RECT 7202.5 35542.5 7267.5 35677.5 ; - RECT 7012.5 35542.5 7077.5 35677.5 ; - RECT 7372.5 36472.5 7437.5 36607.5 ; - RECT 7372.5 35497.5 7437.5 35632.5 ; - RECT 7207.5 35772.5 7072.5 35837.5 ; - RECT 6950.0 35987.5 6815.0 36052.5 ; - RECT 7012.5 36382.5 7077.5 36517.5 ; - RECT 7202.5 35542.5 7267.5 35677.5 ; - RECT 7302.5 35987.5 7167.5 36052.5 ; - RECT 6815.0 35987.5 6950.0 36052.5 ; - RECT 7072.5 35772.5 7207.5 35837.5 ; - RECT 7167.5 35987.5 7302.5 36052.5 ; - RECT 6755.0 36692.5 7675.0 36757.5 ; - RECT 6755.0 35347.5 7675.0 35412.5 ; - RECT 6822.5 37907.5 6887.5 38102.5 ; - RECT 6822.5 37067.5 6887.5 36692.5 ; - RECT 7202.5 37067.5 7267.5 36692.5 ; - RECT 7372.5 36910.0 7437.5 36725.0 ; - RECT 7372.5 38070.0 7437.5 37885.0 ; - RECT 6822.5 37067.5 6887.5 36932.5 ; - RECT 7012.5 37067.5 7077.5 36932.5 ; - RECT 7012.5 37067.5 7077.5 36932.5 ; - RECT 6822.5 37067.5 6887.5 36932.5 ; - RECT 7012.5 37067.5 7077.5 36932.5 ; - RECT 7202.5 37067.5 7267.5 36932.5 ; - RECT 7202.5 37067.5 7267.5 36932.5 ; - RECT 7012.5 37067.5 7077.5 36932.5 ; - RECT 6822.5 37907.5 6887.5 37772.5 ; - RECT 7012.5 37907.5 7077.5 37772.5 ; - RECT 7012.5 37907.5 7077.5 37772.5 ; - RECT 6822.5 37907.5 6887.5 37772.5 ; - RECT 7012.5 37907.5 7077.5 37772.5 ; - RECT 7202.5 37907.5 7267.5 37772.5 ; - RECT 7202.5 37907.5 7267.5 37772.5 ; - RECT 7012.5 37907.5 7077.5 37772.5 ; - RECT 7372.5 36977.5 7437.5 36842.5 ; - RECT 7372.5 37952.5 7437.5 37817.5 ; - RECT 7207.5 37677.5 7072.5 37612.5 ; - RECT 6950.0 37462.5 6815.0 37397.5 ; - RECT 7012.5 37067.5 7077.5 36932.5 ; - RECT 7202.5 37907.5 7267.5 37772.5 ; - RECT 7302.5 37462.5 7167.5 37397.5 ; - RECT 6815.0 37462.5 6950.0 37397.5 ; - RECT 7072.5 37677.5 7207.5 37612.5 ; - RECT 7167.5 37462.5 7302.5 37397.5 ; - RECT 6755.0 36757.5 7675.0 36692.5 ; - RECT 6755.0 38102.5 7675.0 38037.5 ; - RECT 6822.5 38232.5 6887.5 38037.5 ; - RECT 6822.5 39072.5 6887.5 39447.5 ; - RECT 7202.5 39072.5 7267.5 39447.5 ; - RECT 7372.5 39230.0 7437.5 39415.0 ; - RECT 7372.5 38070.0 7437.5 38255.0 ; - RECT 6822.5 39072.5 6887.5 39207.5 ; - RECT 7012.5 39072.5 7077.5 39207.5 ; - RECT 7012.5 39072.5 7077.5 39207.5 ; - RECT 6822.5 39072.5 6887.5 39207.5 ; - RECT 7012.5 39072.5 7077.5 39207.5 ; - RECT 7202.5 39072.5 7267.5 39207.5 ; - RECT 7202.5 39072.5 7267.5 39207.5 ; - RECT 7012.5 39072.5 7077.5 39207.5 ; - RECT 6822.5 38232.5 6887.5 38367.5 ; - RECT 7012.5 38232.5 7077.5 38367.5 ; - RECT 7012.5 38232.5 7077.5 38367.5 ; - RECT 6822.5 38232.5 6887.5 38367.5 ; - RECT 7012.5 38232.5 7077.5 38367.5 ; - RECT 7202.5 38232.5 7267.5 38367.5 ; - RECT 7202.5 38232.5 7267.5 38367.5 ; - RECT 7012.5 38232.5 7077.5 38367.5 ; - RECT 7372.5 39162.5 7437.5 39297.5 ; - RECT 7372.5 38187.5 7437.5 38322.5 ; - RECT 7207.5 38462.5 7072.5 38527.5 ; - RECT 6950.0 38677.5 6815.0 38742.5 ; - RECT 7012.5 39072.5 7077.5 39207.5 ; - RECT 7202.5 38232.5 7267.5 38367.5 ; - RECT 7302.5 38677.5 7167.5 38742.5 ; - RECT 6815.0 38677.5 6950.0 38742.5 ; - RECT 7072.5 38462.5 7207.5 38527.5 ; - RECT 7167.5 38677.5 7302.5 38742.5 ; - RECT 6755.0 39382.5 7675.0 39447.5 ; - RECT 6755.0 38037.5 7675.0 38102.5 ; - RECT 6822.5 40597.5 6887.5 40792.5 ; - RECT 6822.5 39757.5 6887.5 39382.5 ; - RECT 7202.5 39757.5 7267.5 39382.5 ; - RECT 7372.5 39600.0 7437.5 39415.0 ; - RECT 7372.5 40760.0 7437.5 40575.0 ; - RECT 6822.5 39757.5 6887.5 39622.5 ; - RECT 7012.5 39757.5 7077.5 39622.5 ; - RECT 7012.5 39757.5 7077.5 39622.5 ; - RECT 6822.5 39757.5 6887.5 39622.5 ; - RECT 7012.5 39757.5 7077.5 39622.5 ; - RECT 7202.5 39757.5 7267.5 39622.5 ; - RECT 7202.5 39757.5 7267.5 39622.5 ; - RECT 7012.5 39757.5 7077.5 39622.5 ; - RECT 6822.5 40597.5 6887.5 40462.5 ; - RECT 7012.5 40597.5 7077.5 40462.5 ; - RECT 7012.5 40597.5 7077.5 40462.5 ; - RECT 6822.5 40597.5 6887.5 40462.5 ; - RECT 7012.5 40597.5 7077.5 40462.5 ; - RECT 7202.5 40597.5 7267.5 40462.5 ; - RECT 7202.5 40597.5 7267.5 40462.5 ; - RECT 7012.5 40597.5 7077.5 40462.5 ; - RECT 7372.5 39667.5 7437.5 39532.5 ; - RECT 7372.5 40642.5 7437.5 40507.5 ; - RECT 7207.5 40367.5 7072.5 40302.5 ; - RECT 6950.0 40152.5 6815.0 40087.5 ; - RECT 7012.5 39757.5 7077.5 39622.5 ; - RECT 7202.5 40597.5 7267.5 40462.5 ; - RECT 7302.5 40152.5 7167.5 40087.5 ; - RECT 6815.0 40152.5 6950.0 40087.5 ; - RECT 7072.5 40367.5 7207.5 40302.5 ; - RECT 7167.5 40152.5 7302.5 40087.5 ; - RECT 6755.0 39447.5 7675.0 39382.5 ; - RECT 6755.0 40792.5 7675.0 40727.5 ; - RECT 6822.5 40922.5 6887.5 40727.5 ; - RECT 6822.5 41762.5 6887.5 42137.5 ; - RECT 7202.5 41762.5 7267.5 42137.5 ; - RECT 7372.5 41920.0 7437.5 42105.0 ; - RECT 7372.5 40760.0 7437.5 40945.0 ; - RECT 6822.5 41762.5 6887.5 41897.5 ; - RECT 7012.5 41762.5 7077.5 41897.5 ; - RECT 7012.5 41762.5 7077.5 41897.5 ; - RECT 6822.5 41762.5 6887.5 41897.5 ; - RECT 7012.5 41762.5 7077.5 41897.5 ; - RECT 7202.5 41762.5 7267.5 41897.5 ; - RECT 7202.5 41762.5 7267.5 41897.5 ; - RECT 7012.5 41762.5 7077.5 41897.5 ; - RECT 6822.5 40922.5 6887.5 41057.5 ; - RECT 7012.5 40922.5 7077.5 41057.5 ; - RECT 7012.5 40922.5 7077.5 41057.5 ; - RECT 6822.5 40922.5 6887.5 41057.5 ; - RECT 7012.5 40922.5 7077.5 41057.5 ; - RECT 7202.5 40922.5 7267.5 41057.5 ; - RECT 7202.5 40922.5 7267.5 41057.5 ; - RECT 7012.5 40922.5 7077.5 41057.5 ; - RECT 7372.5 41852.5 7437.5 41987.5 ; - RECT 7372.5 40877.5 7437.5 41012.5 ; - RECT 7207.5 41152.5 7072.5 41217.5 ; - RECT 6950.0 41367.5 6815.0 41432.5 ; - RECT 7012.5 41762.5 7077.5 41897.5 ; - RECT 7202.5 40922.5 7267.5 41057.5 ; - RECT 7302.5 41367.5 7167.5 41432.5 ; - RECT 6815.0 41367.5 6950.0 41432.5 ; - RECT 7072.5 41152.5 7207.5 41217.5 ; - RECT 7167.5 41367.5 7302.5 41432.5 ; - RECT 6755.0 42072.5 7675.0 42137.5 ; - RECT 6755.0 40727.5 7675.0 40792.5 ; - RECT 6822.5 43287.5 6887.5 43482.5 ; - RECT 6822.5 42447.5 6887.5 42072.5 ; - RECT 7202.5 42447.5 7267.5 42072.5 ; - RECT 7372.5 42290.0 7437.5 42105.0 ; - RECT 7372.5 43450.0 7437.5 43265.0 ; - RECT 6822.5 42447.5 6887.5 42312.5 ; - RECT 7012.5 42447.5 7077.5 42312.5 ; - RECT 7012.5 42447.5 7077.5 42312.5 ; - RECT 6822.5 42447.5 6887.5 42312.5 ; - RECT 7012.5 42447.5 7077.5 42312.5 ; - RECT 7202.5 42447.5 7267.5 42312.5 ; - RECT 7202.5 42447.5 7267.5 42312.5 ; - RECT 7012.5 42447.5 7077.5 42312.5 ; - RECT 6822.5 43287.5 6887.5 43152.5 ; - RECT 7012.5 43287.5 7077.5 43152.5 ; - RECT 7012.5 43287.5 7077.5 43152.5 ; - RECT 6822.5 43287.5 6887.5 43152.5 ; - RECT 7012.5 43287.5 7077.5 43152.5 ; - RECT 7202.5 43287.5 7267.5 43152.5 ; - RECT 7202.5 43287.5 7267.5 43152.5 ; - RECT 7012.5 43287.5 7077.5 43152.5 ; - RECT 7372.5 42357.5 7437.5 42222.5 ; - RECT 7372.5 43332.5 7437.5 43197.5 ; - RECT 7207.5 43057.5 7072.5 42992.5 ; - RECT 6950.0 42842.5 6815.0 42777.5 ; - RECT 7012.5 42447.5 7077.5 42312.5 ; - RECT 7202.5 43287.5 7267.5 43152.5 ; - RECT 7302.5 42842.5 7167.5 42777.5 ; - RECT 6815.0 42842.5 6950.0 42777.5 ; - RECT 7072.5 43057.5 7207.5 42992.5 ; - RECT 7167.5 42842.5 7302.5 42777.5 ; - RECT 6755.0 42137.5 7675.0 42072.5 ; - RECT 6755.0 43482.5 7675.0 43417.5 ; - RECT 6822.5 43612.5 6887.5 43417.5 ; - RECT 6822.5 44452.5 6887.5 44827.5 ; - RECT 7202.5 44452.5 7267.5 44827.5 ; - RECT 7372.5 44610.0 7437.5 44795.0 ; - RECT 7372.5 43450.0 7437.5 43635.0 ; - RECT 6822.5 44452.5 6887.5 44587.5 ; - RECT 7012.5 44452.5 7077.5 44587.5 ; - RECT 7012.5 44452.5 7077.5 44587.5 ; - RECT 6822.5 44452.5 6887.5 44587.5 ; - RECT 7012.5 44452.5 7077.5 44587.5 ; - RECT 7202.5 44452.5 7267.5 44587.5 ; - RECT 7202.5 44452.5 7267.5 44587.5 ; - RECT 7012.5 44452.5 7077.5 44587.5 ; - RECT 6822.5 43612.5 6887.5 43747.5 ; - RECT 7012.5 43612.5 7077.5 43747.5 ; - RECT 7012.5 43612.5 7077.5 43747.5 ; - RECT 6822.5 43612.5 6887.5 43747.5 ; - RECT 7012.5 43612.5 7077.5 43747.5 ; - RECT 7202.5 43612.5 7267.5 43747.5 ; - RECT 7202.5 43612.5 7267.5 43747.5 ; - RECT 7012.5 43612.5 7077.5 43747.5 ; - RECT 7372.5 44542.5 7437.5 44677.5 ; - RECT 7372.5 43567.5 7437.5 43702.5 ; - RECT 7207.5 43842.5 7072.5 43907.5 ; - RECT 6950.0 44057.5 6815.0 44122.5 ; - RECT 7012.5 44452.5 7077.5 44587.5 ; - RECT 7202.5 43612.5 7267.5 43747.5 ; - RECT 7302.5 44057.5 7167.5 44122.5 ; - RECT 6815.0 44057.5 6950.0 44122.5 ; - RECT 7072.5 43842.5 7207.5 43907.5 ; - RECT 7167.5 44057.5 7302.5 44122.5 ; - RECT 6755.0 44762.5 7675.0 44827.5 ; - RECT 6755.0 43417.5 7675.0 43482.5 ; - RECT 6822.5 45977.5 6887.5 46172.5 ; - RECT 6822.5 45137.5 6887.5 44762.5 ; - RECT 7202.5 45137.5 7267.5 44762.5 ; - RECT 7372.5 44980.0 7437.5 44795.0 ; - RECT 7372.5 46140.0 7437.5 45955.0 ; - RECT 6822.5 45137.5 6887.5 45002.5 ; - RECT 7012.5 45137.5 7077.5 45002.5 ; - RECT 7012.5 45137.5 7077.5 45002.5 ; - RECT 6822.5 45137.5 6887.5 45002.5 ; - RECT 7012.5 45137.5 7077.5 45002.5 ; - RECT 7202.5 45137.5 7267.5 45002.5 ; - RECT 7202.5 45137.5 7267.5 45002.5 ; - RECT 7012.5 45137.5 7077.5 45002.5 ; - RECT 6822.5 45977.5 6887.5 45842.5 ; - RECT 7012.5 45977.5 7077.5 45842.5 ; - RECT 7012.5 45977.5 7077.5 45842.5 ; - RECT 6822.5 45977.5 6887.5 45842.5 ; - RECT 7012.5 45977.5 7077.5 45842.5 ; - RECT 7202.5 45977.5 7267.5 45842.5 ; - RECT 7202.5 45977.5 7267.5 45842.5 ; - RECT 7012.5 45977.5 7077.5 45842.5 ; - RECT 7372.5 45047.5 7437.5 44912.5 ; - RECT 7372.5 46022.5 7437.5 45887.5 ; - RECT 7207.5 45747.5 7072.5 45682.5 ; - RECT 6950.0 45532.5 6815.0 45467.5 ; - RECT 7012.5 45137.5 7077.5 45002.5 ; - RECT 7202.5 45977.5 7267.5 45842.5 ; - RECT 7302.5 45532.5 7167.5 45467.5 ; - RECT 6815.0 45532.5 6950.0 45467.5 ; - RECT 7072.5 45747.5 7207.5 45682.5 ; - RECT 7167.5 45532.5 7302.5 45467.5 ; - RECT 6755.0 44827.5 7675.0 44762.5 ; - RECT 6755.0 46172.5 7675.0 46107.5 ; - RECT 6822.5 46302.5 6887.5 46107.5 ; - RECT 6822.5 47142.5 6887.5 47517.5 ; - RECT 7202.5 47142.5 7267.5 47517.5 ; - RECT 7372.5 47300.0 7437.5 47485.0 ; - RECT 7372.5 46140.0 7437.5 46325.0 ; - RECT 6822.5 47142.5 6887.5 47277.5 ; - RECT 7012.5 47142.5 7077.5 47277.5 ; - RECT 7012.5 47142.5 7077.5 47277.5 ; - RECT 6822.5 47142.5 6887.5 47277.5 ; - RECT 7012.5 47142.5 7077.5 47277.5 ; - RECT 7202.5 47142.5 7267.5 47277.5 ; - RECT 7202.5 47142.5 7267.5 47277.5 ; - RECT 7012.5 47142.5 7077.5 47277.5 ; - RECT 6822.5 46302.5 6887.5 46437.5 ; - RECT 7012.5 46302.5 7077.5 46437.5 ; - RECT 7012.5 46302.5 7077.5 46437.5 ; - RECT 6822.5 46302.5 6887.5 46437.5 ; - RECT 7012.5 46302.5 7077.5 46437.5 ; - RECT 7202.5 46302.5 7267.5 46437.5 ; - RECT 7202.5 46302.5 7267.5 46437.5 ; - RECT 7012.5 46302.5 7077.5 46437.5 ; - RECT 7372.5 47232.5 7437.5 47367.5 ; - RECT 7372.5 46257.5 7437.5 46392.5 ; - RECT 7207.5 46532.5 7072.5 46597.5 ; - RECT 6950.0 46747.5 6815.0 46812.5 ; - RECT 7012.5 47142.5 7077.5 47277.5 ; - RECT 7202.5 46302.5 7267.5 46437.5 ; - RECT 7302.5 46747.5 7167.5 46812.5 ; - RECT 6815.0 46747.5 6950.0 46812.5 ; - RECT 7072.5 46532.5 7207.5 46597.5 ; - RECT 7167.5 46747.5 7302.5 46812.5 ; - RECT 6755.0 47452.5 7675.0 47517.5 ; - RECT 6755.0 46107.5 7675.0 46172.5 ; - RECT 6822.5 48667.5 6887.5 48862.5 ; - RECT 6822.5 47827.5 6887.5 47452.5 ; - RECT 7202.5 47827.5 7267.5 47452.5 ; - RECT 7372.5 47670.0 7437.5 47485.0 ; - RECT 7372.5 48830.0 7437.5 48645.0 ; - RECT 6822.5 47827.5 6887.5 47692.5 ; - RECT 7012.5 47827.5 7077.5 47692.5 ; - RECT 7012.5 47827.5 7077.5 47692.5 ; - RECT 6822.5 47827.5 6887.5 47692.5 ; - RECT 7012.5 47827.5 7077.5 47692.5 ; - RECT 7202.5 47827.5 7267.5 47692.5 ; - RECT 7202.5 47827.5 7267.5 47692.5 ; - RECT 7012.5 47827.5 7077.5 47692.5 ; - RECT 6822.5 48667.5 6887.5 48532.5 ; - RECT 7012.5 48667.5 7077.5 48532.5 ; - RECT 7012.5 48667.5 7077.5 48532.5 ; - RECT 6822.5 48667.5 6887.5 48532.5 ; - RECT 7012.5 48667.5 7077.5 48532.5 ; - RECT 7202.5 48667.5 7267.5 48532.5 ; - RECT 7202.5 48667.5 7267.5 48532.5 ; - RECT 7012.5 48667.5 7077.5 48532.5 ; - RECT 7372.5 47737.5 7437.5 47602.5 ; - RECT 7372.5 48712.5 7437.5 48577.5 ; - RECT 7207.5 48437.5 7072.5 48372.5 ; - RECT 6950.0 48222.5 6815.0 48157.5 ; - RECT 7012.5 47827.5 7077.5 47692.5 ; - RECT 7202.5 48667.5 7267.5 48532.5 ; - RECT 7302.5 48222.5 7167.5 48157.5 ; - RECT 6815.0 48222.5 6950.0 48157.5 ; - RECT 7072.5 48437.5 7207.5 48372.5 ; - RECT 7167.5 48222.5 7302.5 48157.5 ; - RECT 6755.0 47517.5 7675.0 47452.5 ; - RECT 6755.0 48862.5 7675.0 48797.5 ; - RECT 6822.5 48992.5 6887.5 48797.5 ; - RECT 6822.5 49832.5 6887.5 50207.5 ; - RECT 7202.5 49832.5 7267.5 50207.5 ; - RECT 7372.5 49990.0 7437.5 50175.0 ; - RECT 7372.5 48830.0 7437.5 49015.0 ; - RECT 6822.5 49832.5 6887.5 49967.5 ; - RECT 7012.5 49832.5 7077.5 49967.5 ; - RECT 7012.5 49832.5 7077.5 49967.5 ; - RECT 6822.5 49832.5 6887.5 49967.5 ; - RECT 7012.5 49832.5 7077.5 49967.5 ; - RECT 7202.5 49832.5 7267.5 49967.5 ; - RECT 7202.5 49832.5 7267.5 49967.5 ; - RECT 7012.5 49832.5 7077.5 49967.5 ; - RECT 6822.5 48992.5 6887.5 49127.5 ; - RECT 7012.5 48992.5 7077.5 49127.5 ; - RECT 7012.5 48992.5 7077.5 49127.5 ; - RECT 6822.5 48992.5 6887.5 49127.5 ; - RECT 7012.5 48992.5 7077.5 49127.5 ; - RECT 7202.5 48992.5 7267.5 49127.5 ; - RECT 7202.5 48992.5 7267.5 49127.5 ; - RECT 7012.5 48992.5 7077.5 49127.5 ; - RECT 7372.5 49922.5 7437.5 50057.5 ; - RECT 7372.5 48947.5 7437.5 49082.5 ; - RECT 7207.5 49222.5 7072.5 49287.5 ; - RECT 6950.0 49437.5 6815.0 49502.5 ; - RECT 7012.5 49832.5 7077.5 49967.5 ; - RECT 7202.5 48992.5 7267.5 49127.5 ; - RECT 7302.5 49437.5 7167.5 49502.5 ; - RECT 6815.0 49437.5 6950.0 49502.5 ; - RECT 7072.5 49222.5 7207.5 49287.5 ; - RECT 7167.5 49437.5 7302.5 49502.5 ; - RECT 6755.0 50142.5 7675.0 50207.5 ; - RECT 6755.0 48797.5 7675.0 48862.5 ; - RECT 6822.5 51357.5 6887.5 51552.5 ; - RECT 6822.5 50517.5 6887.5 50142.5 ; - RECT 7202.5 50517.5 7267.5 50142.5 ; - RECT 7372.5 50360.0 7437.5 50175.0 ; - RECT 7372.5 51520.0 7437.5 51335.0 ; - RECT 6822.5 50517.5 6887.5 50382.5 ; - RECT 7012.5 50517.5 7077.5 50382.5 ; - RECT 7012.5 50517.5 7077.5 50382.5 ; - RECT 6822.5 50517.5 6887.5 50382.5 ; - RECT 7012.5 50517.5 7077.5 50382.5 ; - RECT 7202.5 50517.5 7267.5 50382.5 ; - RECT 7202.5 50517.5 7267.5 50382.5 ; - RECT 7012.5 50517.5 7077.5 50382.5 ; - RECT 6822.5 51357.5 6887.5 51222.5 ; - RECT 7012.5 51357.5 7077.5 51222.5 ; - RECT 7012.5 51357.5 7077.5 51222.5 ; - RECT 6822.5 51357.5 6887.5 51222.5 ; - RECT 7012.5 51357.5 7077.5 51222.5 ; - RECT 7202.5 51357.5 7267.5 51222.5 ; - RECT 7202.5 51357.5 7267.5 51222.5 ; - RECT 7012.5 51357.5 7077.5 51222.5 ; - RECT 7372.5 50427.5 7437.5 50292.5 ; - RECT 7372.5 51402.5 7437.5 51267.5 ; - RECT 7207.5 51127.5 7072.5 51062.5 ; - RECT 6950.0 50912.5 6815.0 50847.5 ; - RECT 7012.5 50517.5 7077.5 50382.5 ; - RECT 7202.5 51357.5 7267.5 51222.5 ; - RECT 7302.5 50912.5 7167.5 50847.5 ; - RECT 6815.0 50912.5 6950.0 50847.5 ; - RECT 7072.5 51127.5 7207.5 51062.5 ; - RECT 7167.5 50912.5 7302.5 50847.5 ; - RECT 6755.0 50207.5 7675.0 50142.5 ; - RECT 6755.0 51552.5 7675.0 51487.5 ; - RECT 6822.5 51682.5 6887.5 51487.5 ; - RECT 6822.5 52522.5 6887.5 52897.5 ; - RECT 7202.5 52522.5 7267.5 52897.5 ; - RECT 7372.5 52680.0 7437.5 52865.0 ; - RECT 7372.5 51520.0 7437.5 51705.0 ; - RECT 6822.5 52522.5 6887.5 52657.5 ; - RECT 7012.5 52522.5 7077.5 52657.5 ; - RECT 7012.5 52522.5 7077.5 52657.5 ; - RECT 6822.5 52522.5 6887.5 52657.5 ; - RECT 7012.5 52522.5 7077.5 52657.5 ; - RECT 7202.5 52522.5 7267.5 52657.5 ; - RECT 7202.5 52522.5 7267.5 52657.5 ; - RECT 7012.5 52522.5 7077.5 52657.5 ; - RECT 6822.5 51682.5 6887.5 51817.5 ; - RECT 7012.5 51682.5 7077.5 51817.5 ; - RECT 7012.5 51682.5 7077.5 51817.5 ; - RECT 6822.5 51682.5 6887.5 51817.5 ; - RECT 7012.5 51682.5 7077.5 51817.5 ; - RECT 7202.5 51682.5 7267.5 51817.5 ; - RECT 7202.5 51682.5 7267.5 51817.5 ; - RECT 7012.5 51682.5 7077.5 51817.5 ; - RECT 7372.5 52612.5 7437.5 52747.5 ; - RECT 7372.5 51637.5 7437.5 51772.5 ; - RECT 7207.5 51912.5 7072.5 51977.5 ; - RECT 6950.0 52127.5 6815.0 52192.5 ; - RECT 7012.5 52522.5 7077.5 52657.5 ; - RECT 7202.5 51682.5 7267.5 51817.5 ; - RECT 7302.5 52127.5 7167.5 52192.5 ; - RECT 6815.0 52127.5 6950.0 52192.5 ; - RECT 7072.5 51912.5 7207.5 51977.5 ; - RECT 7167.5 52127.5 7302.5 52192.5 ; - RECT 6755.0 52832.5 7675.0 52897.5 ; - RECT 6755.0 51487.5 7675.0 51552.5 ; - RECT 6822.5 54047.5 6887.5 54242.5 ; - RECT 6822.5 53207.5 6887.5 52832.5 ; - RECT 7202.5 53207.5 7267.5 52832.5 ; - RECT 7372.5 53050.0 7437.5 52865.0 ; - RECT 7372.5 54210.0 7437.5 54025.0 ; - RECT 6822.5 53207.5 6887.5 53072.5 ; - RECT 7012.5 53207.5 7077.5 53072.5 ; - RECT 7012.5 53207.5 7077.5 53072.5 ; - RECT 6822.5 53207.5 6887.5 53072.5 ; - RECT 7012.5 53207.5 7077.5 53072.5 ; - RECT 7202.5 53207.5 7267.5 53072.5 ; - RECT 7202.5 53207.5 7267.5 53072.5 ; - RECT 7012.5 53207.5 7077.5 53072.5 ; - RECT 6822.5 54047.5 6887.5 53912.5 ; - RECT 7012.5 54047.5 7077.5 53912.5 ; - RECT 7012.5 54047.5 7077.5 53912.5 ; - RECT 6822.5 54047.5 6887.5 53912.5 ; - RECT 7012.5 54047.5 7077.5 53912.5 ; - RECT 7202.5 54047.5 7267.5 53912.5 ; - RECT 7202.5 54047.5 7267.5 53912.5 ; - RECT 7012.5 54047.5 7077.5 53912.5 ; - RECT 7372.5 53117.5 7437.5 52982.5 ; - RECT 7372.5 54092.5 7437.5 53957.5 ; - RECT 7207.5 53817.5 7072.5 53752.5 ; - RECT 6950.0 53602.5 6815.0 53537.5 ; - RECT 7012.5 53207.5 7077.5 53072.5 ; - RECT 7202.5 54047.5 7267.5 53912.5 ; - RECT 7302.5 53602.5 7167.5 53537.5 ; - RECT 6815.0 53602.5 6950.0 53537.5 ; - RECT 7072.5 53817.5 7207.5 53752.5 ; - RECT 7167.5 53602.5 7302.5 53537.5 ; - RECT 6755.0 52897.5 7675.0 52832.5 ; - RECT 6755.0 54242.5 7675.0 54177.5 ; - RECT 6822.5 54372.5 6887.5 54177.5 ; - RECT 6822.5 55212.5 6887.5 55587.5 ; - RECT 7202.5 55212.5 7267.5 55587.5 ; - RECT 7372.5 55370.0 7437.5 55555.0 ; - RECT 7372.5 54210.0 7437.5 54395.0 ; - RECT 6822.5 55212.5 6887.5 55347.5 ; - RECT 7012.5 55212.5 7077.5 55347.5 ; - RECT 7012.5 55212.5 7077.5 55347.5 ; - RECT 6822.5 55212.5 6887.5 55347.5 ; - RECT 7012.5 55212.5 7077.5 55347.5 ; - RECT 7202.5 55212.5 7267.5 55347.5 ; - RECT 7202.5 55212.5 7267.5 55347.5 ; - RECT 7012.5 55212.5 7077.5 55347.5 ; - RECT 6822.5 54372.5 6887.5 54507.5 ; - RECT 7012.5 54372.5 7077.5 54507.5 ; - RECT 7012.5 54372.5 7077.5 54507.5 ; - RECT 6822.5 54372.5 6887.5 54507.5 ; - RECT 7012.5 54372.5 7077.5 54507.5 ; - RECT 7202.5 54372.5 7267.5 54507.5 ; - RECT 7202.5 54372.5 7267.5 54507.5 ; - RECT 7012.5 54372.5 7077.5 54507.5 ; - RECT 7372.5 55302.5 7437.5 55437.5 ; - RECT 7372.5 54327.5 7437.5 54462.5 ; - RECT 7207.5 54602.5 7072.5 54667.5 ; - RECT 6950.0 54817.5 6815.0 54882.5 ; - RECT 7012.5 55212.5 7077.5 55347.5 ; - RECT 7202.5 54372.5 7267.5 54507.5 ; - RECT 7302.5 54817.5 7167.5 54882.5 ; - RECT 6815.0 54817.5 6950.0 54882.5 ; - RECT 7072.5 54602.5 7207.5 54667.5 ; - RECT 7167.5 54817.5 7302.5 54882.5 ; - RECT 6755.0 55522.5 7675.0 55587.5 ; - RECT 6755.0 54177.5 7675.0 54242.5 ; - RECT 6822.5 56737.5 6887.5 56932.5 ; - RECT 6822.5 55897.5 6887.5 55522.5 ; - RECT 7202.5 55897.5 7267.5 55522.5 ; - RECT 7372.5 55740.0 7437.5 55555.0 ; - RECT 7372.5 56900.0 7437.5 56715.0 ; - RECT 6822.5 55897.5 6887.5 55762.5 ; - RECT 7012.5 55897.5 7077.5 55762.5 ; - RECT 7012.5 55897.5 7077.5 55762.5 ; - RECT 6822.5 55897.5 6887.5 55762.5 ; - RECT 7012.5 55897.5 7077.5 55762.5 ; - RECT 7202.5 55897.5 7267.5 55762.5 ; - RECT 7202.5 55897.5 7267.5 55762.5 ; - RECT 7012.5 55897.5 7077.5 55762.5 ; - RECT 6822.5 56737.5 6887.5 56602.5 ; - RECT 7012.5 56737.5 7077.5 56602.5 ; - RECT 7012.5 56737.5 7077.5 56602.5 ; - RECT 6822.5 56737.5 6887.5 56602.5 ; - RECT 7012.5 56737.5 7077.5 56602.5 ; - RECT 7202.5 56737.5 7267.5 56602.5 ; - RECT 7202.5 56737.5 7267.5 56602.5 ; - RECT 7012.5 56737.5 7077.5 56602.5 ; - RECT 7372.5 55807.5 7437.5 55672.5 ; - RECT 7372.5 56782.5 7437.5 56647.5 ; - RECT 7207.5 56507.5 7072.5 56442.5 ; - RECT 6950.0 56292.5 6815.0 56227.5 ; - RECT 7012.5 55897.5 7077.5 55762.5 ; - RECT 7202.5 56737.5 7267.5 56602.5 ; - RECT 7302.5 56292.5 7167.5 56227.5 ; - RECT 6815.0 56292.5 6950.0 56227.5 ; - RECT 7072.5 56507.5 7207.5 56442.5 ; - RECT 7167.5 56292.5 7302.5 56227.5 ; - RECT 6755.0 55587.5 7675.0 55522.5 ; - RECT 6755.0 56932.5 7675.0 56867.5 ; - RECT 6822.5 57062.5 6887.5 56867.5 ; - RECT 6822.5 57902.5 6887.5 58277.5 ; - RECT 7202.5 57902.5 7267.5 58277.5 ; - RECT 7372.5 58060.0 7437.5 58245.0 ; - RECT 7372.5 56900.0 7437.5 57085.0 ; - RECT 6822.5 57902.5 6887.5 58037.5 ; - RECT 7012.5 57902.5 7077.5 58037.5 ; - RECT 7012.5 57902.5 7077.5 58037.5 ; - RECT 6822.5 57902.5 6887.5 58037.5 ; - RECT 7012.5 57902.5 7077.5 58037.5 ; - RECT 7202.5 57902.5 7267.5 58037.5 ; - RECT 7202.5 57902.5 7267.5 58037.5 ; - RECT 7012.5 57902.5 7077.5 58037.5 ; - RECT 6822.5 57062.5 6887.5 57197.5 ; - RECT 7012.5 57062.5 7077.5 57197.5 ; - RECT 7012.5 57062.5 7077.5 57197.5 ; - RECT 6822.5 57062.5 6887.5 57197.5 ; - RECT 7012.5 57062.5 7077.5 57197.5 ; - RECT 7202.5 57062.5 7267.5 57197.5 ; - RECT 7202.5 57062.5 7267.5 57197.5 ; - RECT 7012.5 57062.5 7077.5 57197.5 ; - RECT 7372.5 57992.5 7437.5 58127.5 ; - RECT 7372.5 57017.5 7437.5 57152.5 ; - RECT 7207.5 57292.5 7072.5 57357.5 ; - RECT 6950.0 57507.5 6815.0 57572.5 ; - RECT 7012.5 57902.5 7077.5 58037.5 ; - RECT 7202.5 57062.5 7267.5 57197.5 ; - RECT 7302.5 57507.5 7167.5 57572.5 ; - RECT 6815.0 57507.5 6950.0 57572.5 ; - RECT 7072.5 57292.5 7207.5 57357.5 ; - RECT 7167.5 57507.5 7302.5 57572.5 ; - RECT 6755.0 58212.5 7675.0 58277.5 ; - RECT 6755.0 56867.5 7675.0 56932.5 ; - RECT 6822.5 59427.5 6887.5 59622.5 ; - RECT 6822.5 58587.5 6887.5 58212.5 ; - RECT 7202.5 58587.5 7267.5 58212.5 ; - RECT 7372.5 58430.0 7437.5 58245.0 ; - RECT 7372.5 59590.0 7437.5 59405.0 ; - RECT 6822.5 58587.5 6887.5 58452.5 ; - RECT 7012.5 58587.5 7077.5 58452.5 ; - RECT 7012.5 58587.5 7077.5 58452.5 ; - RECT 6822.5 58587.5 6887.5 58452.5 ; - RECT 7012.5 58587.5 7077.5 58452.5 ; - RECT 7202.5 58587.5 7267.5 58452.5 ; - RECT 7202.5 58587.5 7267.5 58452.5 ; - RECT 7012.5 58587.5 7077.5 58452.5 ; - RECT 6822.5 59427.5 6887.5 59292.5 ; - RECT 7012.5 59427.5 7077.5 59292.5 ; - RECT 7012.5 59427.5 7077.5 59292.5 ; - RECT 6822.5 59427.5 6887.5 59292.5 ; - RECT 7012.5 59427.5 7077.5 59292.5 ; - RECT 7202.5 59427.5 7267.5 59292.5 ; - RECT 7202.5 59427.5 7267.5 59292.5 ; - RECT 7012.5 59427.5 7077.5 59292.5 ; - RECT 7372.5 58497.5 7437.5 58362.5 ; - RECT 7372.5 59472.5 7437.5 59337.5 ; - RECT 7207.5 59197.5 7072.5 59132.5 ; - RECT 6950.0 58982.5 6815.0 58917.5 ; - RECT 7012.5 58587.5 7077.5 58452.5 ; - RECT 7202.5 59427.5 7267.5 59292.5 ; - RECT 7302.5 58982.5 7167.5 58917.5 ; - RECT 6815.0 58982.5 6950.0 58917.5 ; - RECT 7072.5 59197.5 7207.5 59132.5 ; - RECT 7167.5 58982.5 7302.5 58917.5 ; - RECT 6755.0 58277.5 7675.0 58212.5 ; - RECT 6755.0 59622.5 7675.0 59557.5 ; - RECT 6822.5 59752.5 6887.5 59557.5 ; - RECT 6822.5 60592.5 6887.5 60967.5 ; - RECT 7202.5 60592.5 7267.5 60967.5 ; - RECT 7372.5 60750.0 7437.5 60935.0 ; - RECT 7372.5 59590.0 7437.5 59775.0 ; - RECT 6822.5 60592.5 6887.5 60727.5 ; - RECT 7012.5 60592.5 7077.5 60727.5 ; - RECT 7012.5 60592.5 7077.5 60727.5 ; - RECT 6822.5 60592.5 6887.5 60727.5 ; - RECT 7012.5 60592.5 7077.5 60727.5 ; - RECT 7202.5 60592.5 7267.5 60727.5 ; - RECT 7202.5 60592.5 7267.5 60727.5 ; - RECT 7012.5 60592.5 7077.5 60727.5 ; - RECT 6822.5 59752.5 6887.5 59887.5 ; - RECT 7012.5 59752.5 7077.5 59887.5 ; - RECT 7012.5 59752.5 7077.5 59887.5 ; - RECT 6822.5 59752.5 6887.5 59887.5 ; - RECT 7012.5 59752.5 7077.5 59887.5 ; - RECT 7202.5 59752.5 7267.5 59887.5 ; - RECT 7202.5 59752.5 7267.5 59887.5 ; - RECT 7012.5 59752.5 7077.5 59887.5 ; - RECT 7372.5 60682.5 7437.5 60817.5 ; - RECT 7372.5 59707.5 7437.5 59842.5 ; - RECT 7207.5 59982.5 7072.5 60047.5 ; - RECT 6950.0 60197.5 6815.0 60262.5 ; - RECT 7012.5 60592.5 7077.5 60727.5 ; - RECT 7202.5 59752.5 7267.5 59887.5 ; - RECT 7302.5 60197.5 7167.5 60262.5 ; - RECT 6815.0 60197.5 6950.0 60262.5 ; - RECT 7072.5 59982.5 7207.5 60047.5 ; - RECT 7167.5 60197.5 7302.5 60262.5 ; - RECT 6755.0 60902.5 7675.0 60967.5 ; - RECT 6755.0 59557.5 7675.0 59622.5 ; - RECT 6822.5 62117.5 6887.5 62312.5 ; - RECT 6822.5 61277.5 6887.5 60902.5 ; - RECT 7202.5 61277.5 7267.5 60902.5 ; - RECT 7372.5 61120.0 7437.5 60935.0 ; - RECT 7372.5 62280.0 7437.5 62095.0 ; - RECT 6822.5 61277.5 6887.5 61142.5 ; - RECT 7012.5 61277.5 7077.5 61142.5 ; - RECT 7012.5 61277.5 7077.5 61142.5 ; - RECT 6822.5 61277.5 6887.5 61142.5 ; - RECT 7012.5 61277.5 7077.5 61142.5 ; - RECT 7202.5 61277.5 7267.5 61142.5 ; - RECT 7202.5 61277.5 7267.5 61142.5 ; - RECT 7012.5 61277.5 7077.5 61142.5 ; - RECT 6822.5 62117.5 6887.5 61982.5 ; - RECT 7012.5 62117.5 7077.5 61982.5 ; - RECT 7012.5 62117.5 7077.5 61982.5 ; - RECT 6822.5 62117.5 6887.5 61982.5 ; - RECT 7012.5 62117.5 7077.5 61982.5 ; - RECT 7202.5 62117.5 7267.5 61982.5 ; - RECT 7202.5 62117.5 7267.5 61982.5 ; - RECT 7012.5 62117.5 7077.5 61982.5 ; - RECT 7372.5 61187.5 7437.5 61052.5 ; - RECT 7372.5 62162.5 7437.5 62027.5 ; - RECT 7207.5 61887.5 7072.5 61822.5 ; - RECT 6950.0 61672.5 6815.0 61607.5 ; - RECT 7012.5 61277.5 7077.5 61142.5 ; - RECT 7202.5 62117.5 7267.5 61982.5 ; - RECT 7302.5 61672.5 7167.5 61607.5 ; - RECT 6815.0 61672.5 6950.0 61607.5 ; - RECT 7072.5 61887.5 7207.5 61822.5 ; - RECT 7167.5 61672.5 7302.5 61607.5 ; - RECT 6755.0 60967.5 7675.0 60902.5 ; - RECT 6755.0 62312.5 7675.0 62247.5 ; - RECT 6822.5 62442.5 6887.5 62247.5 ; - RECT 6822.5 63282.5 6887.5 63657.5 ; - RECT 7202.5 63282.5 7267.5 63657.5 ; - RECT 7372.5 63440.0 7437.5 63625.0 ; - RECT 7372.5 62280.0 7437.5 62465.0 ; - RECT 6822.5 63282.5 6887.5 63417.5 ; - RECT 7012.5 63282.5 7077.5 63417.5 ; - RECT 7012.5 63282.5 7077.5 63417.5 ; - RECT 6822.5 63282.5 6887.5 63417.5 ; - RECT 7012.5 63282.5 7077.5 63417.5 ; - RECT 7202.5 63282.5 7267.5 63417.5 ; - RECT 7202.5 63282.5 7267.5 63417.5 ; - RECT 7012.5 63282.5 7077.5 63417.5 ; - RECT 6822.5 62442.5 6887.5 62577.5 ; - RECT 7012.5 62442.5 7077.5 62577.5 ; - RECT 7012.5 62442.5 7077.5 62577.5 ; - RECT 6822.5 62442.5 6887.5 62577.5 ; - RECT 7012.5 62442.5 7077.5 62577.5 ; - RECT 7202.5 62442.5 7267.5 62577.5 ; - RECT 7202.5 62442.5 7267.5 62577.5 ; - RECT 7012.5 62442.5 7077.5 62577.5 ; - RECT 7372.5 63372.5 7437.5 63507.5 ; - RECT 7372.5 62397.5 7437.5 62532.5 ; - RECT 7207.5 62672.5 7072.5 62737.5 ; - RECT 6950.0 62887.5 6815.0 62952.5 ; - RECT 7012.5 63282.5 7077.5 63417.5 ; - RECT 7202.5 62442.5 7267.5 62577.5 ; - RECT 7302.5 62887.5 7167.5 62952.5 ; - RECT 6815.0 62887.5 6950.0 62952.5 ; - RECT 7072.5 62672.5 7207.5 62737.5 ; - RECT 7167.5 62887.5 7302.5 62952.5 ; - RECT 6755.0 63592.5 7675.0 63657.5 ; - RECT 6755.0 62247.5 7675.0 62312.5 ; - RECT 6822.5 64807.5 6887.5 65002.5 ; - RECT 6822.5 63967.5 6887.5 63592.5 ; - RECT 7202.5 63967.5 7267.5 63592.5 ; - RECT 7372.5 63810.0 7437.5 63625.0 ; - RECT 7372.5 64970.0 7437.5 64785.0 ; - RECT 6822.5 63967.5 6887.5 63832.5 ; - RECT 7012.5 63967.5 7077.5 63832.5 ; - RECT 7012.5 63967.5 7077.5 63832.5 ; - RECT 6822.5 63967.5 6887.5 63832.5 ; - RECT 7012.5 63967.5 7077.5 63832.5 ; - RECT 7202.5 63967.5 7267.5 63832.5 ; - RECT 7202.5 63967.5 7267.5 63832.5 ; - RECT 7012.5 63967.5 7077.5 63832.5 ; - RECT 6822.5 64807.5 6887.5 64672.5 ; - RECT 7012.5 64807.5 7077.5 64672.5 ; - RECT 7012.5 64807.5 7077.5 64672.5 ; - RECT 6822.5 64807.5 6887.5 64672.5 ; - RECT 7012.5 64807.5 7077.5 64672.5 ; - RECT 7202.5 64807.5 7267.5 64672.5 ; - RECT 7202.5 64807.5 7267.5 64672.5 ; - RECT 7012.5 64807.5 7077.5 64672.5 ; - RECT 7372.5 63877.5 7437.5 63742.5 ; - RECT 7372.5 64852.5 7437.5 64717.5 ; - RECT 7207.5 64577.5 7072.5 64512.5 ; - RECT 6950.0 64362.5 6815.0 64297.5 ; - RECT 7012.5 63967.5 7077.5 63832.5 ; - RECT 7202.5 64807.5 7267.5 64672.5 ; - RECT 7302.5 64362.5 7167.5 64297.5 ; - RECT 6815.0 64362.5 6950.0 64297.5 ; - RECT 7072.5 64577.5 7207.5 64512.5 ; - RECT 7167.5 64362.5 7302.5 64297.5 ; - RECT 6755.0 63657.5 7675.0 63592.5 ; - RECT 6755.0 65002.5 7675.0 64937.5 ; - RECT 6822.5 65132.5 6887.5 64937.5 ; - RECT 6822.5 65972.5 6887.5 66347.5 ; - RECT 7202.5 65972.5 7267.5 66347.5 ; - RECT 7372.5 66130.0 7437.5 66315.0 ; - RECT 7372.5 64970.0 7437.5 65155.0 ; - RECT 6822.5 65972.5 6887.5 66107.5 ; - RECT 7012.5 65972.5 7077.5 66107.5 ; - RECT 7012.5 65972.5 7077.5 66107.5 ; - RECT 6822.5 65972.5 6887.5 66107.5 ; - RECT 7012.5 65972.5 7077.5 66107.5 ; - RECT 7202.5 65972.5 7267.5 66107.5 ; - RECT 7202.5 65972.5 7267.5 66107.5 ; - RECT 7012.5 65972.5 7077.5 66107.5 ; - RECT 6822.5 65132.5 6887.5 65267.5 ; - RECT 7012.5 65132.5 7077.5 65267.5 ; - RECT 7012.5 65132.5 7077.5 65267.5 ; - RECT 6822.5 65132.5 6887.5 65267.5 ; - RECT 7012.5 65132.5 7077.5 65267.5 ; - RECT 7202.5 65132.5 7267.5 65267.5 ; - RECT 7202.5 65132.5 7267.5 65267.5 ; - RECT 7012.5 65132.5 7077.5 65267.5 ; - RECT 7372.5 66062.5 7437.5 66197.5 ; - RECT 7372.5 65087.5 7437.5 65222.5 ; - RECT 7207.5 65362.5 7072.5 65427.5 ; - RECT 6950.0 65577.5 6815.0 65642.5 ; - RECT 7012.5 65972.5 7077.5 66107.5 ; - RECT 7202.5 65132.5 7267.5 65267.5 ; - RECT 7302.5 65577.5 7167.5 65642.5 ; - RECT 6815.0 65577.5 6950.0 65642.5 ; - RECT 7072.5 65362.5 7207.5 65427.5 ; - RECT 7167.5 65577.5 7302.5 65642.5 ; - RECT 6755.0 66282.5 7675.0 66347.5 ; - RECT 6755.0 64937.5 7675.0 65002.5 ; - RECT 6822.5 67497.5 6887.5 67692.5 ; - RECT 6822.5 66657.5 6887.5 66282.5 ; - RECT 7202.5 66657.5 7267.5 66282.5 ; - RECT 7372.5 66500.0 7437.5 66315.0 ; - RECT 7372.5 67660.0 7437.5 67475.0 ; - RECT 6822.5 66657.5 6887.5 66522.5 ; - RECT 7012.5 66657.5 7077.5 66522.5 ; - RECT 7012.5 66657.5 7077.5 66522.5 ; - RECT 6822.5 66657.5 6887.5 66522.5 ; - RECT 7012.5 66657.5 7077.5 66522.5 ; - RECT 7202.5 66657.5 7267.5 66522.5 ; - RECT 7202.5 66657.5 7267.5 66522.5 ; - RECT 7012.5 66657.5 7077.5 66522.5 ; - RECT 6822.5 67497.5 6887.5 67362.5 ; - RECT 7012.5 67497.5 7077.5 67362.5 ; - RECT 7012.5 67497.5 7077.5 67362.5 ; - RECT 6822.5 67497.5 6887.5 67362.5 ; - RECT 7012.5 67497.5 7077.5 67362.5 ; - RECT 7202.5 67497.5 7267.5 67362.5 ; - RECT 7202.5 67497.5 7267.5 67362.5 ; - RECT 7012.5 67497.5 7077.5 67362.5 ; - RECT 7372.5 66567.5 7437.5 66432.5 ; - RECT 7372.5 67542.5 7437.5 67407.5 ; - RECT 7207.5 67267.5 7072.5 67202.5 ; - RECT 6950.0 67052.5 6815.0 66987.5 ; - RECT 7012.5 66657.5 7077.5 66522.5 ; - RECT 7202.5 67497.5 7267.5 67362.5 ; - RECT 7302.5 67052.5 7167.5 66987.5 ; - RECT 6815.0 67052.5 6950.0 66987.5 ; - RECT 7072.5 67267.5 7207.5 67202.5 ; - RECT 7167.5 67052.5 7302.5 66987.5 ; - RECT 6755.0 66347.5 7675.0 66282.5 ; - RECT 6755.0 67692.5 7675.0 67627.5 ; - RECT 6822.5 67822.5 6887.5 67627.5 ; - RECT 6822.5 68662.5 6887.5 69037.5 ; - RECT 7202.5 68662.5 7267.5 69037.5 ; - RECT 7372.5 68820.0 7437.5 69005.0 ; - RECT 7372.5 67660.0 7437.5 67845.0 ; - RECT 6822.5 68662.5 6887.5 68797.5 ; - RECT 7012.5 68662.5 7077.5 68797.5 ; - RECT 7012.5 68662.5 7077.5 68797.5 ; - RECT 6822.5 68662.5 6887.5 68797.5 ; - RECT 7012.5 68662.5 7077.5 68797.5 ; - RECT 7202.5 68662.5 7267.5 68797.5 ; - RECT 7202.5 68662.5 7267.5 68797.5 ; - RECT 7012.5 68662.5 7077.5 68797.5 ; - RECT 6822.5 67822.5 6887.5 67957.5 ; - RECT 7012.5 67822.5 7077.5 67957.5 ; - RECT 7012.5 67822.5 7077.5 67957.5 ; - RECT 6822.5 67822.5 6887.5 67957.5 ; - RECT 7012.5 67822.5 7077.5 67957.5 ; - RECT 7202.5 67822.5 7267.5 67957.5 ; - RECT 7202.5 67822.5 7267.5 67957.5 ; - RECT 7012.5 67822.5 7077.5 67957.5 ; - RECT 7372.5 68752.5 7437.5 68887.5 ; - RECT 7372.5 67777.5 7437.5 67912.5 ; - RECT 7207.5 68052.5 7072.5 68117.5 ; - RECT 6950.0 68267.5 6815.0 68332.5 ; - RECT 7012.5 68662.5 7077.5 68797.5 ; - RECT 7202.5 67822.5 7267.5 67957.5 ; - RECT 7302.5 68267.5 7167.5 68332.5 ; - RECT 6815.0 68267.5 6950.0 68332.5 ; - RECT 7072.5 68052.5 7207.5 68117.5 ; - RECT 7167.5 68267.5 7302.5 68332.5 ; - RECT 6755.0 68972.5 7675.0 69037.5 ; - RECT 6755.0 67627.5 7675.0 67692.5 ; - RECT 6822.5 70187.5 6887.5 70382.5 ; - RECT 6822.5 69347.5 6887.5 68972.5 ; - RECT 7202.5 69347.5 7267.5 68972.5 ; - RECT 7372.5 69190.0 7437.5 69005.0 ; - RECT 7372.5 70350.0 7437.5 70165.0 ; - RECT 6822.5 69347.5 6887.5 69212.5 ; - RECT 7012.5 69347.5 7077.5 69212.5 ; - RECT 7012.5 69347.5 7077.5 69212.5 ; - RECT 6822.5 69347.5 6887.5 69212.5 ; - RECT 7012.5 69347.5 7077.5 69212.5 ; - RECT 7202.5 69347.5 7267.5 69212.5 ; - RECT 7202.5 69347.5 7267.5 69212.5 ; - RECT 7012.5 69347.5 7077.5 69212.5 ; - RECT 6822.5 70187.5 6887.5 70052.5 ; - RECT 7012.5 70187.5 7077.5 70052.5 ; - RECT 7012.5 70187.5 7077.5 70052.5 ; - RECT 6822.5 70187.5 6887.5 70052.5 ; - RECT 7012.5 70187.5 7077.5 70052.5 ; - RECT 7202.5 70187.5 7267.5 70052.5 ; - RECT 7202.5 70187.5 7267.5 70052.5 ; - RECT 7012.5 70187.5 7077.5 70052.5 ; - RECT 7372.5 69257.5 7437.5 69122.5 ; - RECT 7372.5 70232.5 7437.5 70097.5 ; - RECT 7207.5 69957.5 7072.5 69892.5 ; - RECT 6950.0 69742.5 6815.0 69677.5 ; - RECT 7012.5 69347.5 7077.5 69212.5 ; - RECT 7202.5 70187.5 7267.5 70052.5 ; - RECT 7302.5 69742.5 7167.5 69677.5 ; - RECT 6815.0 69742.5 6950.0 69677.5 ; - RECT 7072.5 69957.5 7207.5 69892.5 ; - RECT 7167.5 69742.5 7302.5 69677.5 ; - RECT 6755.0 69037.5 7675.0 68972.5 ; - RECT 6755.0 70382.5 7675.0 70317.5 ; - RECT 8102.5 28470.0 8167.5 28655.0 ; - RECT 8102.5 27310.0 8167.5 27495.0 ; - RECT 7742.5 27427.5 7807.5 27277.5 ; - RECT 7742.5 28312.5 7807.5 28687.5 ; - RECT 7932.5 27427.5 7997.5 28312.5 ; - RECT 7742.5 28312.5 7807.5 28447.5 ; - RECT 7932.5 28312.5 7997.5 28447.5 ; - RECT 7932.5 28312.5 7997.5 28447.5 ; - RECT 7742.5 28312.5 7807.5 28447.5 ; - RECT 7742.5 27427.5 7807.5 27562.5 ; - RECT 7932.5 27427.5 7997.5 27562.5 ; - RECT 7932.5 27427.5 7997.5 27562.5 ; - RECT 7742.5 27427.5 7807.5 27562.5 ; - RECT 8102.5 28402.5 8167.5 28537.5 ; - RECT 8102.5 27427.5 8167.5 27562.5 ; - RECT 7800.0 27870.0 7865.0 28005.0 ; - RECT 7800.0 27870.0 7865.0 28005.0 ; - RECT 7965.0 27905.0 8030.0 27970.0 ; - RECT 7675.0 28622.5 8235.0 28687.5 ; - RECT 7675.0 27277.5 8235.0 27342.5 ; - RECT 8102.5 28840.0 8167.5 28655.0 ; - RECT 8102.5 30000.0 8167.5 29815.0 ; - RECT 7742.5 29882.5 7807.5 30032.5 ; - RECT 7742.5 28997.5 7807.5 28622.5 ; - RECT 7932.5 29882.5 7997.5 28997.5 ; - RECT 7742.5 28997.5 7807.5 28862.5 ; - RECT 7932.5 28997.5 7997.5 28862.5 ; - RECT 7932.5 28997.5 7997.5 28862.5 ; - RECT 7742.5 28997.5 7807.5 28862.5 ; - RECT 7742.5 29882.5 7807.5 29747.5 ; - RECT 7932.5 29882.5 7997.5 29747.5 ; - RECT 7932.5 29882.5 7997.5 29747.5 ; - RECT 7742.5 29882.5 7807.5 29747.5 ; - RECT 8102.5 28907.5 8167.5 28772.5 ; - RECT 8102.5 29882.5 8167.5 29747.5 ; - RECT 7800.0 29440.0 7865.0 29305.0 ; - RECT 7800.0 29440.0 7865.0 29305.0 ; - RECT 7965.0 29405.0 8030.0 29340.0 ; - RECT 7675.0 28687.5 8235.0 28622.5 ; - RECT 7675.0 30032.5 8235.0 29967.5 ; - RECT 8102.5 31160.0 8167.5 31345.0 ; - RECT 8102.5 30000.0 8167.5 30185.0 ; - RECT 7742.5 30117.5 7807.5 29967.5 ; - RECT 7742.5 31002.5 7807.5 31377.5 ; - RECT 7932.5 30117.5 7997.5 31002.5 ; - RECT 7742.5 31002.5 7807.5 31137.5 ; - RECT 7932.5 31002.5 7997.5 31137.5 ; - RECT 7932.5 31002.5 7997.5 31137.5 ; - RECT 7742.5 31002.5 7807.5 31137.5 ; - RECT 7742.5 30117.5 7807.5 30252.5 ; - RECT 7932.5 30117.5 7997.5 30252.5 ; - RECT 7932.5 30117.5 7997.5 30252.5 ; - RECT 7742.5 30117.5 7807.5 30252.5 ; - RECT 8102.5 31092.5 8167.5 31227.5 ; - RECT 8102.5 30117.5 8167.5 30252.5 ; - RECT 7800.0 30560.0 7865.0 30695.0 ; - RECT 7800.0 30560.0 7865.0 30695.0 ; - RECT 7965.0 30595.0 8030.0 30660.0 ; - RECT 7675.0 31312.5 8235.0 31377.5 ; - RECT 7675.0 29967.5 8235.0 30032.5 ; - RECT 8102.5 31530.0 8167.5 31345.0 ; - RECT 8102.5 32690.0 8167.5 32505.0 ; - RECT 7742.5 32572.5 7807.5 32722.5 ; - RECT 7742.5 31687.5 7807.5 31312.5 ; - RECT 7932.5 32572.5 7997.5 31687.5 ; - RECT 7742.5 31687.5 7807.5 31552.5 ; - RECT 7932.5 31687.5 7997.5 31552.5 ; - RECT 7932.5 31687.5 7997.5 31552.5 ; - RECT 7742.5 31687.5 7807.5 31552.5 ; - RECT 7742.5 32572.5 7807.5 32437.5 ; - RECT 7932.5 32572.5 7997.5 32437.5 ; - RECT 7932.5 32572.5 7997.5 32437.5 ; - RECT 7742.5 32572.5 7807.5 32437.5 ; - RECT 8102.5 31597.5 8167.5 31462.5 ; - RECT 8102.5 32572.5 8167.5 32437.5 ; - RECT 7800.0 32130.0 7865.0 31995.0 ; - RECT 7800.0 32130.0 7865.0 31995.0 ; - RECT 7965.0 32095.0 8030.0 32030.0 ; - RECT 7675.0 31377.5 8235.0 31312.5 ; - RECT 7675.0 32722.5 8235.0 32657.5 ; - RECT 8102.5 33850.0 8167.5 34035.0 ; - RECT 8102.5 32690.0 8167.5 32875.0 ; - RECT 7742.5 32807.5 7807.5 32657.5 ; - RECT 7742.5 33692.5 7807.5 34067.5 ; - RECT 7932.5 32807.5 7997.5 33692.5 ; - RECT 7742.5 33692.5 7807.5 33827.5 ; - RECT 7932.5 33692.5 7997.5 33827.5 ; - RECT 7932.5 33692.5 7997.5 33827.5 ; - RECT 7742.5 33692.5 7807.5 33827.5 ; - RECT 7742.5 32807.5 7807.5 32942.5 ; - RECT 7932.5 32807.5 7997.5 32942.5 ; - RECT 7932.5 32807.5 7997.5 32942.5 ; - RECT 7742.5 32807.5 7807.5 32942.5 ; - RECT 8102.5 33782.5 8167.5 33917.5 ; - RECT 8102.5 32807.5 8167.5 32942.5 ; - RECT 7800.0 33250.0 7865.0 33385.0 ; - RECT 7800.0 33250.0 7865.0 33385.0 ; - RECT 7965.0 33285.0 8030.0 33350.0 ; - RECT 7675.0 34002.5 8235.0 34067.5 ; - RECT 7675.0 32657.5 8235.0 32722.5 ; - RECT 8102.5 34220.0 8167.5 34035.0 ; - RECT 8102.5 35380.0 8167.5 35195.0 ; - RECT 7742.5 35262.5 7807.5 35412.5 ; - RECT 7742.5 34377.5 7807.5 34002.5 ; - RECT 7932.5 35262.5 7997.5 34377.5 ; - RECT 7742.5 34377.5 7807.5 34242.5 ; - RECT 7932.5 34377.5 7997.5 34242.5 ; - RECT 7932.5 34377.5 7997.5 34242.5 ; - RECT 7742.5 34377.5 7807.5 34242.5 ; - RECT 7742.5 35262.5 7807.5 35127.5 ; - RECT 7932.5 35262.5 7997.5 35127.5 ; - RECT 7932.5 35262.5 7997.5 35127.5 ; - RECT 7742.5 35262.5 7807.5 35127.5 ; - RECT 8102.5 34287.5 8167.5 34152.5 ; - RECT 8102.5 35262.5 8167.5 35127.5 ; - RECT 7800.0 34820.0 7865.0 34685.0 ; - RECT 7800.0 34820.0 7865.0 34685.0 ; - RECT 7965.0 34785.0 8030.0 34720.0 ; - RECT 7675.0 34067.5 8235.0 34002.5 ; - RECT 7675.0 35412.5 8235.0 35347.5 ; - RECT 8102.5 36540.0 8167.5 36725.0 ; - RECT 8102.5 35380.0 8167.5 35565.0 ; - RECT 7742.5 35497.5 7807.5 35347.5 ; - RECT 7742.5 36382.5 7807.5 36757.5 ; - RECT 7932.5 35497.5 7997.5 36382.5 ; - RECT 7742.5 36382.5 7807.5 36517.5 ; - RECT 7932.5 36382.5 7997.5 36517.5 ; - RECT 7932.5 36382.5 7997.5 36517.5 ; - RECT 7742.5 36382.5 7807.5 36517.5 ; - RECT 7742.5 35497.5 7807.5 35632.5 ; - RECT 7932.5 35497.5 7997.5 35632.5 ; - RECT 7932.5 35497.5 7997.5 35632.5 ; - RECT 7742.5 35497.5 7807.5 35632.5 ; - RECT 8102.5 36472.5 8167.5 36607.5 ; - RECT 8102.5 35497.5 8167.5 35632.5 ; - RECT 7800.0 35940.0 7865.0 36075.0 ; - RECT 7800.0 35940.0 7865.0 36075.0 ; - RECT 7965.0 35975.0 8030.0 36040.0 ; - RECT 7675.0 36692.5 8235.0 36757.5 ; - RECT 7675.0 35347.5 8235.0 35412.5 ; - RECT 8102.5 36910.0 8167.5 36725.0 ; - RECT 8102.5 38070.0 8167.5 37885.0 ; - RECT 7742.5 37952.5 7807.5 38102.5 ; - RECT 7742.5 37067.5 7807.5 36692.5 ; - RECT 7932.5 37952.5 7997.5 37067.5 ; - RECT 7742.5 37067.5 7807.5 36932.5 ; - RECT 7932.5 37067.5 7997.5 36932.5 ; - RECT 7932.5 37067.5 7997.5 36932.5 ; - RECT 7742.5 37067.5 7807.5 36932.5 ; - RECT 7742.5 37952.5 7807.5 37817.5 ; - RECT 7932.5 37952.5 7997.5 37817.5 ; - RECT 7932.5 37952.5 7997.5 37817.5 ; - RECT 7742.5 37952.5 7807.5 37817.5 ; - RECT 8102.5 36977.5 8167.5 36842.5 ; - RECT 8102.5 37952.5 8167.5 37817.5 ; - RECT 7800.0 37510.0 7865.0 37375.0 ; - RECT 7800.0 37510.0 7865.0 37375.0 ; - RECT 7965.0 37475.0 8030.0 37410.0 ; - RECT 7675.0 36757.5 8235.0 36692.5 ; - RECT 7675.0 38102.5 8235.0 38037.5 ; - RECT 8102.5 39230.0 8167.5 39415.0 ; - RECT 8102.5 38070.0 8167.5 38255.0 ; - RECT 7742.5 38187.5 7807.5 38037.5 ; - RECT 7742.5 39072.5 7807.5 39447.5 ; - RECT 7932.5 38187.5 7997.5 39072.5 ; - RECT 7742.5 39072.5 7807.5 39207.5 ; - RECT 7932.5 39072.5 7997.5 39207.5 ; - RECT 7932.5 39072.5 7997.5 39207.5 ; - RECT 7742.5 39072.5 7807.5 39207.5 ; - RECT 7742.5 38187.5 7807.5 38322.5 ; - RECT 7932.5 38187.5 7997.5 38322.5 ; - RECT 7932.5 38187.5 7997.5 38322.5 ; - RECT 7742.5 38187.5 7807.5 38322.5 ; - RECT 8102.5 39162.5 8167.5 39297.5 ; - RECT 8102.5 38187.5 8167.5 38322.5 ; - RECT 7800.0 38630.0 7865.0 38765.0 ; - RECT 7800.0 38630.0 7865.0 38765.0 ; - RECT 7965.0 38665.0 8030.0 38730.0 ; - RECT 7675.0 39382.5 8235.0 39447.5 ; - RECT 7675.0 38037.5 8235.0 38102.5 ; - RECT 8102.5 39600.0 8167.5 39415.0 ; - RECT 8102.5 40760.0 8167.5 40575.0 ; - RECT 7742.5 40642.5 7807.5 40792.5 ; - RECT 7742.5 39757.5 7807.5 39382.5 ; - RECT 7932.5 40642.5 7997.5 39757.5 ; - RECT 7742.5 39757.5 7807.5 39622.5 ; - RECT 7932.5 39757.5 7997.5 39622.5 ; - RECT 7932.5 39757.5 7997.5 39622.5 ; - RECT 7742.5 39757.5 7807.5 39622.5 ; - RECT 7742.5 40642.5 7807.5 40507.5 ; - RECT 7932.5 40642.5 7997.5 40507.5 ; - RECT 7932.5 40642.5 7997.5 40507.5 ; - RECT 7742.5 40642.5 7807.5 40507.5 ; - RECT 8102.5 39667.5 8167.5 39532.5 ; - RECT 8102.5 40642.5 8167.5 40507.5 ; - RECT 7800.0 40200.0 7865.0 40065.0 ; - RECT 7800.0 40200.0 7865.0 40065.0 ; - RECT 7965.0 40165.0 8030.0 40100.0 ; - RECT 7675.0 39447.5 8235.0 39382.5 ; - RECT 7675.0 40792.5 8235.0 40727.5 ; - RECT 8102.5 41920.0 8167.5 42105.0 ; - RECT 8102.5 40760.0 8167.5 40945.0 ; - RECT 7742.5 40877.5 7807.5 40727.5 ; - RECT 7742.5 41762.5 7807.5 42137.5 ; - RECT 7932.5 40877.5 7997.5 41762.5 ; - RECT 7742.5 41762.5 7807.5 41897.5 ; - RECT 7932.5 41762.5 7997.5 41897.5 ; - RECT 7932.5 41762.5 7997.5 41897.5 ; - RECT 7742.5 41762.5 7807.5 41897.5 ; - RECT 7742.5 40877.5 7807.5 41012.5 ; - RECT 7932.5 40877.5 7997.5 41012.5 ; - RECT 7932.5 40877.5 7997.5 41012.5 ; - RECT 7742.5 40877.5 7807.5 41012.5 ; - RECT 8102.5 41852.5 8167.5 41987.5 ; - RECT 8102.5 40877.5 8167.5 41012.5 ; - RECT 7800.0 41320.0 7865.0 41455.0 ; - RECT 7800.0 41320.0 7865.0 41455.0 ; - RECT 7965.0 41355.0 8030.0 41420.0 ; - RECT 7675.0 42072.5 8235.0 42137.5 ; - RECT 7675.0 40727.5 8235.0 40792.5 ; - RECT 8102.5 42290.0 8167.5 42105.0 ; - RECT 8102.5 43450.0 8167.5 43265.0 ; - RECT 7742.5 43332.5 7807.5 43482.5 ; - RECT 7742.5 42447.5 7807.5 42072.5 ; - RECT 7932.5 43332.5 7997.5 42447.5 ; - RECT 7742.5 42447.5 7807.5 42312.5 ; - RECT 7932.5 42447.5 7997.5 42312.5 ; - RECT 7932.5 42447.5 7997.5 42312.5 ; - RECT 7742.5 42447.5 7807.5 42312.5 ; - RECT 7742.5 43332.5 7807.5 43197.5 ; - RECT 7932.5 43332.5 7997.5 43197.5 ; - RECT 7932.5 43332.5 7997.5 43197.5 ; - RECT 7742.5 43332.5 7807.5 43197.5 ; - RECT 8102.5 42357.5 8167.5 42222.5 ; - RECT 8102.5 43332.5 8167.5 43197.5 ; - RECT 7800.0 42890.0 7865.0 42755.0 ; - RECT 7800.0 42890.0 7865.0 42755.0 ; - RECT 7965.0 42855.0 8030.0 42790.0 ; - RECT 7675.0 42137.5 8235.0 42072.5 ; - RECT 7675.0 43482.5 8235.0 43417.5 ; - RECT 8102.5 44610.0 8167.5 44795.0 ; - RECT 8102.5 43450.0 8167.5 43635.0 ; - RECT 7742.5 43567.5 7807.5 43417.5 ; - RECT 7742.5 44452.5 7807.5 44827.5 ; - RECT 7932.5 43567.5 7997.5 44452.5 ; - RECT 7742.5 44452.5 7807.5 44587.5 ; - RECT 7932.5 44452.5 7997.5 44587.5 ; - RECT 7932.5 44452.5 7997.5 44587.5 ; - RECT 7742.5 44452.5 7807.5 44587.5 ; - RECT 7742.5 43567.5 7807.5 43702.5 ; - RECT 7932.5 43567.5 7997.5 43702.5 ; - RECT 7932.5 43567.5 7997.5 43702.5 ; - RECT 7742.5 43567.5 7807.5 43702.5 ; - RECT 8102.5 44542.5 8167.5 44677.5 ; - RECT 8102.5 43567.5 8167.5 43702.5 ; - RECT 7800.0 44010.0 7865.0 44145.0 ; - RECT 7800.0 44010.0 7865.0 44145.0 ; - RECT 7965.0 44045.0 8030.0 44110.0 ; - RECT 7675.0 44762.5 8235.0 44827.5 ; - RECT 7675.0 43417.5 8235.0 43482.5 ; - RECT 8102.5 44980.0 8167.5 44795.0 ; - RECT 8102.5 46140.0 8167.5 45955.0 ; - RECT 7742.5 46022.5 7807.5 46172.5 ; - RECT 7742.5 45137.5 7807.5 44762.5 ; - RECT 7932.5 46022.5 7997.5 45137.5 ; - RECT 7742.5 45137.5 7807.5 45002.5 ; - RECT 7932.5 45137.5 7997.5 45002.5 ; - RECT 7932.5 45137.5 7997.5 45002.5 ; - RECT 7742.5 45137.5 7807.5 45002.5 ; - RECT 7742.5 46022.5 7807.5 45887.5 ; - RECT 7932.5 46022.5 7997.5 45887.5 ; - RECT 7932.5 46022.5 7997.5 45887.5 ; - RECT 7742.5 46022.5 7807.5 45887.5 ; - RECT 8102.5 45047.5 8167.5 44912.5 ; - RECT 8102.5 46022.5 8167.5 45887.5 ; - RECT 7800.0 45580.0 7865.0 45445.0 ; - RECT 7800.0 45580.0 7865.0 45445.0 ; - RECT 7965.0 45545.0 8030.0 45480.0 ; - RECT 7675.0 44827.5 8235.0 44762.5 ; - RECT 7675.0 46172.5 8235.0 46107.5 ; - RECT 8102.5 47300.0 8167.5 47485.0 ; - RECT 8102.5 46140.0 8167.5 46325.0 ; - RECT 7742.5 46257.5 7807.5 46107.5 ; - RECT 7742.5 47142.5 7807.5 47517.5 ; - RECT 7932.5 46257.5 7997.5 47142.5 ; - RECT 7742.5 47142.5 7807.5 47277.5 ; - RECT 7932.5 47142.5 7997.5 47277.5 ; - RECT 7932.5 47142.5 7997.5 47277.5 ; - RECT 7742.5 47142.5 7807.5 47277.5 ; - RECT 7742.5 46257.5 7807.5 46392.5 ; - RECT 7932.5 46257.5 7997.5 46392.5 ; - RECT 7932.5 46257.5 7997.5 46392.5 ; - RECT 7742.5 46257.5 7807.5 46392.5 ; - RECT 8102.5 47232.5 8167.5 47367.5 ; - RECT 8102.5 46257.5 8167.5 46392.5 ; - RECT 7800.0 46700.0 7865.0 46835.0 ; - RECT 7800.0 46700.0 7865.0 46835.0 ; - RECT 7965.0 46735.0 8030.0 46800.0 ; - RECT 7675.0 47452.5 8235.0 47517.5 ; - RECT 7675.0 46107.5 8235.0 46172.5 ; - RECT 8102.5 47670.0 8167.5 47485.0 ; - RECT 8102.5 48830.0 8167.5 48645.0 ; - RECT 7742.5 48712.5 7807.5 48862.5 ; - RECT 7742.5 47827.5 7807.5 47452.5 ; - RECT 7932.5 48712.5 7997.5 47827.5 ; - RECT 7742.5 47827.5 7807.5 47692.5 ; - RECT 7932.5 47827.5 7997.5 47692.5 ; - RECT 7932.5 47827.5 7997.5 47692.5 ; - RECT 7742.5 47827.5 7807.5 47692.5 ; - RECT 7742.5 48712.5 7807.5 48577.5 ; - RECT 7932.5 48712.5 7997.5 48577.5 ; - RECT 7932.5 48712.5 7997.5 48577.5 ; - RECT 7742.5 48712.5 7807.5 48577.5 ; - RECT 8102.5 47737.5 8167.5 47602.5 ; - RECT 8102.5 48712.5 8167.5 48577.5 ; - RECT 7800.0 48270.0 7865.0 48135.0 ; - RECT 7800.0 48270.0 7865.0 48135.0 ; - RECT 7965.0 48235.0 8030.0 48170.0 ; - RECT 7675.0 47517.5 8235.0 47452.5 ; - RECT 7675.0 48862.5 8235.0 48797.5 ; - RECT 8102.5 49990.0 8167.5 50175.0 ; - RECT 8102.5 48830.0 8167.5 49015.0 ; - RECT 7742.5 48947.5 7807.5 48797.5 ; - RECT 7742.5 49832.5 7807.5 50207.5 ; - RECT 7932.5 48947.5 7997.5 49832.5 ; - RECT 7742.5 49832.5 7807.5 49967.5 ; - RECT 7932.5 49832.5 7997.5 49967.5 ; - RECT 7932.5 49832.5 7997.5 49967.5 ; - RECT 7742.5 49832.5 7807.5 49967.5 ; - RECT 7742.5 48947.5 7807.5 49082.5 ; - RECT 7932.5 48947.5 7997.5 49082.5 ; - RECT 7932.5 48947.5 7997.5 49082.5 ; - RECT 7742.5 48947.5 7807.5 49082.5 ; - RECT 8102.5 49922.5 8167.5 50057.5 ; - RECT 8102.5 48947.5 8167.5 49082.5 ; - RECT 7800.0 49390.0 7865.0 49525.0 ; - RECT 7800.0 49390.0 7865.0 49525.0 ; - RECT 7965.0 49425.0 8030.0 49490.0 ; - RECT 7675.0 50142.5 8235.0 50207.5 ; - RECT 7675.0 48797.5 8235.0 48862.5 ; - RECT 8102.5 50360.0 8167.5 50175.0 ; - RECT 8102.5 51520.0 8167.5 51335.0 ; - RECT 7742.5 51402.5 7807.5 51552.5 ; - RECT 7742.5 50517.5 7807.5 50142.5 ; - RECT 7932.5 51402.5 7997.5 50517.5 ; - RECT 7742.5 50517.5 7807.5 50382.5 ; - RECT 7932.5 50517.5 7997.5 50382.5 ; - RECT 7932.5 50517.5 7997.5 50382.5 ; - RECT 7742.5 50517.5 7807.5 50382.5 ; - RECT 7742.5 51402.5 7807.5 51267.5 ; - RECT 7932.5 51402.5 7997.5 51267.5 ; - RECT 7932.5 51402.5 7997.5 51267.5 ; - RECT 7742.5 51402.5 7807.5 51267.5 ; - RECT 8102.5 50427.5 8167.5 50292.5 ; - RECT 8102.5 51402.5 8167.5 51267.5 ; - RECT 7800.0 50960.0 7865.0 50825.0 ; - RECT 7800.0 50960.0 7865.0 50825.0 ; - RECT 7965.0 50925.0 8030.0 50860.0 ; - RECT 7675.0 50207.5 8235.0 50142.5 ; - RECT 7675.0 51552.5 8235.0 51487.5 ; - RECT 8102.5 52680.0 8167.5 52865.0 ; - RECT 8102.5 51520.0 8167.5 51705.0 ; - RECT 7742.5 51637.5 7807.5 51487.5 ; - RECT 7742.5 52522.5 7807.5 52897.5 ; - RECT 7932.5 51637.5 7997.5 52522.5 ; - RECT 7742.5 52522.5 7807.5 52657.5 ; - RECT 7932.5 52522.5 7997.5 52657.5 ; - RECT 7932.5 52522.5 7997.5 52657.5 ; - RECT 7742.5 52522.5 7807.5 52657.5 ; - RECT 7742.5 51637.5 7807.5 51772.5 ; - RECT 7932.5 51637.5 7997.5 51772.5 ; - RECT 7932.5 51637.5 7997.5 51772.5 ; - RECT 7742.5 51637.5 7807.5 51772.5 ; - RECT 8102.5 52612.5 8167.5 52747.5 ; - RECT 8102.5 51637.5 8167.5 51772.5 ; - RECT 7800.0 52080.0 7865.0 52215.0 ; - RECT 7800.0 52080.0 7865.0 52215.0 ; - RECT 7965.0 52115.0 8030.0 52180.0 ; - RECT 7675.0 52832.5 8235.0 52897.5 ; - RECT 7675.0 51487.5 8235.0 51552.5 ; - RECT 8102.5 53050.0 8167.5 52865.0 ; - RECT 8102.5 54210.0 8167.5 54025.0 ; - RECT 7742.5 54092.5 7807.5 54242.5 ; - RECT 7742.5 53207.5 7807.5 52832.5 ; - RECT 7932.5 54092.5 7997.5 53207.5 ; - RECT 7742.5 53207.5 7807.5 53072.5 ; - RECT 7932.5 53207.5 7997.5 53072.5 ; - RECT 7932.5 53207.5 7997.5 53072.5 ; - RECT 7742.5 53207.5 7807.5 53072.5 ; - RECT 7742.5 54092.5 7807.5 53957.5 ; - RECT 7932.5 54092.5 7997.5 53957.5 ; - RECT 7932.5 54092.5 7997.5 53957.5 ; - RECT 7742.5 54092.5 7807.5 53957.5 ; - RECT 8102.5 53117.5 8167.5 52982.5 ; - RECT 8102.5 54092.5 8167.5 53957.5 ; - RECT 7800.0 53650.0 7865.0 53515.0 ; - RECT 7800.0 53650.0 7865.0 53515.0 ; - RECT 7965.0 53615.0 8030.0 53550.0 ; - RECT 7675.0 52897.5 8235.0 52832.5 ; - RECT 7675.0 54242.5 8235.0 54177.5 ; - RECT 8102.5 55370.0 8167.5 55555.0 ; - RECT 8102.5 54210.0 8167.5 54395.0 ; - RECT 7742.5 54327.5 7807.5 54177.5 ; - RECT 7742.5 55212.5 7807.5 55587.5 ; - RECT 7932.5 54327.5 7997.5 55212.5 ; - RECT 7742.5 55212.5 7807.5 55347.5 ; - RECT 7932.5 55212.5 7997.5 55347.5 ; - RECT 7932.5 55212.5 7997.5 55347.5 ; - RECT 7742.5 55212.5 7807.5 55347.5 ; - RECT 7742.5 54327.5 7807.5 54462.5 ; - RECT 7932.5 54327.5 7997.5 54462.5 ; - RECT 7932.5 54327.5 7997.5 54462.5 ; - RECT 7742.5 54327.5 7807.5 54462.5 ; - RECT 8102.5 55302.5 8167.5 55437.5 ; - RECT 8102.5 54327.5 8167.5 54462.5 ; - RECT 7800.0 54770.0 7865.0 54905.0 ; - RECT 7800.0 54770.0 7865.0 54905.0 ; - RECT 7965.0 54805.0 8030.0 54870.0 ; - RECT 7675.0 55522.5 8235.0 55587.5 ; - RECT 7675.0 54177.5 8235.0 54242.5 ; - RECT 8102.5 55740.0 8167.5 55555.0 ; - RECT 8102.5 56900.0 8167.5 56715.0 ; - RECT 7742.5 56782.5 7807.5 56932.5 ; - RECT 7742.5 55897.5 7807.5 55522.5 ; - RECT 7932.5 56782.5 7997.5 55897.5 ; - RECT 7742.5 55897.5 7807.5 55762.5 ; - RECT 7932.5 55897.5 7997.5 55762.5 ; - RECT 7932.5 55897.5 7997.5 55762.5 ; - RECT 7742.5 55897.5 7807.5 55762.5 ; - RECT 7742.5 56782.5 7807.5 56647.5 ; - RECT 7932.5 56782.5 7997.5 56647.5 ; - RECT 7932.5 56782.5 7997.5 56647.5 ; - RECT 7742.5 56782.5 7807.5 56647.5 ; - RECT 8102.5 55807.5 8167.5 55672.5 ; - RECT 8102.5 56782.5 8167.5 56647.5 ; - RECT 7800.0 56340.0 7865.0 56205.0 ; - RECT 7800.0 56340.0 7865.0 56205.0 ; - RECT 7965.0 56305.0 8030.0 56240.0 ; - RECT 7675.0 55587.5 8235.0 55522.5 ; - RECT 7675.0 56932.5 8235.0 56867.5 ; - RECT 8102.5 58060.0 8167.5 58245.0 ; - RECT 8102.5 56900.0 8167.5 57085.0 ; - RECT 7742.5 57017.5 7807.5 56867.5 ; - RECT 7742.5 57902.5 7807.5 58277.5 ; - RECT 7932.5 57017.5 7997.5 57902.5 ; - RECT 7742.5 57902.5 7807.5 58037.5 ; - RECT 7932.5 57902.5 7997.5 58037.5 ; - RECT 7932.5 57902.5 7997.5 58037.5 ; - RECT 7742.5 57902.5 7807.5 58037.5 ; - RECT 7742.5 57017.5 7807.5 57152.5 ; - RECT 7932.5 57017.5 7997.5 57152.5 ; - RECT 7932.5 57017.5 7997.5 57152.5 ; - RECT 7742.5 57017.5 7807.5 57152.5 ; - RECT 8102.5 57992.5 8167.5 58127.5 ; - RECT 8102.5 57017.5 8167.5 57152.5 ; - RECT 7800.0 57460.0 7865.0 57595.0 ; - RECT 7800.0 57460.0 7865.0 57595.0 ; - RECT 7965.0 57495.0 8030.0 57560.0 ; - RECT 7675.0 58212.5 8235.0 58277.5 ; - RECT 7675.0 56867.5 8235.0 56932.5 ; - RECT 8102.5 58430.0 8167.5 58245.0 ; - RECT 8102.5 59590.0 8167.5 59405.0 ; - RECT 7742.5 59472.5 7807.5 59622.5 ; - RECT 7742.5 58587.5 7807.5 58212.5 ; - RECT 7932.5 59472.5 7997.5 58587.5 ; - RECT 7742.5 58587.5 7807.5 58452.5 ; - RECT 7932.5 58587.5 7997.5 58452.5 ; - RECT 7932.5 58587.5 7997.5 58452.5 ; - RECT 7742.5 58587.5 7807.5 58452.5 ; - RECT 7742.5 59472.5 7807.5 59337.5 ; - RECT 7932.5 59472.5 7997.5 59337.5 ; - RECT 7932.5 59472.5 7997.5 59337.5 ; - RECT 7742.5 59472.5 7807.5 59337.5 ; - RECT 8102.5 58497.5 8167.5 58362.5 ; - RECT 8102.5 59472.5 8167.5 59337.5 ; - RECT 7800.0 59030.0 7865.0 58895.0 ; - RECT 7800.0 59030.0 7865.0 58895.0 ; - RECT 7965.0 58995.0 8030.0 58930.0 ; - RECT 7675.0 58277.5 8235.0 58212.5 ; - RECT 7675.0 59622.5 8235.0 59557.5 ; - RECT 8102.5 60750.0 8167.5 60935.0 ; - RECT 8102.5 59590.0 8167.5 59775.0 ; - RECT 7742.5 59707.5 7807.5 59557.5 ; - RECT 7742.5 60592.5 7807.5 60967.5 ; - RECT 7932.5 59707.5 7997.5 60592.5 ; - RECT 7742.5 60592.5 7807.5 60727.5 ; - RECT 7932.5 60592.5 7997.5 60727.5 ; - RECT 7932.5 60592.5 7997.5 60727.5 ; - RECT 7742.5 60592.5 7807.5 60727.5 ; - RECT 7742.5 59707.5 7807.5 59842.5 ; - RECT 7932.5 59707.5 7997.5 59842.5 ; - RECT 7932.5 59707.5 7997.5 59842.5 ; - RECT 7742.5 59707.5 7807.5 59842.5 ; - RECT 8102.5 60682.5 8167.5 60817.5 ; - RECT 8102.5 59707.5 8167.5 59842.5 ; - RECT 7800.0 60150.0 7865.0 60285.0 ; - RECT 7800.0 60150.0 7865.0 60285.0 ; - RECT 7965.0 60185.0 8030.0 60250.0 ; - RECT 7675.0 60902.5 8235.0 60967.5 ; - RECT 7675.0 59557.5 8235.0 59622.5 ; - RECT 8102.5 61120.0 8167.5 60935.0 ; - RECT 8102.5 62280.0 8167.5 62095.0 ; - RECT 7742.5 62162.5 7807.5 62312.5 ; - RECT 7742.5 61277.5 7807.5 60902.5 ; - RECT 7932.5 62162.5 7997.5 61277.5 ; - RECT 7742.5 61277.5 7807.5 61142.5 ; - RECT 7932.5 61277.5 7997.5 61142.5 ; - RECT 7932.5 61277.5 7997.5 61142.5 ; - RECT 7742.5 61277.5 7807.5 61142.5 ; - RECT 7742.5 62162.5 7807.5 62027.5 ; - RECT 7932.5 62162.5 7997.5 62027.5 ; - RECT 7932.5 62162.5 7997.5 62027.5 ; - RECT 7742.5 62162.5 7807.5 62027.5 ; - RECT 8102.5 61187.5 8167.5 61052.5 ; - RECT 8102.5 62162.5 8167.5 62027.5 ; - RECT 7800.0 61720.0 7865.0 61585.0 ; - RECT 7800.0 61720.0 7865.0 61585.0 ; - RECT 7965.0 61685.0 8030.0 61620.0 ; - RECT 7675.0 60967.5 8235.0 60902.5 ; - RECT 7675.0 62312.5 8235.0 62247.5 ; - RECT 8102.5 63440.0 8167.5 63625.0 ; - RECT 8102.5 62280.0 8167.5 62465.0 ; - RECT 7742.5 62397.5 7807.5 62247.5 ; - RECT 7742.5 63282.5 7807.5 63657.5 ; - RECT 7932.5 62397.5 7997.5 63282.5 ; - RECT 7742.5 63282.5 7807.5 63417.5 ; - RECT 7932.5 63282.5 7997.5 63417.5 ; - RECT 7932.5 63282.5 7997.5 63417.5 ; - RECT 7742.5 63282.5 7807.5 63417.5 ; - RECT 7742.5 62397.5 7807.5 62532.5 ; - RECT 7932.5 62397.5 7997.5 62532.5 ; - RECT 7932.5 62397.5 7997.5 62532.5 ; - RECT 7742.5 62397.5 7807.5 62532.5 ; - RECT 8102.5 63372.5 8167.5 63507.5 ; - RECT 8102.5 62397.5 8167.5 62532.5 ; - RECT 7800.0 62840.0 7865.0 62975.0 ; - RECT 7800.0 62840.0 7865.0 62975.0 ; - RECT 7965.0 62875.0 8030.0 62940.0 ; - RECT 7675.0 63592.5 8235.0 63657.5 ; - RECT 7675.0 62247.5 8235.0 62312.5 ; - RECT 8102.5 63810.0 8167.5 63625.0 ; - RECT 8102.5 64970.0 8167.5 64785.0 ; - RECT 7742.5 64852.5 7807.5 65002.5 ; - RECT 7742.5 63967.5 7807.5 63592.5 ; - RECT 7932.5 64852.5 7997.5 63967.5 ; - RECT 7742.5 63967.5 7807.5 63832.5 ; - RECT 7932.5 63967.5 7997.5 63832.5 ; - RECT 7932.5 63967.5 7997.5 63832.5 ; - RECT 7742.5 63967.5 7807.5 63832.5 ; - RECT 7742.5 64852.5 7807.5 64717.5 ; - RECT 7932.5 64852.5 7997.5 64717.5 ; - RECT 7932.5 64852.5 7997.5 64717.5 ; - RECT 7742.5 64852.5 7807.5 64717.5 ; - RECT 8102.5 63877.5 8167.5 63742.5 ; - RECT 8102.5 64852.5 8167.5 64717.5 ; - RECT 7800.0 64410.0 7865.0 64275.0 ; - RECT 7800.0 64410.0 7865.0 64275.0 ; - RECT 7965.0 64375.0 8030.0 64310.0 ; - RECT 7675.0 63657.5 8235.0 63592.5 ; - RECT 7675.0 65002.5 8235.0 64937.5 ; - RECT 8102.5 66130.0 8167.5 66315.0 ; - RECT 8102.5 64970.0 8167.5 65155.0 ; - RECT 7742.5 65087.5 7807.5 64937.5 ; - RECT 7742.5 65972.5 7807.5 66347.5 ; - RECT 7932.5 65087.5 7997.5 65972.5 ; - RECT 7742.5 65972.5 7807.5 66107.5 ; - RECT 7932.5 65972.5 7997.5 66107.5 ; - RECT 7932.5 65972.5 7997.5 66107.5 ; - RECT 7742.5 65972.5 7807.5 66107.5 ; - RECT 7742.5 65087.5 7807.5 65222.5 ; - RECT 7932.5 65087.5 7997.5 65222.5 ; - RECT 7932.5 65087.5 7997.5 65222.5 ; - RECT 7742.5 65087.5 7807.5 65222.5 ; - RECT 8102.5 66062.5 8167.5 66197.5 ; - RECT 8102.5 65087.5 8167.5 65222.5 ; - RECT 7800.0 65530.0 7865.0 65665.0 ; - RECT 7800.0 65530.0 7865.0 65665.0 ; - RECT 7965.0 65565.0 8030.0 65630.0 ; - RECT 7675.0 66282.5 8235.0 66347.5 ; - RECT 7675.0 64937.5 8235.0 65002.5 ; - RECT 8102.5 66500.0 8167.5 66315.0 ; - RECT 8102.5 67660.0 8167.5 67475.0 ; - RECT 7742.5 67542.5 7807.5 67692.5 ; - RECT 7742.5 66657.5 7807.5 66282.5 ; - RECT 7932.5 67542.5 7997.5 66657.5 ; - RECT 7742.5 66657.5 7807.5 66522.5 ; - RECT 7932.5 66657.5 7997.5 66522.5 ; - RECT 7932.5 66657.5 7997.5 66522.5 ; - RECT 7742.5 66657.5 7807.5 66522.5 ; - RECT 7742.5 67542.5 7807.5 67407.5 ; - RECT 7932.5 67542.5 7997.5 67407.5 ; - RECT 7932.5 67542.5 7997.5 67407.5 ; - RECT 7742.5 67542.5 7807.5 67407.5 ; - RECT 8102.5 66567.5 8167.5 66432.5 ; - RECT 8102.5 67542.5 8167.5 67407.5 ; - RECT 7800.0 67100.0 7865.0 66965.0 ; - RECT 7800.0 67100.0 7865.0 66965.0 ; - RECT 7965.0 67065.0 8030.0 67000.0 ; - RECT 7675.0 66347.5 8235.0 66282.5 ; - RECT 7675.0 67692.5 8235.0 67627.5 ; - RECT 8102.5 68820.0 8167.5 69005.0 ; - RECT 8102.5 67660.0 8167.5 67845.0 ; - RECT 7742.5 67777.5 7807.5 67627.5 ; - RECT 7742.5 68662.5 7807.5 69037.5 ; - RECT 7932.5 67777.5 7997.5 68662.5 ; - RECT 7742.5 68662.5 7807.5 68797.5 ; - RECT 7932.5 68662.5 7997.5 68797.5 ; - RECT 7932.5 68662.5 7997.5 68797.5 ; - RECT 7742.5 68662.5 7807.5 68797.5 ; - RECT 7742.5 67777.5 7807.5 67912.5 ; - RECT 7932.5 67777.5 7997.5 67912.5 ; - RECT 7932.5 67777.5 7997.5 67912.5 ; - RECT 7742.5 67777.5 7807.5 67912.5 ; - RECT 8102.5 68752.5 8167.5 68887.5 ; - RECT 8102.5 67777.5 8167.5 67912.5 ; - RECT 7800.0 68220.0 7865.0 68355.0 ; - RECT 7800.0 68220.0 7865.0 68355.0 ; - RECT 7965.0 68255.0 8030.0 68320.0 ; - RECT 7675.0 68972.5 8235.0 69037.5 ; - RECT 7675.0 67627.5 8235.0 67692.5 ; - RECT 8102.5 69190.0 8167.5 69005.0 ; - RECT 8102.5 70350.0 8167.5 70165.0 ; - RECT 7742.5 70232.5 7807.5 70382.5 ; - RECT 7742.5 69347.5 7807.5 68972.5 ; - RECT 7932.5 70232.5 7997.5 69347.5 ; - RECT 7742.5 69347.5 7807.5 69212.5 ; - RECT 7932.5 69347.5 7997.5 69212.5 ; - RECT 7932.5 69347.5 7997.5 69212.5 ; - RECT 7742.5 69347.5 7807.5 69212.5 ; - RECT 7742.5 70232.5 7807.5 70097.5 ; - RECT 7932.5 70232.5 7997.5 70097.5 ; - RECT 7932.5 70232.5 7997.5 70097.5 ; - RECT 7742.5 70232.5 7807.5 70097.5 ; - RECT 8102.5 69257.5 8167.5 69122.5 ; - RECT 8102.5 70232.5 8167.5 70097.5 ; - RECT 7800.0 69790.0 7865.0 69655.0 ; - RECT 7800.0 69790.0 7865.0 69655.0 ; - RECT 7965.0 69755.0 8030.0 69690.0 ; - RECT 7675.0 69037.5 8235.0 68972.5 ; - RECT 7675.0 70382.5 8235.0 70317.5 ; - RECT 4757.5 11765.0 4622.5 11830.0 ; - RECT 4932.5 13200.0 4797.5 13265.0 ; - RECT 5107.5 14455.0 4972.5 14520.0 ; - RECT 5282.5 15890.0 5147.5 15955.0 ; - RECT 5457.5 17145.0 5322.5 17210.0 ; - RECT 5632.5 18580.0 5497.5 18645.0 ; - RECT 5807.5 19835.0 5672.5 19900.0 ; - RECT 5982.5 21270.0 5847.5 21335.0 ; - RECT 6157.5 22525.0 6022.5 22590.0 ; - RECT 6332.5 23960.0 6197.5 24025.0 ; - RECT 6507.5 25215.0 6372.5 25280.0 ; - RECT 6682.5 26650.0 6547.5 26715.0 ; - RECT 4757.5 27917.5 4622.5 27982.5 ; - RECT 5457.5 27702.5 5322.5 27767.5 ; - RECT 4757.5 29327.5 4622.5 29392.5 ; - RECT 5632.5 29542.5 5497.5 29607.5 ; - RECT 4757.5 30607.5 4622.5 30672.5 ; - RECT 5807.5 30392.5 5672.5 30457.5 ; - RECT 4757.5 32017.5 4622.5 32082.5 ; - RECT 5982.5 32232.5 5847.5 32297.5 ; - RECT 4757.5 33297.5 4622.5 33362.5 ; - RECT 6157.5 33082.5 6022.5 33147.5 ; - RECT 4757.5 34707.5 4622.5 34772.5 ; - RECT 6332.5 34922.5 6197.5 34987.5 ; - RECT 4757.5 35987.5 4622.5 36052.5 ; - RECT 6507.5 35772.5 6372.5 35837.5 ; - RECT 4757.5 37397.5 4622.5 37462.5 ; - RECT 6682.5 37612.5 6547.5 37677.5 ; - RECT 4932.5 38677.5 4797.5 38742.5 ; - RECT 5457.5 38462.5 5322.5 38527.5 ; - RECT 4932.5 40087.5 4797.5 40152.5 ; - RECT 5632.5 40302.5 5497.5 40367.5 ; - RECT 4932.5 41367.5 4797.5 41432.5 ; - RECT 5807.5 41152.5 5672.5 41217.5 ; - RECT 4932.5 42777.5 4797.5 42842.5 ; - RECT 5982.5 42992.5 5847.5 43057.5 ; - RECT 4932.5 44057.5 4797.5 44122.5 ; - RECT 6157.5 43842.5 6022.5 43907.5 ; - RECT 4932.5 45467.5 4797.5 45532.5 ; - RECT 6332.5 45682.5 6197.5 45747.5 ; - RECT 4932.5 46747.5 4797.5 46812.5 ; - RECT 6507.5 46532.5 6372.5 46597.5 ; - RECT 4932.5 48157.5 4797.5 48222.5 ; - RECT 6682.5 48372.5 6547.5 48437.5 ; - RECT 5107.5 49437.5 4972.5 49502.5 ; - RECT 5457.5 49222.5 5322.5 49287.5 ; - RECT 5107.5 50847.5 4972.5 50912.5 ; - RECT 5632.5 51062.5 5497.5 51127.5 ; - RECT 5107.5 52127.5 4972.5 52192.5 ; - RECT 5807.5 51912.5 5672.5 51977.5 ; - RECT 5107.5 53537.5 4972.5 53602.5 ; - RECT 5982.5 53752.5 5847.5 53817.5 ; - RECT 5107.5 54817.5 4972.5 54882.5 ; - RECT 6157.5 54602.5 6022.5 54667.5 ; - RECT 5107.5 56227.5 4972.5 56292.5 ; - RECT 6332.5 56442.5 6197.5 56507.5 ; - RECT 5107.5 57507.5 4972.5 57572.5 ; - RECT 6507.5 57292.5 6372.5 57357.5 ; - RECT 5107.5 58917.5 4972.5 58982.5 ; - RECT 6682.5 59132.5 6547.5 59197.5 ; - RECT 5282.5 60197.5 5147.5 60262.5 ; - RECT 5457.5 59982.5 5322.5 60047.5 ; - RECT 5282.5 61607.5 5147.5 61672.5 ; - RECT 5632.5 61822.5 5497.5 61887.5 ; - RECT 5282.5 62887.5 5147.5 62952.5 ; - RECT 5807.5 62672.5 5672.5 62737.5 ; - RECT 5282.5 64297.5 5147.5 64362.5 ; - RECT 5982.5 64512.5 5847.5 64577.5 ; - RECT 5282.5 65577.5 5147.5 65642.5 ; - RECT 6157.5 65362.5 6022.5 65427.5 ; - RECT 5282.5 66987.5 5147.5 67052.5 ; - RECT 6332.5 67202.5 6197.5 67267.5 ; - RECT 5282.5 68267.5 5147.5 68332.5 ; - RECT 6507.5 68052.5 6372.5 68117.5 ; - RECT 5282.5 69677.5 5147.5 69742.5 ; - RECT 6682.5 69892.5 6547.5 69957.5 ; - RECT 7965.0 27905.0 8030.0 27970.0 ; - RECT 7965.0 29340.0 8030.0 29405.0 ; - RECT 7965.0 30595.0 8030.0 30660.0 ; - RECT 7965.0 32030.0 8030.0 32095.0 ; - RECT 7965.0 33285.0 8030.0 33350.0 ; - RECT 7965.0 34720.0 8030.0 34785.0 ; - RECT 7965.0 35975.0 8030.0 36040.0 ; - RECT 7965.0 37410.0 8030.0 37475.0 ; - RECT 7965.0 38665.0 8030.0 38730.0 ; - RECT 7965.0 40100.0 8030.0 40165.0 ; - RECT 7965.0 41355.0 8030.0 41420.0 ; - RECT 7965.0 42790.0 8030.0 42855.0 ; - RECT 7965.0 44045.0 8030.0 44110.0 ; - RECT 7965.0 45480.0 8030.0 45545.0 ; - RECT 7965.0 46735.0 8030.0 46800.0 ; - RECT 7965.0 48170.0 8030.0 48235.0 ; - RECT 7965.0 49425.0 8030.0 49490.0 ; - RECT 7965.0 50860.0 8030.0 50925.0 ; - RECT 7965.0 52115.0 8030.0 52180.0 ; - RECT 7965.0 53550.0 8030.0 53615.0 ; - RECT 7965.0 54805.0 8030.0 54870.0 ; - RECT 7965.0 56240.0 8030.0 56305.0 ; - RECT 7965.0 57495.0 8030.0 57560.0 ; - RECT 7965.0 58930.0 8030.0 58995.0 ; - RECT 7965.0 60185.0 8030.0 60250.0 ; - RECT 7965.0 61620.0 8030.0 61685.0 ; - RECT 7965.0 62875.0 8030.0 62940.0 ; - RECT 7965.0 64310.0 8030.0 64375.0 ; - RECT 7965.0 65565.0 8030.0 65630.0 ; - RECT 7965.0 67000.0 8030.0 67065.0 ; - RECT 7965.0 68255.0 8030.0 68320.0 ; - RECT 7965.0 69690.0 8030.0 69755.0 ; - RECT 4655.0 12482.5 11635.0 12547.5 ; - RECT 4655.0 15172.5 11635.0 15237.5 ; - RECT 4655.0 17862.5 11635.0 17927.5 ; - RECT 4655.0 20552.5 11635.0 20617.5 ; - RECT 4655.0 23242.5 11635.0 23307.5 ; - RECT 4655.0 25932.5 11635.0 25997.5 ; - RECT 4655.0 28622.5 11635.0 28687.5 ; - RECT 4655.0 31312.5 11635.0 31377.5 ; - RECT 4655.0 34002.5 11635.0 34067.5 ; - RECT 4655.0 36692.5 11635.0 36757.5 ; - RECT 4655.0 39382.5 11635.0 39447.5 ; - RECT 4655.0 42072.5 11635.0 42137.5 ; - RECT 4655.0 44762.5 11635.0 44827.5 ; - RECT 4655.0 47452.5 11635.0 47517.5 ; - RECT 4655.0 50142.5 11635.0 50207.5 ; - RECT 4655.0 52832.5 11635.0 52897.5 ; - RECT 4655.0 55522.5 11635.0 55587.5 ; - RECT 4655.0 58212.5 11635.0 58277.5 ; - RECT 4655.0 60902.5 11635.0 60967.5 ; - RECT 4655.0 63592.5 11635.0 63657.5 ; - RECT 4655.0 66282.5 11635.0 66347.5 ; - RECT 4655.0 68972.5 11635.0 69037.5 ; - RECT 4655.0 11137.5 11635.0 11202.5 ; - RECT 4655.0 13827.5 11635.0 13892.5 ; - RECT 4655.0 16517.5 11635.0 16582.5 ; - RECT 4655.0 19207.5 11635.0 19272.5 ; - RECT 4655.0 21897.5 11635.0 21962.5 ; - RECT 4655.0 24587.5 11635.0 24652.5 ; - RECT 4655.0 27277.5 11635.0 27342.5 ; - RECT 4655.0 29967.5 11635.0 30032.5 ; - RECT 4655.0 32657.5 11635.0 32722.5 ; - RECT 4655.0 35347.5 11635.0 35412.5 ; - RECT 4655.0 38037.5 11635.0 38102.5 ; - RECT 4655.0 40727.5 11635.0 40792.5 ; - RECT 4655.0 43417.5 11635.0 43482.5 ; - RECT 4655.0 46107.5 11635.0 46172.5 ; - RECT 4655.0 48797.5 11635.0 48862.5 ; - RECT 4655.0 51487.5 11635.0 51552.5 ; - RECT 4655.0 54177.5 11635.0 54242.5 ; - RECT 4655.0 56867.5 11635.0 56932.5 ; - RECT 4655.0 59557.5 11635.0 59622.5 ; - RECT 4655.0 62247.5 11635.0 62312.5 ; - RECT 4655.0 64937.5 11635.0 65002.5 ; - RECT 4655.0 67627.5 11635.0 67692.5 ; - RECT 4655.0 70317.5 11635.0 70382.5 ; - RECT 8465.0 27905.0 8815.0 27970.0 ; - RECT 8980.0 27917.5 9045.0 27982.5 ; - RECT 8980.0 27905.0 9045.0 27970.0 ; - RECT 8980.0 27950.0 9045.0 27970.0 ; - RECT 9012.5 27917.5 9310.0 27982.5 ; - RECT 9310.0 27917.5 9445.0 27982.5 ; - RECT 10015.0 27917.5 10080.0 27982.5 ; - RECT 10015.0 27905.0 10080.0 27970.0 ; - RECT 9797.5 27917.5 10047.5 27982.5 ; - RECT 10015.0 27937.5 10080.0 27950.0 ; - RECT 10047.5 27905.0 10295.0 27970.0 ; - RECT 8465.0 29340.0 8815.0 29405.0 ; - RECT 8980.0 29327.5 9045.0 29392.5 ; - RECT 8980.0 29340.0 9045.0 29405.0 ; - RECT 8980.0 29360.0 9045.0 29405.0 ; - RECT 9012.5 29327.5 9310.0 29392.5 ; - RECT 9310.0 29327.5 9445.0 29392.5 ; - RECT 10015.0 29327.5 10080.0 29392.5 ; - RECT 10015.0 29340.0 10080.0 29405.0 ; - RECT 9797.5 29327.5 10047.5 29392.5 ; - RECT 10015.0 29360.0 10080.0 29372.5 ; - RECT 10047.5 29340.0 10295.0 29405.0 ; - RECT 8465.0 30595.0 8815.0 30660.0 ; - RECT 8980.0 30607.5 9045.0 30672.5 ; - RECT 8980.0 30595.0 9045.0 30660.0 ; - RECT 8980.0 30640.0 9045.0 30660.0 ; - RECT 9012.5 30607.5 9310.0 30672.5 ; - RECT 9310.0 30607.5 9445.0 30672.5 ; - RECT 10015.0 30607.5 10080.0 30672.5 ; - RECT 10015.0 30595.0 10080.0 30660.0 ; - RECT 9797.5 30607.5 10047.5 30672.5 ; - RECT 10015.0 30627.5 10080.0 30640.0 ; - RECT 10047.5 30595.0 10295.0 30660.0 ; - RECT 8465.0 32030.0 8815.0 32095.0 ; - RECT 8980.0 32017.5 9045.0 32082.5 ; - RECT 8980.0 32030.0 9045.0 32095.0 ; - RECT 8980.0 32050.0 9045.0 32095.0 ; - RECT 9012.5 32017.5 9310.0 32082.5 ; - RECT 9310.0 32017.5 9445.0 32082.5 ; - RECT 10015.0 32017.5 10080.0 32082.5 ; - RECT 10015.0 32030.0 10080.0 32095.0 ; - RECT 9797.5 32017.5 10047.5 32082.5 ; - RECT 10015.0 32050.0 10080.0 32062.5 ; - RECT 10047.5 32030.0 10295.0 32095.0 ; - RECT 8465.0 33285.0 8815.0 33350.0 ; - RECT 8980.0 33297.5 9045.0 33362.5 ; - RECT 8980.0 33285.0 9045.0 33350.0 ; - RECT 8980.0 33330.0 9045.0 33350.0 ; - RECT 9012.5 33297.5 9310.0 33362.5 ; - RECT 9310.0 33297.5 9445.0 33362.5 ; - RECT 10015.0 33297.5 10080.0 33362.5 ; - RECT 10015.0 33285.0 10080.0 33350.0 ; - RECT 9797.5 33297.5 10047.5 33362.5 ; - RECT 10015.0 33317.5 10080.0 33330.0 ; - RECT 10047.5 33285.0 10295.0 33350.0 ; - RECT 8465.0 34720.0 8815.0 34785.0 ; - RECT 8980.0 34707.5 9045.0 34772.5 ; - RECT 8980.0 34720.0 9045.0 34785.0 ; - RECT 8980.0 34740.0 9045.0 34785.0 ; - RECT 9012.5 34707.5 9310.0 34772.5 ; - RECT 9310.0 34707.5 9445.0 34772.5 ; - RECT 10015.0 34707.5 10080.0 34772.5 ; - RECT 10015.0 34720.0 10080.0 34785.0 ; - RECT 9797.5 34707.5 10047.5 34772.5 ; - RECT 10015.0 34740.0 10080.0 34752.5 ; - RECT 10047.5 34720.0 10295.0 34785.0 ; - RECT 8465.0 35975.0 8815.0 36040.0 ; - RECT 8980.0 35987.5 9045.0 36052.5 ; - RECT 8980.0 35975.0 9045.0 36040.0 ; - RECT 8980.0 36020.0 9045.0 36040.0 ; - RECT 9012.5 35987.5 9310.0 36052.5 ; - RECT 9310.0 35987.5 9445.0 36052.5 ; - RECT 10015.0 35987.5 10080.0 36052.5 ; - RECT 10015.0 35975.0 10080.0 36040.0 ; - RECT 9797.5 35987.5 10047.5 36052.5 ; - RECT 10015.0 36007.5 10080.0 36020.0 ; - RECT 10047.5 35975.0 10295.0 36040.0 ; - RECT 8465.0 37410.0 8815.0 37475.0 ; - RECT 8980.0 37397.5 9045.0 37462.5 ; - RECT 8980.0 37410.0 9045.0 37475.0 ; - RECT 8980.0 37430.0 9045.0 37475.0 ; - RECT 9012.5 37397.5 9310.0 37462.5 ; - RECT 9310.0 37397.5 9445.0 37462.5 ; - RECT 10015.0 37397.5 10080.0 37462.5 ; - RECT 10015.0 37410.0 10080.0 37475.0 ; - RECT 9797.5 37397.5 10047.5 37462.5 ; - RECT 10015.0 37430.0 10080.0 37442.5 ; - RECT 10047.5 37410.0 10295.0 37475.0 ; - RECT 8465.0 38665.0 8815.0 38730.0 ; - RECT 8980.0 38677.5 9045.0 38742.5 ; - RECT 8980.0 38665.0 9045.0 38730.0 ; - RECT 8980.0 38710.0 9045.0 38730.0 ; - RECT 9012.5 38677.5 9310.0 38742.5 ; - RECT 9310.0 38677.5 9445.0 38742.5 ; - RECT 10015.0 38677.5 10080.0 38742.5 ; - RECT 10015.0 38665.0 10080.0 38730.0 ; - RECT 9797.5 38677.5 10047.5 38742.5 ; - RECT 10015.0 38697.5 10080.0 38710.0 ; - RECT 10047.5 38665.0 10295.0 38730.0 ; - RECT 8465.0 40100.0 8815.0 40165.0 ; - RECT 8980.0 40087.5 9045.0 40152.5 ; - RECT 8980.0 40100.0 9045.0 40165.0 ; - RECT 8980.0 40120.0 9045.0 40165.0 ; - RECT 9012.5 40087.5 9310.0 40152.5 ; - RECT 9310.0 40087.5 9445.0 40152.5 ; - RECT 10015.0 40087.5 10080.0 40152.5 ; - RECT 10015.0 40100.0 10080.0 40165.0 ; - RECT 9797.5 40087.5 10047.5 40152.5 ; - RECT 10015.0 40120.0 10080.0 40132.5 ; - RECT 10047.5 40100.0 10295.0 40165.0 ; - RECT 8465.0 41355.0 8815.0 41420.0 ; - RECT 8980.0 41367.5 9045.0 41432.5 ; - RECT 8980.0 41355.0 9045.0 41420.0 ; - RECT 8980.0 41400.0 9045.0 41420.0 ; - RECT 9012.5 41367.5 9310.0 41432.5 ; - RECT 9310.0 41367.5 9445.0 41432.5 ; - RECT 10015.0 41367.5 10080.0 41432.5 ; - RECT 10015.0 41355.0 10080.0 41420.0 ; - RECT 9797.5 41367.5 10047.5 41432.5 ; - RECT 10015.0 41387.5 10080.0 41400.0 ; - RECT 10047.5 41355.0 10295.0 41420.0 ; - RECT 8465.0 42790.0 8815.0 42855.0 ; - RECT 8980.0 42777.5 9045.0 42842.5 ; - RECT 8980.0 42790.0 9045.0 42855.0 ; - RECT 8980.0 42810.0 9045.0 42855.0 ; - RECT 9012.5 42777.5 9310.0 42842.5 ; - RECT 9310.0 42777.5 9445.0 42842.5 ; - RECT 10015.0 42777.5 10080.0 42842.5 ; - RECT 10015.0 42790.0 10080.0 42855.0 ; - RECT 9797.5 42777.5 10047.5 42842.5 ; - RECT 10015.0 42810.0 10080.0 42822.5 ; - RECT 10047.5 42790.0 10295.0 42855.0 ; - RECT 8465.0 44045.0 8815.0 44110.0 ; - RECT 8980.0 44057.5 9045.0 44122.5 ; - RECT 8980.0 44045.0 9045.0 44110.0 ; - RECT 8980.0 44090.0 9045.0 44110.0 ; - RECT 9012.5 44057.5 9310.0 44122.5 ; - RECT 9310.0 44057.5 9445.0 44122.5 ; - RECT 10015.0 44057.5 10080.0 44122.5 ; - RECT 10015.0 44045.0 10080.0 44110.0 ; - RECT 9797.5 44057.5 10047.5 44122.5 ; - RECT 10015.0 44077.5 10080.0 44090.0 ; - RECT 10047.5 44045.0 10295.0 44110.0 ; - RECT 8465.0 45480.0 8815.0 45545.0 ; - RECT 8980.0 45467.5 9045.0 45532.5 ; - RECT 8980.0 45480.0 9045.0 45545.0 ; - RECT 8980.0 45500.0 9045.0 45545.0 ; - RECT 9012.5 45467.5 9310.0 45532.5 ; - RECT 9310.0 45467.5 9445.0 45532.5 ; - RECT 10015.0 45467.5 10080.0 45532.5 ; - RECT 10015.0 45480.0 10080.0 45545.0 ; - RECT 9797.5 45467.5 10047.5 45532.5 ; - RECT 10015.0 45500.0 10080.0 45512.5 ; - RECT 10047.5 45480.0 10295.0 45545.0 ; - RECT 8465.0 46735.0 8815.0 46800.0 ; - RECT 8980.0 46747.5 9045.0 46812.5 ; - RECT 8980.0 46735.0 9045.0 46800.0 ; - RECT 8980.0 46780.0 9045.0 46800.0 ; - RECT 9012.5 46747.5 9310.0 46812.5 ; - RECT 9310.0 46747.5 9445.0 46812.5 ; - RECT 10015.0 46747.5 10080.0 46812.5 ; - RECT 10015.0 46735.0 10080.0 46800.0 ; - RECT 9797.5 46747.5 10047.5 46812.5 ; - RECT 10015.0 46767.5 10080.0 46780.0 ; - RECT 10047.5 46735.0 10295.0 46800.0 ; - RECT 8465.0 48170.0 8815.0 48235.0 ; - RECT 8980.0 48157.5 9045.0 48222.5 ; - RECT 8980.0 48170.0 9045.0 48235.0 ; - RECT 8980.0 48190.0 9045.0 48235.0 ; - RECT 9012.5 48157.5 9310.0 48222.5 ; - RECT 9310.0 48157.5 9445.0 48222.5 ; - RECT 10015.0 48157.5 10080.0 48222.5 ; - RECT 10015.0 48170.0 10080.0 48235.0 ; - RECT 9797.5 48157.5 10047.5 48222.5 ; - RECT 10015.0 48190.0 10080.0 48202.5 ; - RECT 10047.5 48170.0 10295.0 48235.0 ; - RECT 8465.0 49425.0 8815.0 49490.0 ; - RECT 8980.0 49437.5 9045.0 49502.5 ; - RECT 8980.0 49425.0 9045.0 49490.0 ; - RECT 8980.0 49470.0 9045.0 49490.0 ; - RECT 9012.5 49437.5 9310.0 49502.5 ; - RECT 9310.0 49437.5 9445.0 49502.5 ; - RECT 10015.0 49437.5 10080.0 49502.5 ; - RECT 10015.0 49425.0 10080.0 49490.0 ; - RECT 9797.5 49437.5 10047.5 49502.5 ; - RECT 10015.0 49457.5 10080.0 49470.0 ; - RECT 10047.5 49425.0 10295.0 49490.0 ; - RECT 8465.0 50860.0 8815.0 50925.0 ; - RECT 8980.0 50847.5 9045.0 50912.5 ; - RECT 8980.0 50860.0 9045.0 50925.0 ; - RECT 8980.0 50880.0 9045.0 50925.0 ; - RECT 9012.5 50847.5 9310.0 50912.5 ; - RECT 9310.0 50847.5 9445.0 50912.5 ; - RECT 10015.0 50847.5 10080.0 50912.5 ; - RECT 10015.0 50860.0 10080.0 50925.0 ; - RECT 9797.5 50847.5 10047.5 50912.5 ; - RECT 10015.0 50880.0 10080.0 50892.5 ; - RECT 10047.5 50860.0 10295.0 50925.0 ; - RECT 8465.0 52115.0 8815.0 52180.0 ; - RECT 8980.0 52127.5 9045.0 52192.5 ; - RECT 8980.0 52115.0 9045.0 52180.0 ; - RECT 8980.0 52160.0 9045.0 52180.0 ; - RECT 9012.5 52127.5 9310.0 52192.5 ; - RECT 9310.0 52127.5 9445.0 52192.5 ; - RECT 10015.0 52127.5 10080.0 52192.5 ; - RECT 10015.0 52115.0 10080.0 52180.0 ; - RECT 9797.5 52127.5 10047.5 52192.5 ; - RECT 10015.0 52147.5 10080.0 52160.0 ; - RECT 10047.5 52115.0 10295.0 52180.0 ; - RECT 8465.0 53550.0 8815.0 53615.0 ; - RECT 8980.0 53537.5 9045.0 53602.5 ; - RECT 8980.0 53550.0 9045.0 53615.0 ; - RECT 8980.0 53570.0 9045.0 53615.0 ; - RECT 9012.5 53537.5 9310.0 53602.5 ; - RECT 9310.0 53537.5 9445.0 53602.5 ; - RECT 10015.0 53537.5 10080.0 53602.5 ; - RECT 10015.0 53550.0 10080.0 53615.0 ; - RECT 9797.5 53537.5 10047.5 53602.5 ; - RECT 10015.0 53570.0 10080.0 53582.5 ; - RECT 10047.5 53550.0 10295.0 53615.0 ; - RECT 8465.0 54805.0 8815.0 54870.0 ; - RECT 8980.0 54817.5 9045.0 54882.5 ; - RECT 8980.0 54805.0 9045.0 54870.0 ; - RECT 8980.0 54850.0 9045.0 54870.0 ; - RECT 9012.5 54817.5 9310.0 54882.5 ; - RECT 9310.0 54817.5 9445.0 54882.5 ; - RECT 10015.0 54817.5 10080.0 54882.5 ; - RECT 10015.0 54805.0 10080.0 54870.0 ; - RECT 9797.5 54817.5 10047.5 54882.5 ; - RECT 10015.0 54837.5 10080.0 54850.0 ; - RECT 10047.5 54805.0 10295.0 54870.0 ; - RECT 8465.0 56240.0 8815.0 56305.0 ; - RECT 8980.0 56227.5 9045.0 56292.5 ; - RECT 8980.0 56240.0 9045.0 56305.0 ; - RECT 8980.0 56260.0 9045.0 56305.0 ; - RECT 9012.5 56227.5 9310.0 56292.5 ; - RECT 9310.0 56227.5 9445.0 56292.5 ; - RECT 10015.0 56227.5 10080.0 56292.5 ; - RECT 10015.0 56240.0 10080.0 56305.0 ; - RECT 9797.5 56227.5 10047.5 56292.5 ; - RECT 10015.0 56260.0 10080.0 56272.5 ; - RECT 10047.5 56240.0 10295.0 56305.0 ; - RECT 8465.0 57495.0 8815.0 57560.0 ; - RECT 8980.0 57507.5 9045.0 57572.5 ; - RECT 8980.0 57495.0 9045.0 57560.0 ; - RECT 8980.0 57540.0 9045.0 57560.0 ; - RECT 9012.5 57507.5 9310.0 57572.5 ; - RECT 9310.0 57507.5 9445.0 57572.5 ; - RECT 10015.0 57507.5 10080.0 57572.5 ; - RECT 10015.0 57495.0 10080.0 57560.0 ; - RECT 9797.5 57507.5 10047.5 57572.5 ; - RECT 10015.0 57527.5 10080.0 57540.0 ; - RECT 10047.5 57495.0 10295.0 57560.0 ; - RECT 8465.0 58930.0 8815.0 58995.0 ; - RECT 8980.0 58917.5 9045.0 58982.5 ; - RECT 8980.0 58930.0 9045.0 58995.0 ; - RECT 8980.0 58950.0 9045.0 58995.0 ; - RECT 9012.5 58917.5 9310.0 58982.5 ; - RECT 9310.0 58917.5 9445.0 58982.5 ; - RECT 10015.0 58917.5 10080.0 58982.5 ; - RECT 10015.0 58930.0 10080.0 58995.0 ; - RECT 9797.5 58917.5 10047.5 58982.5 ; - RECT 10015.0 58950.0 10080.0 58962.5 ; - RECT 10047.5 58930.0 10295.0 58995.0 ; - RECT 8465.0 60185.0 8815.0 60250.0 ; - RECT 8980.0 60197.5 9045.0 60262.5 ; - RECT 8980.0 60185.0 9045.0 60250.0 ; - RECT 8980.0 60230.0 9045.0 60250.0 ; - RECT 9012.5 60197.5 9310.0 60262.5 ; - RECT 9310.0 60197.5 9445.0 60262.5 ; - RECT 10015.0 60197.5 10080.0 60262.5 ; - RECT 10015.0 60185.0 10080.0 60250.0 ; - RECT 9797.5 60197.5 10047.5 60262.5 ; - RECT 10015.0 60217.5 10080.0 60230.0 ; - RECT 10047.5 60185.0 10295.0 60250.0 ; - RECT 8465.0 61620.0 8815.0 61685.0 ; - RECT 8980.0 61607.5 9045.0 61672.5 ; - RECT 8980.0 61620.0 9045.0 61685.0 ; - RECT 8980.0 61640.0 9045.0 61685.0 ; - RECT 9012.5 61607.5 9310.0 61672.5 ; - RECT 9310.0 61607.5 9445.0 61672.5 ; - RECT 10015.0 61607.5 10080.0 61672.5 ; - RECT 10015.0 61620.0 10080.0 61685.0 ; - RECT 9797.5 61607.5 10047.5 61672.5 ; - RECT 10015.0 61640.0 10080.0 61652.5 ; - RECT 10047.5 61620.0 10295.0 61685.0 ; - RECT 8465.0 62875.0 8815.0 62940.0 ; - RECT 8980.0 62887.5 9045.0 62952.5 ; - RECT 8980.0 62875.0 9045.0 62940.0 ; - RECT 8980.0 62920.0 9045.0 62940.0 ; - RECT 9012.5 62887.5 9310.0 62952.5 ; - RECT 9310.0 62887.5 9445.0 62952.5 ; - RECT 10015.0 62887.5 10080.0 62952.5 ; - RECT 10015.0 62875.0 10080.0 62940.0 ; - RECT 9797.5 62887.5 10047.5 62952.5 ; - RECT 10015.0 62907.5 10080.0 62920.0 ; - RECT 10047.5 62875.0 10295.0 62940.0 ; - RECT 8465.0 64310.0 8815.0 64375.0 ; - RECT 8980.0 64297.5 9045.0 64362.5 ; - RECT 8980.0 64310.0 9045.0 64375.0 ; - RECT 8980.0 64330.0 9045.0 64375.0 ; - RECT 9012.5 64297.5 9310.0 64362.5 ; - RECT 9310.0 64297.5 9445.0 64362.5 ; - RECT 10015.0 64297.5 10080.0 64362.5 ; - RECT 10015.0 64310.0 10080.0 64375.0 ; - RECT 9797.5 64297.5 10047.5 64362.5 ; - RECT 10015.0 64330.0 10080.0 64342.5 ; - RECT 10047.5 64310.0 10295.0 64375.0 ; - RECT 8465.0 65565.0 8815.0 65630.0 ; - RECT 8980.0 65577.5 9045.0 65642.5 ; - RECT 8980.0 65565.0 9045.0 65630.0 ; - RECT 8980.0 65610.0 9045.0 65630.0 ; - RECT 9012.5 65577.5 9310.0 65642.5 ; - RECT 9310.0 65577.5 9445.0 65642.5 ; - RECT 10015.0 65577.5 10080.0 65642.5 ; - RECT 10015.0 65565.0 10080.0 65630.0 ; - RECT 9797.5 65577.5 10047.5 65642.5 ; - RECT 10015.0 65597.5 10080.0 65610.0 ; - RECT 10047.5 65565.0 10295.0 65630.0 ; - RECT 8465.0 67000.0 8815.0 67065.0 ; - RECT 8980.0 66987.5 9045.0 67052.5 ; - RECT 8980.0 67000.0 9045.0 67065.0 ; - RECT 8980.0 67020.0 9045.0 67065.0 ; - RECT 9012.5 66987.5 9310.0 67052.5 ; - RECT 9310.0 66987.5 9445.0 67052.5 ; - RECT 10015.0 66987.5 10080.0 67052.5 ; - RECT 10015.0 67000.0 10080.0 67065.0 ; - RECT 9797.5 66987.5 10047.5 67052.5 ; - RECT 10015.0 67020.0 10080.0 67032.5 ; - RECT 10047.5 67000.0 10295.0 67065.0 ; - RECT 8465.0 68255.0 8815.0 68320.0 ; - RECT 8980.0 68267.5 9045.0 68332.5 ; - RECT 8980.0 68255.0 9045.0 68320.0 ; - RECT 8980.0 68300.0 9045.0 68320.0 ; - RECT 9012.5 68267.5 9310.0 68332.5 ; - RECT 9310.0 68267.5 9445.0 68332.5 ; - RECT 10015.0 68267.5 10080.0 68332.5 ; - RECT 10015.0 68255.0 10080.0 68320.0 ; - RECT 9797.5 68267.5 10047.5 68332.5 ; - RECT 10015.0 68287.5 10080.0 68300.0 ; - RECT 10047.5 68255.0 10295.0 68320.0 ; - RECT 8465.0 69690.0 8815.0 69755.0 ; - RECT 8980.0 69677.5 9045.0 69742.5 ; - RECT 8980.0 69690.0 9045.0 69755.0 ; - RECT 8980.0 69710.0 9045.0 69755.0 ; - RECT 9012.5 69677.5 9310.0 69742.5 ; - RECT 9310.0 69677.5 9445.0 69742.5 ; - RECT 10015.0 69677.5 10080.0 69742.5 ; - RECT 10015.0 69690.0 10080.0 69755.0 ; - RECT 9797.5 69677.5 10047.5 69742.5 ; - RECT 10015.0 69710.0 10080.0 69722.5 ; - RECT 10047.5 69690.0 10295.0 69755.0 ; - RECT 9117.5 28470.0 9182.5 28655.0 ; - RECT 9117.5 27310.0 9182.5 27495.0 ; - RECT 8757.5 27427.5 8822.5 27277.5 ; - RECT 8757.5 28312.5 8822.5 28687.5 ; - RECT 8947.5 27427.5 9012.5 28312.5 ; - RECT 8757.5 28312.5 8822.5 28447.5 ; - RECT 8947.5 28312.5 9012.5 28447.5 ; - RECT 8947.5 28312.5 9012.5 28447.5 ; - RECT 8757.5 28312.5 8822.5 28447.5 ; - RECT 8757.5 27427.5 8822.5 27562.5 ; - RECT 8947.5 27427.5 9012.5 27562.5 ; - RECT 8947.5 27427.5 9012.5 27562.5 ; - RECT 8757.5 27427.5 8822.5 27562.5 ; - RECT 9117.5 28402.5 9182.5 28537.5 ; - RECT 9117.5 27427.5 9182.5 27562.5 ; - RECT 8815.0 27870.0 8880.0 28005.0 ; - RECT 8815.0 27870.0 8880.0 28005.0 ; - RECT 8980.0 27905.0 9045.0 27970.0 ; - RECT 8690.0 28622.5 9250.0 28687.5 ; - RECT 8690.0 27277.5 9250.0 27342.5 ; - RECT 9317.5 27472.5 9382.5 27277.5 ; - RECT 9317.5 28312.5 9382.5 28687.5 ; - RECT 9697.5 28312.5 9762.5 28687.5 ; - RECT 9867.5 28470.0 9932.5 28655.0 ; - RECT 9867.5 27310.0 9932.5 27495.0 ; - RECT 9317.5 28312.5 9382.5 28447.5 ; - RECT 9507.5 28312.5 9572.5 28447.5 ; - RECT 9507.5 28312.5 9572.5 28447.5 ; - RECT 9317.5 28312.5 9382.5 28447.5 ; - RECT 9507.5 28312.5 9572.5 28447.5 ; - RECT 9697.5 28312.5 9762.5 28447.5 ; - RECT 9697.5 28312.5 9762.5 28447.5 ; - RECT 9507.5 28312.5 9572.5 28447.5 ; - RECT 9317.5 27472.5 9382.5 27607.5 ; - RECT 9507.5 27472.5 9572.5 27607.5 ; - RECT 9507.5 27472.5 9572.5 27607.5 ; - RECT 9317.5 27472.5 9382.5 27607.5 ; - RECT 9507.5 27472.5 9572.5 27607.5 ; - RECT 9697.5 27472.5 9762.5 27607.5 ; - RECT 9697.5 27472.5 9762.5 27607.5 ; - RECT 9507.5 27472.5 9572.5 27607.5 ; - RECT 9867.5 28402.5 9932.5 28537.5 ; - RECT 9867.5 27427.5 9932.5 27562.5 ; - RECT 9702.5 27702.5 9567.5 27767.5 ; - RECT 9445.0 27917.5 9310.0 27982.5 ; - RECT 9507.5 28312.5 9572.5 28447.5 ; - RECT 9697.5 27472.5 9762.5 27607.5 ; - RECT 9797.5 27917.5 9662.5 27982.5 ; - RECT 9310.0 27917.5 9445.0 27982.5 ; - RECT 9567.5 27702.5 9702.5 27767.5 ; - RECT 9662.5 27917.5 9797.5 27982.5 ; - RECT 9250.0 28622.5 10170.0 28687.5 ; - RECT 9250.0 27277.5 10170.0 27342.5 ; - RECT 10597.5 28470.0 10662.5 28655.0 ; - RECT 10597.5 27310.0 10662.5 27495.0 ; - RECT 10237.5 27427.5 10302.5 27277.5 ; - RECT 10237.5 28312.5 10302.5 28687.5 ; - RECT 10427.5 27427.5 10492.5 28312.5 ; - RECT 10237.5 28312.5 10302.5 28447.5 ; - RECT 10427.5 28312.5 10492.5 28447.5 ; - RECT 10427.5 28312.5 10492.5 28447.5 ; - RECT 10237.5 28312.5 10302.5 28447.5 ; - RECT 10237.5 27427.5 10302.5 27562.5 ; - RECT 10427.5 27427.5 10492.5 27562.5 ; - RECT 10427.5 27427.5 10492.5 27562.5 ; - RECT 10237.5 27427.5 10302.5 27562.5 ; - RECT 10597.5 28402.5 10662.5 28537.5 ; - RECT 10597.5 27427.5 10662.5 27562.5 ; - RECT 10295.0 27870.0 10360.0 28005.0 ; - RECT 10295.0 27870.0 10360.0 28005.0 ; - RECT 10460.0 27905.0 10525.0 27970.0 ; - RECT 10170.0 28622.5 10730.0 28687.5 ; - RECT 10170.0 27277.5 10730.0 27342.5 ; - RECT 8432.5 27870.0 8497.5 28005.0 ; - RECT 8572.5 27597.5 8637.5 27732.5 ; - RECT 9567.5 27702.5 9432.5 27767.5 ; - RECT 9117.5 28840.0 9182.5 28655.0 ; - RECT 9117.5 30000.0 9182.5 29815.0 ; - RECT 8757.5 29882.5 8822.5 30032.5 ; - RECT 8757.5 28997.5 8822.5 28622.5 ; - RECT 8947.5 29882.5 9012.5 28997.5 ; - RECT 8757.5 28997.5 8822.5 28862.5 ; - RECT 8947.5 28997.5 9012.5 28862.5 ; - RECT 8947.5 28997.5 9012.5 28862.5 ; - RECT 8757.5 28997.5 8822.5 28862.5 ; - RECT 8757.5 29882.5 8822.5 29747.5 ; - RECT 8947.5 29882.5 9012.5 29747.5 ; - RECT 8947.5 29882.5 9012.5 29747.5 ; - RECT 8757.5 29882.5 8822.5 29747.5 ; - RECT 9117.5 28907.5 9182.5 28772.5 ; - RECT 9117.5 29882.5 9182.5 29747.5 ; - RECT 8815.0 29440.0 8880.0 29305.0 ; - RECT 8815.0 29440.0 8880.0 29305.0 ; - RECT 8980.0 29405.0 9045.0 29340.0 ; - RECT 8690.0 28687.5 9250.0 28622.5 ; - RECT 8690.0 30032.5 9250.0 29967.5 ; - RECT 9317.5 29837.5 9382.5 30032.5 ; - RECT 9317.5 28997.5 9382.5 28622.5 ; - RECT 9697.5 28997.5 9762.5 28622.5 ; - RECT 9867.5 28840.0 9932.5 28655.0 ; - RECT 9867.5 30000.0 9932.5 29815.0 ; - RECT 9317.5 28997.5 9382.5 28862.5 ; - RECT 9507.5 28997.5 9572.5 28862.5 ; - RECT 9507.5 28997.5 9572.5 28862.5 ; - RECT 9317.5 28997.5 9382.5 28862.5 ; - RECT 9507.5 28997.5 9572.5 28862.5 ; - RECT 9697.5 28997.5 9762.5 28862.5 ; - RECT 9697.5 28997.5 9762.5 28862.5 ; - RECT 9507.5 28997.5 9572.5 28862.5 ; - RECT 9317.5 29837.5 9382.5 29702.5 ; - RECT 9507.5 29837.5 9572.5 29702.5 ; - RECT 9507.5 29837.5 9572.5 29702.5 ; - RECT 9317.5 29837.5 9382.5 29702.5 ; - RECT 9507.5 29837.5 9572.5 29702.5 ; - RECT 9697.5 29837.5 9762.5 29702.5 ; - RECT 9697.5 29837.5 9762.5 29702.5 ; - RECT 9507.5 29837.5 9572.5 29702.5 ; - RECT 9867.5 28907.5 9932.5 28772.5 ; - RECT 9867.5 29882.5 9932.5 29747.5 ; - RECT 9702.5 29607.5 9567.5 29542.5 ; - RECT 9445.0 29392.5 9310.0 29327.5 ; - RECT 9507.5 28997.5 9572.5 28862.5 ; - RECT 9697.5 29837.5 9762.5 29702.5 ; - RECT 9797.5 29392.5 9662.5 29327.5 ; - RECT 9310.0 29392.5 9445.0 29327.5 ; - RECT 9567.5 29607.5 9702.5 29542.5 ; - RECT 9662.5 29392.5 9797.5 29327.5 ; - RECT 9250.0 28687.5 10170.0 28622.5 ; - RECT 9250.0 30032.5 10170.0 29967.5 ; - RECT 10597.5 28840.0 10662.5 28655.0 ; - RECT 10597.5 30000.0 10662.5 29815.0 ; - RECT 10237.5 29882.5 10302.5 30032.5 ; - RECT 10237.5 28997.5 10302.5 28622.5 ; - RECT 10427.5 29882.5 10492.5 28997.5 ; - RECT 10237.5 28997.5 10302.5 28862.5 ; - RECT 10427.5 28997.5 10492.5 28862.5 ; - RECT 10427.5 28997.5 10492.5 28862.5 ; - RECT 10237.5 28997.5 10302.5 28862.5 ; - RECT 10237.5 29882.5 10302.5 29747.5 ; - RECT 10427.5 29882.5 10492.5 29747.5 ; - RECT 10427.5 29882.5 10492.5 29747.5 ; - RECT 10237.5 29882.5 10302.5 29747.5 ; - RECT 10597.5 28907.5 10662.5 28772.5 ; - RECT 10597.5 29882.5 10662.5 29747.5 ; - RECT 10295.0 29440.0 10360.0 29305.0 ; - RECT 10295.0 29440.0 10360.0 29305.0 ; - RECT 10460.0 29405.0 10525.0 29340.0 ; - RECT 10170.0 28687.5 10730.0 28622.5 ; - RECT 10170.0 30032.5 10730.0 29967.5 ; - RECT 8432.5 29305.0 8497.5 29440.0 ; - RECT 8572.5 29577.5 8637.5 29712.5 ; - RECT 9567.5 29542.5 9432.5 29607.5 ; - RECT 9117.5 31160.0 9182.5 31345.0 ; - RECT 9117.5 30000.0 9182.5 30185.0 ; - RECT 8757.5 30117.5 8822.5 29967.5 ; - RECT 8757.5 31002.5 8822.5 31377.5 ; - RECT 8947.5 30117.5 9012.5 31002.5 ; - RECT 8757.5 31002.5 8822.5 31137.5 ; - RECT 8947.5 31002.5 9012.5 31137.5 ; - RECT 8947.5 31002.5 9012.5 31137.5 ; - RECT 8757.5 31002.5 8822.5 31137.5 ; - RECT 8757.5 30117.5 8822.5 30252.5 ; - RECT 8947.5 30117.5 9012.5 30252.5 ; - RECT 8947.5 30117.5 9012.5 30252.5 ; - RECT 8757.5 30117.5 8822.5 30252.5 ; - RECT 9117.5 31092.5 9182.5 31227.5 ; - RECT 9117.5 30117.5 9182.5 30252.5 ; - RECT 8815.0 30560.0 8880.0 30695.0 ; - RECT 8815.0 30560.0 8880.0 30695.0 ; - RECT 8980.0 30595.0 9045.0 30660.0 ; - RECT 8690.0 31312.5 9250.0 31377.5 ; - RECT 8690.0 29967.5 9250.0 30032.5 ; - RECT 9317.5 30162.5 9382.5 29967.5 ; - RECT 9317.5 31002.5 9382.5 31377.5 ; - RECT 9697.5 31002.5 9762.5 31377.5 ; - RECT 9867.5 31160.0 9932.5 31345.0 ; - RECT 9867.5 30000.0 9932.5 30185.0 ; - RECT 9317.5 31002.5 9382.5 31137.5 ; - RECT 9507.5 31002.5 9572.5 31137.5 ; - RECT 9507.5 31002.5 9572.5 31137.5 ; - RECT 9317.5 31002.5 9382.5 31137.5 ; - RECT 9507.5 31002.5 9572.5 31137.5 ; - RECT 9697.5 31002.5 9762.5 31137.5 ; - RECT 9697.5 31002.5 9762.5 31137.5 ; - RECT 9507.5 31002.5 9572.5 31137.5 ; - RECT 9317.5 30162.5 9382.5 30297.5 ; - RECT 9507.5 30162.5 9572.5 30297.5 ; - RECT 9507.5 30162.5 9572.5 30297.5 ; - RECT 9317.5 30162.5 9382.5 30297.5 ; - RECT 9507.5 30162.5 9572.5 30297.5 ; - RECT 9697.5 30162.5 9762.5 30297.5 ; - RECT 9697.5 30162.5 9762.5 30297.5 ; - RECT 9507.5 30162.5 9572.5 30297.5 ; - RECT 9867.5 31092.5 9932.5 31227.5 ; - RECT 9867.5 30117.5 9932.5 30252.5 ; - RECT 9702.5 30392.5 9567.5 30457.5 ; - RECT 9445.0 30607.5 9310.0 30672.5 ; - RECT 9507.5 31002.5 9572.5 31137.5 ; - RECT 9697.5 30162.5 9762.5 30297.5 ; - RECT 9797.5 30607.5 9662.5 30672.5 ; - RECT 9310.0 30607.5 9445.0 30672.5 ; - RECT 9567.5 30392.5 9702.5 30457.5 ; - RECT 9662.5 30607.5 9797.5 30672.5 ; - RECT 9250.0 31312.5 10170.0 31377.5 ; - RECT 9250.0 29967.5 10170.0 30032.5 ; - RECT 10597.5 31160.0 10662.5 31345.0 ; - RECT 10597.5 30000.0 10662.5 30185.0 ; - RECT 10237.5 30117.5 10302.5 29967.5 ; - RECT 10237.5 31002.5 10302.5 31377.5 ; - RECT 10427.5 30117.5 10492.5 31002.5 ; - RECT 10237.5 31002.5 10302.5 31137.5 ; - RECT 10427.5 31002.5 10492.5 31137.5 ; - RECT 10427.5 31002.5 10492.5 31137.5 ; - RECT 10237.5 31002.5 10302.5 31137.5 ; - RECT 10237.5 30117.5 10302.5 30252.5 ; - RECT 10427.5 30117.5 10492.5 30252.5 ; - RECT 10427.5 30117.5 10492.5 30252.5 ; - RECT 10237.5 30117.5 10302.5 30252.5 ; - RECT 10597.5 31092.5 10662.5 31227.5 ; - RECT 10597.5 30117.5 10662.5 30252.5 ; - RECT 10295.0 30560.0 10360.0 30695.0 ; - RECT 10295.0 30560.0 10360.0 30695.0 ; - RECT 10460.0 30595.0 10525.0 30660.0 ; - RECT 10170.0 31312.5 10730.0 31377.5 ; - RECT 10170.0 29967.5 10730.0 30032.5 ; - RECT 8432.5 30560.0 8497.5 30695.0 ; - RECT 8572.5 30287.5 8637.5 30422.5 ; - RECT 9567.5 30392.5 9432.5 30457.5 ; - RECT 9117.5 31530.0 9182.5 31345.0 ; - RECT 9117.5 32690.0 9182.5 32505.0 ; - RECT 8757.5 32572.5 8822.5 32722.5 ; - RECT 8757.5 31687.5 8822.5 31312.5 ; - RECT 8947.5 32572.5 9012.5 31687.5 ; - RECT 8757.5 31687.5 8822.5 31552.5 ; - RECT 8947.5 31687.5 9012.5 31552.5 ; - RECT 8947.5 31687.5 9012.5 31552.5 ; - RECT 8757.5 31687.5 8822.5 31552.5 ; - RECT 8757.5 32572.5 8822.5 32437.5 ; - RECT 8947.5 32572.5 9012.5 32437.5 ; - RECT 8947.5 32572.5 9012.5 32437.5 ; - RECT 8757.5 32572.5 8822.5 32437.5 ; - RECT 9117.5 31597.5 9182.5 31462.5 ; - RECT 9117.5 32572.5 9182.5 32437.5 ; - RECT 8815.0 32130.0 8880.0 31995.0 ; - RECT 8815.0 32130.0 8880.0 31995.0 ; - RECT 8980.0 32095.0 9045.0 32030.0 ; - RECT 8690.0 31377.5 9250.0 31312.5 ; - RECT 8690.0 32722.5 9250.0 32657.5 ; - RECT 9317.5 32527.5 9382.5 32722.5 ; - RECT 9317.5 31687.5 9382.5 31312.5 ; - RECT 9697.5 31687.5 9762.5 31312.5 ; - RECT 9867.5 31530.0 9932.5 31345.0 ; - RECT 9867.5 32690.0 9932.5 32505.0 ; - RECT 9317.5 31687.5 9382.5 31552.5 ; - RECT 9507.5 31687.5 9572.5 31552.5 ; - RECT 9507.5 31687.5 9572.5 31552.5 ; - RECT 9317.5 31687.5 9382.5 31552.5 ; - RECT 9507.5 31687.5 9572.5 31552.5 ; - RECT 9697.5 31687.5 9762.5 31552.5 ; - RECT 9697.5 31687.5 9762.5 31552.5 ; - RECT 9507.5 31687.5 9572.5 31552.5 ; - RECT 9317.5 32527.5 9382.5 32392.5 ; - RECT 9507.5 32527.5 9572.5 32392.5 ; - RECT 9507.5 32527.5 9572.5 32392.5 ; - RECT 9317.5 32527.5 9382.5 32392.5 ; - RECT 9507.5 32527.5 9572.5 32392.5 ; - RECT 9697.5 32527.5 9762.5 32392.5 ; - RECT 9697.5 32527.5 9762.5 32392.5 ; - RECT 9507.5 32527.5 9572.5 32392.5 ; - RECT 9867.5 31597.5 9932.5 31462.5 ; - RECT 9867.5 32572.5 9932.5 32437.5 ; - RECT 9702.5 32297.5 9567.5 32232.5 ; - RECT 9445.0 32082.5 9310.0 32017.5 ; - RECT 9507.5 31687.5 9572.5 31552.5 ; - RECT 9697.5 32527.5 9762.5 32392.5 ; - RECT 9797.5 32082.5 9662.5 32017.5 ; - RECT 9310.0 32082.5 9445.0 32017.5 ; - RECT 9567.5 32297.5 9702.5 32232.5 ; - RECT 9662.5 32082.5 9797.5 32017.5 ; - RECT 9250.0 31377.5 10170.0 31312.5 ; - RECT 9250.0 32722.5 10170.0 32657.5 ; - RECT 10597.5 31530.0 10662.5 31345.0 ; - RECT 10597.5 32690.0 10662.5 32505.0 ; - RECT 10237.5 32572.5 10302.5 32722.5 ; - RECT 10237.5 31687.5 10302.5 31312.5 ; - RECT 10427.5 32572.5 10492.5 31687.5 ; - RECT 10237.5 31687.5 10302.5 31552.5 ; - RECT 10427.5 31687.5 10492.5 31552.5 ; - RECT 10427.5 31687.5 10492.5 31552.5 ; - RECT 10237.5 31687.5 10302.5 31552.5 ; - RECT 10237.5 32572.5 10302.5 32437.5 ; - RECT 10427.5 32572.5 10492.5 32437.5 ; - RECT 10427.5 32572.5 10492.5 32437.5 ; - RECT 10237.5 32572.5 10302.5 32437.5 ; - RECT 10597.5 31597.5 10662.5 31462.5 ; - RECT 10597.5 32572.5 10662.5 32437.5 ; - RECT 10295.0 32130.0 10360.0 31995.0 ; - RECT 10295.0 32130.0 10360.0 31995.0 ; - RECT 10460.0 32095.0 10525.0 32030.0 ; - RECT 10170.0 31377.5 10730.0 31312.5 ; - RECT 10170.0 32722.5 10730.0 32657.5 ; - RECT 8432.5 31995.0 8497.5 32130.0 ; - RECT 8572.5 32267.5 8637.5 32402.5 ; - RECT 9567.5 32232.5 9432.5 32297.5 ; - RECT 9117.5 33850.0 9182.5 34035.0 ; - RECT 9117.5 32690.0 9182.5 32875.0 ; - RECT 8757.5 32807.5 8822.5 32657.5 ; - RECT 8757.5 33692.5 8822.5 34067.5 ; - RECT 8947.5 32807.5 9012.5 33692.5 ; - RECT 8757.5 33692.5 8822.5 33827.5 ; - RECT 8947.5 33692.5 9012.5 33827.5 ; - RECT 8947.5 33692.5 9012.5 33827.5 ; - RECT 8757.5 33692.5 8822.5 33827.5 ; - RECT 8757.5 32807.5 8822.5 32942.5 ; - RECT 8947.5 32807.5 9012.5 32942.5 ; - RECT 8947.5 32807.5 9012.5 32942.5 ; - RECT 8757.5 32807.5 8822.5 32942.5 ; - RECT 9117.5 33782.5 9182.5 33917.5 ; - RECT 9117.5 32807.5 9182.5 32942.5 ; - RECT 8815.0 33250.0 8880.0 33385.0 ; - RECT 8815.0 33250.0 8880.0 33385.0 ; - RECT 8980.0 33285.0 9045.0 33350.0 ; - RECT 8690.0 34002.5 9250.0 34067.5 ; - RECT 8690.0 32657.5 9250.0 32722.5 ; - RECT 9317.5 32852.5 9382.5 32657.5 ; - RECT 9317.5 33692.5 9382.5 34067.5 ; - RECT 9697.5 33692.5 9762.5 34067.5 ; - RECT 9867.5 33850.0 9932.5 34035.0 ; - RECT 9867.5 32690.0 9932.5 32875.0 ; - RECT 9317.5 33692.5 9382.5 33827.5 ; - RECT 9507.5 33692.5 9572.5 33827.5 ; - RECT 9507.5 33692.5 9572.5 33827.5 ; - RECT 9317.5 33692.5 9382.5 33827.5 ; - RECT 9507.5 33692.5 9572.5 33827.5 ; - RECT 9697.5 33692.5 9762.5 33827.5 ; - RECT 9697.5 33692.5 9762.5 33827.5 ; - RECT 9507.5 33692.5 9572.5 33827.5 ; - RECT 9317.5 32852.5 9382.5 32987.5 ; - RECT 9507.5 32852.5 9572.5 32987.5 ; - RECT 9507.5 32852.5 9572.5 32987.5 ; - RECT 9317.5 32852.5 9382.5 32987.5 ; - RECT 9507.5 32852.5 9572.5 32987.5 ; - RECT 9697.5 32852.5 9762.5 32987.5 ; - RECT 9697.5 32852.5 9762.5 32987.5 ; - RECT 9507.5 32852.5 9572.5 32987.5 ; - RECT 9867.5 33782.5 9932.5 33917.5 ; - RECT 9867.5 32807.5 9932.5 32942.5 ; - RECT 9702.5 33082.5 9567.5 33147.5 ; - RECT 9445.0 33297.5 9310.0 33362.5 ; - RECT 9507.5 33692.5 9572.5 33827.5 ; - RECT 9697.5 32852.5 9762.5 32987.5 ; - RECT 9797.5 33297.5 9662.5 33362.5 ; - RECT 9310.0 33297.5 9445.0 33362.5 ; - RECT 9567.5 33082.5 9702.5 33147.5 ; - RECT 9662.5 33297.5 9797.5 33362.5 ; - RECT 9250.0 34002.5 10170.0 34067.5 ; - RECT 9250.0 32657.5 10170.0 32722.5 ; - RECT 10597.5 33850.0 10662.5 34035.0 ; - RECT 10597.5 32690.0 10662.5 32875.0 ; - RECT 10237.5 32807.5 10302.5 32657.5 ; - RECT 10237.5 33692.5 10302.5 34067.5 ; - RECT 10427.5 32807.5 10492.5 33692.5 ; - RECT 10237.5 33692.5 10302.5 33827.5 ; - RECT 10427.5 33692.5 10492.5 33827.5 ; - RECT 10427.5 33692.5 10492.5 33827.5 ; - RECT 10237.5 33692.5 10302.5 33827.5 ; - RECT 10237.5 32807.5 10302.5 32942.5 ; - RECT 10427.5 32807.5 10492.5 32942.5 ; - RECT 10427.5 32807.5 10492.5 32942.5 ; - RECT 10237.5 32807.5 10302.5 32942.5 ; - RECT 10597.5 33782.5 10662.5 33917.5 ; - RECT 10597.5 32807.5 10662.5 32942.5 ; - RECT 10295.0 33250.0 10360.0 33385.0 ; - RECT 10295.0 33250.0 10360.0 33385.0 ; - RECT 10460.0 33285.0 10525.0 33350.0 ; - RECT 10170.0 34002.5 10730.0 34067.5 ; - RECT 10170.0 32657.5 10730.0 32722.5 ; - RECT 8432.5 33250.0 8497.5 33385.0 ; - RECT 8572.5 32977.5 8637.5 33112.5 ; - RECT 9567.5 33082.5 9432.5 33147.5 ; - RECT 9117.5 34220.0 9182.5 34035.0 ; - RECT 9117.5 35380.0 9182.5 35195.0 ; - RECT 8757.5 35262.5 8822.5 35412.5 ; - RECT 8757.5 34377.5 8822.5 34002.5 ; - RECT 8947.5 35262.5 9012.5 34377.5 ; - RECT 8757.5 34377.5 8822.5 34242.5 ; - RECT 8947.5 34377.5 9012.5 34242.5 ; - RECT 8947.5 34377.5 9012.5 34242.5 ; - RECT 8757.5 34377.5 8822.5 34242.5 ; - RECT 8757.5 35262.5 8822.5 35127.5 ; - RECT 8947.5 35262.5 9012.5 35127.5 ; - RECT 8947.5 35262.5 9012.5 35127.5 ; - RECT 8757.5 35262.5 8822.5 35127.5 ; - RECT 9117.5 34287.5 9182.5 34152.5 ; - RECT 9117.5 35262.5 9182.5 35127.5 ; - RECT 8815.0 34820.0 8880.0 34685.0 ; - RECT 8815.0 34820.0 8880.0 34685.0 ; - RECT 8980.0 34785.0 9045.0 34720.0 ; - RECT 8690.0 34067.5 9250.0 34002.5 ; - RECT 8690.0 35412.5 9250.0 35347.5 ; - RECT 9317.5 35217.5 9382.5 35412.5 ; - RECT 9317.5 34377.5 9382.5 34002.5 ; - RECT 9697.5 34377.5 9762.5 34002.5 ; - RECT 9867.5 34220.0 9932.5 34035.0 ; - RECT 9867.5 35380.0 9932.5 35195.0 ; - RECT 9317.5 34377.5 9382.5 34242.5 ; - RECT 9507.5 34377.5 9572.5 34242.5 ; - RECT 9507.5 34377.5 9572.5 34242.5 ; - RECT 9317.5 34377.5 9382.5 34242.5 ; - RECT 9507.5 34377.5 9572.5 34242.5 ; - RECT 9697.5 34377.5 9762.5 34242.5 ; - RECT 9697.5 34377.5 9762.5 34242.5 ; - RECT 9507.5 34377.5 9572.5 34242.5 ; - RECT 9317.5 35217.5 9382.5 35082.5 ; - RECT 9507.5 35217.5 9572.5 35082.5 ; - RECT 9507.5 35217.5 9572.5 35082.5 ; - RECT 9317.5 35217.5 9382.5 35082.5 ; - RECT 9507.5 35217.5 9572.5 35082.5 ; - RECT 9697.5 35217.5 9762.5 35082.5 ; - RECT 9697.5 35217.5 9762.5 35082.5 ; - RECT 9507.5 35217.5 9572.5 35082.5 ; - RECT 9867.5 34287.5 9932.5 34152.5 ; - RECT 9867.5 35262.5 9932.5 35127.5 ; - RECT 9702.5 34987.5 9567.5 34922.5 ; - RECT 9445.0 34772.5 9310.0 34707.5 ; - RECT 9507.5 34377.5 9572.5 34242.5 ; - RECT 9697.5 35217.5 9762.5 35082.5 ; - RECT 9797.5 34772.5 9662.5 34707.5 ; - RECT 9310.0 34772.5 9445.0 34707.5 ; - RECT 9567.5 34987.5 9702.5 34922.5 ; - RECT 9662.5 34772.5 9797.5 34707.5 ; - RECT 9250.0 34067.5 10170.0 34002.5 ; - RECT 9250.0 35412.5 10170.0 35347.5 ; - RECT 10597.5 34220.0 10662.5 34035.0 ; - RECT 10597.5 35380.0 10662.5 35195.0 ; - RECT 10237.5 35262.5 10302.5 35412.5 ; - RECT 10237.5 34377.5 10302.5 34002.5 ; - RECT 10427.5 35262.5 10492.5 34377.5 ; - RECT 10237.5 34377.5 10302.5 34242.5 ; - RECT 10427.5 34377.5 10492.5 34242.5 ; - RECT 10427.5 34377.5 10492.5 34242.5 ; - RECT 10237.5 34377.5 10302.5 34242.5 ; - RECT 10237.5 35262.5 10302.5 35127.5 ; - RECT 10427.5 35262.5 10492.5 35127.5 ; - RECT 10427.5 35262.5 10492.5 35127.5 ; - RECT 10237.5 35262.5 10302.5 35127.5 ; - RECT 10597.5 34287.5 10662.5 34152.5 ; - RECT 10597.5 35262.5 10662.5 35127.5 ; - RECT 10295.0 34820.0 10360.0 34685.0 ; - RECT 10295.0 34820.0 10360.0 34685.0 ; - RECT 10460.0 34785.0 10525.0 34720.0 ; - RECT 10170.0 34067.5 10730.0 34002.5 ; - RECT 10170.0 35412.5 10730.0 35347.5 ; - RECT 8432.5 34685.0 8497.5 34820.0 ; - RECT 8572.5 34957.5 8637.5 35092.5 ; - RECT 9567.5 34922.5 9432.5 34987.5 ; - RECT 9117.5 36540.0 9182.5 36725.0 ; - RECT 9117.5 35380.0 9182.5 35565.0 ; - RECT 8757.5 35497.5 8822.5 35347.5 ; - RECT 8757.5 36382.5 8822.5 36757.5 ; - RECT 8947.5 35497.5 9012.5 36382.5 ; - RECT 8757.5 36382.5 8822.5 36517.5 ; - RECT 8947.5 36382.5 9012.5 36517.5 ; - RECT 8947.5 36382.5 9012.5 36517.5 ; - RECT 8757.5 36382.5 8822.5 36517.5 ; - RECT 8757.5 35497.5 8822.5 35632.5 ; - RECT 8947.5 35497.5 9012.5 35632.5 ; - RECT 8947.5 35497.5 9012.5 35632.5 ; - RECT 8757.5 35497.5 8822.5 35632.5 ; - RECT 9117.5 36472.5 9182.5 36607.5 ; - RECT 9117.5 35497.5 9182.5 35632.5 ; - RECT 8815.0 35940.0 8880.0 36075.0 ; - RECT 8815.0 35940.0 8880.0 36075.0 ; - RECT 8980.0 35975.0 9045.0 36040.0 ; - RECT 8690.0 36692.5 9250.0 36757.5 ; - RECT 8690.0 35347.5 9250.0 35412.5 ; - RECT 9317.5 35542.5 9382.5 35347.5 ; - RECT 9317.5 36382.5 9382.5 36757.5 ; - RECT 9697.5 36382.5 9762.5 36757.5 ; - RECT 9867.5 36540.0 9932.5 36725.0 ; - RECT 9867.5 35380.0 9932.5 35565.0 ; - RECT 9317.5 36382.5 9382.5 36517.5 ; - RECT 9507.5 36382.5 9572.5 36517.5 ; - RECT 9507.5 36382.5 9572.5 36517.5 ; - RECT 9317.5 36382.5 9382.5 36517.5 ; - RECT 9507.5 36382.5 9572.5 36517.5 ; - RECT 9697.5 36382.5 9762.5 36517.5 ; - RECT 9697.5 36382.5 9762.5 36517.5 ; - RECT 9507.5 36382.5 9572.5 36517.5 ; - RECT 9317.5 35542.5 9382.5 35677.5 ; - RECT 9507.5 35542.5 9572.5 35677.5 ; - RECT 9507.5 35542.5 9572.5 35677.5 ; - RECT 9317.5 35542.5 9382.5 35677.5 ; - RECT 9507.5 35542.5 9572.5 35677.5 ; - RECT 9697.5 35542.5 9762.5 35677.5 ; - RECT 9697.5 35542.5 9762.5 35677.5 ; - RECT 9507.5 35542.5 9572.5 35677.5 ; - RECT 9867.5 36472.5 9932.5 36607.5 ; - RECT 9867.5 35497.5 9932.5 35632.5 ; - RECT 9702.5 35772.5 9567.5 35837.5 ; - RECT 9445.0 35987.5 9310.0 36052.5 ; - RECT 9507.5 36382.5 9572.5 36517.5 ; - RECT 9697.5 35542.5 9762.5 35677.5 ; - RECT 9797.5 35987.5 9662.5 36052.5 ; - RECT 9310.0 35987.5 9445.0 36052.5 ; - RECT 9567.5 35772.5 9702.5 35837.5 ; - RECT 9662.5 35987.5 9797.5 36052.5 ; - RECT 9250.0 36692.5 10170.0 36757.5 ; - RECT 9250.0 35347.5 10170.0 35412.5 ; - RECT 10597.5 36540.0 10662.5 36725.0 ; - RECT 10597.5 35380.0 10662.5 35565.0 ; - RECT 10237.5 35497.5 10302.5 35347.5 ; - RECT 10237.5 36382.5 10302.5 36757.5 ; - RECT 10427.5 35497.5 10492.5 36382.5 ; - RECT 10237.5 36382.5 10302.5 36517.5 ; - RECT 10427.5 36382.5 10492.5 36517.5 ; - RECT 10427.5 36382.5 10492.5 36517.5 ; - RECT 10237.5 36382.5 10302.5 36517.5 ; - RECT 10237.5 35497.5 10302.5 35632.5 ; - RECT 10427.5 35497.5 10492.5 35632.5 ; - RECT 10427.5 35497.5 10492.5 35632.5 ; - RECT 10237.5 35497.5 10302.5 35632.5 ; - RECT 10597.5 36472.5 10662.5 36607.5 ; - RECT 10597.5 35497.5 10662.5 35632.5 ; - RECT 10295.0 35940.0 10360.0 36075.0 ; - RECT 10295.0 35940.0 10360.0 36075.0 ; - RECT 10460.0 35975.0 10525.0 36040.0 ; - RECT 10170.0 36692.5 10730.0 36757.5 ; - RECT 10170.0 35347.5 10730.0 35412.5 ; - RECT 8432.5 35940.0 8497.5 36075.0 ; - RECT 8572.5 35667.5 8637.5 35802.5 ; - RECT 9567.5 35772.5 9432.5 35837.5 ; - RECT 9117.5 36910.0 9182.5 36725.0 ; - RECT 9117.5 38070.0 9182.5 37885.0 ; - RECT 8757.5 37952.5 8822.5 38102.5 ; - RECT 8757.5 37067.5 8822.5 36692.5 ; - RECT 8947.5 37952.5 9012.5 37067.5 ; - RECT 8757.5 37067.5 8822.5 36932.5 ; - RECT 8947.5 37067.5 9012.5 36932.5 ; - RECT 8947.5 37067.5 9012.5 36932.5 ; - RECT 8757.5 37067.5 8822.5 36932.5 ; - RECT 8757.5 37952.5 8822.5 37817.5 ; - RECT 8947.5 37952.5 9012.5 37817.5 ; - RECT 8947.5 37952.5 9012.5 37817.5 ; - RECT 8757.5 37952.5 8822.5 37817.5 ; - RECT 9117.5 36977.5 9182.5 36842.5 ; - RECT 9117.5 37952.5 9182.5 37817.5 ; - RECT 8815.0 37510.0 8880.0 37375.0 ; - RECT 8815.0 37510.0 8880.0 37375.0 ; - RECT 8980.0 37475.0 9045.0 37410.0 ; - RECT 8690.0 36757.5 9250.0 36692.5 ; - RECT 8690.0 38102.5 9250.0 38037.5 ; - RECT 9317.5 37907.5 9382.5 38102.5 ; - RECT 9317.5 37067.5 9382.5 36692.5 ; - RECT 9697.5 37067.5 9762.5 36692.5 ; - RECT 9867.5 36910.0 9932.5 36725.0 ; - RECT 9867.5 38070.0 9932.5 37885.0 ; - RECT 9317.5 37067.5 9382.5 36932.5 ; - RECT 9507.5 37067.5 9572.5 36932.5 ; - RECT 9507.5 37067.5 9572.5 36932.5 ; - RECT 9317.5 37067.5 9382.5 36932.5 ; - RECT 9507.5 37067.5 9572.5 36932.5 ; - RECT 9697.5 37067.5 9762.5 36932.5 ; - RECT 9697.5 37067.5 9762.5 36932.5 ; - RECT 9507.5 37067.5 9572.5 36932.5 ; - RECT 9317.5 37907.5 9382.5 37772.5 ; - RECT 9507.5 37907.5 9572.5 37772.5 ; - RECT 9507.5 37907.5 9572.5 37772.5 ; - RECT 9317.5 37907.5 9382.5 37772.5 ; - RECT 9507.5 37907.5 9572.5 37772.5 ; - RECT 9697.5 37907.5 9762.5 37772.5 ; - RECT 9697.5 37907.5 9762.5 37772.5 ; - RECT 9507.5 37907.5 9572.5 37772.5 ; - RECT 9867.5 36977.5 9932.5 36842.5 ; - RECT 9867.5 37952.5 9932.5 37817.5 ; - RECT 9702.5 37677.5 9567.5 37612.5 ; - RECT 9445.0 37462.5 9310.0 37397.5 ; - RECT 9507.5 37067.5 9572.5 36932.5 ; - RECT 9697.5 37907.5 9762.5 37772.5 ; - RECT 9797.5 37462.5 9662.5 37397.5 ; - RECT 9310.0 37462.5 9445.0 37397.5 ; - RECT 9567.5 37677.5 9702.5 37612.5 ; - RECT 9662.5 37462.5 9797.5 37397.5 ; - RECT 9250.0 36757.5 10170.0 36692.5 ; - RECT 9250.0 38102.5 10170.0 38037.5 ; - RECT 10597.5 36910.0 10662.5 36725.0 ; - RECT 10597.5 38070.0 10662.5 37885.0 ; - RECT 10237.5 37952.5 10302.5 38102.5 ; - RECT 10237.5 37067.5 10302.5 36692.5 ; - RECT 10427.5 37952.5 10492.5 37067.5 ; - RECT 10237.5 37067.5 10302.5 36932.5 ; - RECT 10427.5 37067.5 10492.5 36932.5 ; - RECT 10427.5 37067.5 10492.5 36932.5 ; - RECT 10237.5 37067.5 10302.5 36932.5 ; - RECT 10237.5 37952.5 10302.5 37817.5 ; - RECT 10427.5 37952.5 10492.5 37817.5 ; - RECT 10427.5 37952.5 10492.5 37817.5 ; - RECT 10237.5 37952.5 10302.5 37817.5 ; - RECT 10597.5 36977.5 10662.5 36842.5 ; - RECT 10597.5 37952.5 10662.5 37817.5 ; - RECT 10295.0 37510.0 10360.0 37375.0 ; - RECT 10295.0 37510.0 10360.0 37375.0 ; - RECT 10460.0 37475.0 10525.0 37410.0 ; - RECT 10170.0 36757.5 10730.0 36692.5 ; - RECT 10170.0 38102.5 10730.0 38037.5 ; - RECT 8432.5 37375.0 8497.5 37510.0 ; - RECT 8572.5 37647.5 8637.5 37782.5 ; - RECT 9567.5 37612.5 9432.5 37677.5 ; - RECT 9117.5 39230.0 9182.5 39415.0 ; - RECT 9117.5 38070.0 9182.5 38255.0 ; - RECT 8757.5 38187.5 8822.5 38037.5 ; - RECT 8757.5 39072.5 8822.5 39447.5 ; - RECT 8947.5 38187.5 9012.5 39072.5 ; - RECT 8757.5 39072.5 8822.5 39207.5 ; - RECT 8947.5 39072.5 9012.5 39207.5 ; - RECT 8947.5 39072.5 9012.5 39207.5 ; - RECT 8757.5 39072.5 8822.5 39207.5 ; - RECT 8757.5 38187.5 8822.5 38322.5 ; - RECT 8947.5 38187.5 9012.5 38322.5 ; - RECT 8947.5 38187.5 9012.5 38322.5 ; - RECT 8757.5 38187.5 8822.5 38322.5 ; - RECT 9117.5 39162.5 9182.5 39297.5 ; - RECT 9117.5 38187.5 9182.5 38322.5 ; - RECT 8815.0 38630.0 8880.0 38765.0 ; - RECT 8815.0 38630.0 8880.0 38765.0 ; - RECT 8980.0 38665.0 9045.0 38730.0 ; - RECT 8690.0 39382.5 9250.0 39447.5 ; - RECT 8690.0 38037.5 9250.0 38102.5 ; - RECT 9317.5 38232.5 9382.5 38037.5 ; - RECT 9317.5 39072.5 9382.5 39447.5 ; - RECT 9697.5 39072.5 9762.5 39447.5 ; - RECT 9867.5 39230.0 9932.5 39415.0 ; - RECT 9867.5 38070.0 9932.5 38255.0 ; - RECT 9317.5 39072.5 9382.5 39207.5 ; - RECT 9507.5 39072.5 9572.5 39207.5 ; - RECT 9507.5 39072.5 9572.5 39207.5 ; - RECT 9317.5 39072.5 9382.5 39207.5 ; - RECT 9507.5 39072.5 9572.5 39207.5 ; - RECT 9697.5 39072.5 9762.5 39207.5 ; - RECT 9697.5 39072.5 9762.5 39207.5 ; - RECT 9507.5 39072.5 9572.5 39207.5 ; - RECT 9317.5 38232.5 9382.5 38367.5 ; - RECT 9507.5 38232.5 9572.5 38367.5 ; - RECT 9507.5 38232.5 9572.5 38367.5 ; - RECT 9317.5 38232.5 9382.5 38367.5 ; - RECT 9507.5 38232.5 9572.5 38367.5 ; - RECT 9697.5 38232.5 9762.5 38367.5 ; - RECT 9697.5 38232.5 9762.5 38367.5 ; - RECT 9507.5 38232.5 9572.5 38367.5 ; - RECT 9867.5 39162.5 9932.5 39297.5 ; - RECT 9867.5 38187.5 9932.5 38322.5 ; - RECT 9702.5 38462.5 9567.5 38527.5 ; - RECT 9445.0 38677.5 9310.0 38742.5 ; - RECT 9507.5 39072.5 9572.5 39207.5 ; - RECT 9697.5 38232.5 9762.5 38367.5 ; - RECT 9797.5 38677.5 9662.5 38742.5 ; - RECT 9310.0 38677.5 9445.0 38742.5 ; - RECT 9567.5 38462.5 9702.5 38527.5 ; - RECT 9662.5 38677.5 9797.5 38742.5 ; - RECT 9250.0 39382.5 10170.0 39447.5 ; - RECT 9250.0 38037.5 10170.0 38102.5 ; - RECT 10597.5 39230.0 10662.5 39415.0 ; - RECT 10597.5 38070.0 10662.5 38255.0 ; - RECT 10237.5 38187.5 10302.5 38037.5 ; - RECT 10237.5 39072.5 10302.5 39447.5 ; - RECT 10427.5 38187.5 10492.5 39072.5 ; - RECT 10237.5 39072.5 10302.5 39207.5 ; - RECT 10427.5 39072.5 10492.5 39207.5 ; - RECT 10427.5 39072.5 10492.5 39207.5 ; - RECT 10237.5 39072.5 10302.5 39207.5 ; - RECT 10237.5 38187.5 10302.5 38322.5 ; - RECT 10427.5 38187.5 10492.5 38322.5 ; - RECT 10427.5 38187.5 10492.5 38322.5 ; - RECT 10237.5 38187.5 10302.5 38322.5 ; - RECT 10597.5 39162.5 10662.5 39297.5 ; - RECT 10597.5 38187.5 10662.5 38322.5 ; - RECT 10295.0 38630.0 10360.0 38765.0 ; - RECT 10295.0 38630.0 10360.0 38765.0 ; - RECT 10460.0 38665.0 10525.0 38730.0 ; - RECT 10170.0 39382.5 10730.0 39447.5 ; - RECT 10170.0 38037.5 10730.0 38102.5 ; - RECT 8432.5 38630.0 8497.5 38765.0 ; - RECT 8572.5 38357.5 8637.5 38492.5 ; - RECT 9567.5 38462.5 9432.5 38527.5 ; - RECT 9117.5 39600.0 9182.5 39415.0 ; - RECT 9117.5 40760.0 9182.5 40575.0 ; - RECT 8757.5 40642.5 8822.5 40792.5 ; - RECT 8757.5 39757.5 8822.5 39382.5 ; - RECT 8947.5 40642.5 9012.5 39757.5 ; - RECT 8757.5 39757.5 8822.5 39622.5 ; - RECT 8947.5 39757.5 9012.5 39622.5 ; - RECT 8947.5 39757.5 9012.5 39622.5 ; - RECT 8757.5 39757.5 8822.5 39622.5 ; - RECT 8757.5 40642.5 8822.5 40507.5 ; - RECT 8947.5 40642.5 9012.5 40507.5 ; - RECT 8947.5 40642.5 9012.5 40507.5 ; - RECT 8757.5 40642.5 8822.5 40507.5 ; - RECT 9117.5 39667.5 9182.5 39532.5 ; - RECT 9117.5 40642.5 9182.5 40507.5 ; - RECT 8815.0 40200.0 8880.0 40065.0 ; - RECT 8815.0 40200.0 8880.0 40065.0 ; - RECT 8980.0 40165.0 9045.0 40100.0 ; - RECT 8690.0 39447.5 9250.0 39382.5 ; - RECT 8690.0 40792.5 9250.0 40727.5 ; - RECT 9317.5 40597.5 9382.5 40792.5 ; - RECT 9317.5 39757.5 9382.5 39382.5 ; - RECT 9697.5 39757.5 9762.5 39382.5 ; - RECT 9867.5 39600.0 9932.5 39415.0 ; - RECT 9867.5 40760.0 9932.5 40575.0 ; - RECT 9317.5 39757.5 9382.5 39622.5 ; - RECT 9507.5 39757.5 9572.5 39622.5 ; - RECT 9507.5 39757.5 9572.5 39622.5 ; - RECT 9317.5 39757.5 9382.5 39622.5 ; - RECT 9507.5 39757.5 9572.5 39622.5 ; - RECT 9697.5 39757.5 9762.5 39622.5 ; - RECT 9697.5 39757.5 9762.5 39622.5 ; - RECT 9507.5 39757.5 9572.5 39622.5 ; - RECT 9317.5 40597.5 9382.5 40462.5 ; - RECT 9507.5 40597.5 9572.5 40462.5 ; - RECT 9507.5 40597.5 9572.5 40462.5 ; - RECT 9317.5 40597.5 9382.5 40462.5 ; - RECT 9507.5 40597.5 9572.5 40462.5 ; - RECT 9697.5 40597.5 9762.5 40462.5 ; - RECT 9697.5 40597.5 9762.5 40462.5 ; - RECT 9507.5 40597.5 9572.5 40462.5 ; - RECT 9867.5 39667.5 9932.5 39532.5 ; - RECT 9867.5 40642.5 9932.5 40507.5 ; - RECT 9702.5 40367.5 9567.5 40302.5 ; - RECT 9445.0 40152.5 9310.0 40087.5 ; - RECT 9507.5 39757.5 9572.5 39622.5 ; - RECT 9697.5 40597.5 9762.5 40462.5 ; - RECT 9797.5 40152.5 9662.5 40087.5 ; - RECT 9310.0 40152.5 9445.0 40087.5 ; - RECT 9567.5 40367.5 9702.5 40302.5 ; - RECT 9662.5 40152.5 9797.5 40087.5 ; - RECT 9250.0 39447.5 10170.0 39382.5 ; - RECT 9250.0 40792.5 10170.0 40727.5 ; - RECT 10597.5 39600.0 10662.5 39415.0 ; - RECT 10597.5 40760.0 10662.5 40575.0 ; - RECT 10237.5 40642.5 10302.5 40792.5 ; - RECT 10237.5 39757.5 10302.5 39382.5 ; - RECT 10427.5 40642.5 10492.5 39757.5 ; - RECT 10237.5 39757.5 10302.5 39622.5 ; - RECT 10427.5 39757.5 10492.5 39622.5 ; - RECT 10427.5 39757.5 10492.5 39622.5 ; - RECT 10237.5 39757.5 10302.5 39622.5 ; - RECT 10237.5 40642.5 10302.5 40507.5 ; - RECT 10427.5 40642.5 10492.5 40507.5 ; - RECT 10427.5 40642.5 10492.5 40507.5 ; - RECT 10237.5 40642.5 10302.5 40507.5 ; - RECT 10597.5 39667.5 10662.5 39532.5 ; - RECT 10597.5 40642.5 10662.5 40507.5 ; - RECT 10295.0 40200.0 10360.0 40065.0 ; - RECT 10295.0 40200.0 10360.0 40065.0 ; - RECT 10460.0 40165.0 10525.0 40100.0 ; - RECT 10170.0 39447.5 10730.0 39382.5 ; - RECT 10170.0 40792.5 10730.0 40727.5 ; - RECT 8432.5 40065.0 8497.5 40200.0 ; - RECT 8572.5 40337.5 8637.5 40472.5 ; - RECT 9567.5 40302.5 9432.5 40367.5 ; - RECT 9117.5 41920.0 9182.5 42105.0 ; - RECT 9117.5 40760.0 9182.5 40945.0 ; - RECT 8757.5 40877.5 8822.5 40727.5 ; - RECT 8757.5 41762.5 8822.5 42137.5 ; - RECT 8947.5 40877.5 9012.5 41762.5 ; - RECT 8757.5 41762.5 8822.5 41897.5 ; - RECT 8947.5 41762.5 9012.5 41897.5 ; - RECT 8947.5 41762.5 9012.5 41897.5 ; - RECT 8757.5 41762.5 8822.5 41897.5 ; - RECT 8757.5 40877.5 8822.5 41012.5 ; - RECT 8947.5 40877.5 9012.5 41012.5 ; - RECT 8947.5 40877.5 9012.5 41012.5 ; - RECT 8757.5 40877.5 8822.5 41012.5 ; - RECT 9117.5 41852.5 9182.5 41987.5 ; - RECT 9117.5 40877.5 9182.5 41012.5 ; - RECT 8815.0 41320.0 8880.0 41455.0 ; - RECT 8815.0 41320.0 8880.0 41455.0 ; - RECT 8980.0 41355.0 9045.0 41420.0 ; - RECT 8690.0 42072.5 9250.0 42137.5 ; - RECT 8690.0 40727.5 9250.0 40792.5 ; - RECT 9317.5 40922.5 9382.5 40727.5 ; - RECT 9317.5 41762.5 9382.5 42137.5 ; - RECT 9697.5 41762.5 9762.5 42137.5 ; - RECT 9867.5 41920.0 9932.5 42105.0 ; - RECT 9867.5 40760.0 9932.5 40945.0 ; - RECT 9317.5 41762.5 9382.5 41897.5 ; - RECT 9507.5 41762.5 9572.5 41897.5 ; - RECT 9507.5 41762.5 9572.5 41897.5 ; - RECT 9317.5 41762.5 9382.5 41897.5 ; - RECT 9507.5 41762.5 9572.5 41897.5 ; - RECT 9697.5 41762.5 9762.5 41897.5 ; - RECT 9697.5 41762.5 9762.5 41897.5 ; - RECT 9507.5 41762.5 9572.5 41897.5 ; - RECT 9317.5 40922.5 9382.5 41057.5 ; - RECT 9507.5 40922.5 9572.5 41057.5 ; - RECT 9507.5 40922.5 9572.5 41057.5 ; - RECT 9317.5 40922.5 9382.5 41057.5 ; - RECT 9507.5 40922.5 9572.5 41057.5 ; - RECT 9697.5 40922.5 9762.5 41057.5 ; - RECT 9697.5 40922.5 9762.5 41057.5 ; - RECT 9507.5 40922.5 9572.5 41057.5 ; - RECT 9867.5 41852.5 9932.5 41987.5 ; - RECT 9867.5 40877.5 9932.5 41012.5 ; - RECT 9702.5 41152.5 9567.5 41217.5 ; - RECT 9445.0 41367.5 9310.0 41432.5 ; - RECT 9507.5 41762.5 9572.5 41897.5 ; - RECT 9697.5 40922.5 9762.5 41057.5 ; - RECT 9797.5 41367.5 9662.5 41432.5 ; - RECT 9310.0 41367.5 9445.0 41432.5 ; - RECT 9567.5 41152.5 9702.5 41217.5 ; - RECT 9662.5 41367.5 9797.5 41432.5 ; - RECT 9250.0 42072.5 10170.0 42137.5 ; - RECT 9250.0 40727.5 10170.0 40792.5 ; - RECT 10597.5 41920.0 10662.5 42105.0 ; - RECT 10597.5 40760.0 10662.5 40945.0 ; - RECT 10237.5 40877.5 10302.5 40727.5 ; - RECT 10237.5 41762.5 10302.5 42137.5 ; - RECT 10427.5 40877.5 10492.5 41762.5 ; - RECT 10237.5 41762.5 10302.5 41897.5 ; - RECT 10427.5 41762.5 10492.5 41897.5 ; - RECT 10427.5 41762.5 10492.5 41897.5 ; - RECT 10237.5 41762.5 10302.5 41897.5 ; - RECT 10237.5 40877.5 10302.5 41012.5 ; - RECT 10427.5 40877.5 10492.5 41012.5 ; - RECT 10427.5 40877.5 10492.5 41012.5 ; - RECT 10237.5 40877.5 10302.5 41012.5 ; - RECT 10597.5 41852.5 10662.5 41987.5 ; - RECT 10597.5 40877.5 10662.5 41012.5 ; - RECT 10295.0 41320.0 10360.0 41455.0 ; - RECT 10295.0 41320.0 10360.0 41455.0 ; - RECT 10460.0 41355.0 10525.0 41420.0 ; - RECT 10170.0 42072.5 10730.0 42137.5 ; - RECT 10170.0 40727.5 10730.0 40792.5 ; - RECT 8432.5 41320.0 8497.5 41455.0 ; - RECT 8572.5 41047.5 8637.5 41182.5 ; - RECT 9567.5 41152.5 9432.5 41217.5 ; - RECT 9117.5 42290.0 9182.5 42105.0 ; - RECT 9117.5 43450.0 9182.5 43265.0 ; - RECT 8757.5 43332.5 8822.5 43482.5 ; - RECT 8757.5 42447.5 8822.5 42072.5 ; - RECT 8947.5 43332.5 9012.5 42447.5 ; - RECT 8757.5 42447.5 8822.5 42312.5 ; - RECT 8947.5 42447.5 9012.5 42312.5 ; - RECT 8947.5 42447.5 9012.5 42312.5 ; - RECT 8757.5 42447.5 8822.5 42312.5 ; - RECT 8757.5 43332.5 8822.5 43197.5 ; - RECT 8947.5 43332.5 9012.5 43197.5 ; - RECT 8947.5 43332.5 9012.5 43197.5 ; - RECT 8757.5 43332.5 8822.5 43197.5 ; - RECT 9117.5 42357.5 9182.5 42222.5 ; - RECT 9117.5 43332.5 9182.5 43197.5 ; - RECT 8815.0 42890.0 8880.0 42755.0 ; - RECT 8815.0 42890.0 8880.0 42755.0 ; - RECT 8980.0 42855.0 9045.0 42790.0 ; - RECT 8690.0 42137.5 9250.0 42072.5 ; - RECT 8690.0 43482.5 9250.0 43417.5 ; - RECT 9317.5 43287.5 9382.5 43482.5 ; - RECT 9317.5 42447.5 9382.5 42072.5 ; - RECT 9697.5 42447.5 9762.5 42072.5 ; - RECT 9867.5 42290.0 9932.5 42105.0 ; - RECT 9867.5 43450.0 9932.5 43265.0 ; - RECT 9317.5 42447.5 9382.5 42312.5 ; - RECT 9507.5 42447.5 9572.5 42312.5 ; - RECT 9507.5 42447.5 9572.5 42312.5 ; - RECT 9317.5 42447.5 9382.5 42312.5 ; - RECT 9507.5 42447.5 9572.5 42312.5 ; - RECT 9697.5 42447.5 9762.5 42312.5 ; - RECT 9697.5 42447.5 9762.5 42312.5 ; - RECT 9507.5 42447.5 9572.5 42312.5 ; - RECT 9317.5 43287.5 9382.5 43152.5 ; - RECT 9507.5 43287.5 9572.5 43152.5 ; - RECT 9507.5 43287.5 9572.5 43152.5 ; - RECT 9317.5 43287.5 9382.5 43152.5 ; - RECT 9507.5 43287.5 9572.5 43152.5 ; - RECT 9697.5 43287.5 9762.5 43152.5 ; - RECT 9697.5 43287.5 9762.5 43152.5 ; - RECT 9507.5 43287.5 9572.5 43152.5 ; - RECT 9867.5 42357.5 9932.5 42222.5 ; - RECT 9867.5 43332.5 9932.5 43197.5 ; - RECT 9702.5 43057.5 9567.5 42992.5 ; - RECT 9445.0 42842.5 9310.0 42777.5 ; - RECT 9507.5 42447.5 9572.5 42312.5 ; - RECT 9697.5 43287.5 9762.5 43152.5 ; - RECT 9797.5 42842.5 9662.5 42777.5 ; - RECT 9310.0 42842.5 9445.0 42777.5 ; - RECT 9567.5 43057.5 9702.5 42992.5 ; - RECT 9662.5 42842.5 9797.5 42777.5 ; - RECT 9250.0 42137.5 10170.0 42072.5 ; - RECT 9250.0 43482.5 10170.0 43417.5 ; - RECT 10597.5 42290.0 10662.5 42105.0 ; - RECT 10597.5 43450.0 10662.5 43265.0 ; - RECT 10237.5 43332.5 10302.5 43482.5 ; - RECT 10237.5 42447.5 10302.5 42072.5 ; - RECT 10427.5 43332.5 10492.5 42447.5 ; - RECT 10237.5 42447.5 10302.5 42312.5 ; - RECT 10427.5 42447.5 10492.5 42312.5 ; - RECT 10427.5 42447.5 10492.5 42312.5 ; - RECT 10237.5 42447.5 10302.5 42312.5 ; - RECT 10237.5 43332.5 10302.5 43197.5 ; - RECT 10427.5 43332.5 10492.5 43197.5 ; - RECT 10427.5 43332.5 10492.5 43197.5 ; - RECT 10237.5 43332.5 10302.5 43197.5 ; - RECT 10597.5 42357.5 10662.5 42222.5 ; - RECT 10597.5 43332.5 10662.5 43197.5 ; - RECT 10295.0 42890.0 10360.0 42755.0 ; - RECT 10295.0 42890.0 10360.0 42755.0 ; - RECT 10460.0 42855.0 10525.0 42790.0 ; - RECT 10170.0 42137.5 10730.0 42072.5 ; - RECT 10170.0 43482.5 10730.0 43417.5 ; - RECT 8432.5 42755.0 8497.5 42890.0 ; - RECT 8572.5 43027.5 8637.5 43162.5 ; - RECT 9567.5 42992.5 9432.5 43057.5 ; - RECT 9117.5 44610.0 9182.5 44795.0 ; - RECT 9117.5 43450.0 9182.5 43635.0 ; - RECT 8757.5 43567.5 8822.5 43417.5 ; - RECT 8757.5 44452.5 8822.5 44827.5 ; - RECT 8947.5 43567.5 9012.5 44452.5 ; - RECT 8757.5 44452.5 8822.5 44587.5 ; - RECT 8947.5 44452.5 9012.5 44587.5 ; - RECT 8947.5 44452.5 9012.5 44587.5 ; - RECT 8757.5 44452.5 8822.5 44587.5 ; - RECT 8757.5 43567.5 8822.5 43702.5 ; - RECT 8947.5 43567.5 9012.5 43702.5 ; - RECT 8947.5 43567.5 9012.5 43702.5 ; - RECT 8757.5 43567.5 8822.5 43702.5 ; - RECT 9117.5 44542.5 9182.5 44677.5 ; - RECT 9117.5 43567.5 9182.5 43702.5 ; - RECT 8815.0 44010.0 8880.0 44145.0 ; - RECT 8815.0 44010.0 8880.0 44145.0 ; - RECT 8980.0 44045.0 9045.0 44110.0 ; - RECT 8690.0 44762.5 9250.0 44827.5 ; - RECT 8690.0 43417.5 9250.0 43482.5 ; - RECT 9317.5 43612.5 9382.5 43417.5 ; - RECT 9317.5 44452.5 9382.5 44827.5 ; - RECT 9697.5 44452.5 9762.5 44827.5 ; - RECT 9867.5 44610.0 9932.5 44795.0 ; - RECT 9867.5 43450.0 9932.5 43635.0 ; - RECT 9317.5 44452.5 9382.5 44587.5 ; - RECT 9507.5 44452.5 9572.5 44587.5 ; - RECT 9507.5 44452.5 9572.5 44587.5 ; - RECT 9317.5 44452.5 9382.5 44587.5 ; - RECT 9507.5 44452.5 9572.5 44587.5 ; - RECT 9697.5 44452.5 9762.5 44587.5 ; - RECT 9697.5 44452.5 9762.5 44587.5 ; - RECT 9507.5 44452.5 9572.5 44587.5 ; - RECT 9317.5 43612.5 9382.5 43747.5 ; - RECT 9507.5 43612.5 9572.5 43747.5 ; - RECT 9507.5 43612.5 9572.5 43747.5 ; - RECT 9317.5 43612.5 9382.5 43747.5 ; - RECT 9507.5 43612.5 9572.5 43747.5 ; - RECT 9697.5 43612.5 9762.5 43747.5 ; - RECT 9697.5 43612.5 9762.5 43747.5 ; - RECT 9507.5 43612.5 9572.5 43747.5 ; - RECT 9867.5 44542.5 9932.5 44677.5 ; - RECT 9867.5 43567.5 9932.5 43702.5 ; - RECT 9702.5 43842.5 9567.5 43907.5 ; - RECT 9445.0 44057.5 9310.0 44122.5 ; - RECT 9507.5 44452.5 9572.5 44587.5 ; - RECT 9697.5 43612.5 9762.5 43747.5 ; - RECT 9797.5 44057.5 9662.5 44122.5 ; - RECT 9310.0 44057.5 9445.0 44122.5 ; - RECT 9567.5 43842.5 9702.5 43907.5 ; - RECT 9662.5 44057.5 9797.5 44122.5 ; - RECT 9250.0 44762.5 10170.0 44827.5 ; - RECT 9250.0 43417.5 10170.0 43482.5 ; - RECT 10597.5 44610.0 10662.5 44795.0 ; - RECT 10597.5 43450.0 10662.5 43635.0 ; - RECT 10237.5 43567.5 10302.5 43417.5 ; - RECT 10237.5 44452.5 10302.5 44827.5 ; - RECT 10427.5 43567.5 10492.5 44452.5 ; - RECT 10237.5 44452.5 10302.5 44587.5 ; - RECT 10427.5 44452.5 10492.5 44587.5 ; - RECT 10427.5 44452.5 10492.5 44587.5 ; - RECT 10237.5 44452.5 10302.5 44587.5 ; - RECT 10237.5 43567.5 10302.5 43702.5 ; - RECT 10427.5 43567.5 10492.5 43702.5 ; - RECT 10427.5 43567.5 10492.5 43702.5 ; - RECT 10237.5 43567.5 10302.5 43702.5 ; - RECT 10597.5 44542.5 10662.5 44677.5 ; - RECT 10597.5 43567.5 10662.5 43702.5 ; - RECT 10295.0 44010.0 10360.0 44145.0 ; - RECT 10295.0 44010.0 10360.0 44145.0 ; - RECT 10460.0 44045.0 10525.0 44110.0 ; - RECT 10170.0 44762.5 10730.0 44827.5 ; - RECT 10170.0 43417.5 10730.0 43482.5 ; - RECT 8432.5 44010.0 8497.5 44145.0 ; - RECT 8572.5 43737.5 8637.5 43872.5 ; - RECT 9567.5 43842.5 9432.5 43907.5 ; - RECT 9117.5 44980.0 9182.5 44795.0 ; - RECT 9117.5 46140.0 9182.5 45955.0 ; - RECT 8757.5 46022.5 8822.5 46172.5 ; - RECT 8757.5 45137.5 8822.5 44762.5 ; - RECT 8947.5 46022.5 9012.5 45137.5 ; - RECT 8757.5 45137.5 8822.5 45002.5 ; - RECT 8947.5 45137.5 9012.5 45002.5 ; - RECT 8947.5 45137.5 9012.5 45002.5 ; - RECT 8757.5 45137.5 8822.5 45002.5 ; - RECT 8757.5 46022.5 8822.5 45887.5 ; - RECT 8947.5 46022.5 9012.5 45887.5 ; - RECT 8947.5 46022.5 9012.5 45887.5 ; - RECT 8757.5 46022.5 8822.5 45887.5 ; - RECT 9117.5 45047.5 9182.5 44912.5 ; - RECT 9117.5 46022.5 9182.5 45887.5 ; - RECT 8815.0 45580.0 8880.0 45445.0 ; - RECT 8815.0 45580.0 8880.0 45445.0 ; - RECT 8980.0 45545.0 9045.0 45480.0 ; - RECT 8690.0 44827.5 9250.0 44762.5 ; - RECT 8690.0 46172.5 9250.0 46107.5 ; - RECT 9317.5 45977.5 9382.5 46172.5 ; - RECT 9317.5 45137.5 9382.5 44762.5 ; - RECT 9697.5 45137.5 9762.5 44762.5 ; - RECT 9867.5 44980.0 9932.5 44795.0 ; - RECT 9867.5 46140.0 9932.5 45955.0 ; - RECT 9317.5 45137.5 9382.5 45002.5 ; - RECT 9507.5 45137.5 9572.5 45002.5 ; - RECT 9507.5 45137.5 9572.5 45002.5 ; - RECT 9317.5 45137.5 9382.5 45002.5 ; - RECT 9507.5 45137.5 9572.5 45002.5 ; - RECT 9697.5 45137.5 9762.5 45002.5 ; - RECT 9697.5 45137.5 9762.5 45002.5 ; - RECT 9507.5 45137.5 9572.5 45002.5 ; - RECT 9317.5 45977.5 9382.5 45842.5 ; - RECT 9507.5 45977.5 9572.5 45842.5 ; - RECT 9507.5 45977.5 9572.5 45842.5 ; - RECT 9317.5 45977.5 9382.5 45842.5 ; - RECT 9507.5 45977.5 9572.5 45842.5 ; - RECT 9697.5 45977.5 9762.5 45842.5 ; - RECT 9697.5 45977.5 9762.5 45842.5 ; - RECT 9507.5 45977.5 9572.5 45842.5 ; - RECT 9867.5 45047.5 9932.5 44912.5 ; - RECT 9867.5 46022.5 9932.5 45887.5 ; - RECT 9702.5 45747.5 9567.5 45682.5 ; - RECT 9445.0 45532.5 9310.0 45467.5 ; - RECT 9507.5 45137.5 9572.5 45002.5 ; - RECT 9697.5 45977.5 9762.5 45842.5 ; - RECT 9797.5 45532.5 9662.5 45467.5 ; - RECT 9310.0 45532.5 9445.0 45467.5 ; - RECT 9567.5 45747.5 9702.5 45682.5 ; - RECT 9662.5 45532.5 9797.5 45467.5 ; - RECT 9250.0 44827.5 10170.0 44762.5 ; - RECT 9250.0 46172.5 10170.0 46107.5 ; - RECT 10597.5 44980.0 10662.5 44795.0 ; - RECT 10597.5 46140.0 10662.5 45955.0 ; - RECT 10237.5 46022.5 10302.5 46172.5 ; - RECT 10237.5 45137.5 10302.5 44762.5 ; - RECT 10427.5 46022.5 10492.5 45137.5 ; - RECT 10237.5 45137.5 10302.5 45002.5 ; - RECT 10427.5 45137.5 10492.5 45002.5 ; - RECT 10427.5 45137.5 10492.5 45002.5 ; - RECT 10237.5 45137.5 10302.5 45002.5 ; - RECT 10237.5 46022.5 10302.5 45887.5 ; - RECT 10427.5 46022.5 10492.5 45887.5 ; - RECT 10427.5 46022.5 10492.5 45887.5 ; - RECT 10237.5 46022.5 10302.5 45887.5 ; - RECT 10597.5 45047.5 10662.5 44912.5 ; - RECT 10597.5 46022.5 10662.5 45887.5 ; - RECT 10295.0 45580.0 10360.0 45445.0 ; - RECT 10295.0 45580.0 10360.0 45445.0 ; - RECT 10460.0 45545.0 10525.0 45480.0 ; - RECT 10170.0 44827.5 10730.0 44762.5 ; - RECT 10170.0 46172.5 10730.0 46107.5 ; - RECT 8432.5 45445.0 8497.5 45580.0 ; - RECT 8572.5 45717.5 8637.5 45852.5 ; - RECT 9567.5 45682.5 9432.5 45747.5 ; - RECT 9117.5 47300.0 9182.5 47485.0 ; - RECT 9117.5 46140.0 9182.5 46325.0 ; - RECT 8757.5 46257.5 8822.5 46107.5 ; - RECT 8757.5 47142.5 8822.5 47517.5 ; - RECT 8947.5 46257.5 9012.5 47142.5 ; - RECT 8757.5 47142.5 8822.5 47277.5 ; - RECT 8947.5 47142.5 9012.5 47277.5 ; - RECT 8947.5 47142.5 9012.5 47277.5 ; - RECT 8757.5 47142.5 8822.5 47277.5 ; - RECT 8757.5 46257.5 8822.5 46392.5 ; - RECT 8947.5 46257.5 9012.5 46392.5 ; - RECT 8947.5 46257.5 9012.5 46392.5 ; - RECT 8757.5 46257.5 8822.5 46392.5 ; - RECT 9117.5 47232.5 9182.5 47367.5 ; - RECT 9117.5 46257.5 9182.5 46392.5 ; - RECT 8815.0 46700.0 8880.0 46835.0 ; - RECT 8815.0 46700.0 8880.0 46835.0 ; - RECT 8980.0 46735.0 9045.0 46800.0 ; - RECT 8690.0 47452.5 9250.0 47517.5 ; - RECT 8690.0 46107.5 9250.0 46172.5 ; - RECT 9317.5 46302.5 9382.5 46107.5 ; - RECT 9317.5 47142.5 9382.5 47517.5 ; - RECT 9697.5 47142.5 9762.5 47517.5 ; - RECT 9867.5 47300.0 9932.5 47485.0 ; - RECT 9867.5 46140.0 9932.5 46325.0 ; - RECT 9317.5 47142.5 9382.5 47277.5 ; - RECT 9507.5 47142.5 9572.5 47277.5 ; - RECT 9507.5 47142.5 9572.5 47277.5 ; - RECT 9317.5 47142.5 9382.5 47277.5 ; - RECT 9507.5 47142.5 9572.5 47277.5 ; - RECT 9697.5 47142.5 9762.5 47277.5 ; - RECT 9697.5 47142.5 9762.5 47277.5 ; - RECT 9507.5 47142.5 9572.5 47277.5 ; - RECT 9317.5 46302.5 9382.5 46437.5 ; - RECT 9507.5 46302.5 9572.5 46437.5 ; - RECT 9507.5 46302.5 9572.5 46437.5 ; - RECT 9317.5 46302.5 9382.5 46437.5 ; - RECT 9507.5 46302.5 9572.5 46437.5 ; - RECT 9697.5 46302.5 9762.5 46437.5 ; - RECT 9697.5 46302.5 9762.5 46437.5 ; - RECT 9507.5 46302.5 9572.5 46437.5 ; - RECT 9867.5 47232.5 9932.5 47367.5 ; - RECT 9867.5 46257.5 9932.5 46392.5 ; - RECT 9702.5 46532.5 9567.5 46597.5 ; - RECT 9445.0 46747.5 9310.0 46812.5 ; - RECT 9507.5 47142.5 9572.5 47277.5 ; - RECT 9697.5 46302.5 9762.5 46437.5 ; - RECT 9797.5 46747.5 9662.5 46812.5 ; - RECT 9310.0 46747.5 9445.0 46812.5 ; - RECT 9567.5 46532.5 9702.5 46597.5 ; - RECT 9662.5 46747.5 9797.5 46812.5 ; - RECT 9250.0 47452.5 10170.0 47517.5 ; - RECT 9250.0 46107.5 10170.0 46172.5 ; - RECT 10597.5 47300.0 10662.5 47485.0 ; - RECT 10597.5 46140.0 10662.5 46325.0 ; - RECT 10237.5 46257.5 10302.5 46107.5 ; - RECT 10237.5 47142.5 10302.5 47517.5 ; - RECT 10427.5 46257.5 10492.5 47142.5 ; - RECT 10237.5 47142.5 10302.5 47277.5 ; - RECT 10427.5 47142.5 10492.5 47277.5 ; - RECT 10427.5 47142.5 10492.5 47277.5 ; - RECT 10237.5 47142.5 10302.5 47277.5 ; - RECT 10237.5 46257.5 10302.5 46392.5 ; - RECT 10427.5 46257.5 10492.5 46392.5 ; - RECT 10427.5 46257.5 10492.5 46392.5 ; - RECT 10237.5 46257.5 10302.5 46392.5 ; - RECT 10597.5 47232.5 10662.5 47367.5 ; - RECT 10597.5 46257.5 10662.5 46392.5 ; - RECT 10295.0 46700.0 10360.0 46835.0 ; - RECT 10295.0 46700.0 10360.0 46835.0 ; - RECT 10460.0 46735.0 10525.0 46800.0 ; - RECT 10170.0 47452.5 10730.0 47517.5 ; - RECT 10170.0 46107.5 10730.0 46172.5 ; - RECT 8432.5 46700.0 8497.5 46835.0 ; - RECT 8572.5 46427.5 8637.5 46562.5 ; - RECT 9567.5 46532.5 9432.5 46597.5 ; - RECT 9117.5 47670.0 9182.5 47485.0 ; - RECT 9117.5 48830.0 9182.5 48645.0 ; - RECT 8757.5 48712.5 8822.5 48862.5 ; - RECT 8757.5 47827.5 8822.5 47452.5 ; - RECT 8947.5 48712.5 9012.5 47827.5 ; - RECT 8757.5 47827.5 8822.5 47692.5 ; - RECT 8947.5 47827.5 9012.5 47692.5 ; - RECT 8947.5 47827.5 9012.5 47692.5 ; - RECT 8757.5 47827.5 8822.5 47692.5 ; - RECT 8757.5 48712.5 8822.5 48577.5 ; - RECT 8947.5 48712.5 9012.5 48577.5 ; - RECT 8947.5 48712.5 9012.5 48577.5 ; - RECT 8757.5 48712.5 8822.5 48577.5 ; - RECT 9117.5 47737.5 9182.5 47602.5 ; - RECT 9117.5 48712.5 9182.5 48577.5 ; - RECT 8815.0 48270.0 8880.0 48135.0 ; - RECT 8815.0 48270.0 8880.0 48135.0 ; - RECT 8980.0 48235.0 9045.0 48170.0 ; - RECT 8690.0 47517.5 9250.0 47452.5 ; - RECT 8690.0 48862.5 9250.0 48797.5 ; - RECT 9317.5 48667.5 9382.5 48862.5 ; - RECT 9317.5 47827.5 9382.5 47452.5 ; - RECT 9697.5 47827.5 9762.5 47452.5 ; - RECT 9867.5 47670.0 9932.5 47485.0 ; - RECT 9867.5 48830.0 9932.5 48645.0 ; - RECT 9317.5 47827.5 9382.5 47692.5 ; - RECT 9507.5 47827.5 9572.5 47692.5 ; - RECT 9507.5 47827.5 9572.5 47692.5 ; - RECT 9317.5 47827.5 9382.5 47692.5 ; - RECT 9507.5 47827.5 9572.5 47692.5 ; - RECT 9697.5 47827.5 9762.5 47692.5 ; - RECT 9697.5 47827.5 9762.5 47692.5 ; - RECT 9507.5 47827.5 9572.5 47692.5 ; - RECT 9317.5 48667.5 9382.5 48532.5 ; - RECT 9507.5 48667.5 9572.5 48532.5 ; - RECT 9507.5 48667.5 9572.5 48532.5 ; - RECT 9317.5 48667.5 9382.5 48532.5 ; - RECT 9507.5 48667.5 9572.5 48532.5 ; - RECT 9697.5 48667.5 9762.5 48532.5 ; - RECT 9697.5 48667.5 9762.5 48532.5 ; - RECT 9507.5 48667.5 9572.5 48532.5 ; - RECT 9867.5 47737.5 9932.5 47602.5 ; - RECT 9867.5 48712.5 9932.5 48577.5 ; - RECT 9702.5 48437.5 9567.5 48372.5 ; - RECT 9445.0 48222.5 9310.0 48157.5 ; - RECT 9507.5 47827.5 9572.5 47692.5 ; - RECT 9697.5 48667.5 9762.5 48532.5 ; - RECT 9797.5 48222.5 9662.5 48157.5 ; - RECT 9310.0 48222.5 9445.0 48157.5 ; - RECT 9567.5 48437.5 9702.5 48372.5 ; - RECT 9662.5 48222.5 9797.5 48157.5 ; - RECT 9250.0 47517.5 10170.0 47452.5 ; - RECT 9250.0 48862.5 10170.0 48797.5 ; - RECT 10597.5 47670.0 10662.5 47485.0 ; - RECT 10597.5 48830.0 10662.5 48645.0 ; - RECT 10237.5 48712.5 10302.5 48862.5 ; - RECT 10237.5 47827.5 10302.5 47452.5 ; - RECT 10427.5 48712.5 10492.5 47827.5 ; - RECT 10237.5 47827.5 10302.5 47692.5 ; - RECT 10427.5 47827.5 10492.5 47692.5 ; - RECT 10427.5 47827.5 10492.5 47692.5 ; - RECT 10237.5 47827.5 10302.5 47692.5 ; - RECT 10237.5 48712.5 10302.5 48577.5 ; - RECT 10427.5 48712.5 10492.5 48577.5 ; - RECT 10427.5 48712.5 10492.5 48577.5 ; - RECT 10237.5 48712.5 10302.5 48577.5 ; - RECT 10597.5 47737.5 10662.5 47602.5 ; - RECT 10597.5 48712.5 10662.5 48577.5 ; - RECT 10295.0 48270.0 10360.0 48135.0 ; - RECT 10295.0 48270.0 10360.0 48135.0 ; - RECT 10460.0 48235.0 10525.0 48170.0 ; - RECT 10170.0 47517.5 10730.0 47452.5 ; - RECT 10170.0 48862.5 10730.0 48797.5 ; - RECT 8432.5 48135.0 8497.5 48270.0 ; - RECT 8572.5 48407.5 8637.5 48542.5 ; - RECT 9567.5 48372.5 9432.5 48437.5 ; - RECT 9117.5 49990.0 9182.5 50175.0 ; - RECT 9117.5 48830.0 9182.5 49015.0 ; - RECT 8757.5 48947.5 8822.5 48797.5 ; - RECT 8757.5 49832.5 8822.5 50207.5 ; - RECT 8947.5 48947.5 9012.5 49832.5 ; - RECT 8757.5 49832.5 8822.5 49967.5 ; - RECT 8947.5 49832.5 9012.5 49967.5 ; - RECT 8947.5 49832.5 9012.5 49967.5 ; - RECT 8757.5 49832.5 8822.5 49967.5 ; - RECT 8757.5 48947.5 8822.5 49082.5 ; - RECT 8947.5 48947.5 9012.5 49082.5 ; - RECT 8947.5 48947.5 9012.5 49082.5 ; - RECT 8757.5 48947.5 8822.5 49082.5 ; - RECT 9117.5 49922.5 9182.5 50057.5 ; - RECT 9117.5 48947.5 9182.5 49082.5 ; - RECT 8815.0 49390.0 8880.0 49525.0 ; - RECT 8815.0 49390.0 8880.0 49525.0 ; - RECT 8980.0 49425.0 9045.0 49490.0 ; - RECT 8690.0 50142.5 9250.0 50207.5 ; - RECT 8690.0 48797.5 9250.0 48862.5 ; - RECT 9317.5 48992.5 9382.5 48797.5 ; - RECT 9317.5 49832.5 9382.5 50207.5 ; - RECT 9697.5 49832.5 9762.5 50207.5 ; - RECT 9867.5 49990.0 9932.5 50175.0 ; - RECT 9867.5 48830.0 9932.5 49015.0 ; - RECT 9317.5 49832.5 9382.5 49967.5 ; - RECT 9507.5 49832.5 9572.5 49967.5 ; - RECT 9507.5 49832.5 9572.5 49967.5 ; - RECT 9317.5 49832.5 9382.5 49967.5 ; - RECT 9507.5 49832.5 9572.5 49967.5 ; - RECT 9697.5 49832.5 9762.5 49967.5 ; - RECT 9697.5 49832.5 9762.5 49967.5 ; - RECT 9507.5 49832.5 9572.5 49967.5 ; - RECT 9317.5 48992.5 9382.5 49127.5 ; - RECT 9507.5 48992.5 9572.5 49127.5 ; - RECT 9507.5 48992.5 9572.5 49127.5 ; - RECT 9317.5 48992.5 9382.5 49127.5 ; - RECT 9507.5 48992.5 9572.5 49127.5 ; - RECT 9697.5 48992.5 9762.5 49127.5 ; - RECT 9697.5 48992.5 9762.5 49127.5 ; - RECT 9507.5 48992.5 9572.5 49127.5 ; - RECT 9867.5 49922.5 9932.5 50057.5 ; - RECT 9867.5 48947.5 9932.5 49082.5 ; - RECT 9702.5 49222.5 9567.5 49287.5 ; - RECT 9445.0 49437.5 9310.0 49502.5 ; - RECT 9507.5 49832.5 9572.5 49967.5 ; - RECT 9697.5 48992.5 9762.5 49127.5 ; - RECT 9797.5 49437.5 9662.5 49502.5 ; - RECT 9310.0 49437.5 9445.0 49502.5 ; - RECT 9567.5 49222.5 9702.5 49287.5 ; - RECT 9662.5 49437.5 9797.5 49502.5 ; - RECT 9250.0 50142.5 10170.0 50207.5 ; - RECT 9250.0 48797.5 10170.0 48862.5 ; - RECT 10597.5 49990.0 10662.5 50175.0 ; - RECT 10597.5 48830.0 10662.5 49015.0 ; - RECT 10237.5 48947.5 10302.5 48797.5 ; - RECT 10237.5 49832.5 10302.5 50207.5 ; - RECT 10427.5 48947.5 10492.5 49832.5 ; - RECT 10237.5 49832.5 10302.5 49967.5 ; - RECT 10427.5 49832.5 10492.5 49967.5 ; - RECT 10427.5 49832.5 10492.5 49967.5 ; - RECT 10237.5 49832.5 10302.5 49967.5 ; - RECT 10237.5 48947.5 10302.5 49082.5 ; - RECT 10427.5 48947.5 10492.5 49082.5 ; - RECT 10427.5 48947.5 10492.5 49082.5 ; - RECT 10237.5 48947.5 10302.5 49082.5 ; - RECT 10597.5 49922.5 10662.5 50057.5 ; - RECT 10597.5 48947.5 10662.5 49082.5 ; - RECT 10295.0 49390.0 10360.0 49525.0 ; - RECT 10295.0 49390.0 10360.0 49525.0 ; - RECT 10460.0 49425.0 10525.0 49490.0 ; - RECT 10170.0 50142.5 10730.0 50207.5 ; - RECT 10170.0 48797.5 10730.0 48862.5 ; - RECT 8432.5 49390.0 8497.5 49525.0 ; - RECT 8572.5 49117.5 8637.5 49252.5 ; - RECT 9567.5 49222.5 9432.5 49287.5 ; - RECT 9117.5 50360.0 9182.5 50175.0 ; - RECT 9117.5 51520.0 9182.5 51335.0 ; - RECT 8757.5 51402.5 8822.5 51552.5 ; - RECT 8757.5 50517.5 8822.5 50142.5 ; - RECT 8947.5 51402.5 9012.5 50517.5 ; - RECT 8757.5 50517.5 8822.5 50382.5 ; - RECT 8947.5 50517.5 9012.5 50382.5 ; - RECT 8947.5 50517.5 9012.5 50382.5 ; - RECT 8757.5 50517.5 8822.5 50382.5 ; - RECT 8757.5 51402.5 8822.5 51267.5 ; - RECT 8947.5 51402.5 9012.5 51267.5 ; - RECT 8947.5 51402.5 9012.5 51267.5 ; - RECT 8757.5 51402.5 8822.5 51267.5 ; - RECT 9117.5 50427.5 9182.5 50292.5 ; - RECT 9117.5 51402.5 9182.5 51267.5 ; - RECT 8815.0 50960.0 8880.0 50825.0 ; - RECT 8815.0 50960.0 8880.0 50825.0 ; - RECT 8980.0 50925.0 9045.0 50860.0 ; - RECT 8690.0 50207.5 9250.0 50142.5 ; - RECT 8690.0 51552.5 9250.0 51487.5 ; - RECT 9317.5 51357.5 9382.5 51552.5 ; - RECT 9317.5 50517.5 9382.5 50142.5 ; - RECT 9697.5 50517.5 9762.5 50142.5 ; - RECT 9867.5 50360.0 9932.5 50175.0 ; - RECT 9867.5 51520.0 9932.5 51335.0 ; - RECT 9317.5 50517.5 9382.5 50382.5 ; - RECT 9507.5 50517.5 9572.5 50382.5 ; - RECT 9507.5 50517.5 9572.5 50382.5 ; - RECT 9317.5 50517.5 9382.5 50382.5 ; - RECT 9507.5 50517.5 9572.5 50382.5 ; - RECT 9697.5 50517.5 9762.5 50382.5 ; - RECT 9697.5 50517.5 9762.5 50382.5 ; - RECT 9507.5 50517.5 9572.5 50382.5 ; - RECT 9317.5 51357.5 9382.5 51222.5 ; - RECT 9507.5 51357.5 9572.5 51222.5 ; - RECT 9507.5 51357.5 9572.5 51222.5 ; - RECT 9317.5 51357.5 9382.5 51222.5 ; - RECT 9507.5 51357.5 9572.5 51222.5 ; - RECT 9697.5 51357.5 9762.5 51222.5 ; - RECT 9697.5 51357.5 9762.5 51222.5 ; - RECT 9507.5 51357.5 9572.5 51222.5 ; - RECT 9867.5 50427.5 9932.5 50292.5 ; - RECT 9867.5 51402.5 9932.5 51267.5 ; - RECT 9702.5 51127.5 9567.5 51062.5 ; - RECT 9445.0 50912.5 9310.0 50847.5 ; - RECT 9507.5 50517.5 9572.5 50382.5 ; - RECT 9697.5 51357.5 9762.5 51222.5 ; - RECT 9797.5 50912.5 9662.5 50847.5 ; - RECT 9310.0 50912.5 9445.0 50847.5 ; - RECT 9567.5 51127.5 9702.5 51062.5 ; - RECT 9662.5 50912.5 9797.5 50847.5 ; - RECT 9250.0 50207.5 10170.0 50142.5 ; - RECT 9250.0 51552.5 10170.0 51487.5 ; - RECT 10597.5 50360.0 10662.5 50175.0 ; - RECT 10597.5 51520.0 10662.5 51335.0 ; - RECT 10237.5 51402.5 10302.5 51552.5 ; - RECT 10237.5 50517.5 10302.5 50142.5 ; - RECT 10427.5 51402.5 10492.5 50517.5 ; - RECT 10237.5 50517.5 10302.5 50382.5 ; - RECT 10427.5 50517.5 10492.5 50382.5 ; - RECT 10427.5 50517.5 10492.5 50382.5 ; - RECT 10237.5 50517.5 10302.5 50382.5 ; - RECT 10237.5 51402.5 10302.5 51267.5 ; - RECT 10427.5 51402.5 10492.5 51267.5 ; - RECT 10427.5 51402.5 10492.5 51267.5 ; - RECT 10237.5 51402.5 10302.5 51267.5 ; - RECT 10597.5 50427.5 10662.5 50292.5 ; - RECT 10597.5 51402.5 10662.5 51267.5 ; - RECT 10295.0 50960.0 10360.0 50825.0 ; - RECT 10295.0 50960.0 10360.0 50825.0 ; - RECT 10460.0 50925.0 10525.0 50860.0 ; - RECT 10170.0 50207.5 10730.0 50142.5 ; - RECT 10170.0 51552.5 10730.0 51487.5 ; - RECT 8432.5 50825.0 8497.5 50960.0 ; - RECT 8572.5 51097.5 8637.5 51232.5 ; - RECT 9567.5 51062.5 9432.5 51127.5 ; - RECT 9117.5 52680.0 9182.5 52865.0 ; - RECT 9117.5 51520.0 9182.5 51705.0 ; - RECT 8757.5 51637.5 8822.5 51487.5 ; - RECT 8757.5 52522.5 8822.5 52897.5 ; - RECT 8947.5 51637.5 9012.5 52522.5 ; - RECT 8757.5 52522.5 8822.5 52657.5 ; - RECT 8947.5 52522.5 9012.5 52657.5 ; - RECT 8947.5 52522.5 9012.5 52657.5 ; - RECT 8757.5 52522.5 8822.5 52657.5 ; - RECT 8757.5 51637.5 8822.5 51772.5 ; - RECT 8947.5 51637.5 9012.5 51772.5 ; - RECT 8947.5 51637.5 9012.5 51772.5 ; - RECT 8757.5 51637.5 8822.5 51772.5 ; - RECT 9117.5 52612.5 9182.5 52747.5 ; - RECT 9117.5 51637.5 9182.5 51772.5 ; - RECT 8815.0 52080.0 8880.0 52215.0 ; - RECT 8815.0 52080.0 8880.0 52215.0 ; - RECT 8980.0 52115.0 9045.0 52180.0 ; - RECT 8690.0 52832.5 9250.0 52897.5 ; - RECT 8690.0 51487.5 9250.0 51552.5 ; - RECT 9317.5 51682.5 9382.5 51487.5 ; - RECT 9317.5 52522.5 9382.5 52897.5 ; - RECT 9697.5 52522.5 9762.5 52897.5 ; - RECT 9867.5 52680.0 9932.5 52865.0 ; - RECT 9867.5 51520.0 9932.5 51705.0 ; - RECT 9317.5 52522.5 9382.5 52657.5 ; - RECT 9507.5 52522.5 9572.5 52657.5 ; - RECT 9507.5 52522.5 9572.5 52657.5 ; - RECT 9317.5 52522.5 9382.5 52657.5 ; - RECT 9507.5 52522.5 9572.5 52657.5 ; - RECT 9697.5 52522.5 9762.5 52657.5 ; - RECT 9697.5 52522.5 9762.5 52657.5 ; - RECT 9507.5 52522.5 9572.5 52657.5 ; - RECT 9317.5 51682.5 9382.5 51817.5 ; - RECT 9507.5 51682.5 9572.5 51817.5 ; - RECT 9507.5 51682.5 9572.5 51817.5 ; - RECT 9317.5 51682.5 9382.5 51817.5 ; - RECT 9507.5 51682.5 9572.5 51817.5 ; - RECT 9697.5 51682.5 9762.5 51817.5 ; - RECT 9697.5 51682.5 9762.5 51817.5 ; - RECT 9507.5 51682.5 9572.5 51817.5 ; - RECT 9867.5 52612.5 9932.5 52747.5 ; - RECT 9867.5 51637.5 9932.5 51772.5 ; - RECT 9702.5 51912.5 9567.5 51977.5 ; - RECT 9445.0 52127.5 9310.0 52192.5 ; - RECT 9507.5 52522.5 9572.5 52657.5 ; - RECT 9697.5 51682.5 9762.5 51817.5 ; - RECT 9797.5 52127.5 9662.5 52192.5 ; - RECT 9310.0 52127.5 9445.0 52192.5 ; - RECT 9567.5 51912.5 9702.5 51977.5 ; - RECT 9662.5 52127.5 9797.5 52192.5 ; - RECT 9250.0 52832.5 10170.0 52897.5 ; - RECT 9250.0 51487.5 10170.0 51552.5 ; - RECT 10597.5 52680.0 10662.5 52865.0 ; - RECT 10597.5 51520.0 10662.5 51705.0 ; - RECT 10237.5 51637.5 10302.5 51487.5 ; - RECT 10237.5 52522.5 10302.5 52897.5 ; - RECT 10427.5 51637.5 10492.5 52522.5 ; - RECT 10237.5 52522.5 10302.5 52657.5 ; - RECT 10427.5 52522.5 10492.5 52657.5 ; - RECT 10427.5 52522.5 10492.5 52657.5 ; - RECT 10237.5 52522.5 10302.5 52657.5 ; - RECT 10237.5 51637.5 10302.5 51772.5 ; - RECT 10427.5 51637.5 10492.5 51772.5 ; - RECT 10427.5 51637.5 10492.5 51772.5 ; - RECT 10237.5 51637.5 10302.5 51772.5 ; - RECT 10597.5 52612.5 10662.5 52747.5 ; - RECT 10597.5 51637.5 10662.5 51772.5 ; - RECT 10295.0 52080.0 10360.0 52215.0 ; - RECT 10295.0 52080.0 10360.0 52215.0 ; - RECT 10460.0 52115.0 10525.0 52180.0 ; - RECT 10170.0 52832.5 10730.0 52897.5 ; - RECT 10170.0 51487.5 10730.0 51552.5 ; - RECT 8432.5 52080.0 8497.5 52215.0 ; - RECT 8572.5 51807.5 8637.5 51942.5 ; - RECT 9567.5 51912.5 9432.5 51977.5 ; - RECT 9117.5 53050.0 9182.5 52865.0 ; - RECT 9117.5 54210.0 9182.5 54025.0 ; - RECT 8757.5 54092.5 8822.5 54242.5 ; - RECT 8757.5 53207.5 8822.5 52832.5 ; - RECT 8947.5 54092.5 9012.5 53207.5 ; - RECT 8757.5 53207.5 8822.5 53072.5 ; - RECT 8947.5 53207.5 9012.5 53072.5 ; - RECT 8947.5 53207.5 9012.5 53072.5 ; - RECT 8757.5 53207.5 8822.5 53072.5 ; - RECT 8757.5 54092.5 8822.5 53957.5 ; - RECT 8947.5 54092.5 9012.5 53957.5 ; - RECT 8947.5 54092.5 9012.5 53957.5 ; - RECT 8757.5 54092.5 8822.5 53957.5 ; - RECT 9117.5 53117.5 9182.5 52982.5 ; - RECT 9117.5 54092.5 9182.5 53957.5 ; - RECT 8815.0 53650.0 8880.0 53515.0 ; - RECT 8815.0 53650.0 8880.0 53515.0 ; - RECT 8980.0 53615.0 9045.0 53550.0 ; - RECT 8690.0 52897.5 9250.0 52832.5 ; - RECT 8690.0 54242.5 9250.0 54177.5 ; - RECT 9317.5 54047.5 9382.5 54242.5 ; - RECT 9317.5 53207.5 9382.5 52832.5 ; - RECT 9697.5 53207.5 9762.5 52832.5 ; - RECT 9867.5 53050.0 9932.5 52865.0 ; - RECT 9867.5 54210.0 9932.5 54025.0 ; - RECT 9317.5 53207.5 9382.5 53072.5 ; - RECT 9507.5 53207.5 9572.5 53072.5 ; - RECT 9507.5 53207.5 9572.5 53072.5 ; - RECT 9317.5 53207.5 9382.5 53072.5 ; - RECT 9507.5 53207.5 9572.5 53072.5 ; - RECT 9697.5 53207.5 9762.5 53072.5 ; - RECT 9697.5 53207.5 9762.5 53072.5 ; - RECT 9507.5 53207.5 9572.5 53072.5 ; - RECT 9317.5 54047.5 9382.5 53912.5 ; - RECT 9507.5 54047.5 9572.5 53912.5 ; - RECT 9507.5 54047.5 9572.5 53912.5 ; - RECT 9317.5 54047.5 9382.5 53912.5 ; - RECT 9507.5 54047.5 9572.5 53912.5 ; - RECT 9697.5 54047.5 9762.5 53912.5 ; - RECT 9697.5 54047.5 9762.5 53912.5 ; - RECT 9507.5 54047.5 9572.5 53912.5 ; - RECT 9867.5 53117.5 9932.5 52982.5 ; - RECT 9867.5 54092.5 9932.5 53957.5 ; - RECT 9702.5 53817.5 9567.5 53752.5 ; - RECT 9445.0 53602.5 9310.0 53537.5 ; - RECT 9507.5 53207.5 9572.5 53072.5 ; - RECT 9697.5 54047.5 9762.5 53912.5 ; - RECT 9797.5 53602.5 9662.5 53537.5 ; - RECT 9310.0 53602.5 9445.0 53537.5 ; - RECT 9567.5 53817.5 9702.5 53752.5 ; - RECT 9662.5 53602.5 9797.5 53537.5 ; - RECT 9250.0 52897.5 10170.0 52832.5 ; - RECT 9250.0 54242.5 10170.0 54177.5 ; - RECT 10597.5 53050.0 10662.5 52865.0 ; - RECT 10597.5 54210.0 10662.5 54025.0 ; - RECT 10237.5 54092.5 10302.5 54242.5 ; - RECT 10237.5 53207.5 10302.5 52832.5 ; - RECT 10427.5 54092.5 10492.5 53207.5 ; - RECT 10237.5 53207.5 10302.5 53072.5 ; - RECT 10427.5 53207.5 10492.5 53072.5 ; - RECT 10427.5 53207.5 10492.5 53072.5 ; - RECT 10237.5 53207.5 10302.5 53072.5 ; - RECT 10237.5 54092.5 10302.5 53957.5 ; - RECT 10427.5 54092.5 10492.5 53957.5 ; - RECT 10427.5 54092.5 10492.5 53957.5 ; - RECT 10237.5 54092.5 10302.5 53957.5 ; - RECT 10597.5 53117.5 10662.5 52982.5 ; - RECT 10597.5 54092.5 10662.5 53957.5 ; - RECT 10295.0 53650.0 10360.0 53515.0 ; - RECT 10295.0 53650.0 10360.0 53515.0 ; - RECT 10460.0 53615.0 10525.0 53550.0 ; - RECT 10170.0 52897.5 10730.0 52832.5 ; - RECT 10170.0 54242.5 10730.0 54177.5 ; - RECT 8432.5 53515.0 8497.5 53650.0 ; - RECT 8572.5 53787.5 8637.5 53922.5 ; - RECT 9567.5 53752.5 9432.5 53817.5 ; - RECT 9117.5 55370.0 9182.5 55555.0 ; - RECT 9117.5 54210.0 9182.5 54395.0 ; - RECT 8757.5 54327.5 8822.5 54177.5 ; - RECT 8757.5 55212.5 8822.5 55587.5 ; - RECT 8947.5 54327.5 9012.5 55212.5 ; - RECT 8757.5 55212.5 8822.5 55347.5 ; - RECT 8947.5 55212.5 9012.5 55347.5 ; - RECT 8947.5 55212.5 9012.5 55347.5 ; - RECT 8757.5 55212.5 8822.5 55347.5 ; - RECT 8757.5 54327.5 8822.5 54462.5 ; - RECT 8947.5 54327.5 9012.5 54462.5 ; - RECT 8947.5 54327.5 9012.5 54462.5 ; - RECT 8757.5 54327.5 8822.5 54462.5 ; - RECT 9117.5 55302.5 9182.5 55437.5 ; - RECT 9117.5 54327.5 9182.5 54462.5 ; - RECT 8815.0 54770.0 8880.0 54905.0 ; - RECT 8815.0 54770.0 8880.0 54905.0 ; - RECT 8980.0 54805.0 9045.0 54870.0 ; - RECT 8690.0 55522.5 9250.0 55587.5 ; - RECT 8690.0 54177.5 9250.0 54242.5 ; - RECT 9317.5 54372.5 9382.5 54177.5 ; - RECT 9317.5 55212.5 9382.5 55587.5 ; - RECT 9697.5 55212.5 9762.5 55587.5 ; - RECT 9867.5 55370.0 9932.5 55555.0 ; - RECT 9867.5 54210.0 9932.5 54395.0 ; - RECT 9317.5 55212.5 9382.5 55347.5 ; - RECT 9507.5 55212.5 9572.5 55347.5 ; - RECT 9507.5 55212.5 9572.5 55347.5 ; - RECT 9317.5 55212.5 9382.5 55347.5 ; - RECT 9507.5 55212.5 9572.5 55347.5 ; - RECT 9697.5 55212.5 9762.5 55347.5 ; - RECT 9697.5 55212.5 9762.5 55347.5 ; - RECT 9507.5 55212.5 9572.5 55347.5 ; - RECT 9317.5 54372.5 9382.5 54507.5 ; - RECT 9507.5 54372.5 9572.5 54507.5 ; - RECT 9507.5 54372.5 9572.5 54507.5 ; - RECT 9317.5 54372.5 9382.5 54507.5 ; - RECT 9507.5 54372.5 9572.5 54507.5 ; - RECT 9697.5 54372.5 9762.5 54507.5 ; - RECT 9697.5 54372.5 9762.5 54507.5 ; - RECT 9507.5 54372.5 9572.5 54507.5 ; - RECT 9867.5 55302.5 9932.5 55437.5 ; - RECT 9867.5 54327.5 9932.5 54462.5 ; - RECT 9702.5 54602.5 9567.5 54667.5 ; - RECT 9445.0 54817.5 9310.0 54882.5 ; - RECT 9507.5 55212.5 9572.5 55347.5 ; - RECT 9697.5 54372.5 9762.5 54507.5 ; - RECT 9797.5 54817.5 9662.5 54882.5 ; - RECT 9310.0 54817.5 9445.0 54882.5 ; - RECT 9567.5 54602.5 9702.5 54667.5 ; - RECT 9662.5 54817.5 9797.5 54882.5 ; - RECT 9250.0 55522.5 10170.0 55587.5 ; - RECT 9250.0 54177.5 10170.0 54242.5 ; - RECT 10597.5 55370.0 10662.5 55555.0 ; - RECT 10597.5 54210.0 10662.5 54395.0 ; - RECT 10237.5 54327.5 10302.5 54177.5 ; - RECT 10237.5 55212.5 10302.5 55587.5 ; - RECT 10427.5 54327.5 10492.5 55212.5 ; - RECT 10237.5 55212.5 10302.5 55347.5 ; - RECT 10427.5 55212.5 10492.5 55347.5 ; - RECT 10427.5 55212.5 10492.5 55347.5 ; - RECT 10237.5 55212.5 10302.5 55347.5 ; - RECT 10237.5 54327.5 10302.5 54462.5 ; - RECT 10427.5 54327.5 10492.5 54462.5 ; - RECT 10427.5 54327.5 10492.5 54462.5 ; - RECT 10237.5 54327.5 10302.5 54462.5 ; - RECT 10597.5 55302.5 10662.5 55437.5 ; - RECT 10597.5 54327.5 10662.5 54462.5 ; - RECT 10295.0 54770.0 10360.0 54905.0 ; - RECT 10295.0 54770.0 10360.0 54905.0 ; - RECT 10460.0 54805.0 10525.0 54870.0 ; - RECT 10170.0 55522.5 10730.0 55587.5 ; - RECT 10170.0 54177.5 10730.0 54242.5 ; - RECT 8432.5 54770.0 8497.5 54905.0 ; - RECT 8572.5 54497.5 8637.5 54632.5 ; - RECT 9567.5 54602.5 9432.5 54667.5 ; - RECT 9117.5 55740.0 9182.5 55555.0 ; - RECT 9117.5 56900.0 9182.5 56715.0 ; - RECT 8757.5 56782.5 8822.5 56932.5 ; - RECT 8757.5 55897.5 8822.5 55522.5 ; - RECT 8947.5 56782.5 9012.5 55897.5 ; - RECT 8757.5 55897.5 8822.5 55762.5 ; - RECT 8947.5 55897.5 9012.5 55762.5 ; - RECT 8947.5 55897.5 9012.5 55762.5 ; - RECT 8757.5 55897.5 8822.5 55762.5 ; - RECT 8757.5 56782.5 8822.5 56647.5 ; - RECT 8947.5 56782.5 9012.5 56647.5 ; - RECT 8947.5 56782.5 9012.5 56647.5 ; - RECT 8757.5 56782.5 8822.5 56647.5 ; - RECT 9117.5 55807.5 9182.5 55672.5 ; - RECT 9117.5 56782.5 9182.5 56647.5 ; - RECT 8815.0 56340.0 8880.0 56205.0 ; - RECT 8815.0 56340.0 8880.0 56205.0 ; - RECT 8980.0 56305.0 9045.0 56240.0 ; - RECT 8690.0 55587.5 9250.0 55522.5 ; - RECT 8690.0 56932.5 9250.0 56867.5 ; - RECT 9317.5 56737.5 9382.5 56932.5 ; - RECT 9317.5 55897.5 9382.5 55522.5 ; - RECT 9697.5 55897.5 9762.5 55522.5 ; - RECT 9867.5 55740.0 9932.5 55555.0 ; - RECT 9867.5 56900.0 9932.5 56715.0 ; - RECT 9317.5 55897.5 9382.5 55762.5 ; - RECT 9507.5 55897.5 9572.5 55762.5 ; - RECT 9507.5 55897.5 9572.5 55762.5 ; - RECT 9317.5 55897.5 9382.5 55762.5 ; - RECT 9507.5 55897.5 9572.5 55762.5 ; - RECT 9697.5 55897.5 9762.5 55762.5 ; - RECT 9697.5 55897.5 9762.5 55762.5 ; - RECT 9507.5 55897.5 9572.5 55762.5 ; - RECT 9317.5 56737.5 9382.5 56602.5 ; - RECT 9507.5 56737.5 9572.5 56602.5 ; - RECT 9507.5 56737.5 9572.5 56602.5 ; - RECT 9317.5 56737.5 9382.5 56602.5 ; - RECT 9507.5 56737.5 9572.5 56602.5 ; - RECT 9697.5 56737.5 9762.5 56602.5 ; - RECT 9697.5 56737.5 9762.5 56602.5 ; - RECT 9507.5 56737.5 9572.5 56602.5 ; - RECT 9867.5 55807.5 9932.5 55672.5 ; - RECT 9867.5 56782.5 9932.5 56647.5 ; - RECT 9702.5 56507.5 9567.5 56442.5 ; - RECT 9445.0 56292.5 9310.0 56227.5 ; - RECT 9507.5 55897.5 9572.5 55762.5 ; - RECT 9697.5 56737.5 9762.5 56602.5 ; - RECT 9797.5 56292.5 9662.5 56227.5 ; - RECT 9310.0 56292.5 9445.0 56227.5 ; - RECT 9567.5 56507.5 9702.5 56442.5 ; - RECT 9662.5 56292.5 9797.5 56227.5 ; - RECT 9250.0 55587.5 10170.0 55522.5 ; - RECT 9250.0 56932.5 10170.0 56867.5 ; - RECT 10597.5 55740.0 10662.5 55555.0 ; - RECT 10597.5 56900.0 10662.5 56715.0 ; - RECT 10237.5 56782.5 10302.5 56932.5 ; - RECT 10237.5 55897.5 10302.5 55522.5 ; - RECT 10427.5 56782.5 10492.5 55897.5 ; - RECT 10237.5 55897.5 10302.5 55762.5 ; - RECT 10427.5 55897.5 10492.5 55762.5 ; - RECT 10427.5 55897.5 10492.5 55762.5 ; - RECT 10237.5 55897.5 10302.5 55762.5 ; - RECT 10237.5 56782.5 10302.5 56647.5 ; - RECT 10427.5 56782.5 10492.5 56647.5 ; - RECT 10427.5 56782.5 10492.5 56647.5 ; - RECT 10237.5 56782.5 10302.5 56647.5 ; - RECT 10597.5 55807.5 10662.5 55672.5 ; - RECT 10597.5 56782.5 10662.5 56647.5 ; - RECT 10295.0 56340.0 10360.0 56205.0 ; - RECT 10295.0 56340.0 10360.0 56205.0 ; - RECT 10460.0 56305.0 10525.0 56240.0 ; - RECT 10170.0 55587.5 10730.0 55522.5 ; - RECT 10170.0 56932.5 10730.0 56867.5 ; - RECT 8432.5 56205.0 8497.5 56340.0 ; - RECT 8572.5 56477.5 8637.5 56612.5 ; - RECT 9567.5 56442.5 9432.5 56507.5 ; - RECT 9117.5 58060.0 9182.5 58245.0 ; - RECT 9117.5 56900.0 9182.5 57085.0 ; - RECT 8757.5 57017.5 8822.5 56867.5 ; - RECT 8757.5 57902.5 8822.5 58277.5 ; - RECT 8947.5 57017.5 9012.5 57902.5 ; - RECT 8757.5 57902.5 8822.5 58037.5 ; - RECT 8947.5 57902.5 9012.5 58037.5 ; - RECT 8947.5 57902.5 9012.5 58037.5 ; - RECT 8757.5 57902.5 8822.5 58037.5 ; - RECT 8757.5 57017.5 8822.5 57152.5 ; - RECT 8947.5 57017.5 9012.5 57152.5 ; - RECT 8947.5 57017.5 9012.5 57152.5 ; - RECT 8757.5 57017.5 8822.5 57152.5 ; - RECT 9117.5 57992.5 9182.5 58127.5 ; - RECT 9117.5 57017.5 9182.5 57152.5 ; - RECT 8815.0 57460.0 8880.0 57595.0 ; - RECT 8815.0 57460.0 8880.0 57595.0 ; - RECT 8980.0 57495.0 9045.0 57560.0 ; - RECT 8690.0 58212.5 9250.0 58277.5 ; - RECT 8690.0 56867.5 9250.0 56932.5 ; - RECT 9317.5 57062.5 9382.5 56867.5 ; - RECT 9317.5 57902.5 9382.5 58277.5 ; - RECT 9697.5 57902.5 9762.5 58277.5 ; - RECT 9867.5 58060.0 9932.5 58245.0 ; - RECT 9867.5 56900.0 9932.5 57085.0 ; - RECT 9317.5 57902.5 9382.5 58037.5 ; - RECT 9507.5 57902.5 9572.5 58037.5 ; - RECT 9507.5 57902.5 9572.5 58037.5 ; - RECT 9317.5 57902.5 9382.5 58037.5 ; - RECT 9507.5 57902.5 9572.5 58037.5 ; - RECT 9697.5 57902.5 9762.5 58037.5 ; - RECT 9697.5 57902.5 9762.5 58037.5 ; - RECT 9507.5 57902.5 9572.5 58037.5 ; - RECT 9317.5 57062.5 9382.5 57197.5 ; - RECT 9507.5 57062.5 9572.5 57197.5 ; - RECT 9507.5 57062.5 9572.5 57197.5 ; - RECT 9317.5 57062.5 9382.5 57197.5 ; - RECT 9507.5 57062.5 9572.5 57197.5 ; - RECT 9697.5 57062.5 9762.5 57197.5 ; - RECT 9697.5 57062.5 9762.5 57197.5 ; - RECT 9507.5 57062.5 9572.5 57197.5 ; - RECT 9867.5 57992.5 9932.5 58127.5 ; - RECT 9867.5 57017.5 9932.5 57152.5 ; - RECT 9702.5 57292.5 9567.5 57357.5 ; - RECT 9445.0 57507.5 9310.0 57572.5 ; - RECT 9507.5 57902.5 9572.5 58037.5 ; - RECT 9697.5 57062.5 9762.5 57197.5 ; - RECT 9797.5 57507.5 9662.5 57572.5 ; - RECT 9310.0 57507.5 9445.0 57572.5 ; - RECT 9567.5 57292.5 9702.5 57357.5 ; - RECT 9662.5 57507.5 9797.5 57572.5 ; - RECT 9250.0 58212.5 10170.0 58277.5 ; - RECT 9250.0 56867.5 10170.0 56932.5 ; - RECT 10597.5 58060.0 10662.5 58245.0 ; - RECT 10597.5 56900.0 10662.5 57085.0 ; - RECT 10237.5 57017.5 10302.5 56867.5 ; - RECT 10237.5 57902.5 10302.5 58277.5 ; - RECT 10427.5 57017.5 10492.5 57902.5 ; - RECT 10237.5 57902.5 10302.5 58037.5 ; - RECT 10427.5 57902.5 10492.5 58037.5 ; - RECT 10427.5 57902.5 10492.5 58037.5 ; - RECT 10237.5 57902.5 10302.5 58037.5 ; - RECT 10237.5 57017.5 10302.5 57152.5 ; - RECT 10427.5 57017.5 10492.5 57152.5 ; - RECT 10427.5 57017.5 10492.5 57152.5 ; - RECT 10237.5 57017.5 10302.5 57152.5 ; - RECT 10597.5 57992.5 10662.5 58127.5 ; - RECT 10597.5 57017.5 10662.5 57152.5 ; - RECT 10295.0 57460.0 10360.0 57595.0 ; - RECT 10295.0 57460.0 10360.0 57595.0 ; - RECT 10460.0 57495.0 10525.0 57560.0 ; - RECT 10170.0 58212.5 10730.0 58277.5 ; - RECT 10170.0 56867.5 10730.0 56932.5 ; - RECT 8432.5 57460.0 8497.5 57595.0 ; - RECT 8572.5 57187.5 8637.5 57322.5 ; - RECT 9567.5 57292.5 9432.5 57357.5 ; - RECT 9117.5 58430.0 9182.5 58245.0 ; - RECT 9117.5 59590.0 9182.5 59405.0 ; - RECT 8757.5 59472.5 8822.5 59622.5 ; - RECT 8757.5 58587.5 8822.5 58212.5 ; - RECT 8947.5 59472.5 9012.5 58587.5 ; - RECT 8757.5 58587.5 8822.5 58452.5 ; - RECT 8947.5 58587.5 9012.5 58452.5 ; - RECT 8947.5 58587.5 9012.5 58452.5 ; - RECT 8757.5 58587.5 8822.5 58452.5 ; - RECT 8757.5 59472.5 8822.5 59337.5 ; - RECT 8947.5 59472.5 9012.5 59337.5 ; - RECT 8947.5 59472.5 9012.5 59337.5 ; - RECT 8757.5 59472.5 8822.5 59337.5 ; - RECT 9117.5 58497.5 9182.5 58362.5 ; - RECT 9117.5 59472.5 9182.5 59337.5 ; - RECT 8815.0 59030.0 8880.0 58895.0 ; - RECT 8815.0 59030.0 8880.0 58895.0 ; - RECT 8980.0 58995.0 9045.0 58930.0 ; - RECT 8690.0 58277.5 9250.0 58212.5 ; - RECT 8690.0 59622.5 9250.0 59557.5 ; - RECT 9317.5 59427.5 9382.5 59622.5 ; - RECT 9317.5 58587.5 9382.5 58212.5 ; - RECT 9697.5 58587.5 9762.5 58212.5 ; - RECT 9867.5 58430.0 9932.5 58245.0 ; - RECT 9867.5 59590.0 9932.5 59405.0 ; - RECT 9317.5 58587.5 9382.5 58452.5 ; - RECT 9507.5 58587.5 9572.5 58452.5 ; - RECT 9507.5 58587.5 9572.5 58452.5 ; - RECT 9317.5 58587.5 9382.5 58452.5 ; - RECT 9507.5 58587.5 9572.5 58452.5 ; - RECT 9697.5 58587.5 9762.5 58452.5 ; - RECT 9697.5 58587.5 9762.5 58452.5 ; - RECT 9507.5 58587.5 9572.5 58452.5 ; - RECT 9317.5 59427.5 9382.5 59292.5 ; - RECT 9507.5 59427.5 9572.5 59292.5 ; - RECT 9507.5 59427.5 9572.5 59292.5 ; - RECT 9317.5 59427.5 9382.5 59292.5 ; - RECT 9507.5 59427.5 9572.5 59292.5 ; - RECT 9697.5 59427.5 9762.5 59292.5 ; - RECT 9697.5 59427.5 9762.5 59292.5 ; - RECT 9507.5 59427.5 9572.5 59292.5 ; - RECT 9867.5 58497.5 9932.5 58362.5 ; - RECT 9867.5 59472.5 9932.5 59337.5 ; - RECT 9702.5 59197.5 9567.5 59132.5 ; - RECT 9445.0 58982.5 9310.0 58917.5 ; - RECT 9507.5 58587.5 9572.5 58452.5 ; - RECT 9697.5 59427.5 9762.5 59292.5 ; - RECT 9797.5 58982.5 9662.5 58917.5 ; - RECT 9310.0 58982.5 9445.0 58917.5 ; - RECT 9567.5 59197.5 9702.5 59132.5 ; - RECT 9662.5 58982.5 9797.5 58917.5 ; - RECT 9250.0 58277.5 10170.0 58212.5 ; - RECT 9250.0 59622.5 10170.0 59557.5 ; - RECT 10597.5 58430.0 10662.5 58245.0 ; - RECT 10597.5 59590.0 10662.5 59405.0 ; - RECT 10237.5 59472.5 10302.5 59622.5 ; - RECT 10237.5 58587.5 10302.5 58212.5 ; - RECT 10427.5 59472.5 10492.5 58587.5 ; - RECT 10237.5 58587.5 10302.5 58452.5 ; - RECT 10427.5 58587.5 10492.5 58452.5 ; - RECT 10427.5 58587.5 10492.5 58452.5 ; - RECT 10237.5 58587.5 10302.5 58452.5 ; - RECT 10237.5 59472.5 10302.5 59337.5 ; - RECT 10427.5 59472.5 10492.5 59337.5 ; - RECT 10427.5 59472.5 10492.5 59337.5 ; - RECT 10237.5 59472.5 10302.5 59337.5 ; - RECT 10597.5 58497.5 10662.5 58362.5 ; - RECT 10597.5 59472.5 10662.5 59337.5 ; - RECT 10295.0 59030.0 10360.0 58895.0 ; - RECT 10295.0 59030.0 10360.0 58895.0 ; - RECT 10460.0 58995.0 10525.0 58930.0 ; - RECT 10170.0 58277.5 10730.0 58212.5 ; - RECT 10170.0 59622.5 10730.0 59557.5 ; - RECT 8432.5 58895.0 8497.5 59030.0 ; - RECT 8572.5 59167.5 8637.5 59302.5 ; - RECT 9567.5 59132.5 9432.5 59197.5 ; - RECT 9117.5 60750.0 9182.5 60935.0 ; - RECT 9117.5 59590.0 9182.5 59775.0 ; - RECT 8757.5 59707.5 8822.5 59557.5 ; - RECT 8757.5 60592.5 8822.5 60967.5 ; - RECT 8947.5 59707.5 9012.5 60592.5 ; - RECT 8757.5 60592.5 8822.5 60727.5 ; - RECT 8947.5 60592.5 9012.5 60727.5 ; - RECT 8947.5 60592.5 9012.5 60727.5 ; - RECT 8757.5 60592.5 8822.5 60727.5 ; - RECT 8757.5 59707.5 8822.5 59842.5 ; - RECT 8947.5 59707.5 9012.5 59842.5 ; - RECT 8947.5 59707.5 9012.5 59842.5 ; - RECT 8757.5 59707.5 8822.5 59842.5 ; - RECT 9117.5 60682.5 9182.5 60817.5 ; - RECT 9117.5 59707.5 9182.5 59842.5 ; - RECT 8815.0 60150.0 8880.0 60285.0 ; - RECT 8815.0 60150.0 8880.0 60285.0 ; - RECT 8980.0 60185.0 9045.0 60250.0 ; - RECT 8690.0 60902.5 9250.0 60967.5 ; - RECT 8690.0 59557.5 9250.0 59622.5 ; - RECT 9317.5 59752.5 9382.5 59557.5 ; - RECT 9317.5 60592.5 9382.5 60967.5 ; - RECT 9697.5 60592.5 9762.5 60967.5 ; - RECT 9867.5 60750.0 9932.5 60935.0 ; - RECT 9867.5 59590.0 9932.5 59775.0 ; - RECT 9317.5 60592.5 9382.5 60727.5 ; - RECT 9507.5 60592.5 9572.5 60727.5 ; - RECT 9507.5 60592.5 9572.5 60727.5 ; - RECT 9317.5 60592.5 9382.5 60727.5 ; - RECT 9507.5 60592.5 9572.5 60727.5 ; - RECT 9697.5 60592.5 9762.5 60727.5 ; - RECT 9697.5 60592.5 9762.5 60727.5 ; - RECT 9507.5 60592.5 9572.5 60727.5 ; - RECT 9317.5 59752.5 9382.5 59887.5 ; - RECT 9507.5 59752.5 9572.5 59887.5 ; - RECT 9507.5 59752.5 9572.5 59887.5 ; - RECT 9317.5 59752.5 9382.5 59887.5 ; - RECT 9507.5 59752.5 9572.5 59887.5 ; - RECT 9697.5 59752.5 9762.5 59887.5 ; - RECT 9697.5 59752.5 9762.5 59887.5 ; - RECT 9507.5 59752.5 9572.5 59887.5 ; - RECT 9867.5 60682.5 9932.5 60817.5 ; - RECT 9867.5 59707.5 9932.5 59842.5 ; - RECT 9702.5 59982.5 9567.5 60047.5 ; - RECT 9445.0 60197.5 9310.0 60262.5 ; - RECT 9507.5 60592.5 9572.5 60727.5 ; - RECT 9697.5 59752.5 9762.5 59887.5 ; - RECT 9797.5 60197.5 9662.5 60262.5 ; - RECT 9310.0 60197.5 9445.0 60262.5 ; - RECT 9567.5 59982.5 9702.5 60047.5 ; - RECT 9662.5 60197.5 9797.5 60262.5 ; - RECT 9250.0 60902.5 10170.0 60967.5 ; - RECT 9250.0 59557.5 10170.0 59622.5 ; - RECT 10597.5 60750.0 10662.5 60935.0 ; - RECT 10597.5 59590.0 10662.5 59775.0 ; - RECT 10237.5 59707.5 10302.5 59557.5 ; - RECT 10237.5 60592.5 10302.5 60967.5 ; - RECT 10427.5 59707.5 10492.5 60592.5 ; - RECT 10237.5 60592.5 10302.5 60727.5 ; - RECT 10427.5 60592.5 10492.5 60727.5 ; - RECT 10427.5 60592.5 10492.5 60727.5 ; - RECT 10237.5 60592.5 10302.5 60727.5 ; - RECT 10237.5 59707.5 10302.5 59842.5 ; - RECT 10427.5 59707.5 10492.5 59842.5 ; - RECT 10427.5 59707.5 10492.5 59842.5 ; - RECT 10237.5 59707.5 10302.5 59842.5 ; - RECT 10597.5 60682.5 10662.5 60817.5 ; - RECT 10597.5 59707.5 10662.5 59842.5 ; - RECT 10295.0 60150.0 10360.0 60285.0 ; - RECT 10295.0 60150.0 10360.0 60285.0 ; - RECT 10460.0 60185.0 10525.0 60250.0 ; - RECT 10170.0 60902.5 10730.0 60967.5 ; - RECT 10170.0 59557.5 10730.0 59622.5 ; - RECT 8432.5 60150.0 8497.5 60285.0 ; - RECT 8572.5 59877.5 8637.5 60012.5 ; - RECT 9567.5 59982.5 9432.5 60047.5 ; - RECT 9117.5 61120.0 9182.5 60935.0 ; - RECT 9117.5 62280.0 9182.5 62095.0 ; - RECT 8757.5 62162.5 8822.5 62312.5 ; - RECT 8757.5 61277.5 8822.5 60902.5 ; - RECT 8947.5 62162.5 9012.5 61277.5 ; - RECT 8757.5 61277.5 8822.5 61142.5 ; - RECT 8947.5 61277.5 9012.5 61142.5 ; - RECT 8947.5 61277.5 9012.5 61142.5 ; - RECT 8757.5 61277.5 8822.5 61142.5 ; - RECT 8757.5 62162.5 8822.5 62027.5 ; - RECT 8947.5 62162.5 9012.5 62027.5 ; - RECT 8947.5 62162.5 9012.5 62027.5 ; - RECT 8757.5 62162.5 8822.5 62027.5 ; - RECT 9117.5 61187.5 9182.5 61052.5 ; - RECT 9117.5 62162.5 9182.5 62027.5 ; - RECT 8815.0 61720.0 8880.0 61585.0 ; - RECT 8815.0 61720.0 8880.0 61585.0 ; - RECT 8980.0 61685.0 9045.0 61620.0 ; - RECT 8690.0 60967.5 9250.0 60902.5 ; - RECT 8690.0 62312.5 9250.0 62247.5 ; - RECT 9317.5 62117.5 9382.5 62312.5 ; - RECT 9317.5 61277.5 9382.5 60902.5 ; - RECT 9697.5 61277.5 9762.5 60902.5 ; - RECT 9867.5 61120.0 9932.5 60935.0 ; - RECT 9867.5 62280.0 9932.5 62095.0 ; - RECT 9317.5 61277.5 9382.5 61142.5 ; - RECT 9507.5 61277.5 9572.5 61142.5 ; - RECT 9507.5 61277.5 9572.5 61142.5 ; - RECT 9317.5 61277.5 9382.5 61142.5 ; - RECT 9507.5 61277.5 9572.5 61142.5 ; - RECT 9697.5 61277.5 9762.5 61142.5 ; - RECT 9697.5 61277.5 9762.5 61142.5 ; - RECT 9507.5 61277.5 9572.5 61142.5 ; - RECT 9317.5 62117.5 9382.5 61982.5 ; - RECT 9507.5 62117.5 9572.5 61982.5 ; - RECT 9507.5 62117.5 9572.5 61982.5 ; - RECT 9317.5 62117.5 9382.5 61982.5 ; - RECT 9507.5 62117.5 9572.5 61982.5 ; - RECT 9697.5 62117.5 9762.5 61982.5 ; - RECT 9697.5 62117.5 9762.5 61982.5 ; - RECT 9507.5 62117.5 9572.5 61982.5 ; - RECT 9867.5 61187.5 9932.5 61052.5 ; - RECT 9867.5 62162.5 9932.5 62027.5 ; - RECT 9702.5 61887.5 9567.5 61822.5 ; - RECT 9445.0 61672.5 9310.0 61607.5 ; - RECT 9507.5 61277.5 9572.5 61142.5 ; - RECT 9697.5 62117.5 9762.5 61982.5 ; - RECT 9797.5 61672.5 9662.5 61607.5 ; - RECT 9310.0 61672.5 9445.0 61607.5 ; - RECT 9567.5 61887.5 9702.5 61822.5 ; - RECT 9662.5 61672.5 9797.5 61607.5 ; - RECT 9250.0 60967.5 10170.0 60902.5 ; - RECT 9250.0 62312.5 10170.0 62247.5 ; - RECT 10597.5 61120.0 10662.5 60935.0 ; - RECT 10597.5 62280.0 10662.5 62095.0 ; - RECT 10237.5 62162.5 10302.5 62312.5 ; - RECT 10237.5 61277.5 10302.5 60902.5 ; - RECT 10427.5 62162.5 10492.5 61277.5 ; - RECT 10237.5 61277.5 10302.5 61142.5 ; - RECT 10427.5 61277.5 10492.5 61142.5 ; - RECT 10427.5 61277.5 10492.5 61142.5 ; - RECT 10237.5 61277.5 10302.5 61142.5 ; - RECT 10237.5 62162.5 10302.5 62027.5 ; - RECT 10427.5 62162.5 10492.5 62027.5 ; - RECT 10427.5 62162.5 10492.5 62027.5 ; - RECT 10237.5 62162.5 10302.5 62027.5 ; - RECT 10597.5 61187.5 10662.5 61052.5 ; - RECT 10597.5 62162.5 10662.5 62027.5 ; - RECT 10295.0 61720.0 10360.0 61585.0 ; - RECT 10295.0 61720.0 10360.0 61585.0 ; - RECT 10460.0 61685.0 10525.0 61620.0 ; - RECT 10170.0 60967.5 10730.0 60902.5 ; - RECT 10170.0 62312.5 10730.0 62247.5 ; - RECT 8432.5 61585.0 8497.5 61720.0 ; - RECT 8572.5 61857.5 8637.5 61992.5 ; - RECT 9567.5 61822.5 9432.5 61887.5 ; - RECT 9117.5 63440.0 9182.5 63625.0 ; - RECT 9117.5 62280.0 9182.5 62465.0 ; - RECT 8757.5 62397.5 8822.5 62247.5 ; - RECT 8757.5 63282.5 8822.5 63657.5 ; - RECT 8947.5 62397.5 9012.5 63282.5 ; - RECT 8757.5 63282.5 8822.5 63417.5 ; - RECT 8947.5 63282.5 9012.5 63417.5 ; - RECT 8947.5 63282.5 9012.5 63417.5 ; - RECT 8757.5 63282.5 8822.5 63417.5 ; - RECT 8757.5 62397.5 8822.5 62532.5 ; - RECT 8947.5 62397.5 9012.5 62532.5 ; - RECT 8947.5 62397.5 9012.5 62532.5 ; - RECT 8757.5 62397.5 8822.5 62532.5 ; - RECT 9117.5 63372.5 9182.5 63507.5 ; - RECT 9117.5 62397.5 9182.5 62532.5 ; - RECT 8815.0 62840.0 8880.0 62975.0 ; - RECT 8815.0 62840.0 8880.0 62975.0 ; - RECT 8980.0 62875.0 9045.0 62940.0 ; - RECT 8690.0 63592.5 9250.0 63657.5 ; - RECT 8690.0 62247.5 9250.0 62312.5 ; - RECT 9317.5 62442.5 9382.5 62247.5 ; - RECT 9317.5 63282.5 9382.5 63657.5 ; - RECT 9697.5 63282.5 9762.5 63657.5 ; - RECT 9867.5 63440.0 9932.5 63625.0 ; - RECT 9867.5 62280.0 9932.5 62465.0 ; - RECT 9317.5 63282.5 9382.5 63417.5 ; - RECT 9507.5 63282.5 9572.5 63417.5 ; - RECT 9507.5 63282.5 9572.5 63417.5 ; - RECT 9317.5 63282.5 9382.5 63417.5 ; - RECT 9507.5 63282.5 9572.5 63417.5 ; - RECT 9697.5 63282.5 9762.5 63417.5 ; - RECT 9697.5 63282.5 9762.5 63417.5 ; - RECT 9507.5 63282.5 9572.5 63417.5 ; - RECT 9317.5 62442.5 9382.5 62577.5 ; - RECT 9507.5 62442.5 9572.5 62577.5 ; - RECT 9507.5 62442.5 9572.5 62577.5 ; - RECT 9317.5 62442.5 9382.5 62577.5 ; - RECT 9507.5 62442.5 9572.5 62577.5 ; - RECT 9697.5 62442.5 9762.5 62577.5 ; - RECT 9697.5 62442.5 9762.5 62577.5 ; - RECT 9507.5 62442.5 9572.5 62577.5 ; - RECT 9867.5 63372.5 9932.5 63507.5 ; - RECT 9867.5 62397.5 9932.5 62532.5 ; - RECT 9702.5 62672.5 9567.5 62737.5 ; - RECT 9445.0 62887.5 9310.0 62952.5 ; - RECT 9507.5 63282.5 9572.5 63417.5 ; - RECT 9697.5 62442.5 9762.5 62577.5 ; - RECT 9797.5 62887.5 9662.5 62952.5 ; - RECT 9310.0 62887.5 9445.0 62952.5 ; - RECT 9567.5 62672.5 9702.5 62737.5 ; - RECT 9662.5 62887.5 9797.5 62952.5 ; - RECT 9250.0 63592.5 10170.0 63657.5 ; - RECT 9250.0 62247.5 10170.0 62312.5 ; - RECT 10597.5 63440.0 10662.5 63625.0 ; - RECT 10597.5 62280.0 10662.5 62465.0 ; - RECT 10237.5 62397.5 10302.5 62247.5 ; - RECT 10237.5 63282.5 10302.5 63657.5 ; - RECT 10427.5 62397.5 10492.5 63282.5 ; - RECT 10237.5 63282.5 10302.5 63417.5 ; - RECT 10427.5 63282.5 10492.5 63417.5 ; - RECT 10427.5 63282.5 10492.5 63417.5 ; - RECT 10237.5 63282.5 10302.5 63417.5 ; - RECT 10237.5 62397.5 10302.5 62532.5 ; - RECT 10427.5 62397.5 10492.5 62532.5 ; - RECT 10427.5 62397.5 10492.5 62532.5 ; - RECT 10237.5 62397.5 10302.5 62532.5 ; - RECT 10597.5 63372.5 10662.5 63507.5 ; - RECT 10597.5 62397.5 10662.5 62532.5 ; - RECT 10295.0 62840.0 10360.0 62975.0 ; - RECT 10295.0 62840.0 10360.0 62975.0 ; - RECT 10460.0 62875.0 10525.0 62940.0 ; - RECT 10170.0 63592.5 10730.0 63657.5 ; - RECT 10170.0 62247.5 10730.0 62312.5 ; - RECT 8432.5 62840.0 8497.5 62975.0 ; - RECT 8572.5 62567.5 8637.5 62702.5 ; - RECT 9567.5 62672.5 9432.5 62737.5 ; - RECT 9117.5 63810.0 9182.5 63625.0 ; - RECT 9117.5 64970.0 9182.5 64785.0 ; - RECT 8757.5 64852.5 8822.5 65002.5 ; - RECT 8757.5 63967.5 8822.5 63592.5 ; - RECT 8947.5 64852.5 9012.5 63967.5 ; - RECT 8757.5 63967.5 8822.5 63832.5 ; - RECT 8947.5 63967.5 9012.5 63832.5 ; - RECT 8947.5 63967.5 9012.5 63832.5 ; - RECT 8757.5 63967.5 8822.5 63832.5 ; - RECT 8757.5 64852.5 8822.5 64717.5 ; - RECT 8947.5 64852.5 9012.5 64717.5 ; - RECT 8947.5 64852.5 9012.5 64717.5 ; - RECT 8757.5 64852.5 8822.5 64717.5 ; - RECT 9117.5 63877.5 9182.5 63742.5 ; - RECT 9117.5 64852.5 9182.5 64717.5 ; - RECT 8815.0 64410.0 8880.0 64275.0 ; - RECT 8815.0 64410.0 8880.0 64275.0 ; - RECT 8980.0 64375.0 9045.0 64310.0 ; - RECT 8690.0 63657.5 9250.0 63592.5 ; - RECT 8690.0 65002.5 9250.0 64937.5 ; - RECT 9317.5 64807.5 9382.5 65002.5 ; - RECT 9317.5 63967.5 9382.5 63592.5 ; - RECT 9697.5 63967.5 9762.5 63592.5 ; - RECT 9867.5 63810.0 9932.5 63625.0 ; - RECT 9867.5 64970.0 9932.5 64785.0 ; - RECT 9317.5 63967.5 9382.5 63832.5 ; - RECT 9507.5 63967.5 9572.5 63832.5 ; - RECT 9507.5 63967.5 9572.5 63832.5 ; - RECT 9317.5 63967.5 9382.5 63832.5 ; - RECT 9507.5 63967.5 9572.5 63832.5 ; - RECT 9697.5 63967.5 9762.5 63832.5 ; - RECT 9697.5 63967.5 9762.5 63832.5 ; - RECT 9507.5 63967.5 9572.5 63832.5 ; - RECT 9317.5 64807.5 9382.5 64672.5 ; - RECT 9507.5 64807.5 9572.5 64672.5 ; - RECT 9507.5 64807.5 9572.5 64672.5 ; - RECT 9317.5 64807.5 9382.5 64672.5 ; - RECT 9507.5 64807.5 9572.5 64672.5 ; - RECT 9697.5 64807.5 9762.5 64672.5 ; - RECT 9697.5 64807.5 9762.5 64672.5 ; - RECT 9507.5 64807.5 9572.5 64672.5 ; - RECT 9867.5 63877.5 9932.5 63742.5 ; - RECT 9867.5 64852.5 9932.5 64717.5 ; - RECT 9702.5 64577.5 9567.5 64512.5 ; - RECT 9445.0 64362.5 9310.0 64297.5 ; - RECT 9507.5 63967.5 9572.5 63832.5 ; - RECT 9697.5 64807.5 9762.5 64672.5 ; - RECT 9797.5 64362.5 9662.5 64297.5 ; - RECT 9310.0 64362.5 9445.0 64297.5 ; - RECT 9567.5 64577.5 9702.5 64512.5 ; - RECT 9662.5 64362.5 9797.5 64297.5 ; - RECT 9250.0 63657.5 10170.0 63592.5 ; - RECT 9250.0 65002.5 10170.0 64937.5 ; - RECT 10597.5 63810.0 10662.5 63625.0 ; - RECT 10597.5 64970.0 10662.5 64785.0 ; - RECT 10237.5 64852.5 10302.5 65002.5 ; - RECT 10237.5 63967.5 10302.5 63592.5 ; - RECT 10427.5 64852.5 10492.5 63967.5 ; - RECT 10237.5 63967.5 10302.5 63832.5 ; - RECT 10427.5 63967.5 10492.5 63832.5 ; - RECT 10427.5 63967.5 10492.5 63832.5 ; - RECT 10237.5 63967.5 10302.5 63832.5 ; - RECT 10237.5 64852.5 10302.5 64717.5 ; - RECT 10427.5 64852.5 10492.5 64717.5 ; - RECT 10427.5 64852.5 10492.5 64717.5 ; - RECT 10237.5 64852.5 10302.5 64717.5 ; - RECT 10597.5 63877.5 10662.5 63742.5 ; - RECT 10597.5 64852.5 10662.5 64717.5 ; - RECT 10295.0 64410.0 10360.0 64275.0 ; - RECT 10295.0 64410.0 10360.0 64275.0 ; - RECT 10460.0 64375.0 10525.0 64310.0 ; - RECT 10170.0 63657.5 10730.0 63592.5 ; - RECT 10170.0 65002.5 10730.0 64937.5 ; - RECT 8432.5 64275.0 8497.5 64410.0 ; - RECT 8572.5 64547.5 8637.5 64682.5 ; - RECT 9567.5 64512.5 9432.5 64577.5 ; - RECT 9117.5 66130.0 9182.5 66315.0 ; - RECT 9117.5 64970.0 9182.5 65155.0 ; - RECT 8757.5 65087.5 8822.5 64937.5 ; - RECT 8757.5 65972.5 8822.5 66347.5 ; - RECT 8947.5 65087.5 9012.5 65972.5 ; - RECT 8757.5 65972.5 8822.5 66107.5 ; - RECT 8947.5 65972.5 9012.5 66107.5 ; - RECT 8947.5 65972.5 9012.5 66107.5 ; - RECT 8757.5 65972.5 8822.5 66107.5 ; - RECT 8757.5 65087.5 8822.5 65222.5 ; - RECT 8947.5 65087.5 9012.5 65222.5 ; - RECT 8947.5 65087.5 9012.5 65222.5 ; - RECT 8757.5 65087.5 8822.5 65222.5 ; - RECT 9117.5 66062.5 9182.5 66197.5 ; - RECT 9117.5 65087.5 9182.5 65222.5 ; - RECT 8815.0 65530.0 8880.0 65665.0 ; - RECT 8815.0 65530.0 8880.0 65665.0 ; - RECT 8980.0 65565.0 9045.0 65630.0 ; - RECT 8690.0 66282.5 9250.0 66347.5 ; - RECT 8690.0 64937.5 9250.0 65002.5 ; - RECT 9317.5 65132.5 9382.5 64937.5 ; - RECT 9317.5 65972.5 9382.5 66347.5 ; - RECT 9697.5 65972.5 9762.5 66347.5 ; - RECT 9867.5 66130.0 9932.5 66315.0 ; - RECT 9867.5 64970.0 9932.5 65155.0 ; - RECT 9317.5 65972.5 9382.5 66107.5 ; - RECT 9507.5 65972.5 9572.5 66107.5 ; - RECT 9507.5 65972.5 9572.5 66107.5 ; - RECT 9317.5 65972.5 9382.5 66107.5 ; - RECT 9507.5 65972.5 9572.5 66107.5 ; - RECT 9697.5 65972.5 9762.5 66107.5 ; - RECT 9697.5 65972.5 9762.5 66107.5 ; - RECT 9507.5 65972.5 9572.5 66107.5 ; - RECT 9317.5 65132.5 9382.5 65267.5 ; - RECT 9507.5 65132.5 9572.5 65267.5 ; - RECT 9507.5 65132.5 9572.5 65267.5 ; - RECT 9317.5 65132.5 9382.5 65267.5 ; - RECT 9507.5 65132.5 9572.5 65267.5 ; - RECT 9697.5 65132.5 9762.5 65267.5 ; - RECT 9697.5 65132.5 9762.5 65267.5 ; - RECT 9507.5 65132.5 9572.5 65267.5 ; - RECT 9867.5 66062.5 9932.5 66197.5 ; - RECT 9867.5 65087.5 9932.5 65222.5 ; - RECT 9702.5 65362.5 9567.5 65427.5 ; - RECT 9445.0 65577.5 9310.0 65642.5 ; - RECT 9507.5 65972.5 9572.5 66107.5 ; - RECT 9697.5 65132.5 9762.5 65267.5 ; - RECT 9797.5 65577.5 9662.5 65642.5 ; - RECT 9310.0 65577.5 9445.0 65642.5 ; - RECT 9567.5 65362.5 9702.5 65427.5 ; - RECT 9662.5 65577.5 9797.5 65642.5 ; - RECT 9250.0 66282.5 10170.0 66347.5 ; - RECT 9250.0 64937.5 10170.0 65002.5 ; - RECT 10597.5 66130.0 10662.5 66315.0 ; - RECT 10597.5 64970.0 10662.5 65155.0 ; - RECT 10237.5 65087.5 10302.5 64937.5 ; - RECT 10237.5 65972.5 10302.5 66347.5 ; - RECT 10427.5 65087.5 10492.5 65972.5 ; - RECT 10237.5 65972.5 10302.5 66107.5 ; - RECT 10427.5 65972.5 10492.5 66107.5 ; - RECT 10427.5 65972.5 10492.5 66107.5 ; - RECT 10237.5 65972.5 10302.5 66107.5 ; - RECT 10237.5 65087.5 10302.5 65222.5 ; - RECT 10427.5 65087.5 10492.5 65222.5 ; - RECT 10427.5 65087.5 10492.5 65222.5 ; - RECT 10237.5 65087.5 10302.5 65222.5 ; - RECT 10597.5 66062.5 10662.5 66197.5 ; - RECT 10597.5 65087.5 10662.5 65222.5 ; - RECT 10295.0 65530.0 10360.0 65665.0 ; - RECT 10295.0 65530.0 10360.0 65665.0 ; - RECT 10460.0 65565.0 10525.0 65630.0 ; - RECT 10170.0 66282.5 10730.0 66347.5 ; - RECT 10170.0 64937.5 10730.0 65002.5 ; - RECT 8432.5 65530.0 8497.5 65665.0 ; - RECT 8572.5 65257.5 8637.5 65392.5 ; - RECT 9567.5 65362.5 9432.5 65427.5 ; - RECT 9117.5 66500.0 9182.5 66315.0 ; - RECT 9117.5 67660.0 9182.5 67475.0 ; - RECT 8757.5 67542.5 8822.5 67692.5 ; - RECT 8757.5 66657.5 8822.5 66282.5 ; - RECT 8947.5 67542.5 9012.5 66657.5 ; - RECT 8757.5 66657.5 8822.5 66522.5 ; - RECT 8947.5 66657.5 9012.5 66522.5 ; - RECT 8947.5 66657.5 9012.5 66522.5 ; - RECT 8757.5 66657.5 8822.5 66522.5 ; - RECT 8757.5 67542.5 8822.5 67407.5 ; - RECT 8947.5 67542.5 9012.5 67407.5 ; - RECT 8947.5 67542.5 9012.5 67407.5 ; - RECT 8757.5 67542.5 8822.5 67407.5 ; - RECT 9117.5 66567.5 9182.5 66432.5 ; - RECT 9117.5 67542.5 9182.5 67407.5 ; - RECT 8815.0 67100.0 8880.0 66965.0 ; - RECT 8815.0 67100.0 8880.0 66965.0 ; - RECT 8980.0 67065.0 9045.0 67000.0 ; - RECT 8690.0 66347.5 9250.0 66282.5 ; - RECT 8690.0 67692.5 9250.0 67627.5 ; - RECT 9317.5 67497.5 9382.5 67692.5 ; - RECT 9317.5 66657.5 9382.5 66282.5 ; - RECT 9697.5 66657.5 9762.5 66282.5 ; - RECT 9867.5 66500.0 9932.5 66315.0 ; - RECT 9867.5 67660.0 9932.5 67475.0 ; - RECT 9317.5 66657.5 9382.5 66522.5 ; - RECT 9507.5 66657.5 9572.5 66522.5 ; - RECT 9507.5 66657.5 9572.5 66522.5 ; - RECT 9317.5 66657.5 9382.5 66522.5 ; - RECT 9507.5 66657.5 9572.5 66522.5 ; - RECT 9697.5 66657.5 9762.5 66522.5 ; - RECT 9697.5 66657.5 9762.5 66522.5 ; - RECT 9507.5 66657.5 9572.5 66522.5 ; - RECT 9317.5 67497.5 9382.5 67362.5 ; - RECT 9507.5 67497.5 9572.5 67362.5 ; - RECT 9507.5 67497.5 9572.5 67362.5 ; - RECT 9317.5 67497.5 9382.5 67362.5 ; - RECT 9507.5 67497.5 9572.5 67362.5 ; - RECT 9697.5 67497.5 9762.5 67362.5 ; - RECT 9697.5 67497.5 9762.5 67362.5 ; - RECT 9507.5 67497.5 9572.5 67362.5 ; - RECT 9867.5 66567.5 9932.5 66432.5 ; - RECT 9867.5 67542.5 9932.5 67407.5 ; - RECT 9702.5 67267.5 9567.5 67202.5 ; - RECT 9445.0 67052.5 9310.0 66987.5 ; - RECT 9507.5 66657.5 9572.5 66522.5 ; - RECT 9697.5 67497.5 9762.5 67362.5 ; - RECT 9797.5 67052.5 9662.5 66987.5 ; - RECT 9310.0 67052.5 9445.0 66987.5 ; - RECT 9567.5 67267.5 9702.5 67202.5 ; - RECT 9662.5 67052.5 9797.5 66987.5 ; - RECT 9250.0 66347.5 10170.0 66282.5 ; - RECT 9250.0 67692.5 10170.0 67627.5 ; - RECT 10597.5 66500.0 10662.5 66315.0 ; - RECT 10597.5 67660.0 10662.5 67475.0 ; - RECT 10237.5 67542.5 10302.5 67692.5 ; - RECT 10237.5 66657.5 10302.5 66282.5 ; - RECT 10427.5 67542.5 10492.5 66657.5 ; - RECT 10237.5 66657.5 10302.5 66522.5 ; - RECT 10427.5 66657.5 10492.5 66522.5 ; - RECT 10427.5 66657.5 10492.5 66522.5 ; - RECT 10237.5 66657.5 10302.5 66522.5 ; - RECT 10237.5 67542.5 10302.5 67407.5 ; - RECT 10427.5 67542.5 10492.5 67407.5 ; - RECT 10427.5 67542.5 10492.5 67407.5 ; - RECT 10237.5 67542.5 10302.5 67407.5 ; - RECT 10597.5 66567.5 10662.5 66432.5 ; - RECT 10597.5 67542.5 10662.5 67407.5 ; - RECT 10295.0 67100.0 10360.0 66965.0 ; - RECT 10295.0 67100.0 10360.0 66965.0 ; - RECT 10460.0 67065.0 10525.0 67000.0 ; - RECT 10170.0 66347.5 10730.0 66282.5 ; - RECT 10170.0 67692.5 10730.0 67627.5 ; - RECT 8432.5 66965.0 8497.5 67100.0 ; - RECT 8572.5 67237.5 8637.5 67372.5 ; - RECT 9567.5 67202.5 9432.5 67267.5 ; - RECT 9117.5 68820.0 9182.5 69005.0 ; - RECT 9117.5 67660.0 9182.5 67845.0 ; - RECT 8757.5 67777.5 8822.5 67627.5 ; - RECT 8757.5 68662.5 8822.5 69037.5 ; - RECT 8947.5 67777.5 9012.5 68662.5 ; - RECT 8757.5 68662.5 8822.5 68797.5 ; - RECT 8947.5 68662.5 9012.5 68797.5 ; - RECT 8947.5 68662.5 9012.5 68797.5 ; - RECT 8757.5 68662.5 8822.5 68797.5 ; - RECT 8757.5 67777.5 8822.5 67912.5 ; - RECT 8947.5 67777.5 9012.5 67912.5 ; - RECT 8947.5 67777.5 9012.5 67912.5 ; - RECT 8757.5 67777.5 8822.5 67912.5 ; - RECT 9117.5 68752.5 9182.5 68887.5 ; - RECT 9117.5 67777.5 9182.5 67912.5 ; - RECT 8815.0 68220.0 8880.0 68355.0 ; - RECT 8815.0 68220.0 8880.0 68355.0 ; - RECT 8980.0 68255.0 9045.0 68320.0 ; - RECT 8690.0 68972.5 9250.0 69037.5 ; - RECT 8690.0 67627.5 9250.0 67692.5 ; - RECT 9317.5 67822.5 9382.5 67627.5 ; - RECT 9317.5 68662.5 9382.5 69037.5 ; - RECT 9697.5 68662.5 9762.5 69037.5 ; - RECT 9867.5 68820.0 9932.5 69005.0 ; - RECT 9867.5 67660.0 9932.5 67845.0 ; - RECT 9317.5 68662.5 9382.5 68797.5 ; - RECT 9507.5 68662.5 9572.5 68797.5 ; - RECT 9507.5 68662.5 9572.5 68797.5 ; - RECT 9317.5 68662.5 9382.5 68797.5 ; - RECT 9507.5 68662.5 9572.5 68797.5 ; - RECT 9697.5 68662.5 9762.5 68797.5 ; - RECT 9697.5 68662.5 9762.5 68797.5 ; - RECT 9507.5 68662.5 9572.5 68797.5 ; - RECT 9317.5 67822.5 9382.5 67957.5 ; - RECT 9507.5 67822.5 9572.5 67957.5 ; - RECT 9507.5 67822.5 9572.5 67957.5 ; - RECT 9317.5 67822.5 9382.5 67957.5 ; - RECT 9507.5 67822.5 9572.5 67957.5 ; - RECT 9697.5 67822.5 9762.5 67957.5 ; - RECT 9697.5 67822.5 9762.5 67957.5 ; - RECT 9507.5 67822.5 9572.5 67957.5 ; - RECT 9867.5 68752.5 9932.5 68887.5 ; - RECT 9867.5 67777.5 9932.5 67912.5 ; - RECT 9702.5 68052.5 9567.5 68117.5 ; - RECT 9445.0 68267.5 9310.0 68332.5 ; - RECT 9507.5 68662.5 9572.5 68797.5 ; - RECT 9697.5 67822.5 9762.5 67957.5 ; - RECT 9797.5 68267.5 9662.5 68332.5 ; - RECT 9310.0 68267.5 9445.0 68332.5 ; - RECT 9567.5 68052.5 9702.5 68117.5 ; - RECT 9662.5 68267.5 9797.5 68332.5 ; - RECT 9250.0 68972.5 10170.0 69037.5 ; - RECT 9250.0 67627.5 10170.0 67692.5 ; - RECT 10597.5 68820.0 10662.5 69005.0 ; - RECT 10597.5 67660.0 10662.5 67845.0 ; - RECT 10237.5 67777.5 10302.5 67627.5 ; - RECT 10237.5 68662.5 10302.5 69037.5 ; - RECT 10427.5 67777.5 10492.5 68662.5 ; - RECT 10237.5 68662.5 10302.5 68797.5 ; - RECT 10427.5 68662.5 10492.5 68797.5 ; - RECT 10427.5 68662.5 10492.5 68797.5 ; - RECT 10237.5 68662.5 10302.5 68797.5 ; - RECT 10237.5 67777.5 10302.5 67912.5 ; - RECT 10427.5 67777.5 10492.5 67912.5 ; - RECT 10427.5 67777.5 10492.5 67912.5 ; - RECT 10237.5 67777.5 10302.5 67912.5 ; - RECT 10597.5 68752.5 10662.5 68887.5 ; - RECT 10597.5 67777.5 10662.5 67912.5 ; - RECT 10295.0 68220.0 10360.0 68355.0 ; - RECT 10295.0 68220.0 10360.0 68355.0 ; - RECT 10460.0 68255.0 10525.0 68320.0 ; - RECT 10170.0 68972.5 10730.0 69037.5 ; - RECT 10170.0 67627.5 10730.0 67692.5 ; - RECT 8432.5 68220.0 8497.5 68355.0 ; - RECT 8572.5 67947.5 8637.5 68082.5 ; - RECT 9567.5 68052.5 9432.5 68117.5 ; - RECT 9117.5 69190.0 9182.5 69005.0 ; - RECT 9117.5 70350.0 9182.5 70165.0 ; - RECT 8757.5 70232.5 8822.5 70382.5 ; - RECT 8757.5 69347.5 8822.5 68972.5 ; - RECT 8947.5 70232.5 9012.5 69347.5 ; - RECT 8757.5 69347.5 8822.5 69212.5 ; - RECT 8947.5 69347.5 9012.5 69212.5 ; - RECT 8947.5 69347.5 9012.5 69212.5 ; - RECT 8757.5 69347.5 8822.5 69212.5 ; - RECT 8757.5 70232.5 8822.5 70097.5 ; - RECT 8947.5 70232.5 9012.5 70097.5 ; - RECT 8947.5 70232.5 9012.5 70097.5 ; - RECT 8757.5 70232.5 8822.5 70097.5 ; - RECT 9117.5 69257.5 9182.5 69122.5 ; - RECT 9117.5 70232.5 9182.5 70097.5 ; - RECT 8815.0 69790.0 8880.0 69655.0 ; - RECT 8815.0 69790.0 8880.0 69655.0 ; - RECT 8980.0 69755.0 9045.0 69690.0 ; - RECT 8690.0 69037.5 9250.0 68972.5 ; - RECT 8690.0 70382.5 9250.0 70317.5 ; - RECT 9317.5 70187.5 9382.5 70382.5 ; - RECT 9317.5 69347.5 9382.5 68972.5 ; - RECT 9697.5 69347.5 9762.5 68972.5 ; - RECT 9867.5 69190.0 9932.5 69005.0 ; - RECT 9867.5 70350.0 9932.5 70165.0 ; - RECT 9317.5 69347.5 9382.5 69212.5 ; - RECT 9507.5 69347.5 9572.5 69212.5 ; - RECT 9507.5 69347.5 9572.5 69212.5 ; - RECT 9317.5 69347.5 9382.5 69212.5 ; - RECT 9507.5 69347.5 9572.5 69212.5 ; - RECT 9697.5 69347.5 9762.5 69212.5 ; - RECT 9697.5 69347.5 9762.5 69212.5 ; - RECT 9507.5 69347.5 9572.5 69212.5 ; - RECT 9317.5 70187.5 9382.5 70052.5 ; - RECT 9507.5 70187.5 9572.5 70052.5 ; - RECT 9507.5 70187.5 9572.5 70052.5 ; - RECT 9317.5 70187.5 9382.5 70052.5 ; - RECT 9507.5 70187.5 9572.5 70052.5 ; - RECT 9697.5 70187.5 9762.5 70052.5 ; - RECT 9697.5 70187.5 9762.5 70052.5 ; - RECT 9507.5 70187.5 9572.5 70052.5 ; - RECT 9867.5 69257.5 9932.5 69122.5 ; - RECT 9867.5 70232.5 9932.5 70097.5 ; - RECT 9702.5 69957.5 9567.5 69892.5 ; - RECT 9445.0 69742.5 9310.0 69677.5 ; - RECT 9507.5 69347.5 9572.5 69212.5 ; - RECT 9697.5 70187.5 9762.5 70052.5 ; - RECT 9797.5 69742.5 9662.5 69677.5 ; - RECT 9310.0 69742.5 9445.0 69677.5 ; - RECT 9567.5 69957.5 9702.5 69892.5 ; - RECT 9662.5 69742.5 9797.5 69677.5 ; - RECT 9250.0 69037.5 10170.0 68972.5 ; - RECT 9250.0 70382.5 10170.0 70317.5 ; - RECT 10597.5 69190.0 10662.5 69005.0 ; - RECT 10597.5 70350.0 10662.5 70165.0 ; - RECT 10237.5 70232.5 10302.5 70382.5 ; - RECT 10237.5 69347.5 10302.5 68972.5 ; - RECT 10427.5 70232.5 10492.5 69347.5 ; - RECT 10237.5 69347.5 10302.5 69212.5 ; - RECT 10427.5 69347.5 10492.5 69212.5 ; - RECT 10427.5 69347.5 10492.5 69212.5 ; - RECT 10237.5 69347.5 10302.5 69212.5 ; - RECT 10237.5 70232.5 10302.5 70097.5 ; - RECT 10427.5 70232.5 10492.5 70097.5 ; - RECT 10427.5 70232.5 10492.5 70097.5 ; - RECT 10237.5 70232.5 10302.5 70097.5 ; - RECT 10597.5 69257.5 10662.5 69122.5 ; - RECT 10597.5 70232.5 10662.5 70097.5 ; - RECT 10295.0 69790.0 10360.0 69655.0 ; - RECT 10295.0 69790.0 10360.0 69655.0 ; - RECT 10460.0 69755.0 10525.0 69690.0 ; - RECT 10170.0 69037.5 10730.0 68972.5 ; - RECT 10170.0 70382.5 10730.0 70317.5 ; - RECT 8432.5 69655.0 8497.5 69790.0 ; - RECT 8572.5 69927.5 8637.5 70062.5 ; - RECT 9567.5 69892.5 9432.5 69957.5 ; - RECT 8235.0 27632.5 8605.0 27697.5 ; - RECT 8235.0 29612.5 8605.0 29677.5 ; - RECT 8235.0 30322.5 8605.0 30387.5 ; - RECT 8235.0 32302.5 8605.0 32367.5 ; - RECT 8235.0 33012.5 8605.0 33077.5 ; - RECT 8235.0 34992.5 8605.0 35057.5 ; - RECT 8235.0 35702.5 8605.0 35767.5 ; - RECT 8235.0 37682.5 8605.0 37747.5 ; - RECT 8235.0 38392.5 8605.0 38457.5 ; - RECT 8235.0 40372.5 8605.0 40437.5 ; - RECT 8235.0 41082.5 8605.0 41147.5 ; - RECT 8235.0 43062.5 8605.0 43127.5 ; - RECT 8235.0 43772.5 8605.0 43837.5 ; - RECT 8235.0 45752.5 8605.0 45817.5 ; - RECT 8235.0 46462.5 8605.0 46527.5 ; - RECT 8235.0 48442.5 8605.0 48507.5 ; - RECT 8235.0 49152.5 8605.0 49217.5 ; - RECT 8235.0 51132.5 8605.0 51197.5 ; - RECT 8235.0 51842.5 8605.0 51907.5 ; - RECT 8235.0 53822.5 8605.0 53887.5 ; - RECT 8235.0 54532.5 8605.0 54597.5 ; - RECT 8235.0 56512.5 8605.0 56577.5 ; - RECT 8235.0 57222.5 8605.0 57287.5 ; - RECT 8235.0 59202.5 8605.0 59267.5 ; - RECT 8235.0 59912.5 8605.0 59977.5 ; - RECT 8235.0 61892.5 8605.0 61957.5 ; - RECT 8235.0 62602.5 8605.0 62667.5 ; - RECT 8235.0 64582.5 8605.0 64647.5 ; - RECT 8235.0 65292.5 8605.0 65357.5 ; - RECT 8235.0 67272.5 8605.0 67337.5 ; - RECT 8235.0 67982.5 8605.0 68047.5 ; - RECT 8235.0 69962.5 8605.0 70027.5 ; - RECT 10460.0 27905.0 10525.0 27970.0 ; - RECT 10460.0 29340.0 10525.0 29405.0 ; - RECT 10460.0 30595.0 10525.0 30660.0 ; - RECT 10460.0 32030.0 10525.0 32095.0 ; - RECT 10460.0 33285.0 10525.0 33350.0 ; - RECT 10460.0 34720.0 10525.0 34785.0 ; - RECT 10460.0 35975.0 10525.0 36040.0 ; - RECT 10460.0 37410.0 10525.0 37475.0 ; - RECT 10460.0 38665.0 10525.0 38730.0 ; - RECT 10460.0 40100.0 10525.0 40165.0 ; - RECT 10460.0 41355.0 10525.0 41420.0 ; - RECT 10460.0 42790.0 10525.0 42855.0 ; - RECT 10460.0 44045.0 10525.0 44110.0 ; - RECT 10460.0 45480.0 10525.0 45545.0 ; - RECT 10460.0 46735.0 10525.0 46800.0 ; - RECT 10460.0 48170.0 10525.0 48235.0 ; - RECT 10460.0 49425.0 10525.0 49490.0 ; - RECT 10460.0 50860.0 10525.0 50925.0 ; - RECT 10460.0 52115.0 10525.0 52180.0 ; - RECT 10460.0 53550.0 10525.0 53615.0 ; - RECT 10460.0 54805.0 10525.0 54870.0 ; - RECT 10460.0 56240.0 10525.0 56305.0 ; - RECT 10460.0 57495.0 10525.0 57560.0 ; - RECT 10460.0 58930.0 10525.0 58995.0 ; - RECT 10460.0 60185.0 10525.0 60250.0 ; - RECT 10460.0 61620.0 10525.0 61685.0 ; - RECT 10460.0 62875.0 10525.0 62940.0 ; - RECT 10460.0 64310.0 10525.0 64375.0 ; - RECT 10460.0 65565.0 10525.0 65630.0 ; - RECT 10460.0 67000.0 10525.0 67065.0 ; - RECT 10460.0 68255.0 10525.0 68320.0 ; - RECT 10460.0 69690.0 10525.0 69755.0 ; - RECT 8235.0 28622.5 8690.0 28687.5 ; - RECT 8235.0 31312.5 8690.0 31377.5 ; - RECT 8235.0 34002.5 8690.0 34067.5 ; - RECT 8235.0 36692.5 8690.0 36757.5 ; - RECT 8235.0 39382.5 8690.0 39447.5 ; - RECT 8235.0 42072.5 8690.0 42137.5 ; - RECT 8235.0 44762.5 8690.0 44827.5 ; - RECT 8235.0 47452.5 8690.0 47517.5 ; - RECT 8235.0 50142.5 8690.0 50207.5 ; - RECT 8235.0 52832.5 8690.0 52897.5 ; - RECT 8235.0 55522.5 8690.0 55587.5 ; - RECT 8235.0 58212.5 8690.0 58277.5 ; - RECT 8235.0 60902.5 8690.0 60967.5 ; - RECT 8235.0 63592.5 8690.0 63657.5 ; - RECT 8235.0 66282.5 8690.0 66347.5 ; - RECT 8235.0 68972.5 8690.0 69037.5 ; - RECT 8235.0 27277.5 8690.0 27342.5 ; - RECT 8235.0 29967.5 8690.0 30032.5 ; - RECT 8235.0 32657.5 8690.0 32722.5 ; - RECT 8235.0 35347.5 8690.0 35412.5 ; - RECT 8235.0 38037.5 8690.0 38102.5 ; - RECT 8235.0 40727.5 8690.0 40792.5 ; - RECT 8235.0 43417.5 8690.0 43482.5 ; - RECT 8235.0 46107.5 8690.0 46172.5 ; - RECT 8235.0 48797.5 8690.0 48862.5 ; - RECT 8235.0 51487.5 8690.0 51552.5 ; - RECT 8235.0 54177.5 8690.0 54242.5 ; - RECT 8235.0 56867.5 8690.0 56932.5 ; - RECT 8235.0 59557.5 8690.0 59622.5 ; - RECT 8235.0 62247.5 8690.0 62312.5 ; - RECT 8235.0 64937.5 8690.0 65002.5 ; - RECT 8235.0 67627.5 8690.0 67692.5 ; - RECT 8235.0 70317.5 8690.0 70382.5 ; - RECT 4655.0 10760.0 11095.0 10055.0 ; - RECT 4655.0 9350.0 11095.0 10055.0 ; - RECT 4655.0 9350.0 11095.0 8645.0 ; - RECT 4655.0 7940.0 11095.0 8645.0 ; - RECT 4655.0 7940.0 11095.0 7235.0 ; - RECT 4655.0 6530.0 11095.0 7235.0 ; - RECT 4655.0 6530.0 11095.0 5825.0 ; - RECT 4860.0 10760.0 4925.0 5825.0 ; - RECT 7865.0 10760.0 7930.0 5825.0 ; - RECT 10825.0 10760.0 10890.0 5825.0 ; - RECT 5875.0 10760.0 5940.0 5825.0 ; - RECT 8835.0 10760.0 8900.0 5825.0 ; - RECT 5020.0 10760.0 5085.0 5825.0 ; - RECT 13992.5 27342.5 14127.5 27277.5 ; - RECT 13992.5 30032.5 14127.5 29967.5 ; - RECT 13992.5 32722.5 14127.5 32657.5 ; - RECT 13992.5 35412.5 14127.5 35347.5 ; - RECT 13992.5 38102.5 14127.5 38037.5 ; - RECT 13992.5 40792.5 14127.5 40727.5 ; - RECT 13992.5 43482.5 14127.5 43417.5 ; - RECT 13992.5 46172.5 14127.5 46107.5 ; - RECT 13992.5 48862.5 14127.5 48797.5 ; - RECT 13992.5 51552.5 14127.5 51487.5 ; - RECT 13992.5 54242.5 14127.5 54177.5 ; - RECT 13992.5 56932.5 14127.5 56867.5 ; - RECT 13992.5 59622.5 14127.5 59557.5 ; - RECT 13992.5 62312.5 14127.5 62247.5 ; - RECT 13992.5 65002.5 14127.5 64937.5 ; - RECT 13992.5 67692.5 14127.5 67627.5 ; - RECT 13992.5 70382.5 14127.5 70317.5 ; - RECT 10720.0 11342.5 10585.0 11407.5 ; - RECT 12045.0 11342.5 11910.0 11407.5 ; - RECT 10445.0 12687.5 10310.0 12752.5 ; - RECT 12250.0 12687.5 12115.0 12752.5 ; - RECT 11635.0 16722.5 11500.0 16787.5 ; - RECT 12455.0 16722.5 12320.0 16787.5 ; - RECT 11360.0 18067.5 11225.0 18132.5 ; - RECT 12660.0 18067.5 12525.0 18132.5 ; - RECT 11085.0 19412.5 10950.0 19477.5 ; - RECT 12865.0 19412.5 12730.0 19477.5 ; - RECT 11840.0 11137.5 11705.0 11202.5 ; - RECT 11840.0 13827.5 11705.0 13892.5 ; - RECT 11840.0 16517.5 11705.0 16582.5 ; - RECT 11840.0 19207.5 11705.0 19272.5 ; - RECT 11840.0 21897.5 11705.0 21962.5 ; - RECT 11840.0 24587.5 11705.0 24652.5 ; - RECT 13070.0 25307.5 12935.0 25372.5 ; - RECT 13275.0 25167.5 13140.0 25232.5 ; - RECT 13480.0 25027.5 13345.0 25092.5 ; - RECT 13685.0 24887.5 13550.0 24952.5 ; - RECT 13070.0 630.0 12935.0 695.0 ; - RECT 13275.0 2065.0 13140.0 2130.0 ; - RECT 13480.0 3320.0 13345.0 3385.0 ; - RECT 13685.0 4755.0 13550.0 4820.0 ; - RECT 13992.5 67.5 14127.5 2.5 ; - RECT 13992.5 2757.5 14127.5 2692.5 ; - RECT 13992.5 5447.5 14127.5 5382.5 ; - RECT 11162.5 10375.0 11027.5 10440.0 ; - RECT 12045.0 10375.0 11910.0 10440.0 ; - RECT 11162.5 9670.0 11027.5 9735.0 ; - RECT 12250.0 9670.0 12115.0 9735.0 ; - RECT 11162.5 8965.0 11027.5 9030.0 ; - RECT 12455.0 8965.0 12320.0 9030.0 ; - RECT 11162.5 8260.0 11027.5 8325.0 ; - RECT 12660.0 8260.0 12525.0 8325.0 ; - RECT 11162.5 7555.0 11027.5 7620.0 ; - RECT 12865.0 7555.0 12730.0 7620.0 ; - RECT 11230.0 10727.5 11095.0 10792.5 ; - RECT 14127.5 10727.5 13992.5 10792.5 ; - RECT 11230.0 10022.5 11095.0 10087.5 ; - RECT 14127.5 10022.5 13992.5 10087.5 ; - RECT 11230.0 9317.5 11095.0 9382.5 ; - RECT 14127.5 9317.5 13992.5 9382.5 ; - RECT 11230.0 8612.5 11095.0 8677.5 ; - RECT 14127.5 8612.5 13992.5 8677.5 ; - RECT 11230.0 7907.5 11095.0 7972.5 ; - RECT 14127.5 7907.5 13992.5 7972.5 ; - RECT 11230.0 7202.5 11095.0 7267.5 ; - RECT 14127.5 7202.5 13992.5 7267.5 ; - RECT 11230.0 6497.5 11095.0 6562.5 ; - RECT 14127.5 6497.5 13992.5 6562.5 ; - RECT 11230.0 5792.5 11095.0 5857.5 ; - RECT 14127.5 5792.5 13992.5 5857.5 ; - RECT 15265.0 9170.0 15130.0 9235.0 ; - RECT 14855.0 6985.0 14720.0 7050.0 ; - RECT 15060.0 8532.5 14925.0 8597.5 ; - RECT 15265.0 71327.5 15130.0 71392.5 ; - RECT 15470.0 15672.5 15335.0 15737.5 ; - RECT 15675.0 19697.5 15540.0 19762.5 ; - RECT 14650.0 10932.5 14515.0 10997.5 ; - RECT 8532.5 70522.5 8397.5 70587.5 ; - RECT 14650.0 70522.5 14515.0 70587.5 ; - RECT 14342.5 8402.5 14207.5 8467.5 ; - RECT 14342.5 19827.5 14207.5 19892.5 ; - RECT 14342.5 9330.0 14207.5 9395.0 ; - RECT 14342.5 16605.0 14207.5 16670.0 ; - RECT 21920.0 35.0 22270.0 72077.5 ; - RECT 4175.0 35.0 4525.0 72077.5 ; - RECT 3455.0 27740.0 3390.0 27805.0 ; - RECT 3422.5 27740.0 3407.5 27805.0 ; - RECT 3455.0 27772.5 3390.0 28357.5 ; - RECT 3455.0 28902.5 3390.0 29297.5 ; - RECT 3455.0 30222.5 3390.0 30807.5 ; - RECT 2657.5 30660.0 2280.0 30725.0 ; - RECT 2657.5 33620.0 2280.0 33685.0 ; - RECT 2657.5 28670.0 2280.0 28735.0 ; - RECT 2657.5 31630.0 2280.0 31695.0 ; - RECT 3440.0 27740.0 3375.0 27805.0 ; - RECT 3455.0 28870.0 3390.0 28935.0 ; - RECT 2005.0 39555.0 1940.0 40320.0 ; - RECT 3455.0 32905.0 3390.0 34335.0 ; - RECT 2485.0 27655.0 2280.0 27720.0 ; - RECT 1962.5 34335.0 1897.5 36272.5 ; - RECT 1747.5 34745.0 1682.5 36530.0 ; - RECT 3380.0 35770.0 3315.0 36340.0 ; - RECT 3520.0 35565.0 3455.0 36530.0 ; - RECT 3660.0 34950.0 3595.0 36720.0 ; - RECT 3380.0 37280.0 3315.0 37345.0 ; - RECT 3380.0 36815.0 3315.0 37312.5 ; - RECT 3407.5 37280.0 3347.5 37345.0 ; - RECT 3475.0 37445.0 3410.0 37510.0 ; - RECT 3442.5 37445.0 3407.5 37510.0 ; - RECT 3475.0 37477.5 3410.0 41017.5 ; - RECT 690.0 35770.0 625.0 36900.0 ; - RECT 830.0 34950.0 765.0 37090.0 ; - RECT 970.0 35155.0 905.0 37280.0 ; - RECT 690.0 37840.0 625.0 37905.0 ; - RECT 690.0 37375.0 625.0 37872.5 ; - RECT 717.5 37840.0 657.5 37905.0 ; - RECT 750.0 38037.5 685.0 38432.5 ; - RECT 750.0 38597.5 685.0 38992.5 ; - RECT 2005.0 39522.5 1940.0 39587.5 ; - RECT 1972.5 39522.5 1940.0 39587.5 ; - RECT 2005.0 39430.0 1940.0 39555.0 ; - RECT 2005.0 38837.5 1940.0 39232.5 ; - RECT 1962.5 36695.0 1897.5 37065.0 ; - RECT 2017.5 37770.0 1952.5 38210.0 ; - RECT 750.0 39157.5 685.0 39395.0 ; - RECT 2005.0 38435.0 1940.0 38672.5 ; - RECT 4067.5 27450.0 4002.5 39555.0 ; - RECT 4067.5 34540.0 4002.5 36145.0 ; - RECT 2722.5 27450.0 2657.5 39555.0 ; - RECT 2722.5 35360.0 2657.5 36145.0 ; - RECT 1377.5 36145.0 1312.5 39555.0 ; - RECT 1377.5 34540.0 1312.5 36145.0 ; - RECT 32.5 36145.0 -32.5 39555.0 ; - RECT 32.5 35360.0 -32.5 36145.0 ; - RECT 32.5 39522.5 -32.5 39587.5 ; - RECT 32.5 39350.0 -32.5 39555.0 ; - RECT 8.881784197e-13 39522.5 -45.0 39587.5 ; - RECT 165.0 27450.0 870.0 33890.0 ; - RECT 1575.0 27450.0 870.0 33890.0 ; - RECT 1575.0 27450.0 2280.0 33890.0 ; - RECT 165.0 27655.0 2280.0 27720.0 ; - RECT 165.0 30660.0 2280.0 30725.0 ; - RECT 165.0 33620.0 2280.0 33685.0 ; - RECT 165.0 28670.0 2280.0 28735.0 ; - RECT 165.0 31630.0 2280.0 31695.0 ; - RECT 165.0 27815.0 2280.0 27880.0 ; - RECT 2875.0 28067.5 2690.0 28132.5 ; - RECT 4035.0 28067.5 3850.0 28132.5 ; - RECT 2832.5 27517.5 2657.5 27962.5 ; - RECT 3917.5 27707.5 3032.5 27772.5 ; - RECT 2965.0 27517.5 2800.0 27582.5 ; - RECT 2965.0 27897.5 2800.0 27962.5 ; - RECT 3032.5 27517.5 2897.5 27582.5 ; - RECT 3032.5 27897.5 2897.5 27962.5 ; - RECT 3032.5 27707.5 2897.5 27772.5 ; - RECT 3032.5 27707.5 2897.5 27772.5 ; - RECT 2832.5 27517.5 2767.5 27962.5 ; - RECT 4015.0 27517.5 3850.0 27582.5 ; - RECT 4015.0 27897.5 3850.0 27962.5 ; - RECT 3917.5 27517.5 3782.5 27582.5 ; - RECT 3917.5 27897.5 3782.5 27962.5 ; - RECT 3917.5 27707.5 3782.5 27772.5 ; - RECT 3917.5 27707.5 3782.5 27772.5 ; - RECT 4047.5 27517.5 3982.5 27962.5 ; - RECT 2942.5 28067.5 2807.5 28132.5 ; - RECT 3917.5 28067.5 3782.5 28132.5 ; - RECT 3475.0 27575.0 3340.0 27640.0 ; - RECT 3475.0 27575.0 3340.0 27640.0 ; - RECT 3440.0 27740.0 3375.0 27805.0 ; - RECT 2722.5 27450.0 2657.5 28200.0 ; - RECT 4067.5 27450.0 4002.5 28200.0 ; - RECT 2875.0 29007.5 2690.0 29072.5 ; - RECT 4035.0 29007.5 3850.0 29072.5 ; - RECT 2877.5 28267.5 2657.5 28712.5 ; - RECT 3702.5 28837.5 3207.5 28902.5 ; - RECT 3010.0 28267.5 2845.0 28332.5 ; - RECT 3010.0 28647.5 2845.0 28712.5 ; - RECT 3175.0 28457.5 3010.0 28522.5 ; - RECT 3175.0 28837.5 3010.0 28902.5 ; - RECT 3077.5 28267.5 2942.5 28332.5 ; - RECT 3077.5 28647.5 2942.5 28712.5 ; - RECT 3077.5 28457.5 2942.5 28522.5 ; - RECT 3077.5 28837.5 2942.5 28902.5 ; - RECT 3207.5 28457.5 3142.5 28902.5 ; - RECT 2877.5 28267.5 2812.5 28712.5 ; - RECT 4000.0 28267.5 3835.0 28332.5 ; - RECT 4000.0 28647.5 3835.0 28712.5 ; - RECT 3835.0 28457.5 3670.0 28522.5 ; - RECT 3835.0 28837.5 3670.0 28902.5 ; - RECT 3902.5 28267.5 3767.5 28332.5 ; - RECT 3902.5 28647.5 3767.5 28712.5 ; - RECT 3902.5 28457.5 3767.5 28522.5 ; - RECT 3902.5 28837.5 3767.5 28902.5 ; - RECT 3702.5 28457.5 3637.5 28902.5 ; - RECT 4032.5 28267.5 3967.5 28712.5 ; - RECT 2942.5 29007.5 2807.5 29072.5 ; - RECT 3917.5 29007.5 3782.5 29072.5 ; - RECT 3490.0 28325.0 3355.0 28390.0 ; - RECT 3490.0 28325.0 3355.0 28390.0 ; - RECT 3455.0 28870.0 3390.0 28935.0 ; - RECT 2722.5 28200.0 2657.5 29140.0 ; - RECT 4067.5 28200.0 4002.5 29140.0 ; - RECT 2875.0 30517.5 2690.0 30582.5 ; - RECT 4035.0 30517.5 3850.0 30582.5 ; - RECT 2877.5 29207.5 2657.5 30412.5 ; - RECT 3702.5 30157.5 3207.5 30222.5 ; - RECT 3010.0 29207.5 2845.0 29272.5 ; - RECT 3010.0 29587.5 2845.0 29652.5 ; - RECT 3010.0 29967.5 2845.0 30032.5 ; - RECT 3010.0 30347.5 2845.0 30412.5 ; - RECT 3175.0 29397.5 3010.0 29462.5 ; - RECT 3175.0 29777.5 3010.0 29842.5 ; - RECT 3175.0 30157.5 3010.0 30222.5 ; - RECT 3077.5 29207.5 2942.5 29272.5 ; - RECT 3077.5 29587.5 2942.5 29652.5 ; - RECT 3077.5 29967.5 2942.5 30032.5 ; - RECT 3077.5 30347.5 2942.5 30412.5 ; - RECT 3077.5 29397.5 2942.5 29462.5 ; - RECT 3077.5 29777.5 2942.5 29842.5 ; - RECT 3077.5 30157.5 2942.5 30222.5 ; - RECT 3207.5 29397.5 3142.5 30222.5 ; - RECT 2877.5 29207.5 2812.5 30412.5 ; - RECT 4000.0 29207.5 3835.0 29272.5 ; - RECT 4000.0 29587.5 3835.0 29652.5 ; - RECT 4000.0 29967.5 3835.0 30032.5 ; - RECT 4000.0 30347.5 3835.0 30412.5 ; - RECT 3835.0 29397.5 3670.0 29462.5 ; - RECT 3835.0 29777.5 3670.0 29842.5 ; - RECT 3835.0 30157.5 3670.0 30222.5 ; - RECT 3902.5 29207.5 3767.5 29272.5 ; - RECT 3902.5 29587.5 3767.5 29652.5 ; - RECT 3902.5 29967.5 3767.5 30032.5 ; - RECT 3902.5 30347.5 3767.5 30412.5 ; - RECT 3902.5 29397.5 3767.5 29462.5 ; - RECT 3902.5 29777.5 3767.5 29842.5 ; - RECT 3902.5 30157.5 3767.5 30222.5 ; - RECT 3702.5 29397.5 3637.5 30222.5 ; - RECT 4032.5 29207.5 3967.5 30412.5 ; - RECT 2942.5 30517.5 2807.5 30582.5 ; - RECT 3917.5 30517.5 3782.5 30582.5 ; - RECT 3490.0 29265.0 3355.0 29330.0 ; - RECT 3490.0 29265.0 3355.0 29330.0 ; - RECT 3455.0 30190.0 3390.0 30255.0 ; - RECT 2722.5 29140.0 2657.5 30650.0 ; - RECT 4067.5 29140.0 4002.5 30650.0 ; - RECT 2875.0 33167.5 2690.0 33232.5 ; - RECT 4035.0 33167.5 3850.0 33232.5 ; - RECT 2877.5 30717.5 2657.5 33062.5 ; - RECT 3702.5 32807.5 3207.5 32872.5 ; - RECT 3010.0 30717.5 2845.0 30782.5 ; - RECT 3010.0 31097.5 2845.0 31162.5 ; - RECT 3010.0 31477.5 2845.0 31542.5 ; - RECT 3010.0 31857.5 2845.0 31922.5 ; - RECT 3010.0 32237.5 2845.0 32302.5 ; - RECT 3010.0 32617.5 2845.0 32682.5 ; - RECT 3010.0 32997.5 2845.0 33062.5 ; - RECT 3175.0 30907.5 3010.0 30972.5 ; - RECT 3175.0 31287.5 3010.0 31352.5 ; - RECT 3175.0 31667.5 3010.0 31732.5 ; - RECT 3175.0 32047.5 3010.0 32112.5 ; - RECT 3175.0 32427.5 3010.0 32492.5 ; - RECT 3175.0 32807.5 3010.0 32872.5 ; - RECT 3077.5 30717.5 2942.5 30782.5 ; - RECT 3077.5 31097.5 2942.5 31162.5 ; - RECT 3077.5 31477.5 2942.5 31542.5 ; - RECT 3077.5 31857.5 2942.5 31922.5 ; - RECT 3077.5 32237.5 2942.5 32302.5 ; - RECT 3077.5 32617.5 2942.5 32682.5 ; - RECT 3077.5 32997.5 2942.5 33062.5 ; - RECT 3077.5 30907.5 2942.5 30972.5 ; - RECT 3077.5 31287.5 2942.5 31352.5 ; - RECT 3077.5 31667.5 2942.5 31732.5 ; - RECT 3077.5 32047.5 2942.5 32112.5 ; - RECT 3077.5 32427.5 2942.5 32492.5 ; - RECT 3077.5 32807.5 2942.5 32872.5 ; - RECT 3207.5 30907.5 3142.5 32872.5 ; - RECT 2877.5 30717.5 2812.5 33062.5 ; - RECT 4000.0 30717.5 3835.0 30782.5 ; - RECT 4000.0 31097.5 3835.0 31162.5 ; - RECT 4000.0 31477.5 3835.0 31542.5 ; - RECT 4000.0 31857.5 3835.0 31922.5 ; - RECT 4000.0 32237.5 3835.0 32302.5 ; - RECT 4000.0 32617.5 3835.0 32682.5 ; - RECT 4000.0 32997.5 3835.0 33062.5 ; - RECT 3835.0 30907.5 3670.0 30972.5 ; - RECT 3835.0 31287.5 3670.0 31352.5 ; - RECT 3835.0 31667.5 3670.0 31732.5 ; - RECT 3835.0 32047.5 3670.0 32112.5 ; - RECT 3835.0 32427.5 3670.0 32492.5 ; - RECT 3835.0 32807.5 3670.0 32872.5 ; - RECT 3902.5 30717.5 3767.5 30782.5 ; - RECT 3902.5 31097.5 3767.5 31162.5 ; - RECT 3902.5 31477.5 3767.5 31542.5 ; - RECT 3902.5 31857.5 3767.5 31922.5 ; - RECT 3902.5 32237.5 3767.5 32302.5 ; - RECT 3902.5 32617.5 3767.5 32682.5 ; - RECT 3902.5 32997.5 3767.5 33062.5 ; - RECT 3902.5 30907.5 3767.5 30972.5 ; - RECT 3902.5 31287.5 3767.5 31352.5 ; - RECT 3902.5 31667.5 3767.5 31732.5 ; - RECT 3902.5 32047.5 3767.5 32112.5 ; - RECT 3902.5 32427.5 3767.5 32492.5 ; - RECT 3902.5 32807.5 3767.5 32872.5 ; - RECT 3702.5 30907.5 3637.5 32872.5 ; - RECT 4032.5 30717.5 3967.5 33062.5 ; - RECT 2942.5 33167.5 2807.5 33232.5 ; - RECT 3917.5 33167.5 3782.5 33232.5 ; - RECT 3490.0 30775.0 3355.0 30840.0 ; - RECT 3490.0 30775.0 3355.0 30840.0 ; - RECT 3455.0 32840.0 3390.0 32905.0 ; - RECT 2722.5 30650.0 2657.5 33300.0 ; - RECT 4067.5 30650.0 4002.5 33300.0 ; - RECT 3872.5 36212.5 4067.5 36277.5 ; - RECT 3032.5 36212.5 2657.5 36277.5 ; - RECT 3032.5 36592.5 2657.5 36657.5 ; - RECT 2875.0 36952.5 2690.0 37017.5 ; - RECT 4035.0 36952.5 3850.0 37017.5 ; - RECT 3032.5 36212.5 2897.5 36277.5 ; - RECT 3032.5 36402.5 2897.5 36467.5 ; - RECT 3032.5 36402.5 2897.5 36467.5 ; - RECT 3032.5 36212.5 2897.5 36277.5 ; - RECT 3032.5 36402.5 2897.5 36467.5 ; - RECT 3032.5 36592.5 2897.5 36657.5 ; - RECT 3032.5 36592.5 2897.5 36657.5 ; - RECT 3032.5 36402.5 2897.5 36467.5 ; - RECT 3032.5 36592.5 2897.5 36657.5 ; - RECT 3032.5 36782.5 2897.5 36847.5 ; - RECT 3032.5 36782.5 2897.5 36847.5 ; - RECT 3032.5 36592.5 2897.5 36657.5 ; - RECT 3872.5 36212.5 3737.5 36277.5 ; - RECT 3872.5 36402.5 3737.5 36467.5 ; - RECT 3872.5 36402.5 3737.5 36467.5 ; - RECT 3872.5 36212.5 3737.5 36277.5 ; - RECT 3872.5 36402.5 3737.5 36467.5 ; - RECT 3872.5 36592.5 3737.5 36657.5 ; - RECT 3872.5 36592.5 3737.5 36657.5 ; - RECT 3872.5 36402.5 3737.5 36467.5 ; - RECT 3872.5 36592.5 3737.5 36657.5 ; - RECT 3872.5 36782.5 3737.5 36847.5 ; - RECT 3872.5 36782.5 3737.5 36847.5 ; - RECT 3872.5 36592.5 3737.5 36657.5 ; - RECT 2942.5 36952.5 2807.5 37017.5 ; - RECT 3917.5 36952.5 3782.5 37017.5 ; - RECT 3660.0 36787.5 3595.0 36652.5 ; - RECT 3520.0 36597.5 3455.0 36462.5 ; - RECT 3380.0 36407.5 3315.0 36272.5 ; - RECT 3032.5 36402.5 2897.5 36467.5 ; - RECT 3032.5 36782.5 2897.5 36847.5 ; - RECT 3872.5 36782.5 3737.5 36847.5 ; - RECT 3415.0 36782.5 3280.0 36847.5 ; - RECT 3380.0 36272.5 3315.0 36407.5 ; - RECT 3520.0 36462.5 3455.0 36597.5 ; - RECT 3660.0 36652.5 3595.0 36787.5 ; - RECT 3415.0 36782.5 3280.0 36847.5 ; - RECT 2722.5 36145.0 2657.5 37155.0 ; - RECT 4067.5 36145.0 4002.5 37155.0 ; - RECT 2875.0 37582.5 2690.0 37647.5 ; - RECT 4035.0 37582.5 3850.0 37647.5 ; - RECT 3917.5 37222.5 4067.5 37287.5 ; - RECT 3032.5 37222.5 2657.5 37287.5 ; - RECT 3917.5 37412.5 3032.5 37477.5 ; - RECT 3032.5 37222.5 2897.5 37287.5 ; - RECT 3032.5 37412.5 2897.5 37477.5 ; - RECT 3032.5 37412.5 2897.5 37477.5 ; - RECT 3032.5 37222.5 2897.5 37287.5 ; - RECT 3917.5 37222.5 3782.5 37287.5 ; - RECT 3917.5 37412.5 3782.5 37477.5 ; - RECT 3917.5 37412.5 3782.5 37477.5 ; - RECT 3917.5 37222.5 3782.5 37287.5 ; - RECT 2942.5 37582.5 2807.5 37647.5 ; - RECT 3917.5 37582.5 3782.5 37647.5 ; - RECT 3475.0 37280.0 3340.0 37345.0 ; - RECT 3475.0 37280.0 3340.0 37345.0 ; - RECT 3440.0 37445.0 3375.0 37510.0 ; - RECT 2722.5 37155.0 2657.5 37715.0 ; - RECT 4067.5 37155.0 4002.5 37715.0 ; - RECT 1462.5 36212.5 1312.5 36277.5 ; - RECT 1462.5 36592.5 1312.5 36657.5 ; - RECT 2280.0 36212.5 2722.5 36277.5 ; - RECT 2505.0 36762.5 2690.0 36827.5 ; - RECT 1345.0 36762.5 1530.0 36827.5 ; - RECT 2280.0 36212.5 2415.0 36277.5 ; - RECT 2280.0 36402.5 2415.0 36467.5 ; - RECT 2280.0 36402.5 2415.0 36467.5 ; - RECT 2280.0 36212.5 2415.0 36277.5 ; - RECT 2280.0 36402.5 2415.0 36467.5 ; - RECT 2280.0 36592.5 2415.0 36657.5 ; - RECT 2280.0 36592.5 2415.0 36657.5 ; - RECT 2280.0 36402.5 2415.0 36467.5 ; - RECT 1462.5 36212.5 1597.5 36277.5 ; - RECT 1462.5 36402.5 1597.5 36467.5 ; - RECT 1462.5 36402.5 1597.5 36467.5 ; - RECT 1462.5 36212.5 1597.5 36277.5 ; - RECT 1462.5 36402.5 1597.5 36467.5 ; - RECT 1462.5 36592.5 1597.5 36657.5 ; - RECT 1462.5 36592.5 1597.5 36657.5 ; - RECT 1462.5 36402.5 1597.5 36467.5 ; - RECT 2437.5 36762.5 2572.5 36827.5 ; - RECT 1462.5 36762.5 1597.5 36827.5 ; - RECT 1682.5 36597.5 1747.5 36462.5 ; - RECT 1897.5 36340.0 1962.5 36205.0 ; - RECT 2280.0 36592.5 2415.0 36657.5 ; - RECT 1497.5 36502.5 1562.5 36367.5 ; - RECT 1897.5 36762.5 1962.5 36627.5 ; - RECT 1897.5 36205.0 1962.5 36340.0 ; - RECT 1682.5 36462.5 1747.5 36597.5 ; - RECT 1897.5 36627.5 1962.5 36762.5 ; - RECT 2657.5 36145.0 2722.5 37065.0 ; - RECT 1312.5 36145.0 1377.5 37065.0 ; - RECT 1507.5 37357.5 1312.5 37422.5 ; - RECT 2347.5 37357.5 2722.5 37422.5 ; - RECT 2347.5 37737.5 2722.5 37802.5 ; - RECT 2505.0 37907.5 2690.0 37972.5 ; - RECT 1345.0 37907.5 1530.0 37972.5 ; - RECT 2347.5 37357.5 2482.5 37422.5 ; - RECT 2347.5 37547.5 2482.5 37612.5 ; - RECT 2347.5 37547.5 2482.5 37612.5 ; - RECT 2347.5 37357.5 2482.5 37422.5 ; - RECT 2347.5 37547.5 2482.5 37612.5 ; - RECT 2347.5 37737.5 2482.5 37802.5 ; - RECT 2347.5 37737.5 2482.5 37802.5 ; - RECT 2347.5 37547.5 2482.5 37612.5 ; - RECT 1507.5 37357.5 1642.5 37422.5 ; - RECT 1507.5 37547.5 1642.5 37612.5 ; - RECT 1507.5 37547.5 1642.5 37612.5 ; - RECT 1507.5 37357.5 1642.5 37422.5 ; - RECT 1507.5 37547.5 1642.5 37612.5 ; - RECT 1507.5 37737.5 1642.5 37802.5 ; - RECT 1507.5 37737.5 1642.5 37802.5 ; - RECT 1507.5 37547.5 1642.5 37612.5 ; - RECT 2437.5 37907.5 2572.5 37972.5 ; - RECT 1462.5 37907.5 1597.5 37972.5 ; - RECT 1737.5 37742.5 1802.5 37607.5 ; - RECT 1952.5 37485.0 2017.5 37350.0 ; - RECT 2347.5 37547.5 2482.5 37612.5 ; - RECT 1507.5 37737.5 1642.5 37802.5 ; - RECT 1952.5 37837.5 2017.5 37702.5 ; - RECT 1952.5 37350.0 2017.5 37485.0 ; - RECT 1737.5 37607.5 1802.5 37742.5 ; - RECT 1952.5 37702.5 2017.5 37837.5 ; - RECT 2657.5 37290.0 2722.5 38210.0 ; - RECT 1312.5 37290.0 1377.5 38210.0 ; - RECT 2505.0 38567.5 2690.0 38502.5 ; - RECT 1345.0 38567.5 1530.0 38502.5 ; - RECT 1462.5 38927.5 1312.5 38862.5 ; - RECT 2347.5 38927.5 2722.5 38862.5 ; - RECT 1462.5 38737.5 2347.5 38672.5 ; - RECT 2347.5 38927.5 2482.5 38862.5 ; - RECT 2347.5 38737.5 2482.5 38672.5 ; - RECT 2347.5 38737.5 2482.5 38672.5 ; - RECT 2347.5 38927.5 2482.5 38862.5 ; - RECT 1462.5 38927.5 1597.5 38862.5 ; - RECT 1462.5 38737.5 1597.5 38672.5 ; - RECT 1462.5 38737.5 1597.5 38672.5 ; - RECT 1462.5 38927.5 1597.5 38862.5 ; - RECT 2437.5 38567.5 2572.5 38502.5 ; - RECT 1462.5 38567.5 1597.5 38502.5 ; - RECT 1905.0 38870.0 2040.0 38805.0 ; - RECT 1905.0 38870.0 2040.0 38805.0 ; - RECT 1940.0 38705.0 2005.0 38640.0 ; - RECT 2657.5 38995.0 2722.5 38435.0 ; - RECT 1312.5 38995.0 1377.5 38435.0 ; - RECT 2505.0 39127.5 2690.0 39062.5 ; - RECT 1345.0 39127.5 1530.0 39062.5 ; - RECT 1462.5 39487.5 1312.5 39422.5 ; - RECT 2347.5 39487.5 2722.5 39422.5 ; - RECT 1462.5 39297.5 2347.5 39232.5 ; - RECT 2347.5 39487.5 2482.5 39422.5 ; - RECT 2347.5 39297.5 2482.5 39232.5 ; - RECT 2347.5 39297.5 2482.5 39232.5 ; - RECT 2347.5 39487.5 2482.5 39422.5 ; - RECT 1462.5 39487.5 1597.5 39422.5 ; - RECT 1462.5 39297.5 1597.5 39232.5 ; - RECT 1462.5 39297.5 1597.5 39232.5 ; - RECT 1462.5 39487.5 1597.5 39422.5 ; - RECT 2437.5 39127.5 2572.5 39062.5 ; - RECT 1462.5 39127.5 1597.5 39062.5 ; - RECT 1905.0 39430.0 2040.0 39365.0 ; - RECT 1905.0 39430.0 2040.0 39365.0 ; - RECT 1940.0 39265.0 2005.0 39200.0 ; - RECT 2657.5 39555.0 2722.5 38995.0 ; - RECT 1312.5 39555.0 1377.5 38995.0 ; - RECT 1182.5 36772.5 1377.5 36837.5 ; - RECT 342.5 36772.5 -32.5 36837.5 ; - RECT 342.5 37152.5 -32.5 37217.5 ; - RECT 185.0 37512.5 8.881784197e-13 37577.5 ; - RECT 1345.0 37512.5 1160.0 37577.5 ; - RECT 342.5 36772.5 207.5 36837.5 ; - RECT 342.5 36962.5 207.5 37027.5 ; - RECT 342.5 36962.5 207.5 37027.5 ; - RECT 342.5 36772.5 207.5 36837.5 ; - RECT 342.5 36962.5 207.5 37027.5 ; - RECT 342.5 37152.5 207.5 37217.5 ; - RECT 342.5 37152.5 207.5 37217.5 ; - RECT 342.5 36962.5 207.5 37027.5 ; - RECT 342.5 37152.5 207.5 37217.5 ; - RECT 342.5 37342.5 207.5 37407.5 ; - RECT 342.5 37342.5 207.5 37407.5 ; - RECT 342.5 37152.5 207.5 37217.5 ; - RECT 1182.5 36772.5 1047.5 36837.5 ; - RECT 1182.5 36962.5 1047.5 37027.5 ; - RECT 1182.5 36962.5 1047.5 37027.5 ; - RECT 1182.5 36772.5 1047.5 36837.5 ; - RECT 1182.5 36962.5 1047.5 37027.5 ; - RECT 1182.5 37152.5 1047.5 37217.5 ; - RECT 1182.5 37152.5 1047.5 37217.5 ; - RECT 1182.5 36962.5 1047.5 37027.5 ; - RECT 1182.5 37152.5 1047.5 37217.5 ; - RECT 1182.5 37342.5 1047.5 37407.5 ; - RECT 1182.5 37342.5 1047.5 37407.5 ; - RECT 1182.5 37152.5 1047.5 37217.5 ; - RECT 252.5 37512.5 117.5 37577.5 ; - RECT 1227.5 37512.5 1092.5 37577.5 ; - RECT 970.0 37347.5 905.0 37212.5 ; - RECT 830.0 37157.5 765.0 37022.5 ; - RECT 690.0 36967.5 625.0 36832.5 ; - RECT 342.5 36962.5 207.5 37027.5 ; - RECT 342.5 37342.5 207.5 37407.5 ; - RECT 1182.5 37342.5 1047.5 37407.5 ; - RECT 725.0 37342.5 590.0 37407.5 ; - RECT 690.0 36832.5 625.0 36967.5 ; - RECT 830.0 37022.5 765.0 37157.5 ; - RECT 970.0 37212.5 905.0 37347.5 ; - RECT 725.0 37342.5 590.0 37407.5 ; - RECT 32.5 36705.0 -32.5 37715.0 ; - RECT 1377.5 36705.0 1312.5 37715.0 ; - RECT 185.0 38142.5 8.881784197e-13 38207.5 ; - RECT 1345.0 38142.5 1160.0 38207.5 ; - RECT 1227.5 37782.5 1377.5 37847.5 ; - RECT 342.5 37782.5 -32.5 37847.5 ; - RECT 1227.5 37972.5 342.5 38037.5 ; - RECT 342.5 37782.5 207.5 37847.5 ; - RECT 342.5 37972.5 207.5 38037.5 ; - RECT 342.5 37972.5 207.5 38037.5 ; - RECT 342.5 37782.5 207.5 37847.5 ; - RECT 1227.5 37782.5 1092.5 37847.5 ; - RECT 1227.5 37972.5 1092.5 38037.5 ; - RECT 1227.5 37972.5 1092.5 38037.5 ; - RECT 1227.5 37782.5 1092.5 37847.5 ; - RECT 252.5 38142.5 117.5 38207.5 ; - RECT 1227.5 38142.5 1092.5 38207.5 ; - RECT 785.0 37840.0 650.0 37905.0 ; - RECT 785.0 37840.0 650.0 37905.0 ; - RECT 750.0 38005.0 685.0 38070.0 ; - RECT 32.5 37715.0 -32.5 38275.0 ; - RECT 1377.5 37715.0 1312.5 38275.0 ; - RECT 185.0 38702.5 8.881784197e-13 38767.5 ; - RECT 1345.0 38702.5 1160.0 38767.5 ; - RECT 1227.5 38342.5 1377.5 38407.5 ; - RECT 342.5 38342.5 -32.5 38407.5 ; - RECT 1227.5 38532.5 342.5 38597.5 ; - RECT 342.5 38342.5 207.5 38407.5 ; - RECT 342.5 38532.5 207.5 38597.5 ; - RECT 342.5 38532.5 207.5 38597.5 ; - RECT 342.5 38342.5 207.5 38407.5 ; - RECT 1227.5 38342.5 1092.5 38407.5 ; - RECT 1227.5 38532.5 1092.5 38597.5 ; - RECT 1227.5 38532.5 1092.5 38597.5 ; - RECT 1227.5 38342.5 1092.5 38407.5 ; - RECT 252.5 38702.5 117.5 38767.5 ; - RECT 1227.5 38702.5 1092.5 38767.5 ; - RECT 785.0 38400.0 650.0 38465.0 ; - RECT 785.0 38400.0 650.0 38465.0 ; - RECT 750.0 38565.0 685.0 38630.0 ; - RECT 32.5 38275.0 -32.5 38835.0 ; - RECT 1377.5 38275.0 1312.5 38835.0 ; - RECT 185.0 39262.5 8.881784197e-13 39327.5 ; - RECT 1345.0 39262.5 1160.0 39327.5 ; - RECT 1227.5 38902.5 1377.5 38967.5 ; - RECT 342.5 38902.5 -32.5 38967.5 ; - RECT 1227.5 39092.5 342.5 39157.5 ; - RECT 342.5 38902.5 207.5 38967.5 ; - RECT 342.5 39092.5 207.5 39157.5 ; - RECT 342.5 39092.5 207.5 39157.5 ; - RECT 342.5 38902.5 207.5 38967.5 ; - RECT 1227.5 38902.5 1092.5 38967.5 ; - RECT 1227.5 39092.5 1092.5 39157.5 ; - RECT 1227.5 39092.5 1092.5 39157.5 ; - RECT 1227.5 38902.5 1092.5 38967.5 ; - RECT 252.5 39262.5 117.5 39327.5 ; - RECT 1227.5 39262.5 1092.5 39327.5 ; - RECT 785.0 38960.0 650.0 39025.0 ; - RECT 785.0 38960.0 650.0 39025.0 ; - RECT 750.0 39125.0 685.0 39190.0 ; - RECT 32.5 38835.0 -32.5 39395.0 ; - RECT 1377.5 38835.0 1312.5 39395.0 ; - RECT 1377.5 52037.5 1312.5 47865.0 ; - RECT 1312.5 42127.5 1025.0 42192.5 ; - RECT 1312.5 44537.5 1025.0 44602.5 ; - RECT 1312.5 44817.5 1025.0 44882.5 ; - RECT 1312.5 47227.5 1025.0 47292.5 ; - RECT 1377.5 40082.5 935.0 40147.5 ; - RECT 935.0 40082.5 230.0 40147.5 ; - RECT 20.0 43332.5 935.0 43397.5 ; - RECT 20.0 46022.5 935.0 46087.5 ; - RECT 20.0 40642.5 935.0 40707.5 ; - RECT 2005.0 41655.0 1940.0 42355.0 ; - RECT 2005.0 41847.5 1940.0 41912.5 ; - RECT 2005.0 41655.0 1940.0 41880.0 ; - RECT 1972.5 41847.5 1025.0 41912.5 ; - RECT 2690.0 41717.5 2465.0 41782.5 ; - RECT 2430.0 40847.5 2365.0 40912.5 ; - RECT 2005.0 40847.5 1940.0 40912.5 ; - RECT 2430.0 40880.0 2365.0 41527.5 ; - RECT 2397.5 40847.5 1972.5 40912.5 ; - RECT 2005.0 40550.0 1940.0 40880.0 ; - RECT 1972.5 40847.5 1172.5 40912.5 ; - RECT 1172.5 40250.0 750.0 40315.0 ; - RECT 2040.0 40485.0 1905.0 40550.0 ; - RECT 2005.0 42355.0 1940.0 42560.0 ; - RECT 2505.0 40247.5 2690.0 40182.5 ; - RECT 1345.0 40247.5 1530.0 40182.5 ; - RECT 1462.5 40607.5 1312.5 40542.5 ; - RECT 2347.5 40607.5 2722.5 40542.5 ; - RECT 1462.5 40417.5 2347.5 40352.5 ; - RECT 2347.5 40607.5 2482.5 40542.5 ; - RECT 2347.5 40417.5 2482.5 40352.5 ; - RECT 2347.5 40417.5 2482.5 40352.5 ; - RECT 2347.5 40607.5 2482.5 40542.5 ; - RECT 1462.5 40607.5 1597.5 40542.5 ; - RECT 1462.5 40417.5 1597.5 40352.5 ; - RECT 1462.5 40417.5 1597.5 40352.5 ; - RECT 1462.5 40607.5 1597.5 40542.5 ; - RECT 2437.5 40247.5 2572.5 40182.5 ; - RECT 1462.5 40247.5 1597.5 40182.5 ; - RECT 1905.0 40550.0 2040.0 40485.0 ; - RECT 1905.0 40550.0 2040.0 40485.0 ; - RECT 1940.0 40385.0 2005.0 40320.0 ; - RECT 2657.5 40675.0 2722.5 40115.0 ; - RECT 1312.5 40675.0 1377.5 40115.0 ; - RECT 2330.0 41527.5 2465.0 41592.5 ; - RECT 2330.0 41717.5 2465.0 41782.5 ; - RECT 2330.0 41717.5 2465.0 41782.5 ; - RECT 2330.0 41527.5 2465.0 41592.5 ; - RECT 1312.5 51972.5 1377.5 52037.5 ; - RECT 4002.5 51972.5 4067.5 52037.5 ; - RECT 1312.5 51875.0 1377.5 52005.0 ; - RECT 1345.0 51972.5 4035.0 52037.5 ; - RECT 4002.5 51875.0 4067.5 52005.0 ; - RECT 2875.0 42782.5 2690.0 42847.5 ; - RECT 4035.0 42782.5 3850.0 42847.5 ; - RECT 3917.5 42422.5 4067.5 42487.5 ; - RECT 3032.5 42422.5 2657.5 42487.5 ; - RECT 3917.5 42612.5 3032.5 42677.5 ; - RECT 3032.5 42422.5 2897.5 42487.5 ; - RECT 3032.5 42612.5 2897.5 42677.5 ; - RECT 3032.5 42612.5 2897.5 42677.5 ; - RECT 3032.5 42422.5 2897.5 42487.5 ; - RECT 3917.5 42422.5 3782.5 42487.5 ; - RECT 3917.5 42612.5 3782.5 42677.5 ; - RECT 3917.5 42612.5 3782.5 42677.5 ; - RECT 3917.5 42422.5 3782.5 42487.5 ; - RECT 2942.5 42782.5 2807.5 42847.5 ; - RECT 3917.5 42782.5 3782.5 42847.5 ; - RECT 3475.0 42480.0 3340.0 42545.0 ; - RECT 3475.0 42480.0 3340.0 42545.0 ; - RECT 3440.0 42645.0 3375.0 42710.0 ; - RECT 2722.5 42355.0 2657.5 42915.0 ; - RECT 4067.5 42355.0 4002.5 42915.0 ; - RECT 2875.0 43342.5 2690.0 43407.5 ; - RECT 4035.0 43342.5 3850.0 43407.5 ; - RECT 3917.5 42982.5 4067.5 43047.5 ; - RECT 3032.5 42982.5 2657.5 43047.5 ; - RECT 3917.5 43172.5 3032.5 43237.5 ; - RECT 3032.5 42982.5 2897.5 43047.5 ; - RECT 3032.5 43172.5 2897.5 43237.5 ; - RECT 3032.5 43172.5 2897.5 43237.5 ; - RECT 3032.5 42982.5 2897.5 43047.5 ; - RECT 3917.5 42982.5 3782.5 43047.5 ; - RECT 3917.5 43172.5 3782.5 43237.5 ; - RECT 3917.5 43172.5 3782.5 43237.5 ; - RECT 3917.5 42982.5 3782.5 43047.5 ; - RECT 2942.5 43342.5 2807.5 43407.5 ; - RECT 3917.5 43342.5 3782.5 43407.5 ; - RECT 3475.0 43040.0 3340.0 43105.0 ; - RECT 3475.0 43040.0 3340.0 43105.0 ; - RECT 3440.0 43205.0 3375.0 43270.0 ; - RECT 2722.5 42915.0 2657.5 43475.0 ; - RECT 4067.5 42915.0 4002.5 43475.0 ; - RECT 3340.0 43040.0 3475.0 43105.0 ; - RECT 2875.0 43902.5 2690.0 43967.5 ; - RECT 4035.0 43902.5 3850.0 43967.5 ; - RECT 3917.5 43542.5 4067.5 43607.5 ; - RECT 3032.5 43542.5 2657.5 43607.5 ; - RECT 3917.5 43732.5 3032.5 43797.5 ; - RECT 3032.5 43542.5 2897.5 43607.5 ; - RECT 3032.5 43732.5 2897.5 43797.5 ; - RECT 3032.5 43732.5 2897.5 43797.5 ; - RECT 3032.5 43542.5 2897.5 43607.5 ; - RECT 3917.5 43542.5 3782.5 43607.5 ; - RECT 3917.5 43732.5 3782.5 43797.5 ; - RECT 3917.5 43732.5 3782.5 43797.5 ; - RECT 3917.5 43542.5 3782.5 43607.5 ; - RECT 2942.5 43902.5 2807.5 43967.5 ; - RECT 3917.5 43902.5 3782.5 43967.5 ; - RECT 3475.0 43600.0 3340.0 43665.0 ; - RECT 3475.0 43600.0 3340.0 43665.0 ; - RECT 3440.0 43765.0 3375.0 43830.0 ; - RECT 2722.5 43475.0 2657.5 44035.0 ; - RECT 4067.5 43475.0 4002.5 44035.0 ; - RECT 3340.0 43600.0 3475.0 43665.0 ; - RECT 2875.0 44462.5 2690.0 44527.5 ; - RECT 4035.0 44462.5 3850.0 44527.5 ; - RECT 3917.5 44102.5 4067.5 44167.5 ; - RECT 3032.5 44102.5 2657.5 44167.5 ; - RECT 3917.5 44292.5 3032.5 44357.5 ; - RECT 3032.5 44102.5 2897.5 44167.5 ; - RECT 3032.5 44292.5 2897.5 44357.5 ; - RECT 3032.5 44292.5 2897.5 44357.5 ; - RECT 3032.5 44102.5 2897.5 44167.5 ; - RECT 3917.5 44102.5 3782.5 44167.5 ; - RECT 3917.5 44292.5 3782.5 44357.5 ; - RECT 3917.5 44292.5 3782.5 44357.5 ; - RECT 3917.5 44102.5 3782.5 44167.5 ; - RECT 2942.5 44462.5 2807.5 44527.5 ; - RECT 3917.5 44462.5 3782.5 44527.5 ; - RECT 3475.0 44160.0 3340.0 44225.0 ; - RECT 3475.0 44160.0 3340.0 44225.0 ; - RECT 3440.0 44325.0 3375.0 44390.0 ; - RECT 2722.5 44035.0 2657.5 44595.0 ; - RECT 4067.5 44035.0 4002.5 44595.0 ; - RECT 3340.0 44160.0 3475.0 44225.0 ; - RECT 2875.0 45022.5 2690.0 45087.5 ; - RECT 4035.0 45022.5 3850.0 45087.5 ; - RECT 3917.5 44662.5 4067.5 44727.5 ; - RECT 3032.5 44662.5 2657.5 44727.5 ; - RECT 3917.5 44852.5 3032.5 44917.5 ; - RECT 3032.5 44662.5 2897.5 44727.5 ; - RECT 3032.5 44852.5 2897.5 44917.5 ; - RECT 3032.5 44852.5 2897.5 44917.5 ; - RECT 3032.5 44662.5 2897.5 44727.5 ; - RECT 3917.5 44662.5 3782.5 44727.5 ; - RECT 3917.5 44852.5 3782.5 44917.5 ; - RECT 3917.5 44852.5 3782.5 44917.5 ; - RECT 3917.5 44662.5 3782.5 44727.5 ; - RECT 2942.5 45022.5 2807.5 45087.5 ; - RECT 3917.5 45022.5 3782.5 45087.5 ; - RECT 3475.0 44720.0 3340.0 44785.0 ; - RECT 3475.0 44720.0 3340.0 44785.0 ; - RECT 3440.0 44885.0 3375.0 44950.0 ; - RECT 2722.5 44595.0 2657.5 45155.0 ; - RECT 4067.5 44595.0 4002.5 45155.0 ; - RECT 3340.0 44720.0 3475.0 44785.0 ; - RECT 2875.0 45582.5 2690.0 45647.5 ; - RECT 4035.0 45582.5 3850.0 45647.5 ; - RECT 3917.5 45222.5 4067.5 45287.5 ; - RECT 3032.5 45222.5 2657.5 45287.5 ; - RECT 3917.5 45412.5 3032.5 45477.5 ; - RECT 3032.5 45222.5 2897.5 45287.5 ; - RECT 3032.5 45412.5 2897.5 45477.5 ; - RECT 3032.5 45412.5 2897.5 45477.5 ; - RECT 3032.5 45222.5 2897.5 45287.5 ; - RECT 3917.5 45222.5 3782.5 45287.5 ; - RECT 3917.5 45412.5 3782.5 45477.5 ; - RECT 3917.5 45412.5 3782.5 45477.5 ; - RECT 3917.5 45222.5 3782.5 45287.5 ; - RECT 2942.5 45582.5 2807.5 45647.5 ; - RECT 3917.5 45582.5 3782.5 45647.5 ; - RECT 3475.0 45280.0 3340.0 45345.0 ; - RECT 3475.0 45280.0 3340.0 45345.0 ; - RECT 3440.0 45445.0 3375.0 45510.0 ; - RECT 2722.5 45155.0 2657.5 45715.0 ; - RECT 4067.5 45155.0 4002.5 45715.0 ; - RECT 3340.0 45280.0 3475.0 45345.0 ; - RECT 2875.0 46142.5 2690.0 46207.5 ; - RECT 4035.0 46142.5 3850.0 46207.5 ; - RECT 3917.5 45782.5 4067.5 45847.5 ; - RECT 3032.5 45782.5 2657.5 45847.5 ; - RECT 3917.5 45972.5 3032.5 46037.5 ; - RECT 3032.5 45782.5 2897.5 45847.5 ; - RECT 3032.5 45972.5 2897.5 46037.5 ; - RECT 3032.5 45972.5 2897.5 46037.5 ; - RECT 3032.5 45782.5 2897.5 45847.5 ; - RECT 3917.5 45782.5 3782.5 45847.5 ; - RECT 3917.5 45972.5 3782.5 46037.5 ; - RECT 3917.5 45972.5 3782.5 46037.5 ; - RECT 3917.5 45782.5 3782.5 45847.5 ; - RECT 2942.5 46142.5 2807.5 46207.5 ; - RECT 3917.5 46142.5 3782.5 46207.5 ; - RECT 3475.0 45840.0 3340.0 45905.0 ; - RECT 3475.0 45840.0 3340.0 45905.0 ; - RECT 3440.0 46005.0 3375.0 46070.0 ; - RECT 2722.5 45715.0 2657.5 46275.0 ; - RECT 4067.5 45715.0 4002.5 46275.0 ; - RECT 3340.0 45840.0 3475.0 45905.0 ; - RECT 2875.0 46702.5 2690.0 46767.5 ; - RECT 4035.0 46702.5 3850.0 46767.5 ; - RECT 3917.5 46342.5 4067.5 46407.5 ; - RECT 3032.5 46342.5 2657.5 46407.5 ; - RECT 3917.5 46532.5 3032.5 46597.5 ; - RECT 3032.5 46342.5 2897.5 46407.5 ; - RECT 3032.5 46532.5 2897.5 46597.5 ; - RECT 3032.5 46532.5 2897.5 46597.5 ; - RECT 3032.5 46342.5 2897.5 46407.5 ; - RECT 3917.5 46342.5 3782.5 46407.5 ; - RECT 3917.5 46532.5 3782.5 46597.5 ; - RECT 3917.5 46532.5 3782.5 46597.5 ; - RECT 3917.5 46342.5 3782.5 46407.5 ; - RECT 2942.5 46702.5 2807.5 46767.5 ; - RECT 3917.5 46702.5 3782.5 46767.5 ; - RECT 3475.0 46400.0 3340.0 46465.0 ; - RECT 3475.0 46400.0 3340.0 46465.0 ; - RECT 3440.0 46565.0 3375.0 46630.0 ; - RECT 2722.5 46275.0 2657.5 46835.0 ; - RECT 4067.5 46275.0 4002.5 46835.0 ; - RECT 3340.0 46400.0 3475.0 46465.0 ; - RECT 2875.0 47262.5 2690.0 47327.5 ; - RECT 4035.0 47262.5 3850.0 47327.5 ; - RECT 3917.5 46902.5 4067.5 46967.5 ; - RECT 3032.5 46902.5 2657.5 46967.5 ; - RECT 3917.5 47092.5 3032.5 47157.5 ; - RECT 3032.5 46902.5 2897.5 46967.5 ; - RECT 3032.5 47092.5 2897.5 47157.5 ; - RECT 3032.5 47092.5 2897.5 47157.5 ; - RECT 3032.5 46902.5 2897.5 46967.5 ; - RECT 3917.5 46902.5 3782.5 46967.5 ; - RECT 3917.5 47092.5 3782.5 47157.5 ; - RECT 3917.5 47092.5 3782.5 47157.5 ; - RECT 3917.5 46902.5 3782.5 46967.5 ; - RECT 2942.5 47262.5 2807.5 47327.5 ; - RECT 3917.5 47262.5 3782.5 47327.5 ; - RECT 3475.0 46960.0 3340.0 47025.0 ; - RECT 3475.0 46960.0 3340.0 47025.0 ; - RECT 3440.0 47125.0 3375.0 47190.0 ; - RECT 2722.5 46835.0 2657.5 47395.0 ; - RECT 4067.5 46835.0 4002.5 47395.0 ; - RECT 3340.0 46960.0 3475.0 47025.0 ; - RECT 2875.0 47822.5 2690.0 47887.5 ; - RECT 4035.0 47822.5 3850.0 47887.5 ; - RECT 3917.5 47462.5 4067.5 47527.5 ; - RECT 3032.5 47462.5 2657.5 47527.5 ; - RECT 3917.5 47652.5 3032.5 47717.5 ; - RECT 3032.5 47462.5 2897.5 47527.5 ; - RECT 3032.5 47652.5 2897.5 47717.5 ; - RECT 3032.5 47652.5 2897.5 47717.5 ; - RECT 3032.5 47462.5 2897.5 47527.5 ; - RECT 3917.5 47462.5 3782.5 47527.5 ; - RECT 3917.5 47652.5 3782.5 47717.5 ; - RECT 3917.5 47652.5 3782.5 47717.5 ; - RECT 3917.5 47462.5 3782.5 47527.5 ; - RECT 2942.5 47822.5 2807.5 47887.5 ; - RECT 3917.5 47822.5 3782.5 47887.5 ; - RECT 3475.0 47520.0 3340.0 47585.0 ; - RECT 3475.0 47520.0 3340.0 47585.0 ; - RECT 3440.0 47685.0 3375.0 47750.0 ; - RECT 2722.5 47395.0 2657.5 47955.0 ; - RECT 4067.5 47395.0 4002.5 47955.0 ; - RECT 3340.0 47520.0 3475.0 47585.0 ; - RECT 2875.0 48382.5 2690.0 48447.5 ; - RECT 4035.0 48382.5 3850.0 48447.5 ; - RECT 3917.5 48022.5 4067.5 48087.5 ; - RECT 3032.5 48022.5 2657.5 48087.5 ; - RECT 3917.5 48212.5 3032.5 48277.5 ; - RECT 3032.5 48022.5 2897.5 48087.5 ; - RECT 3032.5 48212.5 2897.5 48277.5 ; - RECT 3032.5 48212.5 2897.5 48277.5 ; - RECT 3032.5 48022.5 2897.5 48087.5 ; - RECT 3917.5 48022.5 3782.5 48087.5 ; - RECT 3917.5 48212.5 3782.5 48277.5 ; - RECT 3917.5 48212.5 3782.5 48277.5 ; - RECT 3917.5 48022.5 3782.5 48087.5 ; - RECT 2942.5 48382.5 2807.5 48447.5 ; - RECT 3917.5 48382.5 3782.5 48447.5 ; - RECT 3475.0 48080.0 3340.0 48145.0 ; - RECT 3475.0 48080.0 3340.0 48145.0 ; - RECT 3440.0 48245.0 3375.0 48310.0 ; - RECT 2722.5 47955.0 2657.5 48515.0 ; - RECT 4067.5 47955.0 4002.5 48515.0 ; - RECT 3340.0 48080.0 3475.0 48145.0 ; - RECT 2875.0 48942.5 2690.0 49007.5 ; - RECT 4035.0 48942.5 3850.0 49007.5 ; - RECT 3917.5 48582.5 4067.5 48647.5 ; - RECT 3032.5 48582.5 2657.5 48647.5 ; - RECT 3917.5 48772.5 3032.5 48837.5 ; - RECT 3032.5 48582.5 2897.5 48647.5 ; - RECT 3032.5 48772.5 2897.5 48837.5 ; - RECT 3032.5 48772.5 2897.5 48837.5 ; - RECT 3032.5 48582.5 2897.5 48647.5 ; - RECT 3917.5 48582.5 3782.5 48647.5 ; - RECT 3917.5 48772.5 3782.5 48837.5 ; - RECT 3917.5 48772.5 3782.5 48837.5 ; - RECT 3917.5 48582.5 3782.5 48647.5 ; - RECT 2942.5 48942.5 2807.5 49007.5 ; - RECT 3917.5 48942.5 3782.5 49007.5 ; - RECT 3475.0 48640.0 3340.0 48705.0 ; - RECT 3475.0 48640.0 3340.0 48705.0 ; - RECT 3440.0 48805.0 3375.0 48870.0 ; - RECT 2722.5 48515.0 2657.5 49075.0 ; - RECT 4067.5 48515.0 4002.5 49075.0 ; - RECT 3340.0 48640.0 3475.0 48705.0 ; - RECT 2875.0 49502.5 2690.0 49567.5 ; - RECT 4035.0 49502.5 3850.0 49567.5 ; - RECT 3917.5 49142.5 4067.5 49207.5 ; - RECT 3032.5 49142.5 2657.5 49207.5 ; - RECT 3917.5 49332.5 3032.5 49397.5 ; - RECT 3032.5 49142.5 2897.5 49207.5 ; - RECT 3032.5 49332.5 2897.5 49397.5 ; - RECT 3032.5 49332.5 2897.5 49397.5 ; - RECT 3032.5 49142.5 2897.5 49207.5 ; - RECT 3917.5 49142.5 3782.5 49207.5 ; - RECT 3917.5 49332.5 3782.5 49397.5 ; - RECT 3917.5 49332.5 3782.5 49397.5 ; - RECT 3917.5 49142.5 3782.5 49207.5 ; - RECT 2942.5 49502.5 2807.5 49567.5 ; - RECT 3917.5 49502.5 3782.5 49567.5 ; - RECT 3475.0 49200.0 3340.0 49265.0 ; - RECT 3475.0 49200.0 3340.0 49265.0 ; - RECT 3440.0 49365.0 3375.0 49430.0 ; - RECT 2722.5 49075.0 2657.5 49635.0 ; - RECT 4067.5 49075.0 4002.5 49635.0 ; - RECT 3340.0 49200.0 3475.0 49265.0 ; - RECT 2875.0 50062.5 2690.0 50127.5 ; - RECT 4035.0 50062.5 3850.0 50127.5 ; - RECT 3917.5 49702.5 4067.5 49767.5 ; - RECT 3032.5 49702.5 2657.5 49767.5 ; - RECT 3917.5 49892.5 3032.5 49957.5 ; - RECT 3032.5 49702.5 2897.5 49767.5 ; - RECT 3032.5 49892.5 2897.5 49957.5 ; - RECT 3032.5 49892.5 2897.5 49957.5 ; - RECT 3032.5 49702.5 2897.5 49767.5 ; - RECT 3917.5 49702.5 3782.5 49767.5 ; - RECT 3917.5 49892.5 3782.5 49957.5 ; - RECT 3917.5 49892.5 3782.5 49957.5 ; - RECT 3917.5 49702.5 3782.5 49767.5 ; - RECT 2942.5 50062.5 2807.5 50127.5 ; - RECT 3917.5 50062.5 3782.5 50127.5 ; - RECT 3475.0 49760.0 3340.0 49825.0 ; - RECT 3475.0 49760.0 3340.0 49825.0 ; - RECT 3440.0 49925.0 3375.0 49990.0 ; - RECT 2722.5 49635.0 2657.5 50195.0 ; - RECT 4067.5 49635.0 4002.5 50195.0 ; - RECT 3340.0 49760.0 3475.0 49825.0 ; - RECT 2875.0 50622.5 2690.0 50687.5 ; - RECT 4035.0 50622.5 3850.0 50687.5 ; - RECT 3917.5 50262.5 4067.5 50327.5 ; - RECT 3032.5 50262.5 2657.5 50327.5 ; - RECT 3917.5 50452.5 3032.5 50517.5 ; - RECT 3032.5 50262.5 2897.5 50327.5 ; - RECT 3032.5 50452.5 2897.5 50517.5 ; - RECT 3032.5 50452.5 2897.5 50517.5 ; - RECT 3032.5 50262.5 2897.5 50327.5 ; - RECT 3917.5 50262.5 3782.5 50327.5 ; - RECT 3917.5 50452.5 3782.5 50517.5 ; - RECT 3917.5 50452.5 3782.5 50517.5 ; - RECT 3917.5 50262.5 3782.5 50327.5 ; - RECT 2942.5 50622.5 2807.5 50687.5 ; - RECT 3917.5 50622.5 3782.5 50687.5 ; - RECT 3475.0 50320.0 3340.0 50385.0 ; - RECT 3475.0 50320.0 3340.0 50385.0 ; - RECT 3440.0 50485.0 3375.0 50550.0 ; - RECT 2722.5 50195.0 2657.5 50755.0 ; - RECT 4067.5 50195.0 4002.5 50755.0 ; - RECT 3340.0 50320.0 3475.0 50385.0 ; - RECT 2875.0 51182.5 2690.0 51247.5 ; - RECT 4035.0 51182.5 3850.0 51247.5 ; - RECT 3917.5 50822.5 4067.5 50887.5 ; - RECT 3032.5 50822.5 2657.5 50887.5 ; - RECT 3917.5 51012.5 3032.5 51077.5 ; - RECT 3032.5 50822.5 2897.5 50887.5 ; - RECT 3032.5 51012.5 2897.5 51077.5 ; - RECT 3032.5 51012.5 2897.5 51077.5 ; - RECT 3032.5 50822.5 2897.5 50887.5 ; - RECT 3917.5 50822.5 3782.5 50887.5 ; - RECT 3917.5 51012.5 3782.5 51077.5 ; - RECT 3917.5 51012.5 3782.5 51077.5 ; - RECT 3917.5 50822.5 3782.5 50887.5 ; - RECT 2942.5 51182.5 2807.5 51247.5 ; - RECT 3917.5 51182.5 3782.5 51247.5 ; - RECT 3475.0 50880.0 3340.0 50945.0 ; - RECT 3475.0 50880.0 3340.0 50945.0 ; - RECT 3440.0 51045.0 3375.0 51110.0 ; - RECT 2722.5 50755.0 2657.5 51315.0 ; - RECT 4067.5 50755.0 4002.5 51315.0 ; - RECT 3340.0 50880.0 3475.0 50945.0 ; - RECT 2875.0 51742.5 2690.0 51807.5 ; - RECT 4035.0 51742.5 3850.0 51807.5 ; - RECT 3917.5 51382.5 4067.5 51447.5 ; - RECT 3032.5 51382.5 2657.5 51447.5 ; - RECT 3917.5 51572.5 3032.5 51637.5 ; - RECT 3032.5 51382.5 2897.5 51447.5 ; - RECT 3032.5 51572.5 2897.5 51637.5 ; - RECT 3032.5 51572.5 2897.5 51637.5 ; - RECT 3032.5 51382.5 2897.5 51447.5 ; - RECT 3917.5 51382.5 3782.5 51447.5 ; - RECT 3917.5 51572.5 3782.5 51637.5 ; - RECT 3917.5 51572.5 3782.5 51637.5 ; - RECT 3917.5 51382.5 3782.5 51447.5 ; - RECT 2942.5 51742.5 2807.5 51807.5 ; - RECT 3917.5 51742.5 3782.5 51807.5 ; - RECT 3475.0 51440.0 3340.0 51505.0 ; - RECT 3475.0 51440.0 3340.0 51505.0 ; - RECT 3440.0 51605.0 3375.0 51670.0 ; - RECT 2722.5 51315.0 2657.5 51875.0 ; - RECT 4067.5 51315.0 4002.5 51875.0 ; - RECT 3340.0 51440.0 3475.0 51505.0 ; - RECT 2505.0 50887.5 2690.0 50822.5 ; - RECT 1345.0 50887.5 1530.0 50822.5 ; - RECT 1462.5 51247.5 1312.5 51182.5 ; - RECT 2347.5 51247.5 2722.5 51182.5 ; - RECT 1462.5 51057.5 2347.5 50992.5 ; - RECT 2347.5 51247.5 2482.5 51182.5 ; - RECT 2347.5 51057.5 2482.5 50992.5 ; - RECT 2347.5 51057.5 2482.5 50992.5 ; - RECT 2347.5 51247.5 2482.5 51182.5 ; - RECT 1462.5 51247.5 1597.5 51182.5 ; - RECT 1462.5 51057.5 1597.5 50992.5 ; - RECT 1462.5 51057.5 1597.5 50992.5 ; - RECT 1462.5 51247.5 1597.5 51182.5 ; - RECT 2437.5 50887.5 2572.5 50822.5 ; - RECT 1462.5 50887.5 1597.5 50822.5 ; - RECT 1905.0 51190.0 2040.0 51125.0 ; - RECT 1905.0 51190.0 2040.0 51125.0 ; - RECT 1940.0 51025.0 2005.0 50960.0 ; - RECT 2657.5 51315.0 2722.5 50755.0 ; - RECT 1312.5 51315.0 1377.5 50755.0 ; - RECT 1905.0 51125.0 2040.0 51190.0 ; - RECT 2505.0 50327.5 2690.0 50262.5 ; - RECT 1345.0 50327.5 1530.0 50262.5 ; - RECT 1462.5 50687.5 1312.5 50622.5 ; - RECT 2347.5 50687.5 2722.5 50622.5 ; - RECT 1462.5 50497.5 2347.5 50432.5 ; - RECT 2347.5 50687.5 2482.5 50622.5 ; - RECT 2347.5 50497.5 2482.5 50432.5 ; - RECT 2347.5 50497.5 2482.5 50432.5 ; - RECT 2347.5 50687.5 2482.5 50622.5 ; - RECT 1462.5 50687.5 1597.5 50622.5 ; - RECT 1462.5 50497.5 1597.5 50432.5 ; - RECT 1462.5 50497.5 1597.5 50432.5 ; - RECT 1462.5 50687.5 1597.5 50622.5 ; - RECT 2437.5 50327.5 2572.5 50262.5 ; - RECT 1462.5 50327.5 1597.5 50262.5 ; - RECT 1905.0 50630.0 2040.0 50565.0 ; - RECT 1905.0 50630.0 2040.0 50565.0 ; - RECT 1940.0 50465.0 2005.0 50400.0 ; - RECT 2657.5 50755.0 2722.5 50195.0 ; - RECT 1312.5 50755.0 1377.5 50195.0 ; - RECT 1905.0 50565.0 2040.0 50630.0 ; - RECT 2505.0 49767.5 2690.0 49702.5 ; - RECT 1345.0 49767.5 1530.0 49702.5 ; - RECT 1462.5 50127.5 1312.5 50062.5 ; - RECT 2347.5 50127.5 2722.5 50062.5 ; - RECT 1462.5 49937.5 2347.5 49872.5 ; - RECT 2347.5 50127.5 2482.5 50062.5 ; - RECT 2347.5 49937.5 2482.5 49872.5 ; - RECT 2347.5 49937.5 2482.5 49872.5 ; - RECT 2347.5 50127.5 2482.5 50062.5 ; - RECT 1462.5 50127.5 1597.5 50062.5 ; - RECT 1462.5 49937.5 1597.5 49872.5 ; - RECT 1462.5 49937.5 1597.5 49872.5 ; - RECT 1462.5 50127.5 1597.5 50062.5 ; - RECT 2437.5 49767.5 2572.5 49702.5 ; - RECT 1462.5 49767.5 1597.5 49702.5 ; - RECT 1905.0 50070.0 2040.0 50005.0 ; - RECT 1905.0 50070.0 2040.0 50005.0 ; - RECT 1940.0 49905.0 2005.0 49840.0 ; - RECT 2657.5 50195.0 2722.5 49635.0 ; - RECT 1312.5 50195.0 1377.5 49635.0 ; - RECT 1905.0 50005.0 2040.0 50070.0 ; - RECT 2505.0 49207.5 2690.0 49142.5 ; - RECT 1345.0 49207.5 1530.0 49142.5 ; - RECT 1462.5 49567.5 1312.5 49502.5 ; - RECT 2347.5 49567.5 2722.5 49502.5 ; - RECT 1462.5 49377.5 2347.5 49312.5 ; - RECT 2347.5 49567.5 2482.5 49502.5 ; - RECT 2347.5 49377.5 2482.5 49312.5 ; - RECT 2347.5 49377.5 2482.5 49312.5 ; - RECT 2347.5 49567.5 2482.5 49502.5 ; - RECT 1462.5 49567.5 1597.5 49502.5 ; - RECT 1462.5 49377.5 1597.5 49312.5 ; - RECT 1462.5 49377.5 1597.5 49312.5 ; - RECT 1462.5 49567.5 1597.5 49502.5 ; - RECT 2437.5 49207.5 2572.5 49142.5 ; - RECT 1462.5 49207.5 1597.5 49142.5 ; - RECT 1905.0 49510.0 2040.0 49445.0 ; - RECT 1905.0 49510.0 2040.0 49445.0 ; - RECT 1940.0 49345.0 2005.0 49280.0 ; - RECT 2657.5 49635.0 2722.5 49075.0 ; - RECT 1312.5 49635.0 1377.5 49075.0 ; - RECT 1905.0 49445.0 2040.0 49510.0 ; - RECT 2505.0 48647.5 2690.0 48582.5 ; - RECT 1345.0 48647.5 1530.0 48582.5 ; - RECT 1462.5 49007.5 1312.5 48942.5 ; - RECT 2347.5 49007.5 2722.5 48942.5 ; - RECT 1462.5 48817.5 2347.5 48752.5 ; - RECT 2347.5 49007.5 2482.5 48942.5 ; - RECT 2347.5 48817.5 2482.5 48752.5 ; - RECT 2347.5 48817.5 2482.5 48752.5 ; - RECT 2347.5 49007.5 2482.5 48942.5 ; - RECT 1462.5 49007.5 1597.5 48942.5 ; - RECT 1462.5 48817.5 1597.5 48752.5 ; - RECT 1462.5 48817.5 1597.5 48752.5 ; - RECT 1462.5 49007.5 1597.5 48942.5 ; - RECT 2437.5 48647.5 2572.5 48582.5 ; - RECT 1462.5 48647.5 1597.5 48582.5 ; - RECT 1905.0 48950.0 2040.0 48885.0 ; - RECT 1905.0 48950.0 2040.0 48885.0 ; - RECT 1940.0 48785.0 2005.0 48720.0 ; - RECT 2657.5 49075.0 2722.5 48515.0 ; - RECT 1312.5 49075.0 1377.5 48515.0 ; - RECT 1905.0 48885.0 2040.0 48950.0 ; - RECT 2505.0 48087.5 2690.0 48022.5 ; - RECT 1345.0 48087.5 1530.0 48022.5 ; - RECT 1462.5 48447.5 1312.5 48382.5 ; - RECT 2347.5 48447.5 2722.5 48382.5 ; - RECT 1462.5 48257.5 2347.5 48192.5 ; - RECT 2347.5 48447.5 2482.5 48382.5 ; - RECT 2347.5 48257.5 2482.5 48192.5 ; - RECT 2347.5 48257.5 2482.5 48192.5 ; - RECT 2347.5 48447.5 2482.5 48382.5 ; - RECT 1462.5 48447.5 1597.5 48382.5 ; - RECT 1462.5 48257.5 1597.5 48192.5 ; - RECT 1462.5 48257.5 1597.5 48192.5 ; - RECT 1462.5 48447.5 1597.5 48382.5 ; - RECT 2437.5 48087.5 2572.5 48022.5 ; - RECT 1462.5 48087.5 1597.5 48022.5 ; - RECT 1905.0 48390.0 2040.0 48325.0 ; - RECT 1905.0 48390.0 2040.0 48325.0 ; - RECT 1940.0 48225.0 2005.0 48160.0 ; - RECT 2657.5 48515.0 2722.5 47955.0 ; - RECT 1312.5 48515.0 1377.5 47955.0 ; - RECT 1905.0 48325.0 2040.0 48390.0 ; - RECT 2505.0 47527.5 2690.0 47462.5 ; - RECT 1345.0 47527.5 1530.0 47462.5 ; - RECT 1462.5 47887.5 1312.5 47822.5 ; - RECT 2347.5 47887.5 2722.5 47822.5 ; - RECT 1462.5 47697.5 2347.5 47632.5 ; - RECT 2347.5 47887.5 2482.5 47822.5 ; - RECT 2347.5 47697.5 2482.5 47632.5 ; - RECT 2347.5 47697.5 2482.5 47632.5 ; - RECT 2347.5 47887.5 2482.5 47822.5 ; - RECT 1462.5 47887.5 1597.5 47822.5 ; - RECT 1462.5 47697.5 1597.5 47632.5 ; - RECT 1462.5 47697.5 1597.5 47632.5 ; - RECT 1462.5 47887.5 1597.5 47822.5 ; - RECT 2437.5 47527.5 2572.5 47462.5 ; - RECT 1462.5 47527.5 1597.5 47462.5 ; - RECT 1905.0 47830.0 2040.0 47765.0 ; - RECT 1905.0 47830.0 2040.0 47765.0 ; - RECT 1940.0 47665.0 2005.0 47600.0 ; - RECT 2657.5 47955.0 2722.5 47395.0 ; - RECT 1312.5 47955.0 1377.5 47395.0 ; - RECT 1905.0 47765.0 2040.0 47830.0 ; - RECT 2505.0 46967.5 2690.0 46902.5 ; - RECT 1345.0 46967.5 1530.0 46902.5 ; - RECT 1462.5 47327.5 1312.5 47262.5 ; - RECT 2347.5 47327.5 2722.5 47262.5 ; - RECT 1462.5 47137.5 2347.5 47072.5 ; - RECT 2347.5 47327.5 2482.5 47262.5 ; - RECT 2347.5 47137.5 2482.5 47072.5 ; - RECT 2347.5 47137.5 2482.5 47072.5 ; - RECT 2347.5 47327.5 2482.5 47262.5 ; - RECT 1462.5 47327.5 1597.5 47262.5 ; - RECT 1462.5 47137.5 1597.5 47072.5 ; - RECT 1462.5 47137.5 1597.5 47072.5 ; - RECT 1462.5 47327.5 1597.5 47262.5 ; - RECT 2437.5 46967.5 2572.5 46902.5 ; - RECT 1462.5 46967.5 1597.5 46902.5 ; - RECT 1905.0 47270.0 2040.0 47205.0 ; - RECT 1905.0 47270.0 2040.0 47205.0 ; - RECT 1940.0 47105.0 2005.0 47040.0 ; - RECT 2657.5 47395.0 2722.5 46835.0 ; - RECT 1312.5 47395.0 1377.5 46835.0 ; - RECT 1905.0 47205.0 2040.0 47270.0 ; - RECT 2505.0 46407.5 2690.0 46342.5 ; - RECT 1345.0 46407.5 1530.0 46342.5 ; - RECT 1462.5 46767.5 1312.5 46702.5 ; - RECT 2347.5 46767.5 2722.5 46702.5 ; - RECT 1462.5 46577.5 2347.5 46512.5 ; - RECT 2347.5 46767.5 2482.5 46702.5 ; - RECT 2347.5 46577.5 2482.5 46512.5 ; - RECT 2347.5 46577.5 2482.5 46512.5 ; - RECT 2347.5 46767.5 2482.5 46702.5 ; - RECT 1462.5 46767.5 1597.5 46702.5 ; - RECT 1462.5 46577.5 1597.5 46512.5 ; - RECT 1462.5 46577.5 1597.5 46512.5 ; - RECT 1462.5 46767.5 1597.5 46702.5 ; - RECT 2437.5 46407.5 2572.5 46342.5 ; - RECT 1462.5 46407.5 1597.5 46342.5 ; - RECT 1905.0 46710.0 2040.0 46645.0 ; - RECT 1905.0 46710.0 2040.0 46645.0 ; - RECT 1940.0 46545.0 2005.0 46480.0 ; - RECT 2657.5 46835.0 2722.5 46275.0 ; - RECT 1312.5 46835.0 1377.5 46275.0 ; - RECT 1905.0 46645.0 2040.0 46710.0 ; - RECT 2505.0 45847.5 2690.0 45782.5 ; - RECT 1345.0 45847.5 1530.0 45782.5 ; - RECT 1462.5 46207.5 1312.5 46142.5 ; - RECT 2347.5 46207.5 2722.5 46142.5 ; - RECT 1462.5 46017.5 2347.5 45952.5 ; - RECT 2347.5 46207.5 2482.5 46142.5 ; - RECT 2347.5 46017.5 2482.5 45952.5 ; - RECT 2347.5 46017.5 2482.5 45952.5 ; - RECT 2347.5 46207.5 2482.5 46142.5 ; - RECT 1462.5 46207.5 1597.5 46142.5 ; - RECT 1462.5 46017.5 1597.5 45952.5 ; - RECT 1462.5 46017.5 1597.5 45952.5 ; - RECT 1462.5 46207.5 1597.5 46142.5 ; - RECT 2437.5 45847.5 2572.5 45782.5 ; - RECT 1462.5 45847.5 1597.5 45782.5 ; - RECT 1905.0 46150.0 2040.0 46085.0 ; - RECT 1905.0 46150.0 2040.0 46085.0 ; - RECT 1940.0 45985.0 2005.0 45920.0 ; - RECT 2657.5 46275.0 2722.5 45715.0 ; - RECT 1312.5 46275.0 1377.5 45715.0 ; - RECT 1905.0 46085.0 2040.0 46150.0 ; - RECT 2505.0 45287.5 2690.0 45222.5 ; - RECT 1345.0 45287.5 1530.0 45222.5 ; - RECT 1462.5 45647.5 1312.5 45582.5 ; - RECT 2347.5 45647.5 2722.5 45582.5 ; - RECT 1462.5 45457.5 2347.5 45392.5 ; - RECT 2347.5 45647.5 2482.5 45582.5 ; - RECT 2347.5 45457.5 2482.5 45392.5 ; - RECT 2347.5 45457.5 2482.5 45392.5 ; - RECT 2347.5 45647.5 2482.5 45582.5 ; - RECT 1462.5 45647.5 1597.5 45582.5 ; - RECT 1462.5 45457.5 1597.5 45392.5 ; - RECT 1462.5 45457.5 1597.5 45392.5 ; - RECT 1462.5 45647.5 1597.5 45582.5 ; - RECT 2437.5 45287.5 2572.5 45222.5 ; - RECT 1462.5 45287.5 1597.5 45222.5 ; - RECT 1905.0 45590.0 2040.0 45525.0 ; - RECT 1905.0 45590.0 2040.0 45525.0 ; - RECT 1940.0 45425.0 2005.0 45360.0 ; - RECT 2657.5 45715.0 2722.5 45155.0 ; - RECT 1312.5 45715.0 1377.5 45155.0 ; - RECT 1905.0 45525.0 2040.0 45590.0 ; - RECT 2505.0 44727.5 2690.0 44662.5 ; - RECT 1345.0 44727.5 1530.0 44662.5 ; - RECT 1462.5 45087.5 1312.5 45022.5 ; - RECT 2347.5 45087.5 2722.5 45022.5 ; - RECT 1462.5 44897.5 2347.5 44832.5 ; - RECT 2347.5 45087.5 2482.5 45022.5 ; - RECT 2347.5 44897.5 2482.5 44832.5 ; - RECT 2347.5 44897.5 2482.5 44832.5 ; - RECT 2347.5 45087.5 2482.5 45022.5 ; - RECT 1462.5 45087.5 1597.5 45022.5 ; - RECT 1462.5 44897.5 1597.5 44832.5 ; - RECT 1462.5 44897.5 1597.5 44832.5 ; - RECT 1462.5 45087.5 1597.5 45022.5 ; - RECT 2437.5 44727.5 2572.5 44662.5 ; - RECT 1462.5 44727.5 1597.5 44662.5 ; - RECT 1905.0 45030.0 2040.0 44965.0 ; - RECT 1905.0 45030.0 2040.0 44965.0 ; - RECT 1940.0 44865.0 2005.0 44800.0 ; - RECT 2657.5 45155.0 2722.5 44595.0 ; - RECT 1312.5 45155.0 1377.5 44595.0 ; - RECT 1905.0 44965.0 2040.0 45030.0 ; - RECT 2505.0 44167.5 2690.0 44102.5 ; - RECT 1345.0 44167.5 1530.0 44102.5 ; - RECT 1462.5 44527.5 1312.5 44462.5 ; - RECT 2347.5 44527.5 2722.5 44462.5 ; - RECT 1462.5 44337.5 2347.5 44272.5 ; - RECT 2347.5 44527.5 2482.5 44462.5 ; - RECT 2347.5 44337.5 2482.5 44272.5 ; - RECT 2347.5 44337.5 2482.5 44272.5 ; - RECT 2347.5 44527.5 2482.5 44462.5 ; - RECT 1462.5 44527.5 1597.5 44462.5 ; - RECT 1462.5 44337.5 1597.5 44272.5 ; - RECT 1462.5 44337.5 1597.5 44272.5 ; - RECT 1462.5 44527.5 1597.5 44462.5 ; - RECT 2437.5 44167.5 2572.5 44102.5 ; - RECT 1462.5 44167.5 1597.5 44102.5 ; - RECT 1905.0 44470.0 2040.0 44405.0 ; - RECT 1905.0 44470.0 2040.0 44405.0 ; - RECT 1940.0 44305.0 2005.0 44240.0 ; - RECT 2657.5 44595.0 2722.5 44035.0 ; - RECT 1312.5 44595.0 1377.5 44035.0 ; - RECT 1905.0 44405.0 2040.0 44470.0 ; - RECT 2505.0 43607.5 2690.0 43542.5 ; - RECT 1345.0 43607.5 1530.0 43542.5 ; - RECT 1462.5 43967.5 1312.5 43902.5 ; - RECT 2347.5 43967.5 2722.5 43902.5 ; - RECT 1462.5 43777.5 2347.5 43712.5 ; - RECT 2347.5 43967.5 2482.5 43902.5 ; - RECT 2347.5 43777.5 2482.5 43712.5 ; - RECT 2347.5 43777.5 2482.5 43712.5 ; - RECT 2347.5 43967.5 2482.5 43902.5 ; - RECT 1462.5 43967.5 1597.5 43902.5 ; - RECT 1462.5 43777.5 1597.5 43712.5 ; - RECT 1462.5 43777.5 1597.5 43712.5 ; - RECT 1462.5 43967.5 1597.5 43902.5 ; - RECT 2437.5 43607.5 2572.5 43542.5 ; - RECT 1462.5 43607.5 1597.5 43542.5 ; - RECT 1905.0 43910.0 2040.0 43845.0 ; - RECT 1905.0 43910.0 2040.0 43845.0 ; - RECT 1940.0 43745.0 2005.0 43680.0 ; - RECT 2657.5 44035.0 2722.5 43475.0 ; - RECT 1312.5 44035.0 1377.5 43475.0 ; - RECT 1905.0 43845.0 2040.0 43910.0 ; - RECT 2505.0 43047.5 2690.0 42982.5 ; - RECT 1345.0 43047.5 1530.0 42982.5 ; - RECT 1462.5 43407.5 1312.5 43342.5 ; - RECT 2347.5 43407.5 2722.5 43342.5 ; - RECT 1462.5 43217.5 2347.5 43152.5 ; - RECT 2347.5 43407.5 2482.5 43342.5 ; - RECT 2347.5 43217.5 2482.5 43152.5 ; - RECT 2347.5 43217.5 2482.5 43152.5 ; - RECT 2347.5 43407.5 2482.5 43342.5 ; - RECT 1462.5 43407.5 1597.5 43342.5 ; - RECT 1462.5 43217.5 1597.5 43152.5 ; - RECT 1462.5 43217.5 1597.5 43152.5 ; - RECT 1462.5 43407.5 1597.5 43342.5 ; - RECT 2437.5 43047.5 2572.5 42982.5 ; - RECT 1462.5 43047.5 1597.5 42982.5 ; - RECT 1905.0 43350.0 2040.0 43285.0 ; - RECT 1905.0 43350.0 2040.0 43285.0 ; - RECT 1940.0 43185.0 2005.0 43120.0 ; - RECT 2657.5 43475.0 2722.5 42915.0 ; - RECT 1312.5 43475.0 1377.5 42915.0 ; - RECT 1905.0 43285.0 2040.0 43350.0 ; - RECT 2505.0 42487.5 2690.0 42422.5 ; - RECT 1345.0 42487.5 1530.0 42422.5 ; - RECT 1462.5 42847.5 1312.5 42782.5 ; - RECT 2347.5 42847.5 2722.5 42782.5 ; - RECT 1462.5 42657.5 2347.5 42592.5 ; - RECT 2347.5 42847.5 2482.5 42782.5 ; - RECT 2347.5 42657.5 2482.5 42592.5 ; - RECT 2347.5 42657.5 2482.5 42592.5 ; - RECT 2347.5 42847.5 2482.5 42782.5 ; - RECT 1462.5 42847.5 1597.5 42782.5 ; - RECT 1462.5 42657.5 1597.5 42592.5 ; - RECT 1462.5 42657.5 1597.5 42592.5 ; - RECT 1462.5 42847.5 1597.5 42782.5 ; - RECT 2437.5 42487.5 2572.5 42422.5 ; - RECT 1462.5 42487.5 1597.5 42422.5 ; - RECT 1905.0 42790.0 2040.0 42725.0 ; - RECT 1905.0 42790.0 2040.0 42725.0 ; - RECT 1940.0 42625.0 2005.0 42560.0 ; - RECT 2657.5 42915.0 2722.5 42355.0 ; - RECT 1312.5 42915.0 1377.5 42355.0 ; - RECT 1905.0 42725.0 2040.0 42790.0 ; - RECT 3340.0 42645.0 3475.0 42710.0 ; - RECT 3340.0 44885.0 3475.0 44950.0 ; - RECT 3340.0 47125.0 3475.0 47190.0 ; - RECT 3340.0 49365.0 3475.0 49430.0 ; - RECT 3340.0 51605.0 3475.0 51670.0 ; - RECT 1905.0 49280.0 2040.0 49345.0 ; - RECT 1905.0 47040.0 2040.0 47105.0 ; - RECT 1905.0 44800.0 2040.0 44865.0 ; - RECT 3340.0 42480.0 3475.0 42545.0 ; - RECT 1940.0 42355.0 2005.0 42560.0 ; - RECT 2657.5 42355.0 2722.5 51875.0 ; - RECT 1312.5 42355.0 1377.5 51875.0 ; - RECT 4002.5 42355.0 4067.5 51875.0 ; - RECT 935.0 42020.0 225.0 40675.0 ; - RECT 935.0 42020.0 230.0 43365.0 ; - RECT 935.0 44710.0 230.0 43365.0 ; - RECT 935.0 44710.0 230.0 46055.0 ; - RECT 935.0 47400.0 230.0 46055.0 ; - RECT 1025.0 42127.5 140.0 42192.5 ; - RECT 1025.0 44537.5 140.0 44602.5 ; - RECT 1025.0 44817.5 140.0 44882.5 ; - RECT 1025.0 47227.5 140.0 47292.5 ; - RECT 1025.0 43332.5 140.0 43397.5 ; - RECT 1025.0 46022.5 140.0 46087.5 ; - RECT 1025.0 41987.5 140.0 42052.5 ; - RECT 1025.0 44677.5 140.0 44742.5 ; - RECT 1025.0 47367.5 140.0 47432.5 ; - RECT 1345.0 42092.5 1280.0 42227.5 ; - RECT 1345.0 44502.5 1280.0 44637.5 ; - RECT 1345.0 44782.5 1280.0 44917.5 ; - RECT 1345.0 47192.5 1280.0 47327.5 ; - RECT 1342.5 42355.0 1277.5 42490.0 ; - RECT 1377.5 39980.0 1312.5 40115.0 ; - RECT 867.5 40082.5 1002.5 40147.5 ; - RECT 162.5 40082.5 297.5 40147.5 ; - RECT 2005.0 41587.5 1940.0 41722.5 ; - RECT 1105.0 40847.5 1240.0 40912.5 ; - RECT 1105.0 40250.0 1240.0 40315.0 ; - RECT 682.5 40250.0 817.5 40315.0 ; - RECT 3475.0 39555.0 3410.0 42480.0 ; - RECT 2005.0 39555.0 1940.0 40320.0 ; - RECT 20.0 39555.0 -45.0 47487.5 ; - RECT 2722.5 39555.0 2657.5 42355.0 ; - RECT 1377.5 39555.0 1312.5 40115.0 ; - RECT 4067.5 39555.0 4002.5 42355.0 ; - RECT 3455.0 34402.5 3390.0 34267.5 ; - RECT 3455.0 30322.5 3390.0 30187.5 ; - RECT 2517.5 27755.0 2452.5 27620.0 ; - RECT 1962.5 34402.5 1897.5 34267.5 ; - RECT 1747.5 34812.5 1682.5 34677.5 ; - RECT 2017.5 37350.0 1952.5 37215.0 ; - RECT 1802.5 37607.5 1737.5 37472.5 ; - RECT 3380.0 35837.5 3315.0 35702.5 ; - RECT 3520.0 35632.5 3455.0 35497.5 ; - RECT 3660.0 35017.5 3595.0 34882.5 ; - RECT 690.0 35837.5 625.0 35702.5 ; - RECT 830.0 35017.5 765.0 34882.5 ; - RECT 970.0 35222.5 905.0 35087.5 ; - RECT 1997.5 37032.5 1862.5 37097.5 ; - RECT 2052.5 38177.5 1917.5 38242.5 ; - RECT 785.0 39362.5 650.0 39427.5 ; - RECT 2040.0 38402.5 1905.0 38467.5 ; - RECT 4067.5 34607.5 4002.5 34472.5 ; - RECT 2722.5 35427.5 2657.5 35292.5 ; - RECT 1377.5 34607.5 1312.5 34472.5 ; - RECT 32.5 35427.5 -32.5 35292.5 ; - RECT 3475.0 27450.0 3340.0 27640.0 ; - RECT 2722.5 27450.0 2657.5 27515.0 ; - RECT 4067.5 27450.0 4002.5 27515.0 ; - RECT 4417.5 35327.5 4282.5 35392.5 ; - LAYER metal2 ; - RECT 15572.5 38230.0 15642.5 38435.0 ; - RECT 15367.5 39190.0 15437.5 39395.0 ; - RECT 14957.5 36860.0 15027.5 37065.0 ; - RECT 14752.5 38005.0 14822.5 38210.0 ; - RECT 15162.5 35565.0 15232.5 35770.0 ; - RECT 14547.5 34130.0 14617.5 34335.0 ; - RECT 4035.0 35325.0 4350.0 35395.0 ; - RECT 14132.5 34335.0 14202.5 34540.0 ; - RECT 14547.5 35.0 14617.5 72077.5 ; - RECT 14752.5 35.0 14822.5 72077.5 ; - RECT 14957.5 35.0 15027.5 72077.5 ; - RECT 15162.5 35.0 15232.5 72077.5 ; - RECT 15367.5 35.0 15437.5 72077.5 ; - RECT 15572.5 35.0 15642.5 72077.5 ; - RECT 11942.5 35.0 12012.5 27310.0 ; - RECT 12147.5 35.0 12217.5 27310.0 ; - RECT 12352.5 35.0 12422.5 27310.0 ; - RECT 12557.5 35.0 12627.5 27310.0 ; - RECT 12762.5 35.0 12832.5 27310.0 ; - RECT 12967.5 35.0 13037.5 27310.0 ; - RECT 13172.5 35.0 13242.5 27310.0 ; - RECT 13377.5 35.0 13447.5 27310.0 ; - RECT 13582.5 35.0 13652.5 27310.0 ; - RECT 16235.0 70505.0 16305.0 70910.0 ; - RECT 16570.0 70505.0 16640.0 70910.0 ; - RECT 16940.0 70505.0 17010.0 70910.0 ; - RECT 17275.0 70505.0 17345.0 70910.0 ; - RECT 17645.0 70505.0 17715.0 70910.0 ; - RECT 17980.0 70505.0 18050.0 70910.0 ; - RECT 18350.0 70505.0 18420.0 70910.0 ; - RECT 18685.0 70505.0 18755.0 70910.0 ; - RECT 19055.0 70505.0 19125.0 70910.0 ; - RECT 19390.0 70505.0 19460.0 70910.0 ; - RECT 19760.0 70505.0 19830.0 70910.0 ; - RECT 20095.0 70505.0 20165.0 70910.0 ; - RECT 20465.0 70505.0 20535.0 70910.0 ; - RECT 20800.0 70505.0 20870.0 70910.0 ; - RECT 21170.0 70505.0 21240.0 70910.0 ; - RECT 21505.0 70505.0 21575.0 70910.0 ; - RECT 16402.5 5815.0 16472.5 5885.0 ; - RECT 16227.5 5815.0 16437.5 5885.0 ; - RECT 16402.5 5850.0 16472.5 5990.0 ; - RECT 19222.5 5815.0 19292.5 5885.0 ; - RECT 19047.5 5815.0 19257.5 5885.0 ; - RECT 19222.5 5850.0 19292.5 5990.0 ; - RECT 8430.0 70350.0 8500.0 70555.0 ; - RECT 16085.0 27310.0 16790.0 28655.0 ; - RECT 16085.0 30000.0 16790.0 28655.0 ; - RECT 16085.0 30000.0 16790.0 31345.0 ; - RECT 16085.0 32690.0 16790.0 31345.0 ; - RECT 16085.0 32690.0 16790.0 34035.0 ; - RECT 16085.0 35380.0 16790.0 34035.0 ; - RECT 16085.0 35380.0 16790.0 36725.0 ; - RECT 16085.0 38070.0 16790.0 36725.0 ; - RECT 16085.0 38070.0 16790.0 39415.0 ; - RECT 16085.0 40760.0 16790.0 39415.0 ; - RECT 16085.0 40760.0 16790.0 42105.0 ; - RECT 16085.0 43450.0 16790.0 42105.0 ; - RECT 16085.0 43450.0 16790.0 44795.0 ; - RECT 16085.0 46140.0 16790.0 44795.0 ; - RECT 16085.0 46140.0 16790.0 47485.0 ; - RECT 16085.0 48830.0 16790.0 47485.0 ; - RECT 16085.0 48830.0 16790.0 50175.0 ; - RECT 16085.0 51520.0 16790.0 50175.0 ; - RECT 16085.0 51520.0 16790.0 52865.0 ; - RECT 16085.0 54210.0 16790.0 52865.0 ; - RECT 16085.0 54210.0 16790.0 55555.0 ; - RECT 16085.0 56900.0 16790.0 55555.0 ; - RECT 16085.0 56900.0 16790.0 58245.0 ; - RECT 16085.0 59590.0 16790.0 58245.0 ; - RECT 16085.0 59590.0 16790.0 60935.0 ; - RECT 16085.0 62280.0 16790.0 60935.0 ; - RECT 16085.0 62280.0 16790.0 63625.0 ; - RECT 16085.0 64970.0 16790.0 63625.0 ; - RECT 16085.0 64970.0 16790.0 66315.0 ; - RECT 16085.0 67660.0 16790.0 66315.0 ; - RECT 16085.0 67660.0 16790.0 69005.0 ; - RECT 16085.0 70350.0 16790.0 69005.0 ; - RECT 16790.0 27310.0 17495.0 28655.0 ; - RECT 16790.0 30000.0 17495.0 28655.0 ; - RECT 16790.0 30000.0 17495.0 31345.0 ; - RECT 16790.0 32690.0 17495.0 31345.0 ; - RECT 16790.0 32690.0 17495.0 34035.0 ; - RECT 16790.0 35380.0 17495.0 34035.0 ; - RECT 16790.0 35380.0 17495.0 36725.0 ; - RECT 16790.0 38070.0 17495.0 36725.0 ; - RECT 16790.0 38070.0 17495.0 39415.0 ; - RECT 16790.0 40760.0 17495.0 39415.0 ; - RECT 16790.0 40760.0 17495.0 42105.0 ; - RECT 16790.0 43450.0 17495.0 42105.0 ; - RECT 16790.0 43450.0 17495.0 44795.0 ; - RECT 16790.0 46140.0 17495.0 44795.0 ; - RECT 16790.0 46140.0 17495.0 47485.0 ; - RECT 16790.0 48830.0 17495.0 47485.0 ; - RECT 16790.0 48830.0 17495.0 50175.0 ; - RECT 16790.0 51520.0 17495.0 50175.0 ; - RECT 16790.0 51520.0 17495.0 52865.0 ; - RECT 16790.0 54210.0 17495.0 52865.0 ; - RECT 16790.0 54210.0 17495.0 55555.0 ; - RECT 16790.0 56900.0 17495.0 55555.0 ; - RECT 16790.0 56900.0 17495.0 58245.0 ; - RECT 16790.0 59590.0 17495.0 58245.0 ; - RECT 16790.0 59590.0 17495.0 60935.0 ; - RECT 16790.0 62280.0 17495.0 60935.0 ; - RECT 16790.0 62280.0 17495.0 63625.0 ; - RECT 16790.0 64970.0 17495.0 63625.0 ; - RECT 16790.0 64970.0 17495.0 66315.0 ; - RECT 16790.0 67660.0 17495.0 66315.0 ; - RECT 16790.0 67660.0 17495.0 69005.0 ; - RECT 16790.0 70350.0 17495.0 69005.0 ; - RECT 17495.0 27310.0 18200.0 28655.0 ; - RECT 17495.0 30000.0 18200.0 28655.0 ; - RECT 17495.0 30000.0 18200.0 31345.0 ; - RECT 17495.0 32690.0 18200.0 31345.0 ; - RECT 17495.0 32690.0 18200.0 34035.0 ; - RECT 17495.0 35380.0 18200.0 34035.0 ; - RECT 17495.0 35380.0 18200.0 36725.0 ; - RECT 17495.0 38070.0 18200.0 36725.0 ; - RECT 17495.0 38070.0 18200.0 39415.0 ; - RECT 17495.0 40760.0 18200.0 39415.0 ; - RECT 17495.0 40760.0 18200.0 42105.0 ; - RECT 17495.0 43450.0 18200.0 42105.0 ; - RECT 17495.0 43450.0 18200.0 44795.0 ; - RECT 17495.0 46140.0 18200.0 44795.0 ; - RECT 17495.0 46140.0 18200.0 47485.0 ; - RECT 17495.0 48830.0 18200.0 47485.0 ; - RECT 17495.0 48830.0 18200.0 50175.0 ; - RECT 17495.0 51520.0 18200.0 50175.0 ; - RECT 17495.0 51520.0 18200.0 52865.0 ; - RECT 17495.0 54210.0 18200.0 52865.0 ; - RECT 17495.0 54210.0 18200.0 55555.0 ; - RECT 17495.0 56900.0 18200.0 55555.0 ; - RECT 17495.0 56900.0 18200.0 58245.0 ; - RECT 17495.0 59590.0 18200.0 58245.0 ; - RECT 17495.0 59590.0 18200.0 60935.0 ; - RECT 17495.0 62280.0 18200.0 60935.0 ; - RECT 17495.0 62280.0 18200.0 63625.0 ; - RECT 17495.0 64970.0 18200.0 63625.0 ; - RECT 17495.0 64970.0 18200.0 66315.0 ; - RECT 17495.0 67660.0 18200.0 66315.0 ; - RECT 17495.0 67660.0 18200.0 69005.0 ; - RECT 17495.0 70350.0 18200.0 69005.0 ; - RECT 18200.0 27310.0 18905.0 28655.0 ; - RECT 18200.0 30000.0 18905.0 28655.0 ; - RECT 18200.0 30000.0 18905.0 31345.0 ; - RECT 18200.0 32690.0 18905.0 31345.0 ; - RECT 18200.0 32690.0 18905.0 34035.0 ; - RECT 18200.0 35380.0 18905.0 34035.0 ; - RECT 18200.0 35380.0 18905.0 36725.0 ; - RECT 18200.0 38070.0 18905.0 36725.0 ; - RECT 18200.0 38070.0 18905.0 39415.0 ; - RECT 18200.0 40760.0 18905.0 39415.0 ; - RECT 18200.0 40760.0 18905.0 42105.0 ; - RECT 18200.0 43450.0 18905.0 42105.0 ; - RECT 18200.0 43450.0 18905.0 44795.0 ; - RECT 18200.0 46140.0 18905.0 44795.0 ; - RECT 18200.0 46140.0 18905.0 47485.0 ; - RECT 18200.0 48830.0 18905.0 47485.0 ; - RECT 18200.0 48830.0 18905.0 50175.0 ; - RECT 18200.0 51520.0 18905.0 50175.0 ; - RECT 18200.0 51520.0 18905.0 52865.0 ; - RECT 18200.0 54210.0 18905.0 52865.0 ; - RECT 18200.0 54210.0 18905.0 55555.0 ; - RECT 18200.0 56900.0 18905.0 55555.0 ; - RECT 18200.0 56900.0 18905.0 58245.0 ; - RECT 18200.0 59590.0 18905.0 58245.0 ; - RECT 18200.0 59590.0 18905.0 60935.0 ; - RECT 18200.0 62280.0 18905.0 60935.0 ; - RECT 18200.0 62280.0 18905.0 63625.0 ; - RECT 18200.0 64970.0 18905.0 63625.0 ; - RECT 18200.0 64970.0 18905.0 66315.0 ; - RECT 18200.0 67660.0 18905.0 66315.0 ; - RECT 18200.0 67660.0 18905.0 69005.0 ; - RECT 18200.0 70350.0 18905.0 69005.0 ; - RECT 18905.0 27310.0 19610.0 28655.0 ; - RECT 18905.0 30000.0 19610.0 28655.0 ; - RECT 18905.0 30000.0 19610.0 31345.0 ; - RECT 18905.0 32690.0 19610.0 31345.0 ; - RECT 18905.0 32690.0 19610.0 34035.0 ; - RECT 18905.0 35380.0 19610.0 34035.0 ; - RECT 18905.0 35380.0 19610.0 36725.0 ; - RECT 18905.0 38070.0 19610.0 36725.0 ; - RECT 18905.0 38070.0 19610.0 39415.0 ; - RECT 18905.0 40760.0 19610.0 39415.0 ; - RECT 18905.0 40760.0 19610.0 42105.0 ; - RECT 18905.0 43450.0 19610.0 42105.0 ; - RECT 18905.0 43450.0 19610.0 44795.0 ; - RECT 18905.0 46140.0 19610.0 44795.0 ; - RECT 18905.0 46140.0 19610.0 47485.0 ; - RECT 18905.0 48830.0 19610.0 47485.0 ; - RECT 18905.0 48830.0 19610.0 50175.0 ; - RECT 18905.0 51520.0 19610.0 50175.0 ; - RECT 18905.0 51520.0 19610.0 52865.0 ; - RECT 18905.0 54210.0 19610.0 52865.0 ; - RECT 18905.0 54210.0 19610.0 55555.0 ; - RECT 18905.0 56900.0 19610.0 55555.0 ; - RECT 18905.0 56900.0 19610.0 58245.0 ; - RECT 18905.0 59590.0 19610.0 58245.0 ; - RECT 18905.0 59590.0 19610.0 60935.0 ; - RECT 18905.0 62280.0 19610.0 60935.0 ; - RECT 18905.0 62280.0 19610.0 63625.0 ; - RECT 18905.0 64970.0 19610.0 63625.0 ; - RECT 18905.0 64970.0 19610.0 66315.0 ; - RECT 18905.0 67660.0 19610.0 66315.0 ; - RECT 18905.0 67660.0 19610.0 69005.0 ; - RECT 18905.0 70350.0 19610.0 69005.0 ; - RECT 19610.0 27310.0 20315.0 28655.0 ; - RECT 19610.0 30000.0 20315.0 28655.0 ; - RECT 19610.0 30000.0 20315.0 31345.0 ; - RECT 19610.0 32690.0 20315.0 31345.0 ; - RECT 19610.0 32690.0 20315.0 34035.0 ; - RECT 19610.0 35380.0 20315.0 34035.0 ; - RECT 19610.0 35380.0 20315.0 36725.0 ; - RECT 19610.0 38070.0 20315.0 36725.0 ; - RECT 19610.0 38070.0 20315.0 39415.0 ; - RECT 19610.0 40760.0 20315.0 39415.0 ; - RECT 19610.0 40760.0 20315.0 42105.0 ; - RECT 19610.0 43450.0 20315.0 42105.0 ; - RECT 19610.0 43450.0 20315.0 44795.0 ; - RECT 19610.0 46140.0 20315.0 44795.0 ; - RECT 19610.0 46140.0 20315.0 47485.0 ; - RECT 19610.0 48830.0 20315.0 47485.0 ; - RECT 19610.0 48830.0 20315.0 50175.0 ; - RECT 19610.0 51520.0 20315.0 50175.0 ; - RECT 19610.0 51520.0 20315.0 52865.0 ; - RECT 19610.0 54210.0 20315.0 52865.0 ; - RECT 19610.0 54210.0 20315.0 55555.0 ; - RECT 19610.0 56900.0 20315.0 55555.0 ; - RECT 19610.0 56900.0 20315.0 58245.0 ; - RECT 19610.0 59590.0 20315.0 58245.0 ; - RECT 19610.0 59590.0 20315.0 60935.0 ; - RECT 19610.0 62280.0 20315.0 60935.0 ; - RECT 19610.0 62280.0 20315.0 63625.0 ; - RECT 19610.0 64970.0 20315.0 63625.0 ; - RECT 19610.0 64970.0 20315.0 66315.0 ; - RECT 19610.0 67660.0 20315.0 66315.0 ; - RECT 19610.0 67660.0 20315.0 69005.0 ; - RECT 19610.0 70350.0 20315.0 69005.0 ; - RECT 20315.0 27310.0 21020.0 28655.0 ; - RECT 20315.0 30000.0 21020.0 28655.0 ; - RECT 20315.0 30000.0 21020.0 31345.0 ; - RECT 20315.0 32690.0 21020.0 31345.0 ; - RECT 20315.0 32690.0 21020.0 34035.0 ; - RECT 20315.0 35380.0 21020.0 34035.0 ; - RECT 20315.0 35380.0 21020.0 36725.0 ; - RECT 20315.0 38070.0 21020.0 36725.0 ; - RECT 20315.0 38070.0 21020.0 39415.0 ; - RECT 20315.0 40760.0 21020.0 39415.0 ; - RECT 20315.0 40760.0 21020.0 42105.0 ; - RECT 20315.0 43450.0 21020.0 42105.0 ; - RECT 20315.0 43450.0 21020.0 44795.0 ; - RECT 20315.0 46140.0 21020.0 44795.0 ; - RECT 20315.0 46140.0 21020.0 47485.0 ; - RECT 20315.0 48830.0 21020.0 47485.0 ; - RECT 20315.0 48830.0 21020.0 50175.0 ; - RECT 20315.0 51520.0 21020.0 50175.0 ; - RECT 20315.0 51520.0 21020.0 52865.0 ; - RECT 20315.0 54210.0 21020.0 52865.0 ; - RECT 20315.0 54210.0 21020.0 55555.0 ; - RECT 20315.0 56900.0 21020.0 55555.0 ; - RECT 20315.0 56900.0 21020.0 58245.0 ; - RECT 20315.0 59590.0 21020.0 58245.0 ; - RECT 20315.0 59590.0 21020.0 60935.0 ; - RECT 20315.0 62280.0 21020.0 60935.0 ; - RECT 20315.0 62280.0 21020.0 63625.0 ; - RECT 20315.0 64970.0 21020.0 63625.0 ; - RECT 20315.0 64970.0 21020.0 66315.0 ; - RECT 20315.0 67660.0 21020.0 66315.0 ; - RECT 20315.0 67660.0 21020.0 69005.0 ; - RECT 20315.0 70350.0 21020.0 69005.0 ; - RECT 21020.0 27310.0 21725.0 28655.0 ; - RECT 21020.0 30000.0 21725.0 28655.0 ; - RECT 21020.0 30000.0 21725.0 31345.0 ; - RECT 21020.0 32690.0 21725.0 31345.0 ; - RECT 21020.0 32690.0 21725.0 34035.0 ; - RECT 21020.0 35380.0 21725.0 34035.0 ; - RECT 21020.0 35380.0 21725.0 36725.0 ; - RECT 21020.0 38070.0 21725.0 36725.0 ; - RECT 21020.0 38070.0 21725.0 39415.0 ; - RECT 21020.0 40760.0 21725.0 39415.0 ; - RECT 21020.0 40760.0 21725.0 42105.0 ; - RECT 21020.0 43450.0 21725.0 42105.0 ; - RECT 21020.0 43450.0 21725.0 44795.0 ; - RECT 21020.0 46140.0 21725.0 44795.0 ; - RECT 21020.0 46140.0 21725.0 47485.0 ; - RECT 21020.0 48830.0 21725.0 47485.0 ; - RECT 21020.0 48830.0 21725.0 50175.0 ; - RECT 21020.0 51520.0 21725.0 50175.0 ; - RECT 21020.0 51520.0 21725.0 52865.0 ; - RECT 21020.0 54210.0 21725.0 52865.0 ; - RECT 21020.0 54210.0 21725.0 55555.0 ; - RECT 21020.0 56900.0 21725.0 55555.0 ; - RECT 21020.0 56900.0 21725.0 58245.0 ; - RECT 21020.0 59590.0 21725.0 58245.0 ; - RECT 21020.0 59590.0 21725.0 60935.0 ; - RECT 21020.0 62280.0 21725.0 60935.0 ; - RECT 21020.0 62280.0 21725.0 63625.0 ; - RECT 21020.0 64970.0 21725.0 63625.0 ; - RECT 21020.0 64970.0 21725.0 66315.0 ; - RECT 21020.0 67660.0 21725.0 66315.0 ; - RECT 21020.0 67660.0 21725.0 69005.0 ; - RECT 21020.0 70350.0 21725.0 69005.0 ; - RECT 16235.0 27210.0 16305.0 70505.0 ; - RECT 16570.0 27210.0 16640.0 70505.0 ; - RECT 16940.0 27210.0 17010.0 70505.0 ; - RECT 17275.0 27210.0 17345.0 70505.0 ; - RECT 17645.0 27210.0 17715.0 70505.0 ; - RECT 17980.0 27210.0 18050.0 70505.0 ; - RECT 18350.0 27210.0 18420.0 70505.0 ; - RECT 18685.0 27210.0 18755.0 70505.0 ; - RECT 19055.0 27210.0 19125.0 70505.0 ; - RECT 19390.0 27210.0 19460.0 70505.0 ; - RECT 19760.0 27210.0 19830.0 70505.0 ; - RECT 20095.0 27210.0 20165.0 70505.0 ; - RECT 20465.0 27210.0 20535.0 70505.0 ; - RECT 20800.0 27210.0 20870.0 70505.0 ; - RECT 21170.0 27210.0 21240.0 70505.0 ; - RECT 21505.0 27210.0 21575.0 70505.0 ; - RECT 16050.0 27210.0 16120.0 70505.0 ; - RECT 16755.0 27210.0 16825.0 70505.0 ; - RECT 17460.0 27210.0 17530.0 70505.0 ; - RECT 18165.0 27210.0 18235.0 70505.0 ; - RECT 18870.0 27210.0 18940.0 70505.0 ; - RECT 19575.0 27210.0 19645.0 70505.0 ; - RECT 20280.0 27210.0 20350.0 70505.0 ; - RECT 20985.0 27210.0 21055.0 70505.0 ; - RECT 21690.0 27210.0 21760.0 70505.0 ; - RECT 16235.0 71032.5 16312.5 71167.5 ; - RECT 16437.5 71032.5 16640.0 71167.5 ; - RECT 16235.0 71562.5 16312.5 71697.5 ; - RECT 16570.0 71562.5 16692.5 71697.5 ; - RECT 16245.0 71032.5 16315.0 71167.5 ; - RECT 16435.0 71032.5 16505.0 71167.5 ; - RECT 16245.0 71562.5 16315.0 71697.5 ; - RECT 16625.0 71562.5 16695.0 71697.5 ; - RECT 16235.0 70910.0 16305.0 72077.5 ; - RECT 16570.0 70910.0 16640.0 72077.5 ; - RECT 16940.0 71032.5 17017.5 71167.5 ; - RECT 17142.5 71032.5 17345.0 71167.5 ; - RECT 16940.0 71562.5 17017.5 71697.5 ; - RECT 17275.0 71562.5 17397.5 71697.5 ; - RECT 16950.0 71032.5 17020.0 71167.5 ; - RECT 17140.0 71032.5 17210.0 71167.5 ; - RECT 16950.0 71562.5 17020.0 71697.5 ; - RECT 17330.0 71562.5 17400.0 71697.5 ; - RECT 16940.0 70910.0 17010.0 72077.5 ; - RECT 17275.0 70910.0 17345.0 72077.5 ; - RECT 17645.0 71032.5 17722.5 71167.5 ; - RECT 17847.5 71032.5 18050.0 71167.5 ; - RECT 17645.0 71562.5 17722.5 71697.5 ; - RECT 17980.0 71562.5 18102.5 71697.5 ; - RECT 17655.0 71032.5 17725.0 71167.5 ; - RECT 17845.0 71032.5 17915.0 71167.5 ; - RECT 17655.0 71562.5 17725.0 71697.5 ; - RECT 18035.0 71562.5 18105.0 71697.5 ; - RECT 17645.0 70910.0 17715.0 72077.5 ; - RECT 17980.0 70910.0 18050.0 72077.5 ; - RECT 18350.0 71032.5 18427.5 71167.5 ; - RECT 18552.5 71032.5 18755.0 71167.5 ; - RECT 18350.0 71562.5 18427.5 71697.5 ; - RECT 18685.0 71562.5 18807.5 71697.5 ; - RECT 18360.0 71032.5 18430.0 71167.5 ; - RECT 18550.0 71032.5 18620.0 71167.5 ; - RECT 18360.0 71562.5 18430.0 71697.5 ; - RECT 18740.0 71562.5 18810.0 71697.5 ; - RECT 18350.0 70910.0 18420.0 72077.5 ; - RECT 18685.0 70910.0 18755.0 72077.5 ; - RECT 19055.0 71032.5 19132.5 71167.5 ; - RECT 19257.5 71032.5 19460.0 71167.5 ; - RECT 19055.0 71562.5 19132.5 71697.5 ; - RECT 19390.0 71562.5 19512.5 71697.5 ; - RECT 19065.0 71032.5 19135.0 71167.5 ; - RECT 19255.0 71032.5 19325.0 71167.5 ; - RECT 19065.0 71562.5 19135.0 71697.5 ; - RECT 19445.0 71562.5 19515.0 71697.5 ; - RECT 19055.0 70910.0 19125.0 72077.5 ; - RECT 19390.0 70910.0 19460.0 72077.5 ; - RECT 19760.0 71032.5 19837.5 71167.5 ; - RECT 19962.5 71032.5 20165.0 71167.5 ; - RECT 19760.0 71562.5 19837.5 71697.5 ; - RECT 20095.0 71562.5 20217.5 71697.5 ; - RECT 19770.0 71032.5 19840.0 71167.5 ; - RECT 19960.0 71032.5 20030.0 71167.5 ; - RECT 19770.0 71562.5 19840.0 71697.5 ; - RECT 20150.0 71562.5 20220.0 71697.5 ; - RECT 19760.0 70910.0 19830.0 72077.5 ; - RECT 20095.0 70910.0 20165.0 72077.5 ; - RECT 20465.0 71032.5 20542.5 71167.5 ; - RECT 20667.5 71032.5 20870.0 71167.5 ; - RECT 20465.0 71562.5 20542.5 71697.5 ; - RECT 20800.0 71562.5 20922.5 71697.5 ; - RECT 20475.0 71032.5 20545.0 71167.5 ; - RECT 20665.0 71032.5 20735.0 71167.5 ; - RECT 20475.0 71562.5 20545.0 71697.5 ; - RECT 20855.0 71562.5 20925.0 71697.5 ; - RECT 20465.0 70910.0 20535.0 72077.5 ; - RECT 20800.0 70910.0 20870.0 72077.5 ; - RECT 21170.0 71032.5 21247.5 71167.5 ; - RECT 21372.5 71032.5 21575.0 71167.5 ; - RECT 21170.0 71562.5 21247.5 71697.5 ; - RECT 21505.0 71562.5 21627.5 71697.5 ; - RECT 21180.0 71032.5 21250.0 71167.5 ; - RECT 21370.0 71032.5 21440.0 71167.5 ; - RECT 21180.0 71562.5 21250.0 71697.5 ; - RECT 21560.0 71562.5 21630.0 71697.5 ; - RECT 21170.0 70910.0 21240.0 72077.5 ; - RECT 21505.0 70910.0 21575.0 72077.5 ; - RECT 16235.0 70910.0 16305.0 72077.5 ; - RECT 16570.0 70910.0 16640.0 72077.5 ; - RECT 16940.0 70910.0 17010.0 72077.5 ; - RECT 17275.0 70910.0 17345.0 72077.5 ; - RECT 17645.0 70910.0 17715.0 72077.5 ; - RECT 17980.0 70910.0 18050.0 72077.5 ; - RECT 18350.0 70910.0 18420.0 72077.5 ; - RECT 18685.0 70910.0 18755.0 72077.5 ; - RECT 19055.0 70910.0 19125.0 72077.5 ; - RECT 19390.0 70910.0 19460.0 72077.5 ; - RECT 19760.0 70910.0 19830.0 72077.5 ; - RECT 20095.0 70910.0 20165.0 72077.5 ; - RECT 20465.0 70910.0 20535.0 72077.5 ; - RECT 20800.0 70910.0 20870.0 72077.5 ; - RECT 21170.0 70910.0 21240.0 72077.5 ; - RECT 21505.0 70910.0 21575.0 72077.5 ; - RECT 16940.0 24745.0 17010.0 25445.0 ; - RECT 17275.0 24605.0 17345.0 25445.0 ; - RECT 17645.0 24745.0 17715.0 25445.0 ; - RECT 17980.0 24605.0 18050.0 25445.0 ; - RECT 18350.0 24745.0 18420.0 25445.0 ; - RECT 18685.0 24605.0 18755.0 25445.0 ; - RECT 19760.0 24745.0 19830.0 25445.0 ; - RECT 20095.0 24605.0 20165.0 25445.0 ; - RECT 20465.0 24745.0 20535.0 25445.0 ; - RECT 20800.0 24605.0 20870.0 25445.0 ; - RECT 21170.0 24745.0 21240.0 25445.0 ; - RECT 21505.0 24605.0 21575.0 25445.0 ; - RECT 16235.0 26085.0 16305.0 26155.0 ; - RECT 16307.5 26085.0 16377.5 26155.0 ; - RECT 16235.0 25585.0 16305.0 26120.0 ; - RECT 16270.0 26085.0 16342.5 26155.0 ; - RECT 16307.5 26120.0 16377.5 26652.5 ; - RECT 16570.0 26497.5 16640.0 26567.5 ; - RECT 16497.5 26497.5 16567.5 26567.5 ; - RECT 16570.0 26532.5 16640.0 27135.0 ; - RECT 16532.5 26497.5 16605.0 26567.5 ; - RECT 16497.5 25927.5 16567.5 26532.5 ; - RECT 16235.0 27067.5 16305.0 27202.5 ; - RECT 16570.0 25517.5 16640.0 25652.5 ; - RECT 16307.5 26652.5 16377.5 26787.5 ; - RECT 16497.5 25792.5 16567.5 25927.5 ; - RECT 16755.0 25692.5 16825.0 25827.5 ; - RECT 16235.0 27135.0 16305.0 27275.0 ; - RECT 16570.0 27135.0 16640.0 27275.0 ; - RECT 16235.0 25445.0 16305.0 25585.0 ; - RECT 16570.0 25445.0 16640.0 25585.0 ; - RECT 16050.0 25445.0 16120.0 27275.0 ; - RECT 16755.0 25445.0 16825.0 27275.0 ; - RECT 16940.0 26085.0 17010.0 26155.0 ; - RECT 17012.5 26085.0 17082.5 26155.0 ; - RECT 16940.0 25585.0 17010.0 26120.0 ; - RECT 16975.0 26085.0 17047.5 26155.0 ; - RECT 17012.5 26120.0 17082.5 26652.5 ; - RECT 17275.0 26497.5 17345.0 26567.5 ; - RECT 17202.5 26497.5 17272.5 26567.5 ; - RECT 17275.0 26532.5 17345.0 27135.0 ; - RECT 17237.5 26497.5 17310.0 26567.5 ; - RECT 17202.5 25927.5 17272.5 26532.5 ; - RECT 16940.0 27067.5 17010.0 27202.5 ; - RECT 17275.0 25517.5 17345.0 25652.5 ; - RECT 17012.5 26652.5 17082.5 26787.5 ; - RECT 17202.5 25792.5 17272.5 25927.5 ; - RECT 17460.0 25692.5 17530.0 25827.5 ; - RECT 16940.0 27135.0 17010.0 27275.0 ; - RECT 17275.0 27135.0 17345.0 27275.0 ; - RECT 16940.0 25445.0 17010.0 25585.0 ; - RECT 17275.0 25445.0 17345.0 25585.0 ; - RECT 16755.0 25445.0 16825.0 27275.0 ; - RECT 17460.0 25445.0 17530.0 27275.0 ; - RECT 17645.0 26085.0 17715.0 26155.0 ; - RECT 17717.5 26085.0 17787.5 26155.0 ; - RECT 17645.0 25585.0 17715.0 26120.0 ; - RECT 17680.0 26085.0 17752.5 26155.0 ; - RECT 17717.5 26120.0 17787.5 26652.5 ; - RECT 17980.0 26497.5 18050.0 26567.5 ; - RECT 17907.5 26497.5 17977.5 26567.5 ; - RECT 17980.0 26532.5 18050.0 27135.0 ; - RECT 17942.5 26497.5 18015.0 26567.5 ; - RECT 17907.5 25927.5 17977.5 26532.5 ; - RECT 17645.0 27067.5 17715.0 27202.5 ; - RECT 17980.0 25517.5 18050.0 25652.5 ; - RECT 17717.5 26652.5 17787.5 26787.5 ; - RECT 17907.5 25792.5 17977.5 25927.5 ; - RECT 18165.0 25692.5 18235.0 25827.5 ; - RECT 17645.0 27135.0 17715.0 27275.0 ; - RECT 17980.0 27135.0 18050.0 27275.0 ; - RECT 17645.0 25445.0 17715.0 25585.0 ; - RECT 17980.0 25445.0 18050.0 25585.0 ; - RECT 17460.0 25445.0 17530.0 27275.0 ; - RECT 18165.0 25445.0 18235.0 27275.0 ; - RECT 18350.0 26085.0 18420.0 26155.0 ; - RECT 18422.5 26085.0 18492.5 26155.0 ; - RECT 18350.0 25585.0 18420.0 26120.0 ; - RECT 18385.0 26085.0 18457.5 26155.0 ; - RECT 18422.5 26120.0 18492.5 26652.5 ; - RECT 18685.0 26497.5 18755.0 26567.5 ; - RECT 18612.5 26497.5 18682.5 26567.5 ; - RECT 18685.0 26532.5 18755.0 27135.0 ; - RECT 18647.5 26497.5 18720.0 26567.5 ; - RECT 18612.5 25927.5 18682.5 26532.5 ; - RECT 18350.0 27067.5 18420.0 27202.5 ; - RECT 18685.0 25517.5 18755.0 25652.5 ; - RECT 18422.5 26652.5 18492.5 26787.5 ; - RECT 18612.5 25792.5 18682.5 25927.5 ; - RECT 18870.0 25692.5 18940.0 25827.5 ; - RECT 18350.0 27135.0 18420.0 27275.0 ; - RECT 18685.0 27135.0 18755.0 27275.0 ; - RECT 18350.0 25445.0 18420.0 25585.0 ; - RECT 18685.0 25445.0 18755.0 25585.0 ; - RECT 18165.0 25445.0 18235.0 27275.0 ; - RECT 18870.0 25445.0 18940.0 27275.0 ; - RECT 19055.0 26085.0 19125.0 26155.0 ; - RECT 19127.5 26085.0 19197.5 26155.0 ; - RECT 19055.0 25585.0 19125.0 26120.0 ; - RECT 19090.0 26085.0 19162.5 26155.0 ; - RECT 19127.5 26120.0 19197.5 26652.5 ; - RECT 19390.0 26497.5 19460.0 26567.5 ; - RECT 19317.5 26497.5 19387.5 26567.5 ; - RECT 19390.0 26532.5 19460.0 27135.0 ; - RECT 19352.5 26497.5 19425.0 26567.5 ; - RECT 19317.5 25927.5 19387.5 26532.5 ; - RECT 19055.0 27067.5 19125.0 27202.5 ; - RECT 19390.0 25517.5 19460.0 25652.5 ; - RECT 19127.5 26652.5 19197.5 26787.5 ; - RECT 19317.5 25792.5 19387.5 25927.5 ; - RECT 19575.0 25692.5 19645.0 25827.5 ; - RECT 19055.0 27135.0 19125.0 27275.0 ; - RECT 19390.0 27135.0 19460.0 27275.0 ; - RECT 19055.0 25445.0 19125.0 25585.0 ; - RECT 19390.0 25445.0 19460.0 25585.0 ; - RECT 18870.0 25445.0 18940.0 27275.0 ; - RECT 19575.0 25445.0 19645.0 27275.0 ; - RECT 19760.0 26085.0 19830.0 26155.0 ; - RECT 19832.5 26085.0 19902.5 26155.0 ; - RECT 19760.0 25585.0 19830.0 26120.0 ; - RECT 19795.0 26085.0 19867.5 26155.0 ; - RECT 19832.5 26120.0 19902.5 26652.5 ; - RECT 20095.0 26497.5 20165.0 26567.5 ; - RECT 20022.5 26497.5 20092.5 26567.5 ; - RECT 20095.0 26532.5 20165.0 27135.0 ; - RECT 20057.5 26497.5 20130.0 26567.5 ; - RECT 20022.5 25927.5 20092.5 26532.5 ; - RECT 19760.0 27067.5 19830.0 27202.5 ; - RECT 20095.0 25517.5 20165.0 25652.5 ; - RECT 19832.5 26652.5 19902.5 26787.5 ; - RECT 20022.5 25792.5 20092.5 25927.5 ; - RECT 20280.0 25692.5 20350.0 25827.5 ; - RECT 19760.0 27135.0 19830.0 27275.0 ; - RECT 20095.0 27135.0 20165.0 27275.0 ; - RECT 19760.0 25445.0 19830.0 25585.0 ; - RECT 20095.0 25445.0 20165.0 25585.0 ; - RECT 19575.0 25445.0 19645.0 27275.0 ; - RECT 20280.0 25445.0 20350.0 27275.0 ; - RECT 20465.0 26085.0 20535.0 26155.0 ; - RECT 20537.5 26085.0 20607.5 26155.0 ; - RECT 20465.0 25585.0 20535.0 26120.0 ; - RECT 20500.0 26085.0 20572.5 26155.0 ; - RECT 20537.5 26120.0 20607.5 26652.5 ; - RECT 20800.0 26497.5 20870.0 26567.5 ; - RECT 20727.5 26497.5 20797.5 26567.5 ; - RECT 20800.0 26532.5 20870.0 27135.0 ; - RECT 20762.5 26497.5 20835.0 26567.5 ; - RECT 20727.5 25927.5 20797.5 26532.5 ; - RECT 20465.0 27067.5 20535.0 27202.5 ; - RECT 20800.0 25517.5 20870.0 25652.5 ; - RECT 20537.5 26652.5 20607.5 26787.5 ; - RECT 20727.5 25792.5 20797.5 25927.5 ; - RECT 20985.0 25692.5 21055.0 25827.5 ; - RECT 20465.0 27135.0 20535.0 27275.0 ; - RECT 20800.0 27135.0 20870.0 27275.0 ; - RECT 20465.0 25445.0 20535.0 25585.0 ; - RECT 20800.0 25445.0 20870.0 25585.0 ; - RECT 20280.0 25445.0 20350.0 27275.0 ; - RECT 20985.0 25445.0 21055.0 27275.0 ; - RECT 21170.0 26085.0 21240.0 26155.0 ; - RECT 21242.5 26085.0 21312.5 26155.0 ; - RECT 21170.0 25585.0 21240.0 26120.0 ; - RECT 21205.0 26085.0 21277.5 26155.0 ; - RECT 21242.5 26120.0 21312.5 26652.5 ; - RECT 21505.0 26497.5 21575.0 26567.5 ; - RECT 21432.5 26497.5 21502.5 26567.5 ; - RECT 21505.0 26532.5 21575.0 27135.0 ; - RECT 21467.5 26497.5 21540.0 26567.5 ; - RECT 21432.5 25927.5 21502.5 26532.5 ; - RECT 21170.0 27067.5 21240.0 27202.5 ; - RECT 21505.0 25517.5 21575.0 25652.5 ; - RECT 21242.5 26652.5 21312.5 26787.5 ; - RECT 21432.5 25792.5 21502.5 25927.5 ; - RECT 21690.0 25692.5 21760.0 25827.5 ; - RECT 21170.0 27135.0 21240.0 27275.0 ; - RECT 21505.0 27135.0 21575.0 27275.0 ; - RECT 21170.0 25445.0 21240.0 25585.0 ; - RECT 21505.0 25445.0 21575.0 25585.0 ; - RECT 20985.0 25445.0 21055.0 27275.0 ; - RECT 21690.0 25445.0 21760.0 27275.0 ; - RECT 16370.0 24745.0 16235.0 24815.0 ; - RECT 16570.0 24605.0 16435.0 24675.0 ; - RECT 17075.0 24745.0 16940.0 24815.0 ; - RECT 17275.0 24605.0 17140.0 24675.0 ; - RECT 17780.0 24745.0 17645.0 24815.0 ; - RECT 17980.0 24605.0 17845.0 24675.0 ; - RECT 18485.0 24745.0 18350.0 24815.0 ; - RECT 18685.0 24605.0 18550.0 24675.0 ; - RECT 19190.0 24745.0 19055.0 24815.0 ; - RECT 19390.0 24605.0 19255.0 24675.0 ; - RECT 19895.0 24745.0 19760.0 24815.0 ; - RECT 20095.0 24605.0 19960.0 24675.0 ; - RECT 20600.0 24745.0 20465.0 24815.0 ; - RECT 20800.0 24605.0 20665.0 24675.0 ; - RECT 21305.0 24745.0 21170.0 24815.0 ; - RECT 21505.0 24605.0 21370.0 24675.0 ; - RECT 16235.0 27135.0 16305.0 27275.0 ; - RECT 16570.0 27135.0 16640.0 27275.0 ; - RECT 16940.0 27135.0 17010.0 27275.0 ; - RECT 17275.0 27135.0 17345.0 27275.0 ; - RECT 17645.0 27135.0 17715.0 27275.0 ; - RECT 17980.0 27135.0 18050.0 27275.0 ; - RECT 18350.0 27135.0 18420.0 27275.0 ; - RECT 18685.0 27135.0 18755.0 27275.0 ; - RECT 19055.0 27135.0 19125.0 27275.0 ; - RECT 19390.0 27135.0 19460.0 27275.0 ; - RECT 19760.0 27135.0 19830.0 27275.0 ; - RECT 20095.0 27135.0 20165.0 27275.0 ; - RECT 20465.0 27135.0 20535.0 27275.0 ; - RECT 20800.0 27135.0 20870.0 27275.0 ; - RECT 21170.0 27135.0 21240.0 27275.0 ; - RECT 21505.0 27135.0 21575.0 27275.0 ; - RECT 16235.0 24465.0 16305.0 25445.0 ; - RECT 16570.0 24465.0 16640.0 25445.0 ; - RECT 19055.0 24465.0 19125.0 25445.0 ; - RECT 19390.0 24465.0 19460.0 25445.0 ; - RECT 16050.0 24465.0 16120.0 27275.0 ; - RECT 16755.0 24465.0 16825.0 27275.0 ; - RECT 17460.0 24465.0 17530.0 27275.0 ; - RECT 18165.0 24465.0 18235.0 27275.0 ; - RECT 18870.0 24465.0 18940.0 27275.0 ; - RECT 19575.0 24465.0 19645.0 27275.0 ; - RECT 20280.0 24465.0 20350.0 27275.0 ; - RECT 20985.0 24465.0 21055.0 27275.0 ; - RECT 9195.0 35.0 9265.0 5275.0 ; - RECT 9470.0 35.0 9540.0 5275.0 ; - RECT 8645.0 35.0 8715.0 5275.0 ; - RECT 8920.0 35.0 8990.0 5275.0 ; - RECT 10000.0 640.0 10070.0 710.0 ; - RECT 10190.0 640.0 10260.0 710.0 ; - RECT 10000.0 675.0 10070.0 1037.5 ; - RECT 10035.0 640.0 10225.0 710.0 ; - RECT 10190.0 332.5 10260.0 675.0 ; - RECT 10000.0 1037.5 10070.0 1172.5 ; - RECT 10190.0 197.5 10260.0 332.5 ; - RECT 10292.5 640.0 10157.5 710.0 ; - RECT 10000.0 2120.0 10070.0 2050.0 ; - RECT 10190.0 2120.0 10260.0 2050.0 ; - RECT 10000.0 2085.0 10070.0 1722.5 ; - RECT 10035.0 2120.0 10225.0 2050.0 ; - RECT 10190.0 2427.5 10260.0 2085.0 ; - RECT 10000.0 1722.5 10070.0 1587.5 ; - RECT 10190.0 2562.5 10260.0 2427.5 ; - RECT 10292.5 2120.0 10157.5 2050.0 ; - RECT 10000.0 3330.0 10070.0 3400.0 ; - RECT 10190.0 3330.0 10260.0 3400.0 ; - RECT 10000.0 3365.0 10070.0 3727.5 ; - RECT 10035.0 3330.0 10225.0 3400.0 ; - RECT 10190.0 3022.5 10260.0 3365.0 ; - RECT 10000.0 3727.5 10070.0 3862.5 ; - RECT 10190.0 2887.5 10260.0 3022.5 ; - RECT 10292.5 3330.0 10157.5 3400.0 ; - RECT 10000.0 4810.0 10070.0 4740.0 ; - RECT 10190.0 4810.0 10260.0 4740.0 ; - RECT 10000.0 4775.0 10070.0 4412.5 ; - RECT 10035.0 4810.0 10225.0 4740.0 ; - RECT 10190.0 5117.5 10260.0 4775.0 ; - RECT 10000.0 4412.5 10070.0 4277.5 ; - RECT 10190.0 5252.5 10260.0 5117.5 ; - RECT 10292.5 4810.0 10157.5 4740.0 ; - RECT 8747.5 1150.0 8612.5 1220.0 ; - RECT 7362.5 627.5 7227.5 697.5 ; - RECT 9022.5 2495.0 8887.5 2565.0 ; - RECT 7637.5 2062.5 7502.5 2132.5 ; - RECT 7362.5 2825.0 7227.5 2895.0 ; - RECT 9297.5 2825.0 9162.5 2895.0 ; - RECT 7637.5 4170.0 7502.5 4240.0 ; - RECT 9572.5 4170.0 9437.5 4240.0 ; - RECT 8747.5 640.0 8612.5 710.0 ; - RECT 9022.5 425.0 8887.5 495.0 ; - RECT 9297.5 2050.0 9162.5 2120.0 ; - RECT 9022.5 2265.0 8887.5 2335.0 ; - RECT 8747.5 3330.0 8612.5 3400.0 ; - RECT 9572.5 3115.0 9437.5 3185.0 ; - RECT 9297.5 4740.0 9162.5 4810.0 ; - RECT 9572.5 4955.0 9437.5 5025.0 ; - RECT 7260.0 35.0 7330.0 5275.0 ; - RECT 7535.0 35.0 7605.0 5275.0 ; - RECT 16085.0 19580.0 16790.0 24465.0 ; - RECT 18905.0 19580.0 19610.0 24465.0 ; - RECT 16235.0 19580.0 16305.0 24465.0 ; - RECT 16570.0 19580.0 16640.0 23665.0 ; - RECT 19055.0 19580.0 19125.0 24465.0 ; - RECT 19390.0 19580.0 19460.0 23665.0 ; - RECT 16085.0 15405.0 16790.0 19580.0 ; - RECT 18905.0 15405.0 19610.0 19580.0 ; - RECT 16402.5 15405.0 16472.5 15545.0 ; - RECT 19222.5 15405.0 19292.5 15545.0 ; - RECT 16235.0 19280.0 16305.0 19580.0 ; - RECT 16570.0 17140.0 16640.0 19580.0 ; - RECT 19055.0 19280.0 19125.0 19580.0 ; - RECT 19390.0 17140.0 19460.0 19580.0 ; - RECT 16085.0 8965.0 16790.0 15405.0 ; - RECT 18905.0 8965.0 19610.0 15405.0 ; - RECT 16402.5 8965.0 16472.5 9110.0 ; - RECT 19222.5 8965.0 19292.5 9110.0 ; - RECT 16402.5 15135.0 16472.5 15405.0 ; - RECT 16247.5 14717.5 16317.5 15405.0 ; - RECT 19222.5 15135.0 19292.5 15405.0 ; - RECT 19067.5 14717.5 19137.5 15405.0 ; - RECT 16050.0 8965.0 16120.0 15405.0 ; - RECT 16755.0 8965.0 16825.0 15405.0 ; - RECT 18870.0 8965.0 18940.0 15405.0 ; - RECT 19575.0 8965.0 19645.0 15405.0 ; - RECT 16085.0 8965.0 16790.0 5990.0 ; - RECT 18905.0 8965.0 19610.0 5990.0 ; - RECT 16402.5 6230.0 16472.5 5990.0 ; - RECT 19222.5 6230.0 19292.5 5990.0 ; - RECT 16402.5 8965.0 16472.5 8615.0 ; - RECT 19222.5 8965.0 19292.5 8615.0 ; - RECT 4655.0 11170.0 4725.0 70350.0 ; - RECT 4830.0 11170.0 4900.0 70350.0 ; - RECT 5005.0 11170.0 5075.0 70350.0 ; - RECT 5180.0 11170.0 5250.0 70350.0 ; - RECT 5355.0 11170.0 5425.0 70350.0 ; - RECT 5530.0 11170.0 5600.0 70350.0 ; - RECT 5705.0 11170.0 5775.0 70350.0 ; - RECT 5880.0 11170.0 5950.0 70350.0 ; - RECT 6055.0 11170.0 6125.0 70350.0 ; - RECT 6230.0 11170.0 6300.0 70350.0 ; - RECT 6405.0 11170.0 6475.0 70350.0 ; - RECT 6580.0 11170.0 6650.0 70350.0 ; - RECT 8785.0 11170.0 8715.0 16410.0 ; - RECT 8510.0 11170.0 8440.0 16410.0 ; - RECT 9335.0 11170.0 9265.0 16410.0 ; - RECT 9060.0 11170.0 8990.0 16410.0 ; - RECT 7980.0 11775.0 7910.0 11845.0 ; - RECT 7790.0 11775.0 7720.0 11845.0 ; - RECT 7980.0 11810.0 7910.0 12172.5 ; - RECT 7945.0 11775.0 7755.0 11845.0 ; - RECT 7790.0 11467.5 7720.0 11810.0 ; - RECT 7980.0 12172.5 7910.0 12307.5 ; - RECT 7790.0 11332.5 7720.0 11467.5 ; - RECT 7687.5 11775.0 7822.5 11845.0 ; - RECT 7980.0 13255.0 7910.0 13185.0 ; - RECT 7790.0 13255.0 7720.0 13185.0 ; - RECT 7980.0 13220.0 7910.0 12857.5 ; - RECT 7945.0 13255.0 7755.0 13185.0 ; - RECT 7790.0 13562.5 7720.0 13220.0 ; - RECT 7980.0 12857.5 7910.0 12722.5 ; - RECT 7790.0 13697.5 7720.0 13562.5 ; - RECT 7687.5 13255.0 7822.5 13185.0 ; - RECT 7980.0 14465.0 7910.0 14535.0 ; - RECT 7790.0 14465.0 7720.0 14535.0 ; - RECT 7980.0 14500.0 7910.0 14862.5 ; - RECT 7945.0 14465.0 7755.0 14535.0 ; - RECT 7790.0 14157.5 7720.0 14500.0 ; - RECT 7980.0 14862.5 7910.0 14997.5 ; - RECT 7790.0 14022.5 7720.0 14157.5 ; - RECT 7687.5 14465.0 7822.5 14535.0 ; - RECT 7980.0 15945.0 7910.0 15875.0 ; - RECT 7790.0 15945.0 7720.0 15875.0 ; - RECT 7980.0 15910.0 7910.0 15547.5 ; - RECT 7945.0 15945.0 7755.0 15875.0 ; - RECT 7790.0 16252.5 7720.0 15910.0 ; - RECT 7980.0 15547.5 7910.0 15412.5 ; - RECT 7790.0 16387.5 7720.0 16252.5 ; - RECT 7687.5 15945.0 7822.5 15875.0 ; - RECT 9232.5 12285.0 9367.5 12355.0 ; - RECT 10617.5 11762.5 10752.5 11832.5 ; - RECT 8957.5 13630.0 9092.5 13700.0 ; - RECT 10342.5 13197.5 10477.5 13267.5 ; - RECT 10617.5 13960.0 10752.5 14030.0 ; - RECT 8682.5 13960.0 8817.5 14030.0 ; - RECT 10342.5 15305.0 10477.5 15375.0 ; - RECT 8407.5 15305.0 8542.5 15375.0 ; - RECT 9232.5 11775.0 9367.5 11845.0 ; - RECT 8957.5 11560.0 9092.5 11630.0 ; - RECT 8682.5 13185.0 8817.5 13255.0 ; - RECT 8957.5 13400.0 9092.5 13470.0 ; - RECT 9232.5 14465.0 9367.5 14535.0 ; - RECT 8407.5 14250.0 8542.5 14320.0 ; - RECT 8682.5 15875.0 8817.5 15945.0 ; - RECT 8407.5 16090.0 8542.5 16160.0 ; - RECT 10720.0 11170.0 10650.0 16410.0 ; - RECT 10445.0 11170.0 10375.0 16410.0 ; - RECT 9425.0 16550.0 9355.0 27170.0 ; - RECT 9150.0 16550.0 9080.0 27170.0 ; - RECT 8875.0 16550.0 8805.0 27170.0 ; - RECT 9975.0 16550.0 9905.0 27170.0 ; - RECT 9700.0 16550.0 9630.0 27170.0 ; - RECT 8600.0 16550.0 8530.0 27170.0 ; - RECT 7690.0 16847.5 7620.0 17552.5 ; - RECT 8070.0 17202.5 8000.0 17272.5 ; - RECT 7690.0 17202.5 7620.0 17272.5 ; - RECT 8070.0 17237.5 8000.0 17552.5 ; - RECT 8035.0 17202.5 7655.0 17272.5 ; - RECT 7690.0 16847.5 7620.0 17237.5 ; - RECT 8070.0 17552.5 8000.0 17687.5 ; - RECT 7690.0 17552.5 7620.0 17687.5 ; - RECT 7690.0 16712.5 7620.0 16847.5 ; - RECT 7690.0 17170.0 7620.0 17305.0 ; - RECT 7690.0 18942.5 7620.0 18237.5 ; - RECT 8070.0 18587.5 8000.0 18517.5 ; - RECT 7690.0 18587.5 7620.0 18517.5 ; - RECT 8070.0 18552.5 8000.0 18237.5 ; - RECT 8035.0 18587.5 7655.0 18517.5 ; - RECT 7690.0 18942.5 7620.0 18552.5 ; - RECT 8070.0 18237.5 8000.0 18102.5 ; - RECT 7690.0 18237.5 7620.0 18102.5 ; - RECT 7690.0 19077.5 7620.0 18942.5 ; - RECT 7690.0 18620.0 7620.0 18485.0 ; - RECT 7690.0 19537.5 7620.0 20242.5 ; - RECT 8070.0 19892.5 8000.0 19962.5 ; - RECT 7690.0 19892.5 7620.0 19962.5 ; - RECT 8070.0 19927.5 8000.0 20242.5 ; - RECT 8035.0 19892.5 7655.0 19962.5 ; - RECT 7690.0 19537.5 7620.0 19927.5 ; - RECT 8070.0 20242.5 8000.0 20377.5 ; - RECT 7690.0 20242.5 7620.0 20377.5 ; - RECT 7690.0 19402.5 7620.0 19537.5 ; - RECT 7690.0 19860.0 7620.0 19995.0 ; - RECT 7690.0 21632.5 7620.0 20927.5 ; - RECT 8070.0 21277.5 8000.0 21207.5 ; - RECT 7690.0 21277.5 7620.0 21207.5 ; - RECT 8070.0 21242.5 8000.0 20927.5 ; - RECT 8035.0 21277.5 7655.0 21207.5 ; - RECT 7690.0 21632.5 7620.0 21242.5 ; - RECT 8070.0 20927.5 8000.0 20792.5 ; - RECT 7690.0 20927.5 7620.0 20792.5 ; - RECT 7690.0 21767.5 7620.0 21632.5 ; - RECT 7690.0 21310.0 7620.0 21175.0 ; - RECT 7690.0 22227.5 7620.0 22932.5 ; - RECT 8070.0 22582.5 8000.0 22652.5 ; - RECT 7690.0 22582.5 7620.0 22652.5 ; - RECT 8070.0 22617.5 8000.0 22932.5 ; - RECT 8035.0 22582.5 7655.0 22652.5 ; - RECT 7690.0 22227.5 7620.0 22617.5 ; - RECT 8070.0 22932.5 8000.0 23067.5 ; - RECT 7690.0 22932.5 7620.0 23067.5 ; - RECT 7690.0 22092.5 7620.0 22227.5 ; - RECT 7690.0 22550.0 7620.0 22685.0 ; - RECT 7690.0 24322.5 7620.0 23617.5 ; - RECT 8070.0 23967.5 8000.0 23897.5 ; - RECT 7690.0 23967.5 7620.0 23897.5 ; - RECT 8070.0 23932.5 8000.0 23617.5 ; - RECT 8035.0 23967.5 7655.0 23897.5 ; - RECT 7690.0 24322.5 7620.0 23932.5 ; - RECT 8070.0 23617.5 8000.0 23482.5 ; - RECT 7690.0 23617.5 7620.0 23482.5 ; - RECT 7690.0 24457.5 7620.0 24322.5 ; - RECT 7690.0 24000.0 7620.0 23865.0 ; - RECT 7690.0 24917.5 7620.0 25622.5 ; - RECT 8070.0 25272.5 8000.0 25342.5 ; - RECT 7690.0 25272.5 7620.0 25342.5 ; - RECT 8070.0 25307.5 8000.0 25622.5 ; - RECT 8035.0 25272.5 7655.0 25342.5 ; - RECT 7690.0 24917.5 7620.0 25307.5 ; - RECT 8070.0 25622.5 8000.0 25757.5 ; - RECT 7690.0 25622.5 7620.0 25757.5 ; - RECT 7690.0 24782.5 7620.0 24917.5 ; - RECT 7690.0 25240.0 7620.0 25375.0 ; - RECT 7690.0 27012.5 7620.0 26307.5 ; - RECT 8070.0 26657.5 8000.0 26587.5 ; - RECT 7690.0 26657.5 7620.0 26587.5 ; - RECT 8070.0 26622.5 8000.0 26307.5 ; - RECT 8035.0 26657.5 7655.0 26587.5 ; - RECT 7690.0 27012.5 7620.0 26622.5 ; - RECT 8070.0 26307.5 8000.0 26172.5 ; - RECT 7690.0 26307.5 7620.0 26172.5 ; - RECT 7690.0 27147.5 7620.0 27012.5 ; - RECT 7690.0 26690.0 7620.0 26555.0 ; - RECT 9872.5 17665.0 10007.5 17735.0 ; - RECT 11532.5 17142.5 11667.5 17212.5 ; - RECT 9597.5 19010.0 9732.5 19080.0 ; - RECT 11257.5 18577.5 11392.5 18647.5 ; - RECT 9322.5 20355.0 9457.5 20425.0 ; - RECT 10982.5 19832.5 11117.5 19902.5 ; - RECT 11532.5 20685.0 11667.5 20755.0 ; - RECT 9047.5 20685.0 9182.5 20755.0 ; - RECT 11257.5 22030.0 11392.5 22100.0 ; - RECT 8772.5 22030.0 8907.5 22100.0 ; - RECT 10982.5 23375.0 11117.5 23445.0 ; - RECT 8497.5 23375.0 8632.5 23445.0 ; - RECT 9872.5 17202.5 10007.5 17272.5 ; - RECT 9597.5 17062.5 9732.5 17132.5 ; - RECT 9322.5 16922.5 9457.5 16992.5 ; - RECT 9047.5 18517.5 9182.5 18587.5 ; - RECT 9597.5 18657.5 9732.5 18727.5 ; - RECT 9322.5 18797.5 9457.5 18867.5 ; - RECT 9872.5 19892.5 10007.5 19962.5 ; - RECT 8772.5 19752.5 8907.5 19822.5 ; - RECT 9322.5 19612.5 9457.5 19682.5 ; - RECT 9047.5 21207.5 9182.5 21277.5 ; - RECT 8772.5 21347.5 8907.5 21417.5 ; - RECT 9322.5 21487.5 9457.5 21557.5 ; - RECT 9872.5 22582.5 10007.5 22652.5 ; - RECT 9597.5 22442.5 9732.5 22512.5 ; - RECT 8497.5 22302.5 8632.5 22372.5 ; - RECT 9047.5 23897.5 9182.5 23967.5 ; - RECT 9597.5 24037.5 9732.5 24107.5 ; - RECT 8497.5 24177.5 8632.5 24247.5 ; - RECT 9872.5 25272.5 10007.5 25342.5 ; - RECT 8772.5 25132.5 8907.5 25202.5 ; - RECT 8497.5 24992.5 8632.5 25062.5 ; - RECT 9047.5 26587.5 9182.5 26657.5 ; - RECT 8772.5 26727.5 8907.5 26797.5 ; - RECT 8497.5 26867.5 8632.5 26937.5 ; - RECT 11635.0 16550.0 11565.0 27170.0 ; - RECT 11360.0 16550.0 11290.0 27170.0 ; - RECT 11085.0 16550.0 11015.0 27170.0 ; - RECT 7010.0 27915.0 7080.0 27985.0 ; - RECT 7200.0 27915.0 7270.0 27985.0 ; - RECT 7010.0 27950.0 7080.0 28312.5 ; - RECT 7045.0 27915.0 7235.0 27985.0 ; - RECT 7200.0 27607.5 7270.0 27950.0 ; - RECT 7010.0 28312.5 7080.0 28447.5 ; - RECT 7200.0 27472.5 7270.0 27607.5 ; - RECT 7302.5 27915.0 7167.5 27985.0 ; - RECT 7010.0 29395.0 7080.0 29325.0 ; - RECT 7200.0 29395.0 7270.0 29325.0 ; - RECT 7010.0 29360.0 7080.0 28997.5 ; - RECT 7045.0 29395.0 7235.0 29325.0 ; - RECT 7200.0 29702.5 7270.0 29360.0 ; - RECT 7010.0 28997.5 7080.0 28862.5 ; - RECT 7200.0 29837.5 7270.0 29702.5 ; - RECT 7302.5 29395.0 7167.5 29325.0 ; - RECT 7010.0 30605.0 7080.0 30675.0 ; - RECT 7200.0 30605.0 7270.0 30675.0 ; - RECT 7010.0 30640.0 7080.0 31002.5 ; - RECT 7045.0 30605.0 7235.0 30675.0 ; - RECT 7200.0 30297.5 7270.0 30640.0 ; - RECT 7010.0 31002.5 7080.0 31137.5 ; - RECT 7200.0 30162.5 7270.0 30297.5 ; - RECT 7302.5 30605.0 7167.5 30675.0 ; - RECT 7010.0 32085.0 7080.0 32015.0 ; - RECT 7200.0 32085.0 7270.0 32015.0 ; - RECT 7010.0 32050.0 7080.0 31687.5 ; - RECT 7045.0 32085.0 7235.0 32015.0 ; - RECT 7200.0 32392.5 7270.0 32050.0 ; - RECT 7010.0 31687.5 7080.0 31552.5 ; - RECT 7200.0 32527.5 7270.0 32392.5 ; - RECT 7302.5 32085.0 7167.5 32015.0 ; - RECT 7010.0 33295.0 7080.0 33365.0 ; - RECT 7200.0 33295.0 7270.0 33365.0 ; - RECT 7010.0 33330.0 7080.0 33692.5 ; - RECT 7045.0 33295.0 7235.0 33365.0 ; - RECT 7200.0 32987.5 7270.0 33330.0 ; - RECT 7010.0 33692.5 7080.0 33827.5 ; - RECT 7200.0 32852.5 7270.0 32987.5 ; - RECT 7302.5 33295.0 7167.5 33365.0 ; - RECT 7010.0 34775.0 7080.0 34705.0 ; - RECT 7200.0 34775.0 7270.0 34705.0 ; - RECT 7010.0 34740.0 7080.0 34377.5 ; - RECT 7045.0 34775.0 7235.0 34705.0 ; - RECT 7200.0 35082.5 7270.0 34740.0 ; - RECT 7010.0 34377.5 7080.0 34242.5 ; - RECT 7200.0 35217.5 7270.0 35082.5 ; - RECT 7302.5 34775.0 7167.5 34705.0 ; - RECT 7010.0 35985.0 7080.0 36055.0 ; - RECT 7200.0 35985.0 7270.0 36055.0 ; - RECT 7010.0 36020.0 7080.0 36382.5 ; - RECT 7045.0 35985.0 7235.0 36055.0 ; - RECT 7200.0 35677.5 7270.0 36020.0 ; - RECT 7010.0 36382.5 7080.0 36517.5 ; - RECT 7200.0 35542.5 7270.0 35677.5 ; - RECT 7302.5 35985.0 7167.5 36055.0 ; - RECT 7010.0 37465.0 7080.0 37395.0 ; - RECT 7200.0 37465.0 7270.0 37395.0 ; - RECT 7010.0 37430.0 7080.0 37067.5 ; - RECT 7045.0 37465.0 7235.0 37395.0 ; - RECT 7200.0 37772.5 7270.0 37430.0 ; - RECT 7010.0 37067.5 7080.0 36932.5 ; - RECT 7200.0 37907.5 7270.0 37772.5 ; - RECT 7302.5 37465.0 7167.5 37395.0 ; - RECT 7010.0 38675.0 7080.0 38745.0 ; - RECT 7200.0 38675.0 7270.0 38745.0 ; - RECT 7010.0 38710.0 7080.0 39072.5 ; - RECT 7045.0 38675.0 7235.0 38745.0 ; - RECT 7200.0 38367.5 7270.0 38710.0 ; - RECT 7010.0 39072.5 7080.0 39207.5 ; - RECT 7200.0 38232.5 7270.0 38367.5 ; - RECT 7302.5 38675.0 7167.5 38745.0 ; - RECT 7010.0 40155.0 7080.0 40085.0 ; - RECT 7200.0 40155.0 7270.0 40085.0 ; - RECT 7010.0 40120.0 7080.0 39757.5 ; - RECT 7045.0 40155.0 7235.0 40085.0 ; - RECT 7200.0 40462.5 7270.0 40120.0 ; - RECT 7010.0 39757.5 7080.0 39622.5 ; - RECT 7200.0 40597.5 7270.0 40462.5 ; - RECT 7302.5 40155.0 7167.5 40085.0 ; - RECT 7010.0 41365.0 7080.0 41435.0 ; - RECT 7200.0 41365.0 7270.0 41435.0 ; - RECT 7010.0 41400.0 7080.0 41762.5 ; - RECT 7045.0 41365.0 7235.0 41435.0 ; - RECT 7200.0 41057.5 7270.0 41400.0 ; - RECT 7010.0 41762.5 7080.0 41897.5 ; - RECT 7200.0 40922.5 7270.0 41057.5 ; - RECT 7302.5 41365.0 7167.5 41435.0 ; - RECT 7010.0 42845.0 7080.0 42775.0 ; - RECT 7200.0 42845.0 7270.0 42775.0 ; - RECT 7010.0 42810.0 7080.0 42447.5 ; - RECT 7045.0 42845.0 7235.0 42775.0 ; - RECT 7200.0 43152.5 7270.0 42810.0 ; - RECT 7010.0 42447.5 7080.0 42312.5 ; - RECT 7200.0 43287.5 7270.0 43152.5 ; - RECT 7302.5 42845.0 7167.5 42775.0 ; - RECT 7010.0 44055.0 7080.0 44125.0 ; - RECT 7200.0 44055.0 7270.0 44125.0 ; - RECT 7010.0 44090.0 7080.0 44452.5 ; - RECT 7045.0 44055.0 7235.0 44125.0 ; - RECT 7200.0 43747.5 7270.0 44090.0 ; - RECT 7010.0 44452.5 7080.0 44587.5 ; - RECT 7200.0 43612.5 7270.0 43747.5 ; - RECT 7302.5 44055.0 7167.5 44125.0 ; - RECT 7010.0 45535.0 7080.0 45465.0 ; - RECT 7200.0 45535.0 7270.0 45465.0 ; - RECT 7010.0 45500.0 7080.0 45137.5 ; - RECT 7045.0 45535.0 7235.0 45465.0 ; - RECT 7200.0 45842.5 7270.0 45500.0 ; - RECT 7010.0 45137.5 7080.0 45002.5 ; - RECT 7200.0 45977.5 7270.0 45842.5 ; - RECT 7302.5 45535.0 7167.5 45465.0 ; - RECT 7010.0 46745.0 7080.0 46815.0 ; - RECT 7200.0 46745.0 7270.0 46815.0 ; - RECT 7010.0 46780.0 7080.0 47142.5 ; - RECT 7045.0 46745.0 7235.0 46815.0 ; - RECT 7200.0 46437.5 7270.0 46780.0 ; - RECT 7010.0 47142.5 7080.0 47277.5 ; - RECT 7200.0 46302.5 7270.0 46437.5 ; - RECT 7302.5 46745.0 7167.5 46815.0 ; - RECT 7010.0 48225.0 7080.0 48155.0 ; - RECT 7200.0 48225.0 7270.0 48155.0 ; - RECT 7010.0 48190.0 7080.0 47827.5 ; - RECT 7045.0 48225.0 7235.0 48155.0 ; - RECT 7200.0 48532.5 7270.0 48190.0 ; - RECT 7010.0 47827.5 7080.0 47692.5 ; - RECT 7200.0 48667.5 7270.0 48532.5 ; - RECT 7302.5 48225.0 7167.5 48155.0 ; - RECT 7010.0 49435.0 7080.0 49505.0 ; - RECT 7200.0 49435.0 7270.0 49505.0 ; - RECT 7010.0 49470.0 7080.0 49832.5 ; - RECT 7045.0 49435.0 7235.0 49505.0 ; - RECT 7200.0 49127.5 7270.0 49470.0 ; - RECT 7010.0 49832.5 7080.0 49967.5 ; - RECT 7200.0 48992.5 7270.0 49127.5 ; - RECT 7302.5 49435.0 7167.5 49505.0 ; - RECT 7010.0 50915.0 7080.0 50845.0 ; - RECT 7200.0 50915.0 7270.0 50845.0 ; - RECT 7010.0 50880.0 7080.0 50517.5 ; - RECT 7045.0 50915.0 7235.0 50845.0 ; - RECT 7200.0 51222.5 7270.0 50880.0 ; - RECT 7010.0 50517.5 7080.0 50382.5 ; - RECT 7200.0 51357.5 7270.0 51222.5 ; - RECT 7302.5 50915.0 7167.5 50845.0 ; - RECT 7010.0 52125.0 7080.0 52195.0 ; - RECT 7200.0 52125.0 7270.0 52195.0 ; - RECT 7010.0 52160.0 7080.0 52522.5 ; - RECT 7045.0 52125.0 7235.0 52195.0 ; - RECT 7200.0 51817.5 7270.0 52160.0 ; - RECT 7010.0 52522.5 7080.0 52657.5 ; - RECT 7200.0 51682.5 7270.0 51817.5 ; - RECT 7302.5 52125.0 7167.5 52195.0 ; - RECT 7010.0 53605.0 7080.0 53535.0 ; - RECT 7200.0 53605.0 7270.0 53535.0 ; - RECT 7010.0 53570.0 7080.0 53207.5 ; - RECT 7045.0 53605.0 7235.0 53535.0 ; - RECT 7200.0 53912.5 7270.0 53570.0 ; - RECT 7010.0 53207.5 7080.0 53072.5 ; - RECT 7200.0 54047.5 7270.0 53912.5 ; - RECT 7302.5 53605.0 7167.5 53535.0 ; - RECT 7010.0 54815.0 7080.0 54885.0 ; - RECT 7200.0 54815.0 7270.0 54885.0 ; - RECT 7010.0 54850.0 7080.0 55212.5 ; - RECT 7045.0 54815.0 7235.0 54885.0 ; - RECT 7200.0 54507.5 7270.0 54850.0 ; - RECT 7010.0 55212.5 7080.0 55347.5 ; - RECT 7200.0 54372.5 7270.0 54507.5 ; - RECT 7302.5 54815.0 7167.5 54885.0 ; - RECT 7010.0 56295.0 7080.0 56225.0 ; - RECT 7200.0 56295.0 7270.0 56225.0 ; - RECT 7010.0 56260.0 7080.0 55897.5 ; - RECT 7045.0 56295.0 7235.0 56225.0 ; - RECT 7200.0 56602.5 7270.0 56260.0 ; - RECT 7010.0 55897.5 7080.0 55762.5 ; - RECT 7200.0 56737.5 7270.0 56602.5 ; - RECT 7302.5 56295.0 7167.5 56225.0 ; - RECT 7010.0 57505.0 7080.0 57575.0 ; - RECT 7200.0 57505.0 7270.0 57575.0 ; - RECT 7010.0 57540.0 7080.0 57902.5 ; - RECT 7045.0 57505.0 7235.0 57575.0 ; - RECT 7200.0 57197.5 7270.0 57540.0 ; - RECT 7010.0 57902.5 7080.0 58037.5 ; - RECT 7200.0 57062.5 7270.0 57197.5 ; - RECT 7302.5 57505.0 7167.5 57575.0 ; - RECT 7010.0 58985.0 7080.0 58915.0 ; - RECT 7200.0 58985.0 7270.0 58915.0 ; - RECT 7010.0 58950.0 7080.0 58587.5 ; - RECT 7045.0 58985.0 7235.0 58915.0 ; - RECT 7200.0 59292.5 7270.0 58950.0 ; - RECT 7010.0 58587.5 7080.0 58452.5 ; - RECT 7200.0 59427.5 7270.0 59292.5 ; - RECT 7302.5 58985.0 7167.5 58915.0 ; - RECT 7010.0 60195.0 7080.0 60265.0 ; - RECT 7200.0 60195.0 7270.0 60265.0 ; - RECT 7010.0 60230.0 7080.0 60592.5 ; - RECT 7045.0 60195.0 7235.0 60265.0 ; - RECT 7200.0 59887.5 7270.0 60230.0 ; - RECT 7010.0 60592.5 7080.0 60727.5 ; - RECT 7200.0 59752.5 7270.0 59887.5 ; - RECT 7302.5 60195.0 7167.5 60265.0 ; - RECT 7010.0 61675.0 7080.0 61605.0 ; - RECT 7200.0 61675.0 7270.0 61605.0 ; - RECT 7010.0 61640.0 7080.0 61277.5 ; - RECT 7045.0 61675.0 7235.0 61605.0 ; - RECT 7200.0 61982.5 7270.0 61640.0 ; - RECT 7010.0 61277.5 7080.0 61142.5 ; - RECT 7200.0 62117.5 7270.0 61982.5 ; - RECT 7302.5 61675.0 7167.5 61605.0 ; - RECT 7010.0 62885.0 7080.0 62955.0 ; - RECT 7200.0 62885.0 7270.0 62955.0 ; - RECT 7010.0 62920.0 7080.0 63282.5 ; - RECT 7045.0 62885.0 7235.0 62955.0 ; - RECT 7200.0 62577.5 7270.0 62920.0 ; - RECT 7010.0 63282.5 7080.0 63417.5 ; - RECT 7200.0 62442.5 7270.0 62577.5 ; - RECT 7302.5 62885.0 7167.5 62955.0 ; - RECT 7010.0 64365.0 7080.0 64295.0 ; - RECT 7200.0 64365.0 7270.0 64295.0 ; - RECT 7010.0 64330.0 7080.0 63967.5 ; - RECT 7045.0 64365.0 7235.0 64295.0 ; - RECT 7200.0 64672.5 7270.0 64330.0 ; - RECT 7010.0 63967.5 7080.0 63832.5 ; - RECT 7200.0 64807.5 7270.0 64672.5 ; - RECT 7302.5 64365.0 7167.5 64295.0 ; - RECT 7010.0 65575.0 7080.0 65645.0 ; - RECT 7200.0 65575.0 7270.0 65645.0 ; - RECT 7010.0 65610.0 7080.0 65972.5 ; - RECT 7045.0 65575.0 7235.0 65645.0 ; - RECT 7200.0 65267.5 7270.0 65610.0 ; - RECT 7010.0 65972.5 7080.0 66107.5 ; - RECT 7200.0 65132.5 7270.0 65267.5 ; - RECT 7302.5 65575.0 7167.5 65645.0 ; - RECT 7010.0 67055.0 7080.0 66985.0 ; - RECT 7200.0 67055.0 7270.0 66985.0 ; - RECT 7010.0 67020.0 7080.0 66657.5 ; - RECT 7045.0 67055.0 7235.0 66985.0 ; - RECT 7200.0 67362.5 7270.0 67020.0 ; - RECT 7010.0 66657.5 7080.0 66522.5 ; - RECT 7200.0 67497.5 7270.0 67362.5 ; - RECT 7302.5 67055.0 7167.5 66985.0 ; - RECT 7010.0 68265.0 7080.0 68335.0 ; - RECT 7200.0 68265.0 7270.0 68335.0 ; - RECT 7010.0 68300.0 7080.0 68662.5 ; - RECT 7045.0 68265.0 7235.0 68335.0 ; - RECT 7200.0 67957.5 7270.0 68300.0 ; - RECT 7010.0 68662.5 7080.0 68797.5 ; - RECT 7200.0 67822.5 7270.0 67957.5 ; - RECT 7302.5 68265.0 7167.5 68335.0 ; - RECT 7010.0 69745.0 7080.0 69675.0 ; - RECT 7200.0 69745.0 7270.0 69675.0 ; - RECT 7010.0 69710.0 7080.0 69347.5 ; - RECT 7045.0 69745.0 7235.0 69675.0 ; - RECT 7200.0 70052.5 7270.0 69710.0 ; - RECT 7010.0 69347.5 7080.0 69212.5 ; - RECT 7200.0 70187.5 7270.0 70052.5 ; - RECT 7302.5 69745.0 7167.5 69675.0 ; - RECT 4757.5 11762.5 4622.5 11832.5 ; - RECT 4932.5 13197.5 4797.5 13267.5 ; - RECT 5107.5 14452.5 4972.5 14522.5 ; - RECT 5282.5 15887.5 5147.5 15957.5 ; - RECT 5457.5 17142.5 5322.5 17212.5 ; - RECT 5632.5 18577.5 5497.5 18647.5 ; - RECT 5807.5 19832.5 5672.5 19902.5 ; - RECT 5982.5 21267.5 5847.5 21337.5 ; - RECT 6157.5 22522.5 6022.5 22592.5 ; - RECT 6332.5 23957.5 6197.5 24027.5 ; - RECT 6507.5 25212.5 6372.5 25282.5 ; - RECT 6682.5 26647.5 6547.5 26717.5 ; - RECT 4757.5 27915.0 4622.5 27985.0 ; - RECT 5457.5 27700.0 5322.5 27770.0 ; - RECT 4757.5 29325.0 4622.5 29395.0 ; - RECT 5632.5 29540.0 5497.5 29610.0 ; - RECT 4757.5 30605.0 4622.5 30675.0 ; - RECT 5807.5 30390.0 5672.5 30460.0 ; - RECT 4757.5 32015.0 4622.5 32085.0 ; - RECT 5982.5 32230.0 5847.5 32300.0 ; - RECT 4757.5 33295.0 4622.5 33365.0 ; - RECT 6157.5 33080.0 6022.5 33150.0 ; - RECT 4757.5 34705.0 4622.5 34775.0 ; - RECT 6332.5 34920.0 6197.5 34990.0 ; - RECT 4757.5 35985.0 4622.5 36055.0 ; - RECT 6507.5 35770.0 6372.5 35840.0 ; - RECT 4757.5 37395.0 4622.5 37465.0 ; - RECT 6682.5 37610.0 6547.5 37680.0 ; - RECT 4932.5 38675.0 4797.5 38745.0 ; - RECT 5457.5 38460.0 5322.5 38530.0 ; - RECT 4932.5 40085.0 4797.5 40155.0 ; - RECT 5632.5 40300.0 5497.5 40370.0 ; - RECT 4932.5 41365.0 4797.5 41435.0 ; - RECT 5807.5 41150.0 5672.5 41220.0 ; - RECT 4932.5 42775.0 4797.5 42845.0 ; - RECT 5982.5 42990.0 5847.5 43060.0 ; - RECT 4932.5 44055.0 4797.5 44125.0 ; - RECT 6157.5 43840.0 6022.5 43910.0 ; - RECT 4932.5 45465.0 4797.5 45535.0 ; - RECT 6332.5 45680.0 6197.5 45750.0 ; - RECT 4932.5 46745.0 4797.5 46815.0 ; - RECT 6507.5 46530.0 6372.5 46600.0 ; - RECT 4932.5 48155.0 4797.5 48225.0 ; - RECT 6682.5 48370.0 6547.5 48440.0 ; - RECT 5107.5 49435.0 4972.5 49505.0 ; - RECT 5457.5 49220.0 5322.5 49290.0 ; - RECT 5107.5 50845.0 4972.5 50915.0 ; - RECT 5632.5 51060.0 5497.5 51130.0 ; - RECT 5107.5 52125.0 4972.5 52195.0 ; - RECT 5807.5 51910.0 5672.5 51980.0 ; - RECT 5107.5 53535.0 4972.5 53605.0 ; - RECT 5982.5 53750.0 5847.5 53820.0 ; - RECT 5107.5 54815.0 4972.5 54885.0 ; - RECT 6157.5 54600.0 6022.5 54670.0 ; - RECT 5107.5 56225.0 4972.5 56295.0 ; - RECT 6332.5 56440.0 6197.5 56510.0 ; - RECT 5107.5 57505.0 4972.5 57575.0 ; - RECT 6507.5 57290.0 6372.5 57360.0 ; - RECT 5107.5 58915.0 4972.5 58985.0 ; - RECT 6682.5 59130.0 6547.5 59200.0 ; - RECT 5282.5 60195.0 5147.5 60265.0 ; - RECT 5457.5 59980.0 5322.5 60050.0 ; - RECT 5282.5 61605.0 5147.5 61675.0 ; - RECT 5632.5 61820.0 5497.5 61890.0 ; - RECT 5282.5 62885.0 5147.5 62955.0 ; - RECT 5807.5 62670.0 5672.5 62740.0 ; - RECT 5282.5 64295.0 5147.5 64365.0 ; - RECT 5982.5 64510.0 5847.5 64580.0 ; - RECT 5282.5 65575.0 5147.5 65645.0 ; - RECT 6157.5 65360.0 6022.5 65430.0 ; - RECT 5282.5 66985.0 5147.5 67055.0 ; - RECT 6332.5 67200.0 6197.5 67270.0 ; - RECT 5282.5 68265.0 5147.5 68335.0 ; - RECT 6507.5 68050.0 6372.5 68120.0 ; - RECT 5282.5 69675.0 5147.5 69745.0 ; - RECT 6682.5 69890.0 6547.5 69960.0 ; - RECT 10650.0 11170.0 10720.0 16410.0 ; - RECT 10375.0 11170.0 10445.0 16410.0 ; - RECT 11565.0 16550.0 11635.0 27170.0 ; - RECT 11290.0 16550.0 11360.0 27170.0 ; - RECT 11015.0 16550.0 11085.0 27170.0 ; - RECT 8570.0 27700.0 8640.0 27770.0 ; - RECT 8570.0 27665.0 8640.0 27735.0 ; - RECT 8605.0 27700.0 9567.5 27770.0 ; - RECT 8570.0 29540.0 8640.0 29610.0 ; - RECT 8570.0 29575.0 8640.0 29645.0 ; - RECT 8605.0 29540.0 9567.5 29610.0 ; - RECT 8570.0 30390.0 8640.0 30460.0 ; - RECT 8570.0 30355.0 8640.0 30425.0 ; - RECT 8605.0 30390.0 9567.5 30460.0 ; - RECT 8570.0 32230.0 8640.0 32300.0 ; - RECT 8570.0 32265.0 8640.0 32335.0 ; - RECT 8605.0 32230.0 9567.5 32300.0 ; - RECT 8570.0 33080.0 8640.0 33150.0 ; - RECT 8570.0 33045.0 8640.0 33115.0 ; - RECT 8605.0 33080.0 9567.5 33150.0 ; - RECT 8570.0 34920.0 8640.0 34990.0 ; - RECT 8570.0 34955.0 8640.0 35025.0 ; - RECT 8605.0 34920.0 9567.5 34990.0 ; - RECT 8570.0 35770.0 8640.0 35840.0 ; - RECT 8570.0 35735.0 8640.0 35805.0 ; - RECT 8605.0 35770.0 9567.5 35840.0 ; - RECT 8570.0 37610.0 8640.0 37680.0 ; - RECT 8570.0 37645.0 8640.0 37715.0 ; - RECT 8605.0 37610.0 9567.5 37680.0 ; - RECT 8570.0 38460.0 8640.0 38530.0 ; - RECT 8570.0 38425.0 8640.0 38495.0 ; - RECT 8605.0 38460.0 9567.5 38530.0 ; - RECT 8570.0 40300.0 8640.0 40370.0 ; - RECT 8570.0 40335.0 8640.0 40405.0 ; - RECT 8605.0 40300.0 9567.5 40370.0 ; - RECT 8570.0 41150.0 8640.0 41220.0 ; - RECT 8570.0 41115.0 8640.0 41185.0 ; - RECT 8605.0 41150.0 9567.5 41220.0 ; - RECT 8570.0 42990.0 8640.0 43060.0 ; - RECT 8570.0 43025.0 8640.0 43095.0 ; - RECT 8605.0 42990.0 9567.5 43060.0 ; - RECT 8570.0 43840.0 8640.0 43910.0 ; - RECT 8570.0 43805.0 8640.0 43875.0 ; - RECT 8605.0 43840.0 9567.5 43910.0 ; - RECT 8570.0 45680.0 8640.0 45750.0 ; - RECT 8570.0 45715.0 8640.0 45785.0 ; - RECT 8605.0 45680.0 9567.5 45750.0 ; - RECT 8570.0 46530.0 8640.0 46600.0 ; - RECT 8570.0 46495.0 8640.0 46565.0 ; - RECT 8605.0 46530.0 9567.5 46600.0 ; - RECT 8570.0 48370.0 8640.0 48440.0 ; - RECT 8570.0 48405.0 8640.0 48475.0 ; - RECT 8605.0 48370.0 9567.5 48440.0 ; - RECT 8570.0 49220.0 8640.0 49290.0 ; - RECT 8570.0 49185.0 8640.0 49255.0 ; - RECT 8605.0 49220.0 9567.5 49290.0 ; - RECT 8570.0 51060.0 8640.0 51130.0 ; - RECT 8570.0 51095.0 8640.0 51165.0 ; - RECT 8605.0 51060.0 9567.5 51130.0 ; - RECT 8570.0 51910.0 8640.0 51980.0 ; - RECT 8570.0 51875.0 8640.0 51945.0 ; - RECT 8605.0 51910.0 9567.5 51980.0 ; - RECT 8570.0 53750.0 8640.0 53820.0 ; - RECT 8570.0 53785.0 8640.0 53855.0 ; - RECT 8605.0 53750.0 9567.5 53820.0 ; - RECT 8570.0 54600.0 8640.0 54670.0 ; - RECT 8570.0 54565.0 8640.0 54635.0 ; - RECT 8605.0 54600.0 9567.5 54670.0 ; - RECT 8570.0 56440.0 8640.0 56510.0 ; - RECT 8570.0 56475.0 8640.0 56545.0 ; - RECT 8605.0 56440.0 9567.5 56510.0 ; - RECT 8570.0 57290.0 8640.0 57360.0 ; - RECT 8570.0 57255.0 8640.0 57325.0 ; - RECT 8605.0 57290.0 9567.5 57360.0 ; - RECT 8570.0 59130.0 8640.0 59200.0 ; - RECT 8570.0 59165.0 8640.0 59235.0 ; - RECT 8605.0 59130.0 9567.5 59200.0 ; - RECT 8570.0 59980.0 8640.0 60050.0 ; - RECT 8570.0 59945.0 8640.0 60015.0 ; - RECT 8605.0 59980.0 9567.5 60050.0 ; - RECT 8570.0 61820.0 8640.0 61890.0 ; - RECT 8570.0 61855.0 8640.0 61925.0 ; - RECT 8605.0 61820.0 9567.5 61890.0 ; - RECT 8570.0 62670.0 8640.0 62740.0 ; - RECT 8570.0 62635.0 8640.0 62705.0 ; - RECT 8605.0 62670.0 9567.5 62740.0 ; - RECT 8570.0 64510.0 8640.0 64580.0 ; - RECT 8570.0 64545.0 8640.0 64615.0 ; - RECT 8605.0 64510.0 9567.5 64580.0 ; - RECT 8570.0 65360.0 8640.0 65430.0 ; - RECT 8570.0 65325.0 8640.0 65395.0 ; - RECT 8605.0 65360.0 9567.5 65430.0 ; - RECT 8570.0 67200.0 8640.0 67270.0 ; - RECT 8570.0 67235.0 8640.0 67305.0 ; - RECT 8605.0 67200.0 9567.5 67270.0 ; - RECT 8570.0 68050.0 8640.0 68120.0 ; - RECT 8570.0 68015.0 8640.0 68085.0 ; - RECT 8605.0 68050.0 9567.5 68120.0 ; - RECT 8570.0 69890.0 8640.0 69960.0 ; - RECT 8570.0 69925.0 8640.0 69995.0 ; - RECT 8605.0 69890.0 9567.5 69960.0 ; - RECT 9505.0 27915.0 9575.0 27985.0 ; - RECT 9695.0 27915.0 9765.0 27985.0 ; - RECT 9505.0 27950.0 9575.0 28312.5 ; - RECT 9540.0 27915.0 9730.0 27985.0 ; - RECT 9695.0 27607.5 9765.0 27950.0 ; - RECT 9505.0 28312.5 9575.0 28447.5 ; - RECT 9695.0 27472.5 9765.0 27607.5 ; - RECT 9797.5 27915.0 9662.5 27985.0 ; - RECT 8430.0 27870.0 8500.0 28005.0 ; - RECT 8570.0 27597.5 8640.0 27732.5 ; - RECT 9567.5 27700.0 9432.5 27770.0 ; - RECT 9505.0 29395.0 9575.0 29325.0 ; - RECT 9695.0 29395.0 9765.0 29325.0 ; - RECT 9505.0 29360.0 9575.0 28997.5 ; - RECT 9540.0 29395.0 9730.0 29325.0 ; - RECT 9695.0 29702.5 9765.0 29360.0 ; - RECT 9505.0 28997.5 9575.0 28862.5 ; - RECT 9695.0 29837.5 9765.0 29702.5 ; - RECT 9797.5 29395.0 9662.5 29325.0 ; - RECT 8430.0 29305.0 8500.0 29440.0 ; - RECT 8570.0 29577.5 8640.0 29712.5 ; - RECT 9567.5 29540.0 9432.5 29610.0 ; - RECT 9505.0 30605.0 9575.0 30675.0 ; - RECT 9695.0 30605.0 9765.0 30675.0 ; - RECT 9505.0 30640.0 9575.0 31002.5 ; - RECT 9540.0 30605.0 9730.0 30675.0 ; - RECT 9695.0 30297.5 9765.0 30640.0 ; - RECT 9505.0 31002.5 9575.0 31137.5 ; - RECT 9695.0 30162.5 9765.0 30297.5 ; - RECT 9797.5 30605.0 9662.5 30675.0 ; - RECT 8430.0 30560.0 8500.0 30695.0 ; - RECT 8570.0 30287.5 8640.0 30422.5 ; - RECT 9567.5 30390.0 9432.5 30460.0 ; - RECT 9505.0 32085.0 9575.0 32015.0 ; - RECT 9695.0 32085.0 9765.0 32015.0 ; - RECT 9505.0 32050.0 9575.0 31687.5 ; - RECT 9540.0 32085.0 9730.0 32015.0 ; - RECT 9695.0 32392.5 9765.0 32050.0 ; - RECT 9505.0 31687.5 9575.0 31552.5 ; - RECT 9695.0 32527.5 9765.0 32392.5 ; - RECT 9797.5 32085.0 9662.5 32015.0 ; - RECT 8430.0 31995.0 8500.0 32130.0 ; - RECT 8570.0 32267.5 8640.0 32402.5 ; - RECT 9567.5 32230.0 9432.5 32300.0 ; - RECT 9505.0 33295.0 9575.0 33365.0 ; - RECT 9695.0 33295.0 9765.0 33365.0 ; - RECT 9505.0 33330.0 9575.0 33692.5 ; - RECT 9540.0 33295.0 9730.0 33365.0 ; - RECT 9695.0 32987.5 9765.0 33330.0 ; - RECT 9505.0 33692.5 9575.0 33827.5 ; - RECT 9695.0 32852.5 9765.0 32987.5 ; - RECT 9797.5 33295.0 9662.5 33365.0 ; - RECT 8430.0 33250.0 8500.0 33385.0 ; - RECT 8570.0 32977.5 8640.0 33112.5 ; - RECT 9567.5 33080.0 9432.5 33150.0 ; - RECT 9505.0 34775.0 9575.0 34705.0 ; - RECT 9695.0 34775.0 9765.0 34705.0 ; - RECT 9505.0 34740.0 9575.0 34377.5 ; - RECT 9540.0 34775.0 9730.0 34705.0 ; - RECT 9695.0 35082.5 9765.0 34740.0 ; - RECT 9505.0 34377.5 9575.0 34242.5 ; - RECT 9695.0 35217.5 9765.0 35082.5 ; - RECT 9797.5 34775.0 9662.5 34705.0 ; - RECT 8430.0 34685.0 8500.0 34820.0 ; - RECT 8570.0 34957.5 8640.0 35092.5 ; - RECT 9567.5 34920.0 9432.5 34990.0 ; - RECT 9505.0 35985.0 9575.0 36055.0 ; - RECT 9695.0 35985.0 9765.0 36055.0 ; - RECT 9505.0 36020.0 9575.0 36382.5 ; - RECT 9540.0 35985.0 9730.0 36055.0 ; - RECT 9695.0 35677.5 9765.0 36020.0 ; - RECT 9505.0 36382.5 9575.0 36517.5 ; - RECT 9695.0 35542.5 9765.0 35677.5 ; - RECT 9797.5 35985.0 9662.5 36055.0 ; - RECT 8430.0 35940.0 8500.0 36075.0 ; - RECT 8570.0 35667.5 8640.0 35802.5 ; - RECT 9567.5 35770.0 9432.5 35840.0 ; - RECT 9505.0 37465.0 9575.0 37395.0 ; - RECT 9695.0 37465.0 9765.0 37395.0 ; - RECT 9505.0 37430.0 9575.0 37067.5 ; - RECT 9540.0 37465.0 9730.0 37395.0 ; - RECT 9695.0 37772.5 9765.0 37430.0 ; - RECT 9505.0 37067.5 9575.0 36932.5 ; - RECT 9695.0 37907.5 9765.0 37772.5 ; - RECT 9797.5 37465.0 9662.5 37395.0 ; - RECT 8430.0 37375.0 8500.0 37510.0 ; - RECT 8570.0 37647.5 8640.0 37782.5 ; - RECT 9567.5 37610.0 9432.5 37680.0 ; - RECT 9505.0 38675.0 9575.0 38745.0 ; - RECT 9695.0 38675.0 9765.0 38745.0 ; - RECT 9505.0 38710.0 9575.0 39072.5 ; - RECT 9540.0 38675.0 9730.0 38745.0 ; - RECT 9695.0 38367.5 9765.0 38710.0 ; - RECT 9505.0 39072.5 9575.0 39207.5 ; - RECT 9695.0 38232.5 9765.0 38367.5 ; - RECT 9797.5 38675.0 9662.5 38745.0 ; - RECT 8430.0 38630.0 8500.0 38765.0 ; - RECT 8570.0 38357.5 8640.0 38492.5 ; - RECT 9567.5 38460.0 9432.5 38530.0 ; - RECT 9505.0 40155.0 9575.0 40085.0 ; - RECT 9695.0 40155.0 9765.0 40085.0 ; - RECT 9505.0 40120.0 9575.0 39757.5 ; - RECT 9540.0 40155.0 9730.0 40085.0 ; - RECT 9695.0 40462.5 9765.0 40120.0 ; - RECT 9505.0 39757.5 9575.0 39622.5 ; - RECT 9695.0 40597.5 9765.0 40462.5 ; - RECT 9797.5 40155.0 9662.5 40085.0 ; - RECT 8430.0 40065.0 8500.0 40200.0 ; - RECT 8570.0 40337.5 8640.0 40472.5 ; - RECT 9567.5 40300.0 9432.5 40370.0 ; - RECT 9505.0 41365.0 9575.0 41435.0 ; - RECT 9695.0 41365.0 9765.0 41435.0 ; - RECT 9505.0 41400.0 9575.0 41762.5 ; - RECT 9540.0 41365.0 9730.0 41435.0 ; - RECT 9695.0 41057.5 9765.0 41400.0 ; - RECT 9505.0 41762.5 9575.0 41897.5 ; - RECT 9695.0 40922.5 9765.0 41057.5 ; - RECT 9797.5 41365.0 9662.5 41435.0 ; - RECT 8430.0 41320.0 8500.0 41455.0 ; - RECT 8570.0 41047.5 8640.0 41182.5 ; - RECT 9567.5 41150.0 9432.5 41220.0 ; - RECT 9505.0 42845.0 9575.0 42775.0 ; - RECT 9695.0 42845.0 9765.0 42775.0 ; - RECT 9505.0 42810.0 9575.0 42447.5 ; - RECT 9540.0 42845.0 9730.0 42775.0 ; - RECT 9695.0 43152.5 9765.0 42810.0 ; - RECT 9505.0 42447.5 9575.0 42312.5 ; - RECT 9695.0 43287.5 9765.0 43152.5 ; - RECT 9797.5 42845.0 9662.5 42775.0 ; - RECT 8430.0 42755.0 8500.0 42890.0 ; - RECT 8570.0 43027.5 8640.0 43162.5 ; - RECT 9567.5 42990.0 9432.5 43060.0 ; - RECT 9505.0 44055.0 9575.0 44125.0 ; - RECT 9695.0 44055.0 9765.0 44125.0 ; - RECT 9505.0 44090.0 9575.0 44452.5 ; - RECT 9540.0 44055.0 9730.0 44125.0 ; - RECT 9695.0 43747.5 9765.0 44090.0 ; - RECT 9505.0 44452.5 9575.0 44587.5 ; - RECT 9695.0 43612.5 9765.0 43747.5 ; - RECT 9797.5 44055.0 9662.5 44125.0 ; - RECT 8430.0 44010.0 8500.0 44145.0 ; - RECT 8570.0 43737.5 8640.0 43872.5 ; - RECT 9567.5 43840.0 9432.5 43910.0 ; - RECT 9505.0 45535.0 9575.0 45465.0 ; - RECT 9695.0 45535.0 9765.0 45465.0 ; - RECT 9505.0 45500.0 9575.0 45137.5 ; - RECT 9540.0 45535.0 9730.0 45465.0 ; - RECT 9695.0 45842.5 9765.0 45500.0 ; - RECT 9505.0 45137.5 9575.0 45002.5 ; - RECT 9695.0 45977.5 9765.0 45842.5 ; - RECT 9797.5 45535.0 9662.5 45465.0 ; - RECT 8430.0 45445.0 8500.0 45580.0 ; - RECT 8570.0 45717.5 8640.0 45852.5 ; - RECT 9567.5 45680.0 9432.5 45750.0 ; - RECT 9505.0 46745.0 9575.0 46815.0 ; - RECT 9695.0 46745.0 9765.0 46815.0 ; - RECT 9505.0 46780.0 9575.0 47142.5 ; - RECT 9540.0 46745.0 9730.0 46815.0 ; - RECT 9695.0 46437.5 9765.0 46780.0 ; - RECT 9505.0 47142.5 9575.0 47277.5 ; - RECT 9695.0 46302.5 9765.0 46437.5 ; - RECT 9797.5 46745.0 9662.5 46815.0 ; - RECT 8430.0 46700.0 8500.0 46835.0 ; - RECT 8570.0 46427.5 8640.0 46562.5 ; - RECT 9567.5 46530.0 9432.5 46600.0 ; - RECT 9505.0 48225.0 9575.0 48155.0 ; - RECT 9695.0 48225.0 9765.0 48155.0 ; - RECT 9505.0 48190.0 9575.0 47827.5 ; - RECT 9540.0 48225.0 9730.0 48155.0 ; - RECT 9695.0 48532.5 9765.0 48190.0 ; - RECT 9505.0 47827.5 9575.0 47692.5 ; - RECT 9695.0 48667.5 9765.0 48532.5 ; - RECT 9797.5 48225.0 9662.5 48155.0 ; - RECT 8430.0 48135.0 8500.0 48270.0 ; - RECT 8570.0 48407.5 8640.0 48542.5 ; - RECT 9567.5 48370.0 9432.5 48440.0 ; - RECT 9505.0 49435.0 9575.0 49505.0 ; - RECT 9695.0 49435.0 9765.0 49505.0 ; - RECT 9505.0 49470.0 9575.0 49832.5 ; - RECT 9540.0 49435.0 9730.0 49505.0 ; - RECT 9695.0 49127.5 9765.0 49470.0 ; - RECT 9505.0 49832.5 9575.0 49967.5 ; - RECT 9695.0 48992.5 9765.0 49127.5 ; - RECT 9797.5 49435.0 9662.5 49505.0 ; - RECT 8430.0 49390.0 8500.0 49525.0 ; - RECT 8570.0 49117.5 8640.0 49252.5 ; - RECT 9567.5 49220.0 9432.5 49290.0 ; - RECT 9505.0 50915.0 9575.0 50845.0 ; - RECT 9695.0 50915.0 9765.0 50845.0 ; - RECT 9505.0 50880.0 9575.0 50517.5 ; - RECT 9540.0 50915.0 9730.0 50845.0 ; - RECT 9695.0 51222.5 9765.0 50880.0 ; - RECT 9505.0 50517.5 9575.0 50382.5 ; - RECT 9695.0 51357.5 9765.0 51222.5 ; - RECT 9797.5 50915.0 9662.5 50845.0 ; - RECT 8430.0 50825.0 8500.0 50960.0 ; - RECT 8570.0 51097.5 8640.0 51232.5 ; - RECT 9567.5 51060.0 9432.5 51130.0 ; - RECT 9505.0 52125.0 9575.0 52195.0 ; - RECT 9695.0 52125.0 9765.0 52195.0 ; - RECT 9505.0 52160.0 9575.0 52522.5 ; - RECT 9540.0 52125.0 9730.0 52195.0 ; - RECT 9695.0 51817.5 9765.0 52160.0 ; - RECT 9505.0 52522.5 9575.0 52657.5 ; - RECT 9695.0 51682.5 9765.0 51817.5 ; - RECT 9797.5 52125.0 9662.5 52195.0 ; - RECT 8430.0 52080.0 8500.0 52215.0 ; - RECT 8570.0 51807.5 8640.0 51942.5 ; - RECT 9567.5 51910.0 9432.5 51980.0 ; - RECT 9505.0 53605.0 9575.0 53535.0 ; - RECT 9695.0 53605.0 9765.0 53535.0 ; - RECT 9505.0 53570.0 9575.0 53207.5 ; - RECT 9540.0 53605.0 9730.0 53535.0 ; - RECT 9695.0 53912.5 9765.0 53570.0 ; - RECT 9505.0 53207.5 9575.0 53072.5 ; - RECT 9695.0 54047.5 9765.0 53912.5 ; - RECT 9797.5 53605.0 9662.5 53535.0 ; - RECT 8430.0 53515.0 8500.0 53650.0 ; - RECT 8570.0 53787.5 8640.0 53922.5 ; - RECT 9567.5 53750.0 9432.5 53820.0 ; - RECT 9505.0 54815.0 9575.0 54885.0 ; - RECT 9695.0 54815.0 9765.0 54885.0 ; - RECT 9505.0 54850.0 9575.0 55212.5 ; - RECT 9540.0 54815.0 9730.0 54885.0 ; - RECT 9695.0 54507.5 9765.0 54850.0 ; - RECT 9505.0 55212.5 9575.0 55347.5 ; - RECT 9695.0 54372.5 9765.0 54507.5 ; - RECT 9797.5 54815.0 9662.5 54885.0 ; - RECT 8430.0 54770.0 8500.0 54905.0 ; - RECT 8570.0 54497.5 8640.0 54632.5 ; - RECT 9567.5 54600.0 9432.5 54670.0 ; - RECT 9505.0 56295.0 9575.0 56225.0 ; - RECT 9695.0 56295.0 9765.0 56225.0 ; - RECT 9505.0 56260.0 9575.0 55897.5 ; - RECT 9540.0 56295.0 9730.0 56225.0 ; - RECT 9695.0 56602.5 9765.0 56260.0 ; - RECT 9505.0 55897.5 9575.0 55762.5 ; - RECT 9695.0 56737.5 9765.0 56602.5 ; - RECT 9797.5 56295.0 9662.5 56225.0 ; - RECT 8430.0 56205.0 8500.0 56340.0 ; - RECT 8570.0 56477.5 8640.0 56612.5 ; - RECT 9567.5 56440.0 9432.5 56510.0 ; - RECT 9505.0 57505.0 9575.0 57575.0 ; - RECT 9695.0 57505.0 9765.0 57575.0 ; - RECT 9505.0 57540.0 9575.0 57902.5 ; - RECT 9540.0 57505.0 9730.0 57575.0 ; - RECT 9695.0 57197.5 9765.0 57540.0 ; - RECT 9505.0 57902.5 9575.0 58037.5 ; - RECT 9695.0 57062.5 9765.0 57197.5 ; - RECT 9797.5 57505.0 9662.5 57575.0 ; - RECT 8430.0 57460.0 8500.0 57595.0 ; - RECT 8570.0 57187.5 8640.0 57322.5 ; - RECT 9567.5 57290.0 9432.5 57360.0 ; - RECT 9505.0 58985.0 9575.0 58915.0 ; - RECT 9695.0 58985.0 9765.0 58915.0 ; - RECT 9505.0 58950.0 9575.0 58587.5 ; - RECT 9540.0 58985.0 9730.0 58915.0 ; - RECT 9695.0 59292.5 9765.0 58950.0 ; - RECT 9505.0 58587.5 9575.0 58452.5 ; - RECT 9695.0 59427.5 9765.0 59292.5 ; - RECT 9797.5 58985.0 9662.5 58915.0 ; - RECT 8430.0 58895.0 8500.0 59030.0 ; - RECT 8570.0 59167.5 8640.0 59302.5 ; - RECT 9567.5 59130.0 9432.5 59200.0 ; - RECT 9505.0 60195.0 9575.0 60265.0 ; - RECT 9695.0 60195.0 9765.0 60265.0 ; - RECT 9505.0 60230.0 9575.0 60592.5 ; - RECT 9540.0 60195.0 9730.0 60265.0 ; - RECT 9695.0 59887.5 9765.0 60230.0 ; - RECT 9505.0 60592.5 9575.0 60727.5 ; - RECT 9695.0 59752.5 9765.0 59887.5 ; - RECT 9797.5 60195.0 9662.5 60265.0 ; - RECT 8430.0 60150.0 8500.0 60285.0 ; - RECT 8570.0 59877.5 8640.0 60012.5 ; - RECT 9567.5 59980.0 9432.5 60050.0 ; - RECT 9505.0 61675.0 9575.0 61605.0 ; - RECT 9695.0 61675.0 9765.0 61605.0 ; - RECT 9505.0 61640.0 9575.0 61277.5 ; - RECT 9540.0 61675.0 9730.0 61605.0 ; - RECT 9695.0 61982.5 9765.0 61640.0 ; - RECT 9505.0 61277.5 9575.0 61142.5 ; - RECT 9695.0 62117.5 9765.0 61982.5 ; - RECT 9797.5 61675.0 9662.5 61605.0 ; - RECT 8430.0 61585.0 8500.0 61720.0 ; - RECT 8570.0 61857.5 8640.0 61992.5 ; - RECT 9567.5 61820.0 9432.5 61890.0 ; - RECT 9505.0 62885.0 9575.0 62955.0 ; - RECT 9695.0 62885.0 9765.0 62955.0 ; - RECT 9505.0 62920.0 9575.0 63282.5 ; - RECT 9540.0 62885.0 9730.0 62955.0 ; - RECT 9695.0 62577.5 9765.0 62920.0 ; - RECT 9505.0 63282.5 9575.0 63417.5 ; - RECT 9695.0 62442.5 9765.0 62577.5 ; - RECT 9797.5 62885.0 9662.5 62955.0 ; - RECT 8430.0 62840.0 8500.0 62975.0 ; - RECT 8570.0 62567.5 8640.0 62702.5 ; - RECT 9567.5 62670.0 9432.5 62740.0 ; - RECT 9505.0 64365.0 9575.0 64295.0 ; - RECT 9695.0 64365.0 9765.0 64295.0 ; - RECT 9505.0 64330.0 9575.0 63967.5 ; - RECT 9540.0 64365.0 9730.0 64295.0 ; - RECT 9695.0 64672.5 9765.0 64330.0 ; - RECT 9505.0 63967.5 9575.0 63832.5 ; - RECT 9695.0 64807.5 9765.0 64672.5 ; - RECT 9797.5 64365.0 9662.5 64295.0 ; - RECT 8430.0 64275.0 8500.0 64410.0 ; - RECT 8570.0 64547.5 8640.0 64682.5 ; - RECT 9567.5 64510.0 9432.5 64580.0 ; - RECT 9505.0 65575.0 9575.0 65645.0 ; - RECT 9695.0 65575.0 9765.0 65645.0 ; - RECT 9505.0 65610.0 9575.0 65972.5 ; - RECT 9540.0 65575.0 9730.0 65645.0 ; - RECT 9695.0 65267.5 9765.0 65610.0 ; - RECT 9505.0 65972.5 9575.0 66107.5 ; - RECT 9695.0 65132.5 9765.0 65267.5 ; - RECT 9797.5 65575.0 9662.5 65645.0 ; - RECT 8430.0 65530.0 8500.0 65665.0 ; - RECT 8570.0 65257.5 8640.0 65392.5 ; - RECT 9567.5 65360.0 9432.5 65430.0 ; - RECT 9505.0 67055.0 9575.0 66985.0 ; - RECT 9695.0 67055.0 9765.0 66985.0 ; - RECT 9505.0 67020.0 9575.0 66657.5 ; - RECT 9540.0 67055.0 9730.0 66985.0 ; - RECT 9695.0 67362.5 9765.0 67020.0 ; - RECT 9505.0 66657.5 9575.0 66522.5 ; - RECT 9695.0 67497.5 9765.0 67362.5 ; - RECT 9797.5 67055.0 9662.5 66985.0 ; - RECT 8430.0 66965.0 8500.0 67100.0 ; - RECT 8570.0 67237.5 8640.0 67372.5 ; - RECT 9567.5 67200.0 9432.5 67270.0 ; - RECT 9505.0 68265.0 9575.0 68335.0 ; - RECT 9695.0 68265.0 9765.0 68335.0 ; - RECT 9505.0 68300.0 9575.0 68662.5 ; - RECT 9540.0 68265.0 9730.0 68335.0 ; - RECT 9695.0 67957.5 9765.0 68300.0 ; - RECT 9505.0 68662.5 9575.0 68797.5 ; - RECT 9695.0 67822.5 9765.0 67957.5 ; - RECT 9797.5 68265.0 9662.5 68335.0 ; - RECT 8430.0 68220.0 8500.0 68355.0 ; - RECT 8570.0 67947.5 8640.0 68082.5 ; - RECT 9567.5 68050.0 9432.5 68120.0 ; - RECT 9505.0 69745.0 9575.0 69675.0 ; - RECT 9695.0 69745.0 9765.0 69675.0 ; - RECT 9505.0 69710.0 9575.0 69347.5 ; - RECT 9540.0 69745.0 9730.0 69675.0 ; - RECT 9695.0 70052.5 9765.0 69710.0 ; - RECT 9505.0 69347.5 9575.0 69212.5 ; - RECT 9695.0 70187.5 9765.0 70052.5 ; - RECT 9797.5 69745.0 9662.5 69675.0 ; - RECT 8430.0 69655.0 8500.0 69790.0 ; - RECT 8570.0 69927.5 8640.0 70062.5 ; - RECT 9567.5 69890.0 9432.5 69960.0 ; - RECT 8430.0 27310.0 8500.0 70350.0 ; - RECT 4655.0 10760.0 11095.0 10055.0 ; - RECT 4655.0 9350.0 11095.0 10055.0 ; - RECT 4655.0 9350.0 11095.0 8645.0 ; - RECT 4655.0 7940.0 11095.0 8645.0 ; - RECT 4655.0 7940.0 11095.0 7235.0 ; - RECT 4655.0 6530.0 11095.0 7235.0 ; - RECT 4655.0 6530.0 11095.0 5825.0 ; - RECT 4655.0 10442.5 4800.0 10372.5 ; - RECT 4655.0 9737.5 4800.0 9667.5 ; - RECT 4655.0 9032.5 4800.0 8962.5 ; - RECT 4655.0 8327.5 4800.0 8257.5 ; - RECT 4655.0 7622.5 4800.0 7552.5 ; - RECT 4655.0 6917.5 4800.0 6847.5 ; - RECT 4655.0 6212.5 4800.0 6142.5 ; - RECT 10825.0 10442.5 11095.0 10372.5 ; - RECT 10407.5 10597.5 11095.0 10527.5 ; - RECT 10825.0 9737.5 11095.0 9667.5 ; - RECT 10407.5 9582.5 11095.0 9512.5 ; - RECT 10825.0 9032.5 11095.0 8962.5 ; - RECT 10407.5 9187.5 11095.0 9117.5 ; - RECT 10825.0 8327.5 11095.0 8257.5 ; - RECT 10407.5 8172.5 11095.0 8102.5 ; - RECT 10825.0 7622.5 11095.0 7552.5 ; - RECT 10407.5 7777.5 11095.0 7707.5 ; - RECT 10825.0 6917.5 11095.0 6847.5 ; - RECT 10407.5 6762.5 11095.0 6692.5 ; - RECT 10825.0 6212.5 11095.0 6142.5 ; - RECT 10407.5 6367.5 11095.0 6297.5 ; - RECT 4655.0 10795.0 11095.0 10725.0 ; - RECT 4655.0 10090.0 11095.0 10020.0 ; - RECT 4655.0 9385.0 11095.0 9315.0 ; - RECT 4655.0 8680.0 11095.0 8610.0 ; - RECT 4655.0 7975.0 11095.0 7905.0 ; - RECT 4655.0 7270.0 11095.0 7200.0 ; - RECT 4655.0 6565.0 11095.0 6495.0 ; - RECT 4655.0 5860.0 11095.0 5790.0 ; - RECT 16192.5 5815.0 16262.5 5950.0 ; - RECT 19012.5 5815.0 19082.5 5950.0 ; - RECT 16402.5 35.0 16472.5 170.0 ; - RECT 19222.5 35.0 19292.5 170.0 ; - RECT 13992.5 27345.0 14127.5 27275.0 ; - RECT 13992.5 30035.0 14127.5 29965.0 ; - RECT 13992.5 32725.0 14127.5 32655.0 ; - RECT 13992.5 35415.0 14127.5 35345.0 ; - RECT 13992.5 38105.0 14127.5 38035.0 ; - RECT 13992.5 40795.0 14127.5 40725.0 ; - RECT 13992.5 43485.0 14127.5 43415.0 ; - RECT 13992.5 46175.0 14127.5 46105.0 ; - RECT 13992.5 48865.0 14127.5 48795.0 ; - RECT 13992.5 51555.0 14127.5 51485.0 ; - RECT 13992.5 54245.0 14127.5 54175.0 ; - RECT 13992.5 56935.0 14127.5 56865.0 ; - RECT 13992.5 59625.0 14127.5 59555.0 ; - RECT 13992.5 62315.0 14127.5 62245.0 ; - RECT 13992.5 65005.0 14127.5 64935.0 ; - RECT 13992.5 67695.0 14127.5 67625.0 ; - RECT 13992.5 70385.0 14127.5 70315.0 ; - RECT 10720.0 11340.0 10585.0 11410.0 ; - RECT 12045.0 11340.0 11910.0 11410.0 ; - RECT 10445.0 12685.0 10310.0 12755.0 ; - RECT 12250.0 12685.0 12115.0 12755.0 ; - RECT 11635.0 16720.0 11500.0 16790.0 ; - RECT 12455.0 16720.0 12320.0 16790.0 ; - RECT 11360.0 18065.0 11225.0 18135.0 ; - RECT 12660.0 18065.0 12525.0 18135.0 ; - RECT 11085.0 19410.0 10950.0 19480.0 ; - RECT 12865.0 19410.0 12730.0 19480.0 ; - RECT 11840.0 11135.0 11705.0 11205.0 ; - RECT 11840.0 11135.0 11705.0 11205.0 ; - RECT 13925.0 11205.0 14060.0 11135.0 ; - RECT 11840.0 13825.0 11705.0 13895.0 ; - RECT 11840.0 13825.0 11705.0 13895.0 ; - RECT 13925.0 13895.0 14060.0 13825.0 ; - RECT 11840.0 16515.0 11705.0 16585.0 ; - RECT 11840.0 16515.0 11705.0 16585.0 ; - RECT 13925.0 16585.0 14060.0 16515.0 ; - RECT 11840.0 19205.0 11705.0 19275.0 ; - RECT 11840.0 19205.0 11705.0 19275.0 ; - RECT 13925.0 19275.0 14060.0 19205.0 ; - RECT 11840.0 21895.0 11705.0 21965.0 ; - RECT 11840.0 21895.0 11705.0 21965.0 ; - RECT 13925.0 21965.0 14060.0 21895.0 ; - RECT 11840.0 24585.0 11705.0 24655.0 ; - RECT 11840.0 24585.0 11705.0 24655.0 ; - RECT 13925.0 24655.0 14060.0 24585.0 ; - RECT 13070.0 25305.0 12935.0 25375.0 ; - RECT 13275.0 25165.0 13140.0 25235.0 ; - RECT 13480.0 25025.0 13345.0 25095.0 ; - RECT 13685.0 24885.0 13550.0 24955.0 ; - RECT 13070.0 627.5 12935.0 697.5 ; - RECT 13275.0 2062.5 13140.0 2132.5 ; - RECT 13480.0 3317.5 13345.0 3387.5 ; - RECT 13685.0 4752.5 13550.0 4822.5 ; - RECT 13992.5 70.0 14127.5 2.49800180541e-13 ; - RECT 13992.5 2760.0 14127.5 2690.0 ; - RECT 13992.5 5450.0 14127.5 5380.0 ; - RECT 11162.5 6847.5 11027.5 6917.5 ; - RECT 7260.0 5207.5 7330.0 5342.5 ; - RECT 11162.5 6142.5 11027.5 6212.5 ; - RECT 7535.0 5207.5 7605.0 5342.5 ; - RECT 11162.5 10372.5 11027.5 10442.5 ; - RECT 12045.0 10372.5 11910.0 10442.5 ; - RECT 11162.5 9667.5 11027.5 9737.5 ; - RECT 12250.0 9667.5 12115.0 9737.5 ; - RECT 11162.5 8962.5 11027.5 9032.5 ; - RECT 12455.0 8962.5 12320.0 9032.5 ; - RECT 11162.5 8257.5 11027.5 8327.5 ; - RECT 12660.0 8257.5 12525.0 8327.5 ; - RECT 11162.5 7552.5 11027.5 7622.5 ; - RECT 12865.0 7552.5 12730.0 7622.5 ; - RECT 11230.0 10725.0 11095.0 10795.0 ; - RECT 14127.5 10725.0 13992.5 10795.0 ; - RECT 11230.0 10020.0 11095.0 10090.0 ; - RECT 14127.5 10020.0 13992.5 10090.0 ; - RECT 11230.0 9315.0 11095.0 9385.0 ; - RECT 14127.5 9315.0 13992.5 9385.0 ; - RECT 11230.0 8610.0 11095.0 8680.0 ; - RECT 14127.5 8610.0 13992.5 8680.0 ; - RECT 11230.0 7905.0 11095.0 7975.0 ; - RECT 14127.5 7905.0 13992.5 7975.0 ; - RECT 11230.0 7200.0 11095.0 7270.0 ; - RECT 14127.5 7200.0 13992.5 7270.0 ; - RECT 11230.0 6495.0 11095.0 6565.0 ; - RECT 14127.5 6495.0 13992.5 6565.0 ; - RECT 11230.0 5790.0 11095.0 5860.0 ; - RECT 14127.5 5790.0 13992.5 5860.0 ; - RECT 15265.0 9167.5 15130.0 9237.5 ; - RECT 14855.0 6982.5 14720.0 7052.5 ; - RECT 15060.0 8530.0 14925.0 8600.0 ; - RECT 15265.0 71325.0 15130.0 71395.0 ; - RECT 15470.0 15670.0 15335.0 15740.0 ; - RECT 15675.0 19695.0 15540.0 19765.0 ; - RECT 14650.0 10930.0 14515.0 11000.0 ; - RECT 8532.5 70520.0 8397.5 70590.0 ; - RECT 14650.0 70520.0 14515.0 70590.0 ; - RECT 14342.5 8400.0 14207.5 8470.0 ; - RECT 14342.5 19825.0 14207.5 19895.0 ; - RECT 14342.5 9327.5 14207.5 9397.5 ; - RECT 14342.5 16602.5 14207.5 16672.5 ; - RECT 16402.5 35.0 16472.5 175.0 ; - RECT 19222.5 35.0 19292.5 175.0 ; - RECT 15572.5 35.0 15642.5 72077.5 ; - RECT 15367.5 35.0 15437.5 72077.5 ; - RECT 14752.5 35.0 14822.5 72077.5 ; - RECT 14957.5 35.0 15027.5 72077.5 ; - RECT 15162.5 35.0 15232.5 72077.5 ; - RECT 14547.5 35.0 14617.5 72077.5 ; - RECT 13992.5 35.0 14342.5 72077.5 ; - RECT 4035.0 34710.0 8.881784197e-13 34780.0 ; - RECT 4035.0 34915.0 8.881784197e-13 34985.0 ; - RECT 4035.0 35120.0 8.881784197e-13 35190.0 ; - RECT 4035.0 35530.0 8.881784197e-13 35600.0 ; - RECT 3422.5 30220.0 2690.0 30290.0 ; - RECT 2520.0 27687.5 2450.0 34335.0 ; - RECT 4035.0 34505.0 3830.0 34575.0 ; - RECT 2895.0 35325.0 2690.0 35395.0 ; - RECT 1550.0 34505.0 1345.0 34575.0 ; - RECT 205.0 35325.0 8.881784197e-13 35395.0 ; - RECT 165.0 27450.0 870.0 33890.0 ; - RECT 1575.0 27450.0 870.0 33890.0 ; - RECT 1575.0 27450.0 2280.0 33890.0 ; - RECT 482.5 27450.0 552.5 27595.0 ; - RECT 1187.5 27450.0 1257.5 27595.0 ; - RECT 1892.5 27450.0 1962.5 27595.0 ; - RECT 482.5 33620.0 552.5 33890.0 ; - RECT 327.5 33202.5 397.5 33890.0 ; - RECT 1187.5 33620.0 1257.5 33890.0 ; - RECT 1342.5 33202.5 1412.5 33890.0 ; - RECT 1892.5 33620.0 1962.5 33890.0 ; - RECT 1737.5 33202.5 1807.5 33890.0 ; - RECT 130.0 27450.0 200.0 33890.0 ; - RECT 835.0 27450.0 905.0 33890.0 ; - RECT 1540.0 27450.0 1610.0 33890.0 ; - RECT 2245.0 27450.0 2315.0 33890.0 ; - RECT 3737.5 36780.0 3032.5 36850.0 ; - RECT 3382.5 36400.0 3312.5 36470.0 ; - RECT 3382.5 36780.0 3312.5 36850.0 ; - RECT 3347.5 36400.0 3032.5 36470.0 ; - RECT 3382.5 36435.0 3312.5 36815.0 ; - RECT 3737.5 36780.0 3347.5 36850.0 ; - RECT 3032.5 36400.0 2897.5 36470.0 ; - RECT 3032.5 36780.0 2897.5 36850.0 ; - RECT 3872.5 36780.0 3737.5 36850.0 ; - RECT 3415.0 36780.0 3280.0 36850.0 ; - RECT 1895.0 36590.0 1965.0 36660.0 ; - RECT 1930.0 36590.0 2280.0 36660.0 ; - RECT 1895.0 36625.0 1965.0 36695.0 ; - RECT 1495.0 36590.0 1565.0 36660.0 ; - RECT 1495.0 36467.5 1565.0 36625.0 ; - RECT 1530.0 36590.0 1930.0 36660.0 ; - RECT 2280.0 36590.0 2415.0 36660.0 ; - RECT 1495.0 36502.5 1565.0 36367.5 ; - RECT 1895.0 36762.5 1965.0 36627.5 ; - RECT 1950.0 37545.0 2020.0 37615.0 ; - RECT 1950.0 37735.0 2020.0 37805.0 ; - RECT 1985.0 37545.0 2347.5 37615.0 ; - RECT 1950.0 37580.0 2020.0 37770.0 ; - RECT 1642.5 37735.0 1985.0 37805.0 ; - RECT 2347.5 37545.0 2482.5 37615.0 ; - RECT 1507.5 37735.0 1642.5 37805.0 ; - RECT 1950.0 37837.5 2020.0 37702.5 ; - RECT 1047.5 37340.0 342.5 37410.0 ; - RECT 692.5 36960.0 622.5 37030.0 ; - RECT 692.5 37340.0 622.5 37410.0 ; - RECT 657.5 36960.0 342.5 37030.0 ; - RECT 692.5 36995.0 622.5 37375.0 ; - RECT 1047.5 37340.0 657.5 37410.0 ; - RECT 342.5 36960.0 207.5 37030.0 ; - RECT 342.5 37340.0 207.5 37410.0 ; - RECT 1182.5 37340.0 1047.5 37410.0 ; - RECT 725.0 37340.0 590.0 37410.0 ; - RECT 397.5 33957.5 327.5 33822.5 ; - RECT 397.5 35632.5 327.5 35497.5 ; - RECT 552.5 33957.5 482.5 33822.5 ; - RECT 552.5 34812.5 482.5 34677.5 ; - RECT 1412.5 33957.5 1342.5 33822.5 ; - RECT 1412.5 35017.5 1342.5 34882.5 ; - RECT 1807.5 33957.5 1737.5 33822.5 ; - RECT 1807.5 35222.5 1737.5 35087.5 ; - RECT 200.0 33957.5 130.0 33822.5 ; - RECT 200.0 34607.5 130.0 34472.5 ; - RECT 905.0 33957.5 835.0 33822.5 ; - RECT 905.0 34607.5 835.0 34472.5 ; - RECT 1610.0 33957.5 1540.0 33822.5 ; - RECT 1610.0 34607.5 1540.0 34472.5 ; - RECT 2315.0 33957.5 2245.0 33822.5 ; - RECT 2315.0 34607.5 2245.0 34472.5 ; - RECT 1380.0 40115.0 1310.0 47865.0 ; - RECT 970.0 40115.0 900.0 47555.0 ; - RECT 265.0 40115.0 195.0 47555.0 ; - RECT 1207.5 40282.5 1137.5 40880.0 ; - RECT 785.0 40282.5 715.0 40562.5 ; - RECT 3372.5 42677.5 3442.5 43072.5 ; - RECT 3372.5 43072.5 3442.5 43632.5 ; - RECT 3372.5 43632.5 3442.5 44192.5 ; - RECT 3372.5 44192.5 3442.5 44752.5 ; - RECT 3372.5 44917.5 3442.5 45312.5 ; - RECT 3372.5 45312.5 3442.5 45872.5 ; - RECT 3372.5 45872.5 3442.5 46432.5 ; - RECT 3372.5 46432.5 3442.5 46992.5 ; - RECT 3372.5 47157.5 3442.5 47552.5 ; - RECT 3372.5 47552.5 3442.5 48112.5 ; - RECT 3372.5 48112.5 3442.5 48672.5 ; - RECT 3372.5 48672.5 3442.5 49232.5 ; - RECT 3372.5 49397.5 3442.5 49792.5 ; - RECT 3372.5 49792.5 3442.5 50352.5 ; - RECT 3372.5 50352.5 3442.5 50912.5 ; - RECT 3372.5 50912.5 3442.5 51472.5 ; - RECT 2655.0 51602.5 2725.0 51672.5 ; - RECT 2655.0 51122.5 2725.0 51192.5 ; - RECT 2690.0 51602.5 3407.5 51672.5 ; - RECT 2655.0 51157.5 2725.0 51637.5 ; - RECT 1972.5 51122.5 2690.0 51192.5 ; - RECT 1937.5 50597.5 2007.5 51157.5 ; - RECT 1937.5 50037.5 2007.5 50597.5 ; - RECT 1937.5 49477.5 2007.5 50037.5 ; - RECT 1937.5 48917.5 2007.5 49312.5 ; - RECT 1937.5 48357.5 2007.5 48917.5 ; - RECT 1937.5 47797.5 2007.5 48357.5 ; - RECT 1937.5 47237.5 2007.5 47797.5 ; - RECT 1937.5 46677.5 2007.5 47072.5 ; - RECT 1937.5 46117.5 2007.5 46677.5 ; - RECT 1937.5 45557.5 2007.5 46117.5 ; - RECT 1937.5 44997.5 2007.5 45557.5 ; - RECT 1937.5 44437.5 2007.5 44832.5 ; - RECT 1937.5 43877.5 2007.5 44437.5 ; - RECT 1937.5 43317.5 2007.5 43877.5 ; - RECT 1937.5 42757.5 2007.5 43317.5 ; - RECT 3340.0 43037.5 3475.0 43107.5 ; - RECT 3340.0 43597.5 3475.0 43667.5 ; - RECT 3340.0 44157.5 3475.0 44227.5 ; - RECT 3340.0 44717.5 3475.0 44787.5 ; - RECT 3340.0 45277.5 3475.0 45347.5 ; - RECT 3340.0 45837.5 3475.0 45907.5 ; - RECT 3340.0 46397.5 3475.0 46467.5 ; - RECT 3340.0 46957.5 3475.0 47027.5 ; - RECT 3340.0 47517.5 3475.0 47587.5 ; - RECT 3340.0 48077.5 3475.0 48147.5 ; - RECT 3340.0 48637.5 3475.0 48707.5 ; - RECT 3340.0 49197.5 3475.0 49267.5 ; - RECT 3340.0 49757.5 3475.0 49827.5 ; - RECT 3340.0 50317.5 3475.0 50387.5 ; - RECT 3340.0 50877.5 3475.0 50947.5 ; - RECT 3340.0 51437.5 3475.0 51507.5 ; - RECT 1905.0 51122.5 2040.0 51192.5 ; - RECT 1905.0 50562.5 2040.0 50632.5 ; - RECT 1905.0 50002.5 2040.0 50072.5 ; - RECT 1905.0 49442.5 2040.0 49512.5 ; - RECT 1905.0 48882.5 2040.0 48952.5 ; - RECT 1905.0 48322.5 2040.0 48392.5 ; - RECT 1905.0 47762.5 2040.0 47832.5 ; - RECT 1905.0 47202.5 2040.0 47272.5 ; - RECT 1905.0 46642.5 2040.0 46712.5 ; - RECT 1905.0 46082.5 2040.0 46152.5 ; - RECT 1905.0 45522.5 2040.0 45592.5 ; - RECT 1905.0 44962.5 2040.0 45032.5 ; - RECT 1905.0 44402.5 2040.0 44472.5 ; - RECT 1905.0 43842.5 2040.0 43912.5 ; - RECT 1905.0 43282.5 2040.0 43352.5 ; - RECT 1905.0 42722.5 2040.0 42792.5 ; - RECT 3340.0 42642.5 3475.0 42712.5 ; - RECT 3340.0 44882.5 3475.0 44952.5 ; - RECT 3340.0 47122.5 3475.0 47192.5 ; - RECT 3340.0 49362.5 3475.0 49432.5 ; - RECT 3340.0 51602.5 3475.0 51672.5 ; - RECT 1905.0 49277.5 2040.0 49347.5 ; - RECT 1905.0 47037.5 2040.0 47107.5 ; - RECT 1905.0 44797.5 2040.0 44867.5 ; - RECT 935.0 42020.0 225.0 40675.0 ; - RECT 935.0 42020.0 230.0 43365.0 ; - RECT 935.0 44710.0 230.0 43365.0 ; - RECT 935.0 44710.0 230.0 46055.0 ; - RECT 935.0 47400.0 230.0 46055.0 ; - RECT 785.0 41920.0 715.0 47555.0 ; - RECT 450.0 41920.0 380.0 47555.0 ; - RECT 970.0 41920.0 900.0 47555.0 ; - RECT 265.0 41920.0 195.0 47555.0 ; - RECT 1347.5 42092.5 1277.5 42227.5 ; - RECT 1347.5 44502.5 1277.5 44637.5 ; - RECT 1347.5 44782.5 1277.5 44917.5 ; - RECT 1347.5 47192.5 1277.5 47327.5 ; - RECT 1345.0 42355.0 1275.0 42490.0 ; - RECT 1380.0 39980.0 1310.0 40115.0 ; - RECT 867.5 40080.0 1002.5 40150.0 ; - RECT 162.5 40080.0 297.5 40150.0 ; - RECT 1105.0 40845.0 1240.0 40915.0 ; - RECT 1105.0 40247.5 1240.0 40317.5 ; - RECT 682.5 40247.5 817.5 40317.5 ; - RECT 3457.5 34402.5 3387.5 34267.5 ; - RECT 3457.5 30322.5 3387.5 30187.5 ; - RECT 2725.0 30322.5 2655.0 30187.5 ; - RECT 2725.0 35837.5 2655.0 35702.5 ; - RECT 2520.0 27755.0 2450.0 27620.0 ; - RECT 1965.0 34402.5 1895.0 34267.5 ; - RECT 1750.0 34812.5 1680.0 34677.5 ; - RECT 2020.0 37350.0 1950.0 37215.0 ; - RECT 2020.0 37350.0 1950.0 37215.0 ; - RECT 2020.0 35837.5 1950.0 35702.5 ; - RECT 1805.0 37607.5 1735.0 37472.5 ; - RECT 1805.0 37607.5 1735.0 37472.5 ; - RECT 1805.0 35632.5 1735.0 35497.5 ; - RECT 3382.5 35837.5 3312.5 35702.5 ; - RECT 3522.5 35632.5 3452.5 35497.5 ; - RECT 3662.5 35017.5 3592.5 34882.5 ; - RECT 692.5 35837.5 622.5 35702.5 ; - RECT 832.5 35017.5 762.5 34882.5 ; - RECT 972.5 35222.5 902.5 35087.5 ; - RECT 1997.5 37030.0 1862.5 37100.0 ; - RECT 2052.5 38175.0 1917.5 38245.0 ; - RECT 785.0 39360.0 650.0 39430.0 ; - RECT 2040.0 38400.0 1905.0 38470.0 ; - RECT 4070.0 34607.5 4000.0 34472.5 ; - RECT 2725.0 35427.5 2655.0 35292.5 ; - RECT 1380.0 34607.5 1310.0 34472.5 ; - RECT 35.0 35427.5 -35.0 35292.5 ; - RECT 4035.0 38400.0 1972.5 38470.0 ; - RECT 4035.0 39360.0 717.5 39430.0 ; - RECT 4035.0 37030.0 1930.0 37100.0 ; - RECT 4035.0 38175.0 1985.0 38245.0 ; - RECT 4035.0 35735.0 8.881784197e-13 35805.0 ; - RECT 4035.0 34300.0 0.0 34370.0 ; - RECT 4035.0 35325.0 8.881784197e-13 35395.0 ; - RECT 4035.0 34505.0 0.0 34575.0 ; - RECT 15675.0 38400.0 15540.0 38470.0 ; - RECT 4035.0 38400.0 3900.0 38470.0 ; - RECT 15470.0 39360.0 15335.0 39430.0 ; - RECT 4035.0 39360.0 3900.0 39430.0 ; - RECT 15060.0 37030.0 14925.0 37100.0 ; - RECT 4035.0 37030.0 3900.0 37100.0 ; - RECT 14855.0 38175.0 14720.0 38245.0 ; - RECT 4035.0 38175.0 3900.0 38245.0 ; - RECT 15265.0 35735.0 15130.0 35805.0 ; - RECT 4035.0 35735.0 3900.0 35805.0 ; - RECT 14650.0 34300.0 14515.0 34370.0 ; - RECT 4035.0 34300.0 3900.0 34370.0 ; - RECT 4417.5 35325.0 4282.5 35395.0 ; - RECT 14235.0 34505.0 14100.0 34575.0 ; - RECT 4035.0 34505.0 3900.0 34575.0 ; - LAYER metal3 ; - RECT 4035.0 38400.0 15607.5 38470.0 ; - RECT 4035.0 39360.0 15402.5 39430.0 ; - RECT 4035.0 37030.0 14992.5 37100.0 ; - RECT 4035.0 38175.0 14787.5 38245.0 ; - RECT 4035.0 35735.0 15197.5 35805.0 ; - RECT 4035.0 34300.0 14582.5 34370.0 ; - RECT 4035.0 34505.0 14167.5 34575.0 ; - RECT 16192.5 24360.0 16262.5 24430.0 ; - RECT 16192.5 5850.0 16262.5 24395.0 ; - RECT 16227.5 24360.0 16397.5 24430.0 ; - RECT 19012.5 24360.0 19082.5 24430.0 ; - RECT 19012.5 5850.0 19082.5 24395.0 ; - RECT 19047.5 24360.0 19217.5 24430.0 ; - RECT 16402.5 35.0 16472.5 8965.0 ; - RECT 19222.5 35.0 19292.5 8965.0 ; - RECT 11772.5 11135.0 13992.5 11205.0 ; - RECT 11772.5 13825.0 13992.5 13895.0 ; - RECT 11772.5 16515.0 13992.5 16585.0 ; - RECT 11772.5 19205.0 13992.5 19275.0 ; - RECT 11772.5 21895.0 13992.5 21965.0 ; - RECT 11772.5 24585.0 13992.5 24655.0 ; - RECT 7260.0 6847.5 7330.0 6917.5 ; - RECT 7295.0 6847.5 11095.0 6917.5 ; - RECT 7260.0 5275.0 7330.0 6882.5 ; - RECT 7535.0 6142.5 7605.0 6212.5 ; - RECT 7570.0 6142.5 11095.0 6212.5 ; - RECT 7535.0 5275.0 7605.0 6177.5 ; - RECT 16397.5 24325.0 16467.5 24465.0 ; - RECT 19217.5 24325.0 19287.5 24465.0 ; - RECT 16402.5 8965.0 16472.5 9105.0 ; - RECT 19222.5 8965.0 19292.5 9105.0 ; - RECT 4655.0 10442.5 4795.0 10372.5 ; - RECT 4655.0 9737.5 4795.0 9667.5 ; - RECT 4655.0 9032.5 4795.0 8962.5 ; - RECT 4655.0 8327.5 4795.0 8257.5 ; - RECT 4655.0 7622.5 4795.0 7552.5 ; - RECT 4655.0 6917.5 4795.0 6847.5 ; - RECT 4655.0 6212.5 4795.0 6142.5 ; - RECT 16192.5 5815.0 16262.5 5950.0 ; - RECT 19012.5 5815.0 19082.5 5950.0 ; - RECT 16402.5 35.0 16472.5 170.0 ; - RECT 19222.5 35.0 19292.5 170.0 ; - RECT 11840.0 11135.0 11705.0 11205.0 ; - RECT 13925.0 11205.0 14060.0 11135.0 ; - RECT 11840.0 13825.0 11705.0 13895.0 ; - RECT 13925.0 13895.0 14060.0 13825.0 ; - RECT 11840.0 16515.0 11705.0 16585.0 ; - RECT 13925.0 16585.0 14060.0 16515.0 ; - RECT 11840.0 19205.0 11705.0 19275.0 ; - RECT 13925.0 19275.0 14060.0 19205.0 ; - RECT 11840.0 21895.0 11705.0 21965.0 ; - RECT 13925.0 21965.0 14060.0 21895.0 ; - RECT 11840.0 24585.0 11705.0 24655.0 ; - RECT 13925.0 24655.0 14060.0 24585.0 ; - RECT 11162.5 6847.5 11027.5 6917.5 ; - RECT 7260.0 5207.5 7330.0 5342.5 ; - RECT 11162.5 6142.5 11027.5 6212.5 ; - RECT 7535.0 5207.5 7605.0 5342.5 ; - RECT 4175.0 10372.5 4655.0 10442.5 ; - RECT 4175.0 9667.5 4655.0 9737.5 ; - RECT 4175.0 8962.5 4655.0 9032.5 ; - RECT 4175.0 8257.5 4655.0 8327.5 ; - RECT 4175.0 7552.5 4655.0 7622.5 ; - RECT 4175.0 6847.5 4655.0 6917.5 ; - RECT 4175.0 6142.5 4655.0 6212.5 ; - RECT 397.5 33890.0 327.5 35565.0 ; - RECT 552.5 33890.0 482.5 34745.0 ; - RECT 1412.5 33890.0 1342.5 34950.0 ; - RECT 1807.5 33890.0 1737.5 35155.0 ; - RECT 200.0 33890.0 130.0 34540.0 ; - RECT 905.0 33890.0 835.0 34540.0 ; - RECT 1610.0 33890.0 1540.0 34540.0 ; - RECT 2315.0 33890.0 2245.0 34540.0 ; - RECT 2725.0 30255.0 2655.0 35770.0 ; - RECT 2020.0 35770.0 1950.0 37282.5 ; - RECT 1805.0 35565.0 1735.0 37540.0 ; - RECT 482.5 27450.0 552.5 27590.0 ; - RECT 1187.5 27450.0 1257.5 27590.0 ; - RECT 1892.5 27450.0 1962.5 27590.0 ; - RECT 397.5 33957.5 327.5 33822.5 ; - RECT 397.5 35632.5 327.5 35497.5 ; - RECT 552.5 33957.5 482.5 33822.5 ; - RECT 552.5 34812.5 482.5 34677.5 ; - RECT 1412.5 33957.5 1342.5 33822.5 ; - RECT 1412.5 35017.5 1342.5 34882.5 ; - RECT 1807.5 33957.5 1737.5 33822.5 ; - RECT 1807.5 35222.5 1737.5 35087.5 ; - RECT 200.0 33957.5 130.0 33822.5 ; - RECT 200.0 34607.5 130.0 34472.5 ; - RECT 905.0 33957.5 835.0 33822.5 ; - RECT 905.0 34607.5 835.0 34472.5 ; - RECT 1610.0 33957.5 1540.0 33822.5 ; - RECT 1610.0 34607.5 1540.0 34472.5 ; - RECT 2315.0 33957.5 2245.0 33822.5 ; - RECT 2315.0 34607.5 2245.0 34472.5 ; - RECT 2725.0 30322.5 2655.0 30187.5 ; - RECT 2725.0 35837.5 2655.0 35702.5 ; - RECT 2020.0 37350.0 1950.0 37215.0 ; - RECT 2020.0 35837.5 1950.0 35702.5 ; - RECT 1805.0 37607.5 1735.0 37472.5 ; - RECT 1805.0 35632.5 1735.0 35497.5 ; - RECT 1257.5 27450.0 1187.5 27590.0 ; - RECT 1962.5 27450.0 1892.5 27590.0 ; - RECT 552.5 27450.0 482.5 27590.0 ; - RECT 15675.0 38400.0 15540.0 38470.0 ; - RECT 4035.0 38400.0 3900.0 38470.0 ; - RECT 15470.0 39360.0 15335.0 39430.0 ; - RECT 4035.0 39360.0 3900.0 39430.0 ; - RECT 15060.0 37030.0 14925.0 37100.0 ; - RECT 4035.0 37030.0 3900.0 37100.0 ; - RECT 14855.0 38175.0 14720.0 38245.0 ; - RECT 4035.0 38175.0 3900.0 38245.0 ; - RECT 15265.0 35735.0 15130.0 35805.0 ; - RECT 4035.0 35735.0 3900.0 35805.0 ; - RECT 14650.0 34300.0 14515.0 34370.0 ; - RECT 4035.0 34300.0 3900.0 34370.0 ; - RECT 14235.0 34505.0 14100.0 34575.0 ; - RECT 4035.0 34505.0 3900.0 34575.0 ; - END - END sram_2_16_1_freepdk45 -END LIBRARY diff --git a/compiler/temp/sram_2_16_1_freepdk45.lib b/compiler/temp/sram_2_16_1_freepdk45.lib deleted file mode 100644 index 3bc45b0c..00000000 --- a/compiler/temp/sram_2_16_1_freepdk45.lib +++ /dev/null @@ -1,329 +0,0 @@ -library (sram_2_16_1_freepdk45_lib){ - delay_model : "table_lookup"; - time_unit : "1ns" ; - voltage_unit : "1v" ; - current_unit : "1mA" ; - resistance_unit : "1kohm" ; - capacitive_load_unit(1 ,fF) ; - leakage_power_unit : "1mW" ; - pulling_resistance_unit :"1kohm" ; - operating_conditions(TT){ - voltage : 1.0 ; - temperature : 25.000 ; - } - - input_threshold_pct_fall : 50.0 ; - output_threshold_pct_fall : 50.0 ; - input_threshold_pct_rise : 50.0 ; - output_threshold_pct_rise : 50.0 ; - slew_lower_threshold_pct_fall : 10.0 ; - slew_upper_threshold_pct_fall : 90.0 ; - slew_lower_threshold_pct_rise : 10.0 ; - slew_upper_threshold_pct_rise : 90.0 ; - - default_cell_leakage_power : 0.0 ; - default_leakage_power_density : 0.0 ; - default_input_pin_cap : 1.0 ; - default_inout_pin_cap : 1.0 ; - default_output_pin_cap : 0.0 ; - default_max_transition : 0.5 ; - default_fanout_load : 1.0 ; - default_max_fanout : 4.0 ; - default_connection_class : universal ; - - lu_table_template(CELL_TABLE){ - variable_1 : input_net_transition; - variable_2 : total_output_net_capacitance; - index_1("0.00125, 0.005, 0.04"); - index_2("0.052275, 0.2091, 1.6728"); - } - - lu_table_template(CONSTRAINT_TABLE){ - variable_1 : related_pin_transition; - variable_2 : constrained_pin_transition; - index_1("0.00125, 0.005, 0.04"); - index_2("0.00125, 0.005, 0.04"); - } - - default_operating_conditions : TT; - - - type (DATA){ - base_type : array; - data_type : bit; - bit_width : 2; - bit_from : 0; - bit_to : 1; - } - - type (ADDR){ - base_type : array; - data_type : bit; - bit_width : 7; - bit_from : 0; - bit_to : 6; - } - -cell (sram_2_16_1_freepdk45){ - memory(){ - type : ram; - address_width : 7; - word_width : 2; - } - interface_timing : true; - dont_use : true; - map_only : true; - dont_touch : true; - area : 1756.7563625; - - bus(DATA){ - bus_type : DATA; - direction : inout; - max_capacitance : 1.6728; - three_state : "!OEb & !clk"; - memory_write(){ - address : ADDR; - clocked_on : clk; - } - memory_read(){ - address : ADDR; - } - pin(DATA[1:0]){ - internal_power(){ - when : "OEb & !clk"; - rise_power(scalar){ - values("0"); - } - fall_power(scalar){ - values("0"); - } - } - timing(){ - timing_type : setup_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - } - timing(){ - timing_type : hold_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - } - internal_power(){ - when : "!OEb & !clk"; - rise_power(scalar){ - values("0"); - } - fall_power(scalar){ - values("0"); - } - } - timing(){ - timing_sense : non_unate; - related_pin : "clk"; - timing_type : falling_edge; - cell_rise(CELL_TABLE) { - values("0.167, 0.168, 0.177",\ - "0.167, 0.168, 0.177",\ - "0.167, 0.168, 0.177"); - } - cell_fall(CELL_TABLE) { - values("0.167, 0.168, 0.177",\ - "0.167, 0.168, 0.177",\ - "0.167, 0.168, 0.177"); - } - rise_transition(CELL_TABLE) { - values("0.006, 0.007, 0.018",\ - "0.006, 0.007, 0.018",\ - "0.006, 0.007, 0.018"); - } - fall_transition(CELL_TABLE) { - values("0.006, 0.007, 0.018",\ - "0.006, 0.007, 0.018",\ - "0.006, 0.007, 0.018"); - } - } - } - } - - bus(ADDR){ - bus_type : ADDR; - direction : input; - capacitance : 0.2091; - max_transition : 0.04; - fanout_load : 1.000000; - pin(ADDR[6:0]){ - timing(){ - timing_type : setup_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - } - timing(){ - timing_type : hold_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - } - } - } - - pin(CSb){ - direction : input; - capacitance : 0.2091; - timing(){ - timing_type : setup_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - } - timing(){ - timing_type : hold_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - } - } - - pin(OEb){ - direction : input; - capacitance : 0.2091; - timing(){ - timing_type : setup_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - } - timing(){ - timing_type : hold_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - } - } - - pin(WEb){ - direction : input; - capacitance : 0.2091; - timing(){ - timing_type : setup_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - } - timing(){ - timing_type : hold_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - } - } - - pin(clk){ - clock : true; - direction : input; - capacitance : 0.2091; - timing(){ - timing_type :"min_pulse_width"; - related_pin : clk; - rise_constraint(scalar) { - values("0.0"); - } - fall_constraint(scalar) { - values("0.0"); - } - } - timing(){ - timing_type :"minimum_period"; - related_pin : clk; - rise_constraint(scalar) { - values("0.0"); - } - fall_constraint(scalar) { - values("0.0"); - } - } - } - } -} diff --git a/compiler/temp/sram_2_16_1_freepdk45.sp b/compiler/temp/sram_2_16_1_freepdk45.sp deleted file mode 100644 index aeb09826..00000000 --- a/compiler/temp/sram_2_16_1_freepdk45.sp +++ /dev/null @@ -1,875 +0,0 @@ -************************************************** -* OpenRAM generated memory. -* Words: 128 -* Data bits: 2 -* Banks: 1 -* Column mux: 4:1 -************************************************** - -* ptx M{0} {1} nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p - -* ptx M{0} {1} pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p - -.SUBCKT pnand2_1 A B Z vdd gnd -Mpnand2_pmos1 vdd A Z vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpnand2_pmos2 Z B vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpnand2_nmos1 Z B net1 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p -Mpnand2_nmos2 net1 A gnd gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p -.ENDS pnand2_1 - -.SUBCKT pnand3_1 A B C Z vdd gnd -Mpnand3_pmos1 vdd A Z vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpnand3_pmos2 Z B vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpnand3_pmos3 Z C vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpnand3_nmos1 Z C net1 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p -Mpnand3_nmos2 net1 B net2 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p -Mpnand3_nmos3 net2 A gnd gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p -.ENDS pnand3_1 - -* ptx M{0} {1} nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p - -* ptx M{0} {1} pmos_vtg m=1 w=0.405u l=0.05u pd=0.91u ps=0.91u as=0.050625p ad=0.050625p - -.SUBCKT pnor2_1 A B Z vdd gnd -Mpnor2_pmos1 vdd A net1 vdd pmos_vtg m=1 w=0.405u l=0.05u pd=0.91u ps=0.91u as=0.050625p ad=0.050625p -Mpnor2_pmos2 net1 B Z vdd pmos_vtg m=1 w=0.405u l=0.05u pd=0.91u ps=0.91u as=0.050625p ad=0.050625p -Mpnor2_nmos1 Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p -Mpnor2_nmos2 Z B gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p -.ENDS pnor2_1 - -.SUBCKT pinv_1 A Z vdd gnd -Mpinv_pmos Z A vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpinv_nmos Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p -.ENDS pinv_1 - -* ptx M{0} {1} nmos_vtg m=2 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p - -* ptx M{0} {1} pmos_vtg m=2 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p - -.SUBCKT pinv_2 A Z vdd gnd -Mpinv_pmos Z A vdd vdd pmos_vtg m=2 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpinv_nmos Z A gnd gnd nmos_vtg m=2 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p -.ENDS pinv_2 - -* ptx M{0} {1} nmos_vtg m=3 w=0.12u l=0.05u pd=0.34u ps=0.34u as=0.015p ad=0.015p - -* ptx M{0} {1} pmos_vtg m=3 w=0.36u l=0.05u pd=0.82u ps=0.82u as=0.045p ad=0.045p - -.SUBCKT pinv_3 A Z vdd gnd -Mpinv_pmos Z A vdd vdd pmos_vtg m=3 w=0.36u l=0.05u pd=0.82u ps=0.82u as=0.045p ad=0.045p -Mpinv_nmos Z A gnd gnd nmos_vtg m=3 w=0.12u l=0.05u pd=0.34u ps=0.34u as=0.015p ad=0.015p -.ENDS pinv_3 - -* ptx M{0} {1} nmos_vtg m=6 w=0.12u l=0.05u pd=0.34u ps=0.34u as=0.015p ad=0.015p - -* ptx M{0} {1} pmos_vtg m=6 w=0.36u l=0.05u pd=0.82u ps=0.82u as=0.045p ad=0.045p - -.SUBCKT pinv_4 A Z vdd gnd -Mpinv_pmos Z A vdd vdd pmos_vtg m=6 w=0.36u l=0.05u pd=0.82u ps=0.82u as=0.045p ad=0.045p -Mpinv_nmos Z A gnd gnd nmos_vtg m=6 w=0.12u l=0.05u pd=0.34u ps=0.34u as=0.015p ad=0.015p -.ENDS pinv_4 - -* ptx M{0} {1} nmos_vtg m=12 w=0.12u l=0.05u pd=0.34u ps=0.34u as=0.015p ad=0.015p - -* ptx M{0} {1} pmos_vtg m=12 w=0.36u l=0.05u pd=0.82u ps=0.82u as=0.045p ad=0.045p - -.SUBCKT pinv_5 A Z vdd gnd -Mpinv_pmos Z A vdd vdd pmos_vtg m=12 w=0.36u l=0.05u pd=0.82u ps=0.82u as=0.045p ad=0.045p -Mpinv_nmos Z A gnd gnd nmos_vtg m=12 w=0.12u l=0.05u pd=0.34u ps=0.34u as=0.015p ad=0.015p -.ENDS pinv_5 -*master-slave flip-flop with both output and inverted ouput - -.SUBCKT ms_flop din dout dout_bar clk vdd gnd -xmaster din mout mout_bar clk clk_bar vdd gnd dlatch -xslave mout_bar dout_bar dout clk_bar clk_nn vdd gnd dlatch -.ENDS flop - -.SUBCKT dlatch din dout dout_bar clk clk_bar vdd gnd -*clk inverter -mPff1 clk_bar clk vdd vdd PMOS_VTG W=180.0n L=50n m=1 -mNff1 clk_bar clk gnd gnd NMOS_VTG W=90n L=50n m=1 - -*transmission gate 1 -mtmP1 din clk int1 vdd PMOS_VTG W=180.0n L=50n m=1 -mtmN1 din clk_bar int1 gnd NMOS_VTG W=90n L=50n m=1 - -*foward inverter -mPff3 dout_bar int1 vdd vdd PMOS_VTG W=180.0n L=50n m=1 -mNff3 dout_bar int1 gnd gnd NMOS_VTG W=90n L=50n m=1 - -*backward inverter -mPff4 dout dout_bar vdd vdd PMOS_VTG W=180.0n L=50n m=1 -mNf4 dout dout_bar gnd gnd NMOS_VTG W=90n L=50n m=1 - -*transmission gate 2 -mtmP2 int1 clk_bar dout vdd PMOS_VTG W=180.0n L=50n m=1 -mtmN2 int1 clk dout gnd NMOS_VTG W=90n L=50n m=1 -.ENDS dlatch - - -.SUBCKT msf_control din[0] din[1] din[2] dout[0] dout_bar[0] dout[1] dout_bar[1] dout[2] dout_bar[2] clk vdd gnd -XXdff0 din[0] dout[0] dout_bar[0] clk vdd gnd ms_flop -XXdff1 din[1] dout[1] dout_bar[1] clk vdd gnd ms_flop -XXdff2 din[2] dout[2] dout_bar[2] clk vdd gnd ms_flop -.ENDS msf_control - -.SUBCKT replica_cell_6t bl br wl vdd gnd -MM3 bl wl gnd gnd NMOS_VTG W=135.00n L=50n -MM2 br wl net4 gnd NMOS_VTG W=135.00n L=50n -MM1 gnd net4 gnd gnd NMOS_VTG W=205.00n L=50n -MM0 net4 gnd gnd gnd NMOS_VTG W=205.00n L=50n -MM5 gnd net4 vdd vdd PMOS_VTG W=90n L=50n -MM4 net4 gnd vdd vdd PMOS_VTG W=90n L=50n -.ENDS replica_cell_6t - - -.SUBCKT cell_6t bl br wl vdd gnd -MM3 bl wl net10 gnd NMOS_VTG W=135.00n L=50n -MM2 br wl net4 gnd NMOS_VTG W=135.00n L=50n -MM1 net10 net4 gnd gnd NMOS_VTG W=205.00n L=50n -MM0 net4 net10 gnd gnd NMOS_VTG W=205.00n L=50n -MM5 net10 net4 vdd vdd PMOS_VTG W=90n L=50n -MM4 net4 net10 vdd vdd PMOS_VTG W=90n L=50n -.ENDS cell_6t - - -.SUBCKT bitline_load bl[0] br[0] wl[0] wl[1] wl[2] wl[3] vdd gnd -Xbit_r0_c0 bl[0] br[0] wl[0] vdd gnd cell_6t -Xbit_r1_c0 bl[0] br[0] wl[1] vdd gnd cell_6t -Xbit_r2_c0 bl[0] br[0] wl[2] vdd gnd cell_6t -Xbit_r3_c0 bl[0] br[0] wl[3] vdd gnd cell_6t -.ENDS bitline_load - -.SUBCKT pinv_6 A Z vdd gnd -Mpinv_pmos Z A vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpinv_nmos Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p -.ENDS pinv_6 - -.SUBCKT delay_chain in out vdd gnd -Xdinv0 in s1 vdd gnd pinv_6 -Xdinv1 s1 s2n1 vdd gnd pinv_6 -Xdinv2 s1 s2n2 vdd gnd pinv_6 -Xdinv3 s1 s2n3 vdd gnd pinv_6 -Xdinv4 s1 s2 vdd gnd pinv_6 -Xdinv5 s2 s3n1 vdd gnd pinv_6 -Xdinv6 s2 s3n2 vdd gnd pinv_6 -Xdinv7 s2 s3n3 vdd gnd pinv_6 -Xdinv8 s2 s3 vdd gnd pinv_6 -Xdinv9 s3 s4n1 vdd gnd pinv_6 -Xdinv10 s3 s4n2 vdd gnd pinv_6 -Xdinv11 s3 s4n3 vdd gnd pinv_6 -Xdinv12 s3 s4 vdd gnd pinv_6 -Xdinv13 s4 s5n1 vdd gnd pinv_6 -Xdinv14 s4 s5n2 vdd gnd pinv_6 -Xdinv15 s4 s5n3 vdd gnd pinv_6 -Xdinv16 s4 s5 vdd gnd pinv_6 -Xdinv17 s5 s6n1 vdd gnd pinv_6 -Xdinv18 s5 s6n2 vdd gnd pinv_6 -Xdinv19 s5 s6n3 vdd gnd pinv_6 -Xdinv20 s5 s6 vdd gnd pinv_6 -Xdinv21 s6 s7n1 vdd gnd pinv_6 -Xdinv22 s6 s7n2 vdd gnd pinv_6 -Xdinv23 s6 s7n3 vdd gnd pinv_6 -Xdinv24 s6 s7 vdd gnd pinv_6 -Xdinv25 s7 s8n1 vdd gnd pinv_6 -Xdinv26 s7 s8n2 vdd gnd pinv_6 -Xdinv27 s7 s8n3 vdd gnd pinv_6 -Xdinv28 s7 s8 vdd gnd pinv_6 -Xdinv29 s8 s9n1 vdd gnd pinv_6 -Xdinv30 s8 s9n2 vdd gnd pinv_6 -Xdinv31 s8 s9n3 vdd gnd pinv_6 -Xdinv32 s8 out vdd gnd pinv_6 -.ENDS delay_chain - -.SUBCKT pinv_7 A Z vdd gnd -Mpinv_pmos Z A vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpinv_nmos Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p -.ENDS pinv_7 - -* ptx M{0} {1} pmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p - -.SUBCKT replica_bitline en out vdd gnd -Xrbl_inv bl[0] out vdd gnd pinv_7 -Mrbl_access_tx vdd delayed_en bl[0] vdd pmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p -Xdelay_chain en delayed_en vdd gnd delay_chain -Xbitcell bl[0] br[0] delayed_en vdd gnd replica_cell_6t -Xload bl[0] br[0] gnd gnd gnd gnd vdd gnd bitline_load -.ENDS replica_bitline - -.SUBCKT control_logic csb web oeb clk s_en w_en tri_en tri_en_bar clk_bar clk_buf vdd gnd -Xmsf_control oeb csb web oe_bar oe cs_bar cs we_bar we clk_buf vdd gnd msf_control -Xinv_clk1_bar clk clk1_bar vdd gnd pinv_2 -Xinv_clk2 clk1_bar clk2 vdd gnd pinv_3 -Xinv_clk_bar clk2 clk_bar vdd gnd pinv_4 -Xinv_clk_buf clk_bar clk_buf vdd gnd pinv_5 -Xnand3_rblk_bar clk_bar oe cs rblk_bar vdd gnd pnand3_1 -Xinv_rblk rblk_bar rblk vdd gnd pinv_1 -Xnor2_tri_en clk_buf oe_bar tri_en vdd gnd pnor2_1 -Xnand2_tri_en clk_bar oe tri_en_bar vdd gnd pnand2_1 -Xinv_s_en pre_s_en_bar s_en vdd gnd pinv_1 -Xinv_pre_s_en_bar pre_s_en pre_s_en_bar vdd gnd pinv_1 -Xnand3_w_en_bar clk_bar cs we w_en_bar vdd gnd pnand3_1 -Xinv_pre_w_en w_en_bar pre_w_en vdd gnd pinv_1 -Xinv_pre_w_en_bar pre_w_en pre_w_en_bar vdd gnd pinv_1 -Xinv_w_en2 pre_w_en_bar w_en vdd gnd pinv_1 -Xreplica_bitline rblk pre_s_en vdd gnd replica_bitline -.ENDS control_logic - -.SUBCKT bitcell_array bl[0] br[0] bl[1] br[1] bl[2] br[2] bl[3] br[3] bl[4] br[4] bl[5] br[5] bl[6] br[6] bl[7] br[7] wl[0] wl[1] wl[2] wl[3] wl[4] wl[5] wl[6] wl[7] wl[8] wl[9] wl[10] wl[11] wl[12] wl[13] wl[14] wl[15] wl[16] wl[17] wl[18] wl[19] wl[20] wl[21] wl[22] wl[23] wl[24] wl[25] wl[26] wl[27] wl[28] wl[29] wl[30] wl[31] vdd gnd -Xbit_r0_c0 bl[0] br[0] wl[0] vdd gnd cell_6t -Xbit_r1_c0 bl[0] br[0] wl[1] vdd gnd cell_6t -Xbit_r2_c0 bl[0] br[0] wl[2] vdd gnd cell_6t -Xbit_r3_c0 bl[0] br[0] wl[3] vdd gnd cell_6t -Xbit_r4_c0 bl[0] br[0] wl[4] vdd gnd cell_6t -Xbit_r5_c0 bl[0] br[0] wl[5] vdd gnd cell_6t -Xbit_r6_c0 bl[0] br[0] wl[6] vdd gnd cell_6t -Xbit_r7_c0 bl[0] br[0] wl[7] vdd gnd cell_6t -Xbit_r8_c0 bl[0] br[0] wl[8] vdd gnd cell_6t -Xbit_r9_c0 bl[0] br[0] wl[9] vdd gnd cell_6t -Xbit_r10_c0 bl[0] br[0] wl[10] vdd gnd cell_6t -Xbit_r11_c0 bl[0] br[0] wl[11] vdd gnd cell_6t -Xbit_r12_c0 bl[0] br[0] wl[12] vdd gnd cell_6t -Xbit_r13_c0 bl[0] br[0] wl[13] vdd gnd cell_6t -Xbit_r14_c0 bl[0] br[0] wl[14] vdd gnd cell_6t -Xbit_r15_c0 bl[0] br[0] wl[15] vdd gnd cell_6t -Xbit_r16_c0 bl[0] br[0] wl[16] vdd gnd cell_6t -Xbit_r17_c0 bl[0] br[0] wl[17] vdd gnd cell_6t -Xbit_r18_c0 bl[0] br[0] wl[18] vdd gnd cell_6t -Xbit_r19_c0 bl[0] br[0] wl[19] vdd gnd cell_6t -Xbit_r20_c0 bl[0] br[0] wl[20] vdd gnd cell_6t -Xbit_r21_c0 bl[0] br[0] wl[21] vdd gnd cell_6t -Xbit_r22_c0 bl[0] br[0] wl[22] vdd gnd cell_6t -Xbit_r23_c0 bl[0] br[0] wl[23] vdd gnd cell_6t -Xbit_r24_c0 bl[0] br[0] wl[24] vdd gnd cell_6t -Xbit_r25_c0 bl[0] br[0] wl[25] vdd gnd cell_6t -Xbit_r26_c0 bl[0] br[0] wl[26] vdd gnd cell_6t -Xbit_r27_c0 bl[0] br[0] wl[27] vdd gnd cell_6t -Xbit_r28_c0 bl[0] br[0] wl[28] vdd gnd cell_6t -Xbit_r29_c0 bl[0] br[0] wl[29] vdd gnd cell_6t -Xbit_r30_c0 bl[0] br[0] wl[30] vdd gnd cell_6t -Xbit_r31_c0 bl[0] br[0] wl[31] vdd gnd cell_6t -Xbit_r0_c1 bl[1] br[1] wl[0] vdd gnd cell_6t -Xbit_r1_c1 bl[1] br[1] wl[1] vdd gnd cell_6t -Xbit_r2_c1 bl[1] br[1] wl[2] vdd gnd cell_6t -Xbit_r3_c1 bl[1] br[1] wl[3] vdd gnd cell_6t -Xbit_r4_c1 bl[1] br[1] wl[4] vdd gnd cell_6t -Xbit_r5_c1 bl[1] br[1] wl[5] vdd gnd cell_6t -Xbit_r6_c1 bl[1] br[1] wl[6] vdd gnd cell_6t -Xbit_r7_c1 bl[1] br[1] wl[7] vdd gnd cell_6t -Xbit_r8_c1 bl[1] br[1] wl[8] vdd gnd cell_6t -Xbit_r9_c1 bl[1] br[1] wl[9] vdd gnd cell_6t -Xbit_r10_c1 bl[1] br[1] wl[10] vdd gnd cell_6t -Xbit_r11_c1 bl[1] br[1] wl[11] vdd gnd cell_6t -Xbit_r12_c1 bl[1] br[1] wl[12] vdd gnd cell_6t -Xbit_r13_c1 bl[1] br[1] wl[13] vdd gnd cell_6t -Xbit_r14_c1 bl[1] br[1] wl[14] vdd gnd cell_6t -Xbit_r15_c1 bl[1] br[1] wl[15] vdd gnd cell_6t -Xbit_r16_c1 bl[1] br[1] wl[16] vdd gnd cell_6t -Xbit_r17_c1 bl[1] br[1] wl[17] vdd gnd cell_6t -Xbit_r18_c1 bl[1] br[1] wl[18] vdd gnd cell_6t -Xbit_r19_c1 bl[1] br[1] wl[19] vdd gnd cell_6t -Xbit_r20_c1 bl[1] br[1] wl[20] vdd gnd cell_6t -Xbit_r21_c1 bl[1] br[1] wl[21] vdd gnd cell_6t -Xbit_r22_c1 bl[1] br[1] wl[22] vdd gnd cell_6t -Xbit_r23_c1 bl[1] br[1] wl[23] vdd gnd cell_6t -Xbit_r24_c1 bl[1] br[1] wl[24] vdd gnd cell_6t -Xbit_r25_c1 bl[1] br[1] wl[25] vdd gnd cell_6t -Xbit_r26_c1 bl[1] br[1] wl[26] vdd gnd cell_6t -Xbit_r27_c1 bl[1] br[1] wl[27] vdd gnd cell_6t -Xbit_r28_c1 bl[1] br[1] wl[28] vdd gnd cell_6t -Xbit_r29_c1 bl[1] br[1] wl[29] vdd gnd cell_6t -Xbit_r30_c1 bl[1] br[1] wl[30] vdd gnd cell_6t -Xbit_r31_c1 bl[1] br[1] wl[31] vdd gnd cell_6t -Xbit_r0_c2 bl[2] br[2] wl[0] vdd gnd cell_6t -Xbit_r1_c2 bl[2] br[2] wl[1] vdd gnd cell_6t -Xbit_r2_c2 bl[2] br[2] wl[2] vdd gnd cell_6t -Xbit_r3_c2 bl[2] br[2] wl[3] vdd gnd cell_6t -Xbit_r4_c2 bl[2] br[2] wl[4] vdd gnd cell_6t -Xbit_r5_c2 bl[2] br[2] wl[5] vdd gnd cell_6t -Xbit_r6_c2 bl[2] br[2] wl[6] vdd gnd cell_6t -Xbit_r7_c2 bl[2] br[2] wl[7] vdd gnd cell_6t -Xbit_r8_c2 bl[2] br[2] wl[8] vdd gnd cell_6t -Xbit_r9_c2 bl[2] br[2] wl[9] vdd gnd cell_6t -Xbit_r10_c2 bl[2] br[2] wl[10] vdd gnd cell_6t -Xbit_r11_c2 bl[2] br[2] wl[11] vdd gnd cell_6t -Xbit_r12_c2 bl[2] br[2] wl[12] vdd gnd cell_6t -Xbit_r13_c2 bl[2] br[2] wl[13] vdd gnd cell_6t -Xbit_r14_c2 bl[2] br[2] wl[14] vdd gnd cell_6t -Xbit_r15_c2 bl[2] br[2] wl[15] vdd gnd cell_6t -Xbit_r16_c2 bl[2] br[2] wl[16] vdd gnd cell_6t -Xbit_r17_c2 bl[2] br[2] wl[17] vdd gnd cell_6t -Xbit_r18_c2 bl[2] br[2] wl[18] vdd gnd cell_6t -Xbit_r19_c2 bl[2] br[2] wl[19] vdd gnd cell_6t -Xbit_r20_c2 bl[2] br[2] wl[20] vdd gnd cell_6t -Xbit_r21_c2 bl[2] br[2] wl[21] vdd gnd cell_6t -Xbit_r22_c2 bl[2] br[2] wl[22] vdd gnd cell_6t -Xbit_r23_c2 bl[2] br[2] wl[23] vdd gnd cell_6t -Xbit_r24_c2 bl[2] br[2] wl[24] vdd gnd cell_6t -Xbit_r25_c2 bl[2] br[2] wl[25] vdd gnd cell_6t -Xbit_r26_c2 bl[2] br[2] wl[26] vdd gnd cell_6t -Xbit_r27_c2 bl[2] br[2] wl[27] vdd gnd cell_6t -Xbit_r28_c2 bl[2] br[2] wl[28] vdd gnd cell_6t -Xbit_r29_c2 bl[2] br[2] wl[29] vdd gnd cell_6t -Xbit_r30_c2 bl[2] br[2] wl[30] vdd gnd cell_6t -Xbit_r31_c2 bl[2] br[2] wl[31] vdd gnd cell_6t -Xbit_r0_c3 bl[3] br[3] wl[0] vdd gnd cell_6t -Xbit_r1_c3 bl[3] br[3] wl[1] vdd gnd cell_6t -Xbit_r2_c3 bl[3] br[3] wl[2] vdd gnd cell_6t -Xbit_r3_c3 bl[3] br[3] wl[3] vdd gnd cell_6t -Xbit_r4_c3 bl[3] br[3] wl[4] vdd gnd cell_6t -Xbit_r5_c3 bl[3] br[3] wl[5] vdd gnd cell_6t -Xbit_r6_c3 bl[3] br[3] wl[6] vdd gnd cell_6t -Xbit_r7_c3 bl[3] br[3] wl[7] vdd gnd cell_6t -Xbit_r8_c3 bl[3] br[3] wl[8] vdd gnd cell_6t -Xbit_r9_c3 bl[3] br[3] wl[9] vdd gnd cell_6t -Xbit_r10_c3 bl[3] br[3] wl[10] vdd gnd cell_6t -Xbit_r11_c3 bl[3] br[3] wl[11] vdd gnd cell_6t -Xbit_r12_c3 bl[3] br[3] wl[12] vdd gnd cell_6t -Xbit_r13_c3 bl[3] br[3] wl[13] vdd gnd cell_6t -Xbit_r14_c3 bl[3] br[3] wl[14] vdd gnd cell_6t -Xbit_r15_c3 bl[3] br[3] wl[15] vdd gnd cell_6t -Xbit_r16_c3 bl[3] br[3] wl[16] vdd gnd cell_6t -Xbit_r17_c3 bl[3] br[3] wl[17] vdd gnd cell_6t -Xbit_r18_c3 bl[3] br[3] wl[18] vdd gnd cell_6t -Xbit_r19_c3 bl[3] br[3] wl[19] vdd gnd cell_6t -Xbit_r20_c3 bl[3] br[3] wl[20] vdd gnd cell_6t -Xbit_r21_c3 bl[3] br[3] wl[21] vdd gnd cell_6t -Xbit_r22_c3 bl[3] br[3] wl[22] vdd gnd cell_6t -Xbit_r23_c3 bl[3] br[3] wl[23] vdd gnd cell_6t -Xbit_r24_c3 bl[3] br[3] wl[24] vdd gnd cell_6t -Xbit_r25_c3 bl[3] br[3] wl[25] vdd gnd cell_6t -Xbit_r26_c3 bl[3] br[3] wl[26] vdd gnd cell_6t -Xbit_r27_c3 bl[3] br[3] wl[27] vdd gnd cell_6t -Xbit_r28_c3 bl[3] br[3] wl[28] vdd gnd cell_6t -Xbit_r29_c3 bl[3] br[3] wl[29] vdd gnd cell_6t -Xbit_r30_c3 bl[3] br[3] wl[30] vdd gnd cell_6t -Xbit_r31_c3 bl[3] br[3] wl[31] vdd gnd cell_6t -Xbit_r0_c4 bl[4] br[4] wl[0] vdd gnd cell_6t -Xbit_r1_c4 bl[4] br[4] wl[1] vdd gnd cell_6t -Xbit_r2_c4 bl[4] br[4] wl[2] vdd gnd cell_6t -Xbit_r3_c4 bl[4] br[4] wl[3] vdd gnd cell_6t -Xbit_r4_c4 bl[4] br[4] wl[4] vdd gnd cell_6t -Xbit_r5_c4 bl[4] br[4] wl[5] vdd gnd cell_6t -Xbit_r6_c4 bl[4] br[4] wl[6] vdd gnd cell_6t -Xbit_r7_c4 bl[4] br[4] wl[7] vdd gnd cell_6t -Xbit_r8_c4 bl[4] br[4] wl[8] vdd gnd cell_6t -Xbit_r9_c4 bl[4] br[4] wl[9] vdd gnd cell_6t -Xbit_r10_c4 bl[4] br[4] wl[10] vdd gnd cell_6t -Xbit_r11_c4 bl[4] br[4] wl[11] vdd gnd cell_6t -Xbit_r12_c4 bl[4] br[4] wl[12] vdd gnd cell_6t -Xbit_r13_c4 bl[4] br[4] wl[13] vdd gnd cell_6t -Xbit_r14_c4 bl[4] br[4] wl[14] vdd gnd cell_6t -Xbit_r15_c4 bl[4] br[4] wl[15] vdd gnd cell_6t -Xbit_r16_c4 bl[4] br[4] wl[16] vdd gnd cell_6t -Xbit_r17_c4 bl[4] br[4] wl[17] vdd gnd cell_6t -Xbit_r18_c4 bl[4] br[4] wl[18] vdd gnd cell_6t -Xbit_r19_c4 bl[4] br[4] wl[19] vdd gnd cell_6t -Xbit_r20_c4 bl[4] br[4] wl[20] vdd gnd cell_6t -Xbit_r21_c4 bl[4] br[4] wl[21] vdd gnd cell_6t -Xbit_r22_c4 bl[4] br[4] wl[22] vdd gnd cell_6t -Xbit_r23_c4 bl[4] br[4] wl[23] vdd gnd cell_6t -Xbit_r24_c4 bl[4] br[4] wl[24] vdd gnd cell_6t -Xbit_r25_c4 bl[4] br[4] wl[25] vdd gnd cell_6t -Xbit_r26_c4 bl[4] br[4] wl[26] vdd gnd cell_6t -Xbit_r27_c4 bl[4] br[4] wl[27] vdd gnd cell_6t -Xbit_r28_c4 bl[4] br[4] wl[28] vdd gnd cell_6t -Xbit_r29_c4 bl[4] br[4] wl[29] vdd gnd cell_6t -Xbit_r30_c4 bl[4] br[4] wl[30] vdd gnd cell_6t -Xbit_r31_c4 bl[4] br[4] wl[31] vdd gnd cell_6t -Xbit_r0_c5 bl[5] br[5] wl[0] vdd gnd cell_6t -Xbit_r1_c5 bl[5] br[5] wl[1] vdd gnd cell_6t -Xbit_r2_c5 bl[5] br[5] wl[2] vdd gnd cell_6t -Xbit_r3_c5 bl[5] br[5] wl[3] vdd gnd cell_6t -Xbit_r4_c5 bl[5] br[5] wl[4] vdd gnd cell_6t -Xbit_r5_c5 bl[5] br[5] wl[5] vdd gnd cell_6t -Xbit_r6_c5 bl[5] br[5] wl[6] vdd gnd cell_6t -Xbit_r7_c5 bl[5] br[5] wl[7] vdd gnd cell_6t -Xbit_r8_c5 bl[5] br[5] wl[8] vdd gnd cell_6t -Xbit_r9_c5 bl[5] br[5] wl[9] vdd gnd cell_6t -Xbit_r10_c5 bl[5] br[5] wl[10] vdd gnd cell_6t -Xbit_r11_c5 bl[5] br[5] wl[11] vdd gnd cell_6t -Xbit_r12_c5 bl[5] br[5] wl[12] vdd gnd cell_6t -Xbit_r13_c5 bl[5] br[5] wl[13] vdd gnd cell_6t -Xbit_r14_c5 bl[5] br[5] wl[14] vdd gnd cell_6t -Xbit_r15_c5 bl[5] br[5] wl[15] vdd gnd cell_6t -Xbit_r16_c5 bl[5] br[5] wl[16] vdd gnd cell_6t -Xbit_r17_c5 bl[5] br[5] wl[17] vdd gnd cell_6t -Xbit_r18_c5 bl[5] br[5] wl[18] vdd gnd cell_6t -Xbit_r19_c5 bl[5] br[5] wl[19] vdd gnd cell_6t -Xbit_r20_c5 bl[5] br[5] wl[20] vdd gnd cell_6t -Xbit_r21_c5 bl[5] br[5] wl[21] vdd gnd cell_6t -Xbit_r22_c5 bl[5] br[5] wl[22] vdd gnd cell_6t -Xbit_r23_c5 bl[5] br[5] wl[23] vdd gnd cell_6t -Xbit_r24_c5 bl[5] br[5] wl[24] vdd gnd cell_6t -Xbit_r25_c5 bl[5] br[5] wl[25] vdd gnd cell_6t -Xbit_r26_c5 bl[5] br[5] wl[26] vdd gnd cell_6t -Xbit_r27_c5 bl[5] br[5] wl[27] vdd gnd cell_6t -Xbit_r28_c5 bl[5] br[5] wl[28] vdd gnd cell_6t -Xbit_r29_c5 bl[5] br[5] wl[29] vdd gnd cell_6t -Xbit_r30_c5 bl[5] br[5] wl[30] vdd gnd cell_6t -Xbit_r31_c5 bl[5] br[5] wl[31] vdd gnd cell_6t -Xbit_r0_c6 bl[6] br[6] wl[0] vdd gnd cell_6t -Xbit_r1_c6 bl[6] br[6] wl[1] vdd gnd cell_6t -Xbit_r2_c6 bl[6] br[6] wl[2] vdd gnd cell_6t -Xbit_r3_c6 bl[6] br[6] wl[3] vdd gnd cell_6t -Xbit_r4_c6 bl[6] br[6] wl[4] vdd gnd cell_6t -Xbit_r5_c6 bl[6] br[6] wl[5] vdd gnd cell_6t -Xbit_r6_c6 bl[6] br[6] wl[6] vdd gnd cell_6t -Xbit_r7_c6 bl[6] br[6] wl[7] vdd gnd cell_6t -Xbit_r8_c6 bl[6] br[6] wl[8] vdd gnd cell_6t -Xbit_r9_c6 bl[6] br[6] wl[9] vdd gnd cell_6t -Xbit_r10_c6 bl[6] br[6] wl[10] vdd gnd cell_6t -Xbit_r11_c6 bl[6] br[6] wl[11] vdd gnd cell_6t -Xbit_r12_c6 bl[6] br[6] wl[12] vdd gnd cell_6t -Xbit_r13_c6 bl[6] br[6] wl[13] vdd gnd cell_6t -Xbit_r14_c6 bl[6] br[6] wl[14] vdd gnd cell_6t -Xbit_r15_c6 bl[6] br[6] wl[15] vdd gnd cell_6t -Xbit_r16_c6 bl[6] br[6] wl[16] vdd gnd cell_6t -Xbit_r17_c6 bl[6] br[6] wl[17] vdd gnd cell_6t -Xbit_r18_c6 bl[6] br[6] wl[18] vdd gnd cell_6t -Xbit_r19_c6 bl[6] br[6] wl[19] vdd gnd cell_6t -Xbit_r20_c6 bl[6] br[6] wl[20] vdd gnd cell_6t -Xbit_r21_c6 bl[6] br[6] wl[21] vdd gnd cell_6t -Xbit_r22_c6 bl[6] br[6] wl[22] vdd gnd cell_6t -Xbit_r23_c6 bl[6] br[6] wl[23] vdd gnd cell_6t -Xbit_r24_c6 bl[6] br[6] wl[24] vdd gnd cell_6t -Xbit_r25_c6 bl[6] br[6] wl[25] vdd gnd cell_6t -Xbit_r26_c6 bl[6] br[6] wl[26] vdd gnd cell_6t -Xbit_r27_c6 bl[6] br[6] wl[27] vdd gnd cell_6t -Xbit_r28_c6 bl[6] br[6] wl[28] vdd gnd cell_6t -Xbit_r29_c6 bl[6] br[6] wl[29] vdd gnd cell_6t -Xbit_r30_c6 bl[6] br[6] wl[30] vdd gnd cell_6t -Xbit_r31_c6 bl[6] br[6] wl[31] vdd gnd cell_6t -Xbit_r0_c7 bl[7] br[7] wl[0] vdd gnd cell_6t -Xbit_r1_c7 bl[7] br[7] wl[1] vdd gnd cell_6t -Xbit_r2_c7 bl[7] br[7] wl[2] vdd gnd cell_6t -Xbit_r3_c7 bl[7] br[7] wl[3] vdd gnd cell_6t -Xbit_r4_c7 bl[7] br[7] wl[4] vdd gnd cell_6t -Xbit_r5_c7 bl[7] br[7] wl[5] vdd gnd cell_6t -Xbit_r6_c7 bl[7] br[7] wl[6] vdd gnd cell_6t -Xbit_r7_c7 bl[7] br[7] wl[7] vdd gnd cell_6t -Xbit_r8_c7 bl[7] br[7] wl[8] vdd gnd cell_6t -Xbit_r9_c7 bl[7] br[7] wl[9] vdd gnd cell_6t -Xbit_r10_c7 bl[7] br[7] wl[10] vdd gnd cell_6t -Xbit_r11_c7 bl[7] br[7] wl[11] vdd gnd cell_6t -Xbit_r12_c7 bl[7] br[7] wl[12] vdd gnd cell_6t -Xbit_r13_c7 bl[7] br[7] wl[13] vdd gnd cell_6t -Xbit_r14_c7 bl[7] br[7] wl[14] vdd gnd cell_6t -Xbit_r15_c7 bl[7] br[7] wl[15] vdd gnd cell_6t -Xbit_r16_c7 bl[7] br[7] wl[16] vdd gnd cell_6t -Xbit_r17_c7 bl[7] br[7] wl[17] vdd gnd cell_6t -Xbit_r18_c7 bl[7] br[7] wl[18] vdd gnd cell_6t -Xbit_r19_c7 bl[7] br[7] wl[19] vdd gnd cell_6t -Xbit_r20_c7 bl[7] br[7] wl[20] vdd gnd cell_6t -Xbit_r21_c7 bl[7] br[7] wl[21] vdd gnd cell_6t -Xbit_r22_c7 bl[7] br[7] wl[22] vdd gnd cell_6t -Xbit_r23_c7 bl[7] br[7] wl[23] vdd gnd cell_6t -Xbit_r24_c7 bl[7] br[7] wl[24] vdd gnd cell_6t -Xbit_r25_c7 bl[7] br[7] wl[25] vdd gnd cell_6t -Xbit_r26_c7 bl[7] br[7] wl[26] vdd gnd cell_6t -Xbit_r27_c7 bl[7] br[7] wl[27] vdd gnd cell_6t -Xbit_r28_c7 bl[7] br[7] wl[28] vdd gnd cell_6t -Xbit_r29_c7 bl[7] br[7] wl[29] vdd gnd cell_6t -Xbit_r30_c7 bl[7] br[7] wl[30] vdd gnd cell_6t -Xbit_r31_c7 bl[7] br[7] wl[31] vdd gnd cell_6t -.ENDS bitcell_array - -* ptx M{0} {1} pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p - -.SUBCKT precharge bl br en vdd -Mlower_pmos bl en BR vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mupper_pmos1 bl en vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mupper_pmos2 br en vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -.ENDS precharge - -.SUBCKT precharge_array bl[0] br[0] bl[1] br[1] bl[2] br[2] bl[3] br[3] bl[4] br[4] bl[5] br[5] bl[6] br[6] bl[7] br[7] en vdd -Xpre_column_0 bl[0] br[0] en vdd precharge -Xpre_column_1 bl[1] br[1] en vdd precharge -Xpre_column_2 bl[2] br[2] en vdd precharge -Xpre_column_3 bl[3] br[3] en vdd precharge -Xpre_column_4 bl[4] br[4] en vdd precharge -Xpre_column_5 bl[5] br[5] en vdd precharge -Xpre_column_6 bl[6] br[6] en vdd precharge -Xpre_column_7 bl[7] br[7] en vdd precharge -.ENDS precharge_array - -* ptx M{0} {1} nmos_vtg m=1 w=0.72u l=0.05u pd=1.54u ps=1.54u as=0.09p ad=0.09p - -.SUBCKT single_level_column_mux_8 bl br bl_out br_out sel gnd -Mmux_tx1 bl sel bl_out gnd nmos_vtg m=1 w=0.72u l=0.05u pd=1.54u ps=1.54u as=0.09p ad=0.09p -Mmux_tx2 br sel br_out gnd nmos_vtg m=1 w=0.72u l=0.05u pd=1.54u ps=1.54u as=0.09p ad=0.09p -.ENDS single_level_column_mux_8 - -.SUBCKT columnmux_array bl[0] br[0] bl[1] br[1] bl[2] br[2] bl[3] br[3] bl[4] br[4] bl[5] br[5] bl[6] br[6] bl[7] br[7] sel[0] sel[1] sel[2] sel[3] bl_out[0] br_out[0] bl_out[1] br_out[1] gnd -XXMUX0 bl[0] br[0] bl_out[0] br_out[0] sel[0] gnd single_level_column_mux_8 -XXMUX1 bl[1] br[1] bl_out[0] br_out[0] sel[1] gnd single_level_column_mux_8 -XXMUX2 bl[2] br[2] bl_out[0] br_out[0] sel[2] gnd single_level_column_mux_8 -XXMUX3 bl[3] br[3] bl_out[0] br_out[0] sel[3] gnd single_level_column_mux_8 -XXMUX4 bl[4] br[4] bl_out[1] br_out[1] sel[0] gnd single_level_column_mux_8 -XXMUX5 bl[5] br[5] bl_out[1] br_out[1] sel[1] gnd single_level_column_mux_8 -XXMUX6 bl[6] br[6] bl_out[1] br_out[1] sel[2] gnd single_level_column_mux_8 -XXMUX7 bl[7] br[7] bl_out[1] br_out[1] sel[3] gnd single_level_column_mux_8 -.ENDS columnmux_array - -.SUBCKT sense_amp bl br dout en vdd gnd -M_1 dout net_1 vdd vdd pmos_vtg w=540.0n l=50.0n -M_3 net_1 dout vdd vdd pmos_vtg w=540.0n l=50.0n -M_2 dout net_1 net_2 gnd nmos_vtg w=270.0n l=50.0n -M_8 net_1 dout net_2 gnd nmos_vtg w=270.0n l=50.0n -M_5 bl en dout vdd pmos_vtg w=720.0n l=50.0n -M_6 br en net_1 vdd pmos_vtg w=720.0n l=50.0n -M_7 net_2 en gnd gnd nmos_vtg w=270.0n l=50.0n -.ENDS sense_amp - - -.SUBCKT sense_amp_array data[0] bl[0] br[0] data[1] bl[4] br[4] en vdd gnd -Xsa_d0 bl[0] br[0] data[0] en vdd gnd sense_amp -Xsa_d4 bl[4] br[4] data[1] en vdd gnd sense_amp -.ENDS sense_amp_array - -.SUBCKT write_driver din bl br en vdd gnd -*inverters for enable and data input -minP bl_bar din vdd vdd pmos_vtg w=360.000000n l=50.000000n -minN bl_bar din gnd gnd nmos_vtg w=180.000000n l=50.000000n -moutP en_bar en vdd vdd pmos_vtg w=360.000000n l=50.000000n -moutN en_bar en gnd gnd nmos_vtg w=180.000000n l=50.000000n - -*tristate for BL -mout0P int1 bl_bar vdd vdd pmos_vtg w=360.000000n l=50.000000n -mout0P2 bl en_bar int1 vdd pmos_vtg w=360.000000n l=50.000000n -mout0N bl en int2 gnd nmos_vtg w=180.000000n l=50.000000n -mout0N2 int2 bl_bar gnd gnd nmos_vtg w=180.000000n l=50.000000n - -*tristate for BR -mout1P int3 din vdd vdd pmos_vtg w=360.000000n l=50.000000n -mout1P2 br en_bar int3 vdd pmos_vtg w=360.000000n l=50.000000n -mout1N br en int4 gnd nmos_vtg w=180.000000n l=50.000000n -mout1N2 int4 din gnd gnd nmos_vtg w=180.000000n l=50.000000n -.ENDS write_driver - - -.SUBCKT write_driver_array data[0] data[1] bl[0] br[0] bl[1] br[1] en vdd gnd -XXwrite_driver0 data[0] bl[0] br[0] en vdd gnd write_driver -XXwrite_driver4 data[1] bl[1] br[1] en vdd gnd write_driver -.ENDS write_driver_array - -.SUBCKT pinv_8 A Z vdd gnd -Mpinv_pmos Z A vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpinv_nmos Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p -.ENDS pinv_8 - -.SUBCKT pnand2_2 A B Z vdd gnd -Mpnand2_pmos1 vdd A Z vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpnand2_pmos2 Z B vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpnand2_nmos1 Z B net1 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p -Mpnand2_nmos2 net1 A gnd gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p -.ENDS pnand2_2 - -.SUBCKT pnand3_2 A B C Z vdd gnd -Mpnand3_pmos1 vdd A Z vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpnand3_pmos2 Z B vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpnand3_pmos3 Z C vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpnand3_nmos1 Z C net1 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p -Mpnand3_nmos2 net1 B net2 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p -Mpnand3_nmos3 net2 A gnd gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p -.ENDS pnand3_2 - -.SUBCKT pinv_9 A Z vdd gnd -Mpinv_pmos Z A vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpinv_nmos Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p -.ENDS pinv_9 - -.SUBCKT pnand2_3 A B Z vdd gnd -Mpnand2_pmos1 vdd A Z vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpnand2_pmos2 Z B vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpnand2_nmos1 Z B net1 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p -Mpnand2_nmos2 net1 A gnd gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p -.ENDS pnand2_3 - -.SUBCKT pre2x4 in[0] in[1] out[0] out[1] out[2] out[3] vdd gnd -XXpre_inv[0] in[0] inbar[0] vdd gnd pinv_9 -XXpre_inv[1] in[1] inbar[1] vdd gnd pinv_9 -XXpre_nand_inv[0] Z[0] out[0] vdd gnd pinv_9 -XXpre_nand_inv[1] Z[1] out[1] vdd gnd pinv_9 -XXpre_nand_inv[2] Z[2] out[2] vdd gnd pinv_9 -XXpre_nand_inv[3] Z[3] out[3] vdd gnd pinv_9 -XXpre2x4_nand[0] inbar[0] inbar[1] Z[0] vdd gnd pnand2_3 -XXpre2x4_nand[1] in[0] inbar[1] Z[1] vdd gnd pnand2_3 -XXpre2x4_nand[2] inbar[0] in[1] Z[2] vdd gnd pnand2_3 -XXpre2x4_nand[3] in[0] in[1] Z[3] vdd gnd pnand2_3 -.ENDS pre2x4 - -.SUBCKT pinv_10 A Z vdd gnd -Mpinv_pmos Z A vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpinv_nmos Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p -.ENDS pinv_10 - -.SUBCKT pnand3_3 A B C Z vdd gnd -Mpnand3_pmos1 vdd A Z vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpnand3_pmos2 Z B vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpnand3_pmos3 Z C vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpnand3_nmos1 Z C net1 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p -Mpnand3_nmos2 net1 B net2 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p -Mpnand3_nmos3 net2 A gnd gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p -.ENDS pnand3_3 - -.SUBCKT pre3x8 in[0] in[1] in[2] out[0] out[1] out[2] out[3] out[4] out[5] out[6] out[7] vdd gnd -XXpre_inv[0] in[0] inbar[0] vdd gnd pinv_10 -XXpre_inv[1] in[1] inbar[1] vdd gnd pinv_10 -XXpre_inv[2] in[2] inbar[2] vdd gnd pinv_10 -XXpre_nand_inv[0] Z[0] out[0] vdd gnd pinv_10 -XXpre_nand_inv[1] Z[1] out[1] vdd gnd pinv_10 -XXpre_nand_inv[2] Z[2] out[2] vdd gnd pinv_10 -XXpre_nand_inv[3] Z[3] out[3] vdd gnd pinv_10 -XXpre_nand_inv[4] Z[4] out[4] vdd gnd pinv_10 -XXpre_nand_inv[5] Z[5] out[5] vdd gnd pinv_10 -XXpre_nand_inv[6] Z[6] out[6] vdd gnd pinv_10 -XXpre_nand_inv[7] Z[7] out[7] vdd gnd pinv_10 -XXpre3x8_nand[0] inbar[0] inbar[1] inbar[2] Z[0] vdd gnd pnand3_3 -XXpre3x8_nand[1] in[0] inbar[1] inbar[2] Z[1] vdd gnd pnand3_3 -XXpre3x8_nand[2] inbar[0] in[1] inbar[2] Z[2] vdd gnd pnand3_3 -XXpre3x8_nand[3] in[0] in[1] inbar[2] Z[3] vdd gnd pnand3_3 -XXpre3x8_nand[4] inbar[0] inbar[1] in[2] Z[4] vdd gnd pnand3_3 -XXpre3x8_nand[5] in[0] inbar[1] in[2] Z[5] vdd gnd pnand3_3 -XXpre3x8_nand[6] inbar[0] in[1] in[2] Z[6] vdd gnd pnand3_3 -XXpre3x8_nand[7] in[0] in[1] in[2] Z[7] vdd gnd pnand3_3 -.ENDS pre3x8 - -.SUBCKT hierarchical_decoder_32rows A[0] A[1] A[2] A[3] A[4] decode[0] decode[1] decode[2] decode[3] decode[4] decode[5] decode[6] decode[7] decode[8] decode[9] decode[10] decode[11] decode[12] decode[13] decode[14] decode[15] decode[16] decode[17] decode[18] decode[19] decode[20] decode[21] decode[22] decode[23] decode[24] decode[25] decode[26] decode[27] decode[28] decode[29] decode[30] decode[31] vdd gnd -Xpre[0] A[0] A[1] out[0] out[1] out[2] out[3] vdd gnd pre2x4 -Xpre3x8[0] A[2] A[3] A[4] out[4] out[5] out[6] out[7] out[8] out[9] out[10] out[11] vdd gnd pre3x8 -XDEC_NAND[0] out[0] out[4] Z[0] vdd gnd pnand2_2 -XDEC_NAND[1] out[0] out[5] Z[1] vdd gnd pnand2_2 -XDEC_NAND[2] out[0] out[6] Z[2] vdd gnd pnand2_2 -XDEC_NAND[3] out[0] out[7] Z[3] vdd gnd pnand2_2 -XDEC_NAND[4] out[0] out[8] Z[4] vdd gnd pnand2_2 -XDEC_NAND[5] out[0] out[9] Z[5] vdd gnd pnand2_2 -XDEC_NAND[6] out[0] out[10] Z[6] vdd gnd pnand2_2 -XDEC_NAND[7] out[0] out[11] Z[7] vdd gnd pnand2_2 -XDEC_NAND[8] out[1] out[4] Z[8] vdd gnd pnand2_2 -XDEC_NAND[9] out[1] out[5] Z[9] vdd gnd pnand2_2 -XDEC_NAND[10] out[1] out[6] Z[10] vdd gnd pnand2_2 -XDEC_NAND[11] out[1] out[7] Z[11] vdd gnd pnand2_2 -XDEC_NAND[12] out[1] out[8] Z[12] vdd gnd pnand2_2 -XDEC_NAND[13] out[1] out[9] Z[13] vdd gnd pnand2_2 -XDEC_NAND[14] out[1] out[10] Z[14] vdd gnd pnand2_2 -XDEC_NAND[15] out[1] out[11] Z[15] vdd gnd pnand2_2 -XDEC_NAND[16] out[2] out[4] Z[16] vdd gnd pnand2_2 -XDEC_NAND[17] out[2] out[5] Z[17] vdd gnd pnand2_2 -XDEC_NAND[18] out[2] out[6] Z[18] vdd gnd pnand2_2 -XDEC_NAND[19] out[2] out[7] Z[19] vdd gnd pnand2_2 -XDEC_NAND[20] out[2] out[8] Z[20] vdd gnd pnand2_2 -XDEC_NAND[21] out[2] out[9] Z[21] vdd gnd pnand2_2 -XDEC_NAND[22] out[2] out[10] Z[22] vdd gnd pnand2_2 -XDEC_NAND[23] out[2] out[11] Z[23] vdd gnd pnand2_2 -XDEC_NAND[24] out[3] out[4] Z[24] vdd gnd pnand2_2 -XDEC_NAND[25] out[3] out[5] Z[25] vdd gnd pnand2_2 -XDEC_NAND[26] out[3] out[6] Z[26] vdd gnd pnand2_2 -XDEC_NAND[27] out[3] out[7] Z[27] vdd gnd pnand2_2 -XDEC_NAND[28] out[3] out[8] Z[28] vdd gnd pnand2_2 -XDEC_NAND[29] out[3] out[9] Z[29] vdd gnd pnand2_2 -XDEC_NAND[30] out[3] out[10] Z[30] vdd gnd pnand2_2 -XDEC_NAND[31] out[3] out[11] Z[31] vdd gnd pnand2_2 -XDEC_INV_[0] Z[0] decode[0] vdd gnd pinv_8 -XDEC_INV_[1] Z[1] decode[1] vdd gnd pinv_8 -XDEC_INV_[2] Z[2] decode[2] vdd gnd pinv_8 -XDEC_INV_[3] Z[3] decode[3] vdd gnd pinv_8 -XDEC_INV_[4] Z[4] decode[4] vdd gnd pinv_8 -XDEC_INV_[5] Z[5] decode[5] vdd gnd pinv_8 -XDEC_INV_[6] Z[6] decode[6] vdd gnd pinv_8 -XDEC_INV_[7] Z[7] decode[7] vdd gnd pinv_8 -XDEC_INV_[8] Z[8] decode[8] vdd gnd pinv_8 -XDEC_INV_[9] Z[9] decode[9] vdd gnd pinv_8 -XDEC_INV_[10] Z[10] decode[10] vdd gnd pinv_8 -XDEC_INV_[11] Z[11] decode[11] vdd gnd pinv_8 -XDEC_INV_[12] Z[12] decode[12] vdd gnd pinv_8 -XDEC_INV_[13] Z[13] decode[13] vdd gnd pinv_8 -XDEC_INV_[14] Z[14] decode[14] vdd gnd pinv_8 -XDEC_INV_[15] Z[15] decode[15] vdd gnd pinv_8 -XDEC_INV_[16] Z[16] decode[16] vdd gnd pinv_8 -XDEC_INV_[17] Z[17] decode[17] vdd gnd pinv_8 -XDEC_INV_[18] Z[18] decode[18] vdd gnd pinv_8 -XDEC_INV_[19] Z[19] decode[19] vdd gnd pinv_8 -XDEC_INV_[20] Z[20] decode[20] vdd gnd pinv_8 -XDEC_INV_[21] Z[21] decode[21] vdd gnd pinv_8 -XDEC_INV_[22] Z[22] decode[22] vdd gnd pinv_8 -XDEC_INV_[23] Z[23] decode[23] vdd gnd pinv_8 -XDEC_INV_[24] Z[24] decode[24] vdd gnd pinv_8 -XDEC_INV_[25] Z[25] decode[25] vdd gnd pinv_8 -XDEC_INV_[26] Z[26] decode[26] vdd gnd pinv_8 -XDEC_INV_[27] Z[27] decode[27] vdd gnd pinv_8 -XDEC_INV_[28] Z[28] decode[28] vdd gnd pinv_8 -XDEC_INV_[29] Z[29] decode[29] vdd gnd pinv_8 -XDEC_INV_[30] Z[30] decode[30] vdd gnd pinv_8 -XDEC_INV_[31] Z[31] decode[31] vdd gnd pinv_8 -.ENDS hierarchical_decoder_32rows - -.SUBCKT msf_address din[0] din[1] din[2] din[3] din[4] din[5] din[6] dout[0] dout_bar[0] dout[1] dout_bar[1] dout[2] dout_bar[2] dout[3] dout_bar[3] dout[4] dout_bar[4] dout[5] dout_bar[5] dout[6] dout_bar[6] clk vdd gnd -XXdff0 din[0] dout[0] dout_bar[0] clk vdd gnd ms_flop -XXdff1 din[1] dout[1] dout_bar[1] clk vdd gnd ms_flop -XXdff2 din[2] dout[2] dout_bar[2] clk vdd gnd ms_flop -XXdff3 din[3] dout[3] dout_bar[3] clk vdd gnd ms_flop -XXdff4 din[4] dout[4] dout_bar[4] clk vdd gnd ms_flop -XXdff5 din[5] dout[5] dout_bar[5] clk vdd gnd ms_flop -XXdff6 din[6] dout[6] dout_bar[6] clk vdd gnd ms_flop -.ENDS msf_address - -.SUBCKT msf_data_in din[0] din[1] dout[0] dout_bar[0] dout[1] dout_bar[1] clk vdd gnd -XXdff0 din[0] dout[0] dout_bar[0] clk vdd gnd ms_flop -XXdff4 din[1] dout[1] dout_bar[1] clk vdd gnd ms_flop -.ENDS msf_data_in - -.SUBCKT tri_gate in out en en_bar vdd gnd -M_1 net_2 in_inv gnd gnd NMOS_VTG W=180.000000n L=50.000000n -M_2 out en net_2 gnd NMOS_VTG W=180.000000n L=50.000000n -M_3 net_3 in_inv vdd vdd PMOS_VTG W=360.000000n L=50.000000n -M_4 out en_bar net_3 vdd PMOS_VTG W=360.000000n L=50.000000n -M_5 in_inv in vdd vdd PMOS_VTG W=180.000000n L=50.000000n -M_6 in_inv in gnd gnd NMOS_VTG W=90.000000n L=50.000000n -.ENDS - - -.SUBCKT tri_gate_array in[0] in[1] out[0] out[1] en en_bar vdd gnd -XXtri_gate0 in[0] out[0] en en_bar vdd gnd tri_gate -XXtri_gate4 in[1] out[1] en en_bar vdd gnd tri_gate -.ENDS tri_gate_array - -.SUBCKT pinv_11 A Z vdd gnd -Mpinv_pmos Z A vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpinv_nmos Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p -.ENDS pinv_11 - -.SUBCKT pinv_12 A Z vdd gnd -Mpinv_pmos Z A vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpinv_nmos Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p -.ENDS pinv_12 - -.SUBCKT pnand2_4 A B Z vdd gnd -Mpnand2_pmos1 vdd A Z vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpnand2_pmos2 Z B vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpnand2_nmos1 Z B net1 gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p -Mpnand2_nmos2 net1 A gnd gnd nmos_vtg m=1 w=0.18u l=0.05u pd=0.46u ps=0.46u as=0.0225p ad=0.0225p -.ENDS pnand2_4 - -.SUBCKT wordline_driver in[0] in[1] in[2] in[3] in[4] in[5] in[6] in[7] in[8] in[9] in[10] in[11] in[12] in[13] in[14] in[15] in[16] in[17] in[18] in[19] in[20] in[21] in[22] in[23] in[24] in[25] in[26] in[27] in[28] in[29] in[30] in[31] wl[0] wl[1] wl[2] wl[3] wl[4] wl[5] wl[6] wl[7] wl[8] wl[9] wl[10] wl[11] wl[12] wl[13] wl[14] wl[15] wl[16] wl[17] wl[18] wl[19] wl[20] wl[21] wl[22] wl[23] wl[24] wl[25] wl[26] wl[27] wl[28] wl[29] wl[30] wl[31] en vdd gnd -Xwl_driver_inv_en0 en en_bar[0] vdd gnd pinv_12 -Xwl_driver_nand0 en_bar[0] in[0] net[0] vdd gnd pnand2_4 -Xwl_driver_inv0 net[0] wl[0] vdd gnd pinv_11 -Xwl_driver_inv_en1 en en_bar[1] vdd gnd pinv_12 -Xwl_driver_nand1 en_bar[1] in[1] net[1] vdd gnd pnand2_4 -Xwl_driver_inv1 net[1] wl[1] vdd gnd pinv_11 -Xwl_driver_inv_en2 en en_bar[2] vdd gnd pinv_12 -Xwl_driver_nand2 en_bar[2] in[2] net[2] vdd gnd pnand2_4 -Xwl_driver_inv2 net[2] wl[2] vdd gnd pinv_11 -Xwl_driver_inv_en3 en en_bar[3] vdd gnd pinv_12 -Xwl_driver_nand3 en_bar[3] in[3] net[3] vdd gnd pnand2_4 -Xwl_driver_inv3 net[3] wl[3] vdd gnd pinv_11 -Xwl_driver_inv_en4 en en_bar[4] vdd gnd pinv_12 -Xwl_driver_nand4 en_bar[4] in[4] net[4] vdd gnd pnand2_4 -Xwl_driver_inv4 net[4] wl[4] vdd gnd pinv_11 -Xwl_driver_inv_en5 en en_bar[5] vdd gnd pinv_12 -Xwl_driver_nand5 en_bar[5] in[5] net[5] vdd gnd pnand2_4 -Xwl_driver_inv5 net[5] wl[5] vdd gnd pinv_11 -Xwl_driver_inv_en6 en en_bar[6] vdd gnd pinv_12 -Xwl_driver_nand6 en_bar[6] in[6] net[6] vdd gnd pnand2_4 -Xwl_driver_inv6 net[6] wl[6] vdd gnd pinv_11 -Xwl_driver_inv_en7 en en_bar[7] vdd gnd pinv_12 -Xwl_driver_nand7 en_bar[7] in[7] net[7] vdd gnd pnand2_4 -Xwl_driver_inv7 net[7] wl[7] vdd gnd pinv_11 -Xwl_driver_inv_en8 en en_bar[8] vdd gnd pinv_12 -Xwl_driver_nand8 en_bar[8] in[8] net[8] vdd gnd pnand2_4 -Xwl_driver_inv8 net[8] wl[8] vdd gnd pinv_11 -Xwl_driver_inv_en9 en en_bar[9] vdd gnd pinv_12 -Xwl_driver_nand9 en_bar[9] in[9] net[9] vdd gnd pnand2_4 -Xwl_driver_inv9 net[9] wl[9] vdd gnd pinv_11 -Xwl_driver_inv_en10 en en_bar[10] vdd gnd pinv_12 -Xwl_driver_nand10 en_bar[10] in[10] net[10] vdd gnd pnand2_4 -Xwl_driver_inv10 net[10] wl[10] vdd gnd pinv_11 -Xwl_driver_inv_en11 en en_bar[11] vdd gnd pinv_12 -Xwl_driver_nand11 en_bar[11] in[11] net[11] vdd gnd pnand2_4 -Xwl_driver_inv11 net[11] wl[11] vdd gnd pinv_11 -Xwl_driver_inv_en12 en en_bar[12] vdd gnd pinv_12 -Xwl_driver_nand12 en_bar[12] in[12] net[12] vdd gnd pnand2_4 -Xwl_driver_inv12 net[12] wl[12] vdd gnd pinv_11 -Xwl_driver_inv_en13 en en_bar[13] vdd gnd pinv_12 -Xwl_driver_nand13 en_bar[13] in[13] net[13] vdd gnd pnand2_4 -Xwl_driver_inv13 net[13] wl[13] vdd gnd pinv_11 -Xwl_driver_inv_en14 en en_bar[14] vdd gnd pinv_12 -Xwl_driver_nand14 en_bar[14] in[14] net[14] vdd gnd pnand2_4 -Xwl_driver_inv14 net[14] wl[14] vdd gnd pinv_11 -Xwl_driver_inv_en15 en en_bar[15] vdd gnd pinv_12 -Xwl_driver_nand15 en_bar[15] in[15] net[15] vdd gnd pnand2_4 -Xwl_driver_inv15 net[15] wl[15] vdd gnd pinv_11 -Xwl_driver_inv_en16 en en_bar[16] vdd gnd pinv_12 -Xwl_driver_nand16 en_bar[16] in[16] net[16] vdd gnd pnand2_4 -Xwl_driver_inv16 net[16] wl[16] vdd gnd pinv_11 -Xwl_driver_inv_en17 en en_bar[17] vdd gnd pinv_12 -Xwl_driver_nand17 en_bar[17] in[17] net[17] vdd gnd pnand2_4 -Xwl_driver_inv17 net[17] wl[17] vdd gnd pinv_11 -Xwl_driver_inv_en18 en en_bar[18] vdd gnd pinv_12 -Xwl_driver_nand18 en_bar[18] in[18] net[18] vdd gnd pnand2_4 -Xwl_driver_inv18 net[18] wl[18] vdd gnd pinv_11 -Xwl_driver_inv_en19 en en_bar[19] vdd gnd pinv_12 -Xwl_driver_nand19 en_bar[19] in[19] net[19] vdd gnd pnand2_4 -Xwl_driver_inv19 net[19] wl[19] vdd gnd pinv_11 -Xwl_driver_inv_en20 en en_bar[20] vdd gnd pinv_12 -Xwl_driver_nand20 en_bar[20] in[20] net[20] vdd gnd pnand2_4 -Xwl_driver_inv20 net[20] wl[20] vdd gnd pinv_11 -Xwl_driver_inv_en21 en en_bar[21] vdd gnd pinv_12 -Xwl_driver_nand21 en_bar[21] in[21] net[21] vdd gnd pnand2_4 -Xwl_driver_inv21 net[21] wl[21] vdd gnd pinv_11 -Xwl_driver_inv_en22 en en_bar[22] vdd gnd pinv_12 -Xwl_driver_nand22 en_bar[22] in[22] net[22] vdd gnd pnand2_4 -Xwl_driver_inv22 net[22] wl[22] vdd gnd pinv_11 -Xwl_driver_inv_en23 en en_bar[23] vdd gnd pinv_12 -Xwl_driver_nand23 en_bar[23] in[23] net[23] vdd gnd pnand2_4 -Xwl_driver_inv23 net[23] wl[23] vdd gnd pinv_11 -Xwl_driver_inv_en24 en en_bar[24] vdd gnd pinv_12 -Xwl_driver_nand24 en_bar[24] in[24] net[24] vdd gnd pnand2_4 -Xwl_driver_inv24 net[24] wl[24] vdd gnd pinv_11 -Xwl_driver_inv_en25 en en_bar[25] vdd gnd pinv_12 -Xwl_driver_nand25 en_bar[25] in[25] net[25] vdd gnd pnand2_4 -Xwl_driver_inv25 net[25] wl[25] vdd gnd pinv_11 -Xwl_driver_inv_en26 en en_bar[26] vdd gnd pinv_12 -Xwl_driver_nand26 en_bar[26] in[26] net[26] vdd gnd pnand2_4 -Xwl_driver_inv26 net[26] wl[26] vdd gnd pinv_11 -Xwl_driver_inv_en27 en en_bar[27] vdd gnd pinv_12 -Xwl_driver_nand27 en_bar[27] in[27] net[27] vdd gnd pnand2_4 -Xwl_driver_inv27 net[27] wl[27] vdd gnd pinv_11 -Xwl_driver_inv_en28 en en_bar[28] vdd gnd pinv_12 -Xwl_driver_nand28 en_bar[28] in[28] net[28] vdd gnd pnand2_4 -Xwl_driver_inv28 net[28] wl[28] vdd gnd pinv_11 -Xwl_driver_inv_en29 en en_bar[29] vdd gnd pinv_12 -Xwl_driver_nand29 en_bar[29] in[29] net[29] vdd gnd pnand2_4 -Xwl_driver_inv29 net[29] wl[29] vdd gnd pinv_11 -Xwl_driver_inv_en30 en en_bar[30] vdd gnd pinv_12 -Xwl_driver_nand30 en_bar[30] in[30] net[30] vdd gnd pnand2_4 -Xwl_driver_inv30 net[30] wl[30] vdd gnd pinv_11 -Xwl_driver_inv_en31 en en_bar[31] vdd gnd pinv_12 -Xwl_driver_nand31 en_bar[31] in[31] net[31] vdd gnd pnand2_4 -Xwl_driver_inv31 net[31] wl[31] vdd gnd pinv_11 -.ENDS wordline_driver - -.SUBCKT pinv_13 A Z vdd gnd -Mpinv_pmos Z A vdd vdd pmos_vtg m=1 w=0.27u l=0.05u pd=0.64u ps=0.64u as=0.03375p ad=0.03375p -Mpinv_nmos Z A gnd gnd nmos_vtg m=1 w=0.09u l=0.05u pd=0.28u ps=0.28u as=0.01125p ad=0.01125p -.ENDS pinv_13 - -.SUBCKT bank DATA[0] DATA[1] ADDR[0] ADDR[1] ADDR[2] ADDR[3] ADDR[4] ADDR[5] ADDR[6] s_en w_en tri_en_bar tri_en clk_bar clk_buf vdd gnd -Xbitcell_array bl[0] br[0] bl[1] br[1] bl[2] br[2] bl[3] br[3] bl[4] br[4] bl[5] br[5] bl[6] br[6] bl[7] br[7] wl[0] wl[1] wl[2] wl[3] wl[4] wl[5] wl[6] wl[7] wl[8] wl[9] wl[10] wl[11] wl[12] wl[13] wl[14] wl[15] wl[16] wl[17] wl[18] wl[19] wl[20] wl[21] wl[22] wl[23] wl[24] wl[25] wl[26] wl[27] wl[28] wl[29] wl[30] wl[31] vdd gnd bitcell_array -Xprecharge_array bl[0] br[0] bl[1] br[1] bl[2] br[2] bl[3] br[3] bl[4] br[4] bl[5] br[5] bl[6] br[6] bl[7] br[7] clk_bar vdd precharge_array -Xcolumn_mux_array bl[0] br[0] bl[1] br[1] bl[2] br[2] bl[3] br[3] bl[4] br[4] bl[5] br[5] bl[6] br[6] bl[7] br[7] sel[0] sel[1] sel[2] sel[3] bl_out[0] br_out[0] bl_out[1] br_out[1] gnd columnmux_array -Xcol_address_decoder A[5] A[6] sel[0] sel[1] sel[2] sel[3] vdd gnd pre2x4 -Xsense_amp_array data_out[0] bl_out[0] br_out[0] data_out[1] bl_out[1] br_out[1] s_en vdd gnd sense_amp_array -Xwrite_driver_array data_in[0] data_in[1] bl_out[0] br_out[0] bl_out[1] br_out[1] w_en vdd gnd write_driver_array -Xdata_in_flop_array DATA[0] DATA[1] data_in[0] data_in_bar[0] data_in[1] data_in_bar[1] clk_bar vdd gnd msf_data_in -Xtri_gate_array data_out[0] data_out[1] DATA[0] DATA[1] tri_en tri_en_bar vdd gnd tri_gate_array -Xrow_decoder A[0] A[1] A[2] A[3] A[4] dec_out[0] dec_out[1] dec_out[2] dec_out[3] dec_out[4] dec_out[5] dec_out[6] dec_out[7] dec_out[8] dec_out[9] dec_out[10] dec_out[11] dec_out[12] dec_out[13] dec_out[14] dec_out[15] dec_out[16] dec_out[17] dec_out[18] dec_out[19] dec_out[20] dec_out[21] dec_out[22] dec_out[23] dec_out[24] dec_out[25] dec_out[26] dec_out[27] dec_out[28] dec_out[29] dec_out[30] dec_out[31] vdd gnd hierarchical_decoder_32rows -Xwordline_driver dec_out[0] dec_out[1] dec_out[2] dec_out[3] dec_out[4] dec_out[5] dec_out[6] dec_out[7] dec_out[8] dec_out[9] dec_out[10] dec_out[11] dec_out[12] dec_out[13] dec_out[14] dec_out[15] dec_out[16] dec_out[17] dec_out[18] dec_out[19] dec_out[20] dec_out[21] dec_out[22] dec_out[23] dec_out[24] dec_out[25] dec_out[26] dec_out[27] dec_out[28] dec_out[29] dec_out[30] dec_out[31] wl[0] wl[1] wl[2] wl[3] wl[4] wl[5] wl[6] wl[7] wl[8] wl[9] wl[10] wl[11] wl[12] wl[13] wl[14] wl[15] wl[16] wl[17] wl[18] wl[19] wl[20] wl[21] wl[22] wl[23] wl[24] wl[25] wl[26] wl[27] wl[28] wl[29] wl[30] wl[31] clk_buf vdd gnd wordline_driver -Xaddress_flop_array ADDR[0] ADDR[1] ADDR[2] ADDR[3] ADDR[4] ADDR[5] ADDR[6] A[0] A_bar[0] A[1] A_bar[1] A[2] A_bar[2] A[3] A_bar[3] A[4] A_bar[4] A[5] A_bar[5] A[6] A_bar[6] clk_buf vdd gnd msf_address -.ENDS bank - -.SUBCKT sram_2_16_1_freepdk45 DATA[0] DATA[1] ADDR[0] ADDR[1] ADDR[2] ADDR[3] ADDR[4] ADDR[5] ADDR[6] CSb WEb OEb clk vdd gnd -Xbank0 DATA[0] DATA[1] ADDR[0] ADDR[1] ADDR[2] ADDR[3] ADDR[4] ADDR[5] ADDR[6] s_en w_en tri_en_bar tri_en clk_bar clk_buf vdd gnd bank -Xcontrol CSb WEb OEb clk s_en w_en tri_en tri_en_bar clk_bar clk_buf vdd gnd control_logic -.ENDS sram_2_16_1_freepdk45 diff --git a/compiler/temp/sram_2_16_1_freepdk45.v b/compiler/temp/sram_2_16_1_freepdk45.v deleted file mode 100644 index b9a70af5..00000000 --- a/compiler/temp/sram_2_16_1_freepdk45.v +++ /dev/null @@ -1,47 +0,0 @@ -// OpenRAM SRAM model -// Words: 128 -// Word size: 2 - -module sram_2_16_1_freepdk45(DATA,ADDR,CSb,WEb,OEb,clk); - - parameter DATA_WIDTH = 2 ; - parameter ADDR_WIDTH = 7 ; - parameter RAM_DEPTH = 1 << ADDR_WIDTH; - parameter DELAY = 3 ; - - inout [DATA_WIDTH-1:0] DATA; - input [ADDR_WIDTH-1:0] ADDR; - input CSb; // active low chip select - input WEb; // active low write control - input OEb; // active output enable - input clk; // clock - - reg [DATA_WIDTH-1:0] data_out ; - reg [DATA_WIDTH-1:0] mem [0:RAM_DEPTH-1]; - - // Tri-State Buffer control - // output : When WEb = 1, oeb = 0, csb = 0 - assign DATA = (!CSb && !OEb && WEb) ? data_out : 2'bz; - - // Memory Write Block - // Write Operation : When WEb = 0, CSb = 0 - always @ (posedge clk) - begin : MEM_WRITE - if ( !CSb && !WEb ) begin - mem[ADDR] = DATA; - $display($time," Writing %m ABUS=%b DATA=%b",ADDR,DATA); - end - end - - - // Memory Read Block - // Read Operation : When WEb = 1, CSb = 0 - always @ (posedge clk) - begin : MEM_READ - if (!CSb && WEb) begin - data_out <= #(DELAY) mem[ADDR]; - $display($time," Reading %m ABUS=%b DATA=%b",ADDR,mem[ADDR]); - end - end - -endmodule diff --git a/compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib b/compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib deleted file mode 100644 index 71bddade..00000000 --- a/compiler/temp/sram_2_16_1_freepdk45_TT_10V_25C.lib +++ /dev/null @@ -1,329 +0,0 @@ -library (sram_2_16_1_freepdk45_TT_10V_25C_lib){ - delay_model : "table_lookup"; - time_unit : "1ns" ; - voltage_unit : "1v" ; - current_unit : "1mA" ; - resistance_unit : "1kohm" ; - capacitive_load_unit(1 ,fF) ; - leakage_power_unit : "1mW" ; - pulling_resistance_unit :"1kohm" ; - operating_conditions(TT){ - voltage : 1.0 ; - temperature : 25; - } - - input_threshold_pct_fall : 50.0 ; - output_threshold_pct_fall : 50.0 ; - input_threshold_pct_rise : 50.0 ; - output_threshold_pct_rise : 50.0 ; - slew_lower_threshold_pct_fall : 10.0 ; - slew_upper_threshold_pct_fall : 90.0 ; - slew_lower_threshold_pct_rise : 10.0 ; - slew_upper_threshold_pct_rise : 90.0 ; - - default_cell_leakage_power : 0.0 ; - default_leakage_power_density : 0.0 ; - default_input_pin_cap : 1.0 ; - default_inout_pin_cap : 1.0 ; - default_output_pin_cap : 0.0 ; - default_max_transition : 0.5 ; - default_fanout_load : 1.0 ; - default_max_fanout : 4.0 ; - default_connection_class : universal ; - - lu_table_template(CELL_TABLE){ - variable_1 : input_net_transition; - variable_2 : total_output_net_capacitance; - index_1("0.00125, 0.005, 0.04"); - index_2("0.052275, 0.2091, 1.6728"); - } - - lu_table_template(CONSTRAINT_TABLE){ - variable_1 : related_pin_transition; - variable_2 : constrained_pin_transition; - index_1("0.00125, 0.005, 0.04"); - index_2("0.00125, 0.005, 0.04"); - } - - default_operating_conditions : TT; - - - type (DATA){ - base_type : array; - data_type : bit; - bit_width : 2; - bit_from : 0; - bit_to : 1; - } - - type (ADDR){ - base_type : array; - data_type : bit; - bit_width : 7; - bit_from : 0; - bit_to : 6; - } - -cell (sram_2_16_1_freepdk45){ - memory(){ - type : ram; - address_width : 7; - word_width : 2; - } - interface_timing : true; - dont_use : true; - map_only : true; - dont_touch : true; - area : 1756.7563625; - - bus(DATA){ - bus_type : DATA; - direction : inout; - max_capacitance : 1.6728; - three_state : "!OEb & !clk"; - memory_write(){ - address : ADDR; - clocked_on : clk; - } - memory_read(){ - address : ADDR; - } - pin(DATA[1:0]){ - internal_power(){ - when : "OEb & !clk"; - rise_power(scalar){ - values("Power Data: Dynamic 174266.64, Leakage 423.0 in nW"); - } - fall_power(scalar){ - values("Power Data: Dynamic 174266.64, Leakage 423.0 in nW"); - } - } - timing(){ - timing_type : setup_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - } - timing(){ - timing_type : hold_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - } - internal_power(){ - when : "!OEb & !clk"; - rise_power(scalar){ - values("Power Data: Dynamic 174266.64, Leakage 423.0 in nW"); - } - fall_power(scalar){ - values("Power Data: Dynamic 174266.64, Leakage 423.0 in nW"); - } - } - timing(){ - timing_sense : non_unate; - related_pin : "clk"; - timing_type : falling_edge; - cell_rise(CELL_TABLE) { - values("0.167, 0.168, 0.177",\ - "0.167, 0.168, 0.177",\ - "0.167, 0.168, 0.177"); - } - cell_fall(CELL_TABLE) { - values("0.167, 0.168, 0.177",\ - "0.167, 0.168, 0.177",\ - "0.167, 0.168, 0.177"); - } - rise_transition(CELL_TABLE) { - values("0.006, 0.007, 0.018",\ - "0.006, 0.007, 0.018",\ - "0.006, 0.007, 0.018"); - } - fall_transition(CELL_TABLE) { - values("0.006, 0.007, 0.018",\ - "0.006, 0.007, 0.018",\ - "0.006, 0.007, 0.018"); - } - } - } - } - - bus(ADDR){ - bus_type : ADDR; - direction : input; - capacitance : 0.2091; - max_transition : 0.04; - fanout_load : 1.000000; - pin(ADDR[6:0]){ - timing(){ - timing_type : setup_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - } - timing(){ - timing_type : hold_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - } - } - } - - pin(CSb){ - direction : input; - capacitance : 0.2091; - timing(){ - timing_type : setup_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - } - timing(){ - timing_type : hold_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - } - } - - pin(OEb){ - direction : input; - capacitance : 0.2091; - timing(){ - timing_type : setup_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - } - timing(){ - timing_type : hold_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - } - } - - pin(WEb){ - direction : input; - capacitance : 0.2091; - timing(){ - timing_type : setup_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009",\ - "0.009, 0.009, 0.009"); - } - } - timing(){ - timing_type : hold_rising; - related_pin : "clk"; - rise_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - fall_constraint(CONSTRAINT_TABLE) { - values("0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001",\ - "0.001, 0.001, 0.001"); - } - } - } - - pin(clk){ - clock : true; - direction : input; - capacitance : 0.2091; - timing(){ - timing_type :"min_pulse_width"; - related_pin : clk; - rise_constraint(scalar) { - values("0.0"); - } - fall_constraint(scalar) { - values("0.0"); - } - } - timing(){ - timing_type :"minimum_period"; - related_pin : clk; - rise_constraint(scalar) { - values("0.0"); - } - fall_constraint(scalar) { - values("0.0"); - } - } - } - } -} diff --git a/technology/freepdk45/tech/tech.py b/technology/freepdk45/tech/tech.py index 21cd87a0..50ee1368 100644 --- a/technology/freepdk45/tech/tech.py +++ b/technology/freepdk45/tech/tech.py @@ -275,13 +275,21 @@ spice["msflop_delay"] = 20.5 # DFF Clk-to-q delay in ps spice["msflop_slew"] = 13.1 # DFF output slew in ps w/ no load spice["msflop_in_cap"] = 0.2091 # Input capacitance of ms_flop (Din) [Femto-farad] -# analytical power parameters -spice["bitcell_leakage"] = 1 # Leakage power of a single bitcell in nano-Watts -spice["inv_leakage"] = 1 # Leakage power of inverter, temporary until a way to calculate is determined, in nW -spice["msflop_power"] = 1 # Total power of a single flop in nano-Watts +# analytical power parameters, many values are temporary +spice["bitcell_leakage"] = 1 # Leakage power of a single bitcell in nW +spice["inv_leakage"] = 1 # Leakage power of inverter in nW +spice["nand2_leakage"] = 1 # Leakage power of 2-input nand in nW +spice["nand3_leakage"] = 1 # Leakage power of 3-input nand in nW +spice["nor2_leakage"] = 1 # Leakage power of 2-input nor in nW +spice["msflop_leakage"] = 1 # Leakage power of flop in nW +spice["flop_para_cap"] = 2 # Parasitic Output capacitance in fF -spice["default_event_rate"] = 200 # Default event activity of every gate. Temporary value. In Mega-Hz -spice["inv_transisition_prob"] = .5 # Transition probability of inverter. Will be dynamically calculated later. +spice["default_event_rate"] = 100 # Default event activity of every gate. MHz +spice["flop_transisition_prob"] = .5 # Transition probability of inverter. +spice["inv_transisition_prob"] = .5 # Transition probability of inverter. +spice["nand2_transisition_prob"] = .1875 # Transition probability of 2-input nand. +spice["nand3_transisition_prob"] = .1094 # Transition probability of 3-input nand. +spice["nor2_transisition_prob"] = .1875 # Transition probability of 2-input nor. ################################################### ##END Spice Simulation Parameters From 2b839d34a3e22e31e8222d008816f34fe367e812 Mon Sep 17 00:00:00 2001 From: Matt Guthaus Date: Tue, 27 Feb 2018 08:59:46 -0800 Subject: [PATCH 20/29] Get rid of netgen error of undefined dlatch. Fix sp_read to find correct subckt name and pins. --- compiler/base/hierarchy_spice.py | 4 ++-- technology/freepdk45/sp_lib/ms_flop.sp | 10 +++++----- technology/scn3me_subm/sp_lib/ms_flop.sp | 10 +++++----- 3 files changed, 12 insertions(+), 12 deletions(-) diff --git a/compiler/base/hierarchy_spice.py b/compiler/base/hierarchy_spice.py index d218227e..1b722bd0 100644 --- a/compiler/base/hierarchy_spice.py +++ b/compiler/base/hierarchy_spice.py @@ -97,8 +97,8 @@ class spice(verilog.verilog): for i in range(len(self.spice)): self.spice[i] = self.spice[i].rstrip(" \n") - # find first subckt line in the file - subckt = re.compile("^.subckt", re.IGNORECASE) + # find the correct subckt line in the file + subckt = re.compile("^.subckt {}".format(self.name), re.IGNORECASE) subckt_line = filter(subckt.search, self.spice)[0] # parses line into ports and remove subckt self.pins = subckt_line.split(" ")[2:] diff --git a/technology/freepdk45/sp_lib/ms_flop.sp b/technology/freepdk45/sp_lib/ms_flop.sp index e1967d84..03016e5d 100644 --- a/technology/freepdk45/sp_lib/ms_flop.sp +++ b/technology/freepdk45/sp_lib/ms_flop.sp @@ -1,10 +1,5 @@ *master-slave flip-flop with both output and inverted ouput -.SUBCKT ms_flop din dout dout_bar clk vdd gnd -xmaster din mout mout_bar clk clk_bar vdd gnd dlatch -xslave mout_bar dout_bar dout clk_bar clk_nn vdd gnd dlatch -.ENDS flop - .SUBCKT dlatch din dout dout_bar clk clk_bar vdd gnd *clk inverter mPff1 clk_bar clk vdd vdd PMOS_VTG W=180.0n L=50n m=1 @@ -27,3 +22,8 @@ mtmP2 int1 clk_bar dout vdd PMOS_VTG W=180.0n L=50n m=1 mtmN2 int1 clk dout gnd NMOS_VTG W=90n L=50n m=1 .ENDS dlatch +.SUBCKT ms_flop din dout dout_bar clk vdd gnd +xmaster din mout mout_bar clk clk_bar vdd gnd dlatch +xslave mout_bar dout_bar dout clk_bar clk_nn vdd gnd dlatch +.ENDS flop + diff --git a/technology/scn3me_subm/sp_lib/ms_flop.sp b/technology/scn3me_subm/sp_lib/ms_flop.sp index 4cdf309f..abf664e7 100644 --- a/technology/scn3me_subm/sp_lib/ms_flop.sp +++ b/technology/scn3me_subm/sp_lib/ms_flop.sp @@ -1,10 +1,5 @@ *master-slave flip-flop with both output and inverted ouput -.subckt ms_flop din dout dout_bar clk vdd gnd -xmaster din mout mout_bar clk clk_bar vdd gnd dlatch -xslave mout_bar dout_bar dout clk_bar clk_nn vdd gnd dlatch -.ends flop - .subckt dlatch din dout dout_bar clk clk_bar vdd gnd *clk inverter mPff1 clk_bar clk vdd vdd p W=1.8u L=0.6u m=1 @@ -27,3 +22,8 @@ mtmP2 int1 clk_bar dout vdd p W=1.8u L=0.6u m=1 mtmN2 int1 clk dout gnd n W=0.9u L=0.6u m=1 .ends dlatch +.subckt ms_flop din dout dout_bar clk vdd gnd +xmaster din mout mout_bar clk clk_bar vdd gnd dlatch +xslave mout_bar dout_bar dout clk_bar clk_nn vdd gnd dlatch +.ends flop + From 6a3f0843ff279113f218484680f030057eee1fd9 Mon Sep 17 00:00:00 2001 From: Hunter Nichols Date: Wed, 28 Feb 2018 12:18:41 -0800 Subject: [PATCH 21/29] Fixed accidental changes made to analytical delay. --- compiler/characterizer/delay.py | 1 + compiler/pgates/pnor2.py | 2 +- 2 files changed, 2 insertions(+), 1 deletion(-) diff --git a/compiler/characterizer/delay.py b/compiler/characterizer/delay.py index 24db899c..ca51f358 100644 --- a/compiler/characterizer/delay.py +++ b/compiler/characterizer/delay.py @@ -716,6 +716,7 @@ class delay(): for load in loads: self.set_load_slew(load,slew) bank_delay = sram.analytical_delay(self.slew,self.load) + # Convert from ps to ns delay_lh.append(bank_delay.delay/1e3) delay_hl.append(bank_delay.delay/1e3) slew_lh.append(bank_delay.slew/1e3) diff --git a/compiler/pgates/pnor2.py b/compiler/pgates/pnor2.py index e9a71bb4..b59f8733 100644 --- a/compiler/pgates/pnor2.py +++ b/compiler/pgates/pnor2.py @@ -219,7 +219,7 @@ class pnor2(pgate.pgate): def input_load(self): return ((self.nmos_size+self.pmos_size)/parameter["min_tx_size"])*spice["min_tx_gate_c"] - def analytical_delay(self, vdd, temp, load): + def analytical_delay(self, slew, load=0.0): r = spice["min_tx_r"]/(self.nmos_size/parameter["min_tx_size"]) c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) From 93ad99b9e1618798dd6d510a102d5fc1e06ded08 Mon Sep 17 00:00:00 2001 From: Hunter Nichols Date: Wed, 28 Feb 2018 12:32:54 -0800 Subject: [PATCH 22/29] Changed variable names in analytical power function to be more clear. --- compiler/pgates/pinv.py | 4 ++-- compiler/pgates/pnand2.py | 4 ++-- compiler/pgates/pnand3.py | 4 ++-- compiler/pgates/pnor2.py | 4 ++-- 4 files changed, 8 insertions(+), 8 deletions(-) diff --git a/compiler/pgates/pinv.py b/compiler/pgates/pinv.py index 4a39d065..84f14590 100644 --- a/compiler/pgates/pinv.py +++ b/compiler/pgates/pinv.py @@ -245,8 +245,8 @@ class pinv(pgate.pgate): def analytical_power(self, proc, vdd, temp, load): #Returns dynamic and leakage power. Results in nW c_eff = self.calculate_effective_capacitance(load) - f = spice["default_event_rate"] - power_dyn = c_eff*vdd*vdd*f + freq = spice["default_event_rate"] + power_dyn = c_eff*vdd*vdd*freq power_leak = spice["inv_leakage"] total_power = self.return_power(power_dyn, power_leak) diff --git a/compiler/pgates/pnand2.py b/compiler/pgates/pnand2.py index 98138395..c95e814c 100644 --- a/compiler/pgates/pnand2.py +++ b/compiler/pgates/pnand2.py @@ -217,8 +217,8 @@ class pnand2(pgate.pgate): def analytical_power(self, proc, vdd, temp, load): #Returns dynamic and leakage power. Results in nW c_eff = self.calculate_effective_capacitance(load) - f = spice["default_event_rate"] - power_dyn = c_eff*vdd*vdd*f + freq = spice["default_event_rate"] + power_dyn = c_eff*vdd*vdd*freq power_leak = spice["nand2_leakage"] total_power = self.return_power(power_dyn, power_leak) diff --git a/compiler/pgates/pnand3.py b/compiler/pgates/pnand3.py index 7fe4897e..ca1c6244 100644 --- a/compiler/pgates/pnand3.py +++ b/compiler/pgates/pnand3.py @@ -237,8 +237,8 @@ class pnand3(pgate.pgate): def analytical_power(self, proc, vdd, temp, load): #Returns dynamic and leakage power. Results in nW c_eff = self.calculate_effective_capacitance(load) - f = spice["default_event_rate"] - power_dyn = c_eff*vdd*vdd*f + freq = spice["default_event_rate"] + power_dyn = c_eff*vdd*vdd*freq power_leak = spice["nand3_leakage"] total_power = self.return_power(power_dyn, power_leak) diff --git a/compiler/pgates/pnor2.py b/compiler/pgates/pnor2.py index b59f8733..1508a487 100644 --- a/compiler/pgates/pnor2.py +++ b/compiler/pgates/pnor2.py @@ -227,8 +227,8 @@ class pnor2(pgate.pgate): def analytical_power(self, proc, vdd, temp, load): #Returns dynamic and leakage power. Results in nW c_eff = self.calculate_effective_capacitance(load) - f = spice["default_event_rate"] - power_dyn = c_eff*vdd*vdd*f + freq = spice["default_event_rate"] + power_dyn = c_eff*vdd*vdd*freq power_leak = spice["nor2_leakage"] total_power = self.return_power(power_dyn, power_leak) From 9a6081de0e384d1f64241b31cdb9365c413e3d19 Mon Sep 17 00:00:00 2001 From: Matt Guthaus Date: Thu, 1 Mar 2018 11:10:04 -0800 Subject: [PATCH 23/29] Remove KP from SCMOS models to get rid of ngspice error. --- technology/scn3me_subm/models/ff/nmos.sp | 2 +- technology/scn3me_subm/models/ff/pmos.sp | 2 +- technology/scn3me_subm/models/nom/nmos.sp | 2 +- technology/scn3me_subm/models/nom/pmos.sp | 2 +- technology/scn3me_subm/models/ss/nmos.sp | 2 +- technology/scn3me_subm/models/ss/pmos.sp | 2 +- 6 files changed, 6 insertions(+), 6 deletions(-) diff --git a/technology/scn3me_subm/models/ff/nmos.sp b/technology/scn3me_subm/models/ff/nmos.sp index 278dfc57..9711c533 100644 --- a/technology/scn3me_subm/models/ff/nmos.sp +++ b/technology/scn3me_subm/models/ff/nmos.sp @@ -5,6 +5,6 @@ * models from MOSIS or SCN3ME ********************************************* -.MODEL n NMOS (LEVEL=49 VTHO=0.669845 KP=113.7771E-6 +.MODEL n NMOS (LEVEL=49 VTHO=0.669845 + NSUB=6E16 U0=461 K1=0.5705 TOX=13.9n VERSION=3.3.0) diff --git a/technology/scn3me_subm/models/ff/pmos.sp b/technology/scn3me_subm/models/ff/pmos.sp index 86ad3cf1..e08967e4 100644 --- a/technology/scn3me_subm/models/ff/pmos.sp +++ b/technology/scn3me_subm/models/ff/pmos.sp @@ -5,5 +5,5 @@ * models from MOSIS or SCN3ME ********************************************* -.MODEL p PMOS (LEVEL=49 VTHO=-0.322431 KP=366.0244-6 +.MODEL p PMOS (LEVEL=49 VTHO=-0.322431 + NSUB=6E16 U0=212 K1=0.0821 TOX=13.9n VERSION=3.3.0) diff --git a/technology/scn3me_subm/models/nom/nmos.sp b/technology/scn3me_subm/models/nom/nmos.sp index 150788b4..59f88cfd 100644 --- a/technology/scn3me_subm/models/nom/nmos.sp +++ b/technology/scn3me_subm/models/nom/nmos.sp @@ -5,5 +5,5 @@ * models from MOSIS or SCN3ME ********************************************* -.MODEL n NMOS (LEVEL=49 VTHO=0.669845 KP=113.7771E-6 +.MODEL n NMOS (LEVEL=49 VTHO=0.669845 + NSUB=6E16 U0=458 K1=0.5705 TOX=13.9n VERSION=3.3.0) diff --git a/technology/scn3me_subm/models/nom/pmos.sp b/technology/scn3me_subm/models/nom/pmos.sp index 4cf58290..69f3aacd 100644 --- a/technology/scn3me_subm/models/nom/pmos.sp +++ b/technology/scn3me_subm/models/nom/pmos.sp @@ -5,5 +5,5 @@ * models from MOSIS or SCN3ME ********************************************* -.MODEL p PMOS (LEVEL=49 VTHO=-0.322431 KP=366.0244-6 +.MODEL p PMOS (LEVEL=49 VTHO=-0.322431 + NSUB=6E16 U0=212 K1=0.0821 TOX=13.9n VERSION=3.3.0) diff --git a/technology/scn3me_subm/models/ss/nmos.sp b/technology/scn3me_subm/models/ss/nmos.sp index 1728b331..4e8a531f 100644 --- a/technology/scn3me_subm/models/ss/nmos.sp +++ b/technology/scn3me_subm/models/ss/nmos.sp @@ -5,6 +5,6 @@ * models from MOSIS or SCN3ME ********************************************* -.MODEL n NMOS (LEVEL=49 VTHO=0.669845 KP=113.7771E-6 +.MODEL n NMOS (LEVEL=49 VTHO=0.669845 + NSUB=6E16 U0=460 K1=0.5705 TOX=13.9n VERSION=3.3.0) diff --git a/technology/scn3me_subm/models/ss/pmos.sp b/technology/scn3me_subm/models/ss/pmos.sp index 86ad3cf1..e08967e4 100644 --- a/technology/scn3me_subm/models/ss/pmos.sp +++ b/technology/scn3me_subm/models/ss/pmos.sp @@ -5,5 +5,5 @@ * models from MOSIS or SCN3ME ********************************************* -.MODEL p PMOS (LEVEL=49 VTHO=-0.322431 KP=366.0244-6 +.MODEL p PMOS (LEVEL=49 VTHO=-0.322431 + NSUB=6E16 U0=212 K1=0.0821 TOX=13.9n VERSION=3.3.0) From d0dcd9f34b56b7c659d2034de24c720915f475cd Mon Sep 17 00:00:00 2001 From: Hunter Nichols Date: Thu, 1 Mar 2018 23:34:15 -0800 Subject: [PATCH 24/29] Fixed comment style on power functions. Also added power parameters to scn3me_subm tech file and tested functionality. --- compiler/modules/bitcell.py | 3 +-- compiler/modules/bitcell_array.py | 12 ++++-------- compiler/modules/ms_flop.py | 5 +++-- compiler/modules/sense_amp.py | 3 ++- compiler/modules/tri_gate.py | 6 +++--- compiler/pgates/pinv.py | 3 ++- compiler/pgates/pnand2.py | 3 ++- compiler/pgates/pnand3.py | 3 ++- compiler/pgates/pnor2.py | 3 ++- technology/scn3me_subm/tech/tech.py | 14 ++++++++++++++ 10 files changed, 35 insertions(+), 20 deletions(-) diff --git a/compiler/modules/bitcell.py b/compiler/modules/bitcell.py index 1fe60867..0cb80635 100644 --- a/compiler/modules/bitcell.py +++ b/compiler/modules/bitcell.py @@ -36,8 +36,7 @@ class bitcell(design.design): return result def analytical_power(self, proc, vdd, temp, load): - #Power of the bitcell. Mostly known for leakage, but dynamic can also be factored in. - #Only consider leakage power for now. Value defined in tech file rather than calculated. + """Bitcell power in nW. Only characterizes leakage.""" from tech import spice leakage = spice["bitcell_leakage"] dynamic = 0 #temporary diff --git a/compiler/modules/bitcell_array.py b/compiler/modules/bitcell_array.py index 6224dfae..f923f1f8 100644 --- a/compiler/modules/bitcell_array.py +++ b/compiler/modules/bitcell_array.py @@ -179,24 +179,20 @@ class bitcell_array(design.design): wl_to_cell_delay.slew) def analytical_power(self, proc, vdd, temp, load): - #This will be pretty bare bones as the power needs to be determined from the dynamic power - #of the word line, leakage power from the cell, and dynamic power of the bitlines as a few - #sources for power. These features are tbd. + """Power of Bitcell array and bitline in nW.""" from tech import drc - #calculate wl dynamic power, functions not implemented. - # Dynamic Power from Bitline bl_wire = self.gen_bl_wire() cell_load = 2 * bl_wire.return_input_cap() - bl_swing = 0.1 #This should probably be defined in the tech file + bl_swing = 0.1 #This should probably be defined in the tech file or input freq = spice["default_event_rate"] bitline_dynamic = bl_swing*cell_load*vdd*vdd*freq #not sure if calculation is correct - #Calculate the bitcell power which can include leakage as well as bitline dynamic + #Calculate the bitcell power which currently only includes leakage cell_power = self.cell.analytical_power(proc, vdd, temp, load) - #Leakage power grows with entire array. Dynamic currently not accounted for. + #Leakage power grows with entire array and bitlines. total_power = self.return_power(cell_power.dynamic + bitline_dynamic * self.column_size, cell_power.leakage * self.column_size * self.row_size) return total_power diff --git a/compiler/modules/ms_flop.py b/compiler/modules/ms_flop.py index 0137ff8b..e04b4246 100644 --- a/compiler/modules/ms_flop.py +++ b/compiler/modules/ms_flop.py @@ -28,17 +28,18 @@ class ms_flop(design.design): return result def analytical_power(self, proc, vdd, temp, load): - #Returns dynamic and leakage power. Results in nW + """Returns dynamic and leakage power. Results in nW""" from tech import spice c_eff = self.calculate_effective_capacitance(load) f = spice["default_event_rate"] power_dyn = c_eff*vdd*vdd*f - power_leak = spice["nor2_leakage"] + power_leak = spice["msflop_leakage"] total_power = self.return_power(power_dyn, power_leak) return total_power def calculate_effective_capacitance(self, load): + """Computes effective capacitance. Results in fF""" from tech import spice, parameter c_load = load c_para = spice["flop_para_cap"]#ff diff --git a/compiler/modules/sense_amp.py b/compiler/modules/sense_amp.py index 741c81b1..45a195fc 100644 --- a/compiler/modules/sense_amp.py +++ b/compiler/modules/sense_amp.py @@ -31,6 +31,7 @@ class sense_amp(design.design): return self.return_delay(result.delay, result.slew) def analytical_power(self, proc, vdd, temp, load): - #Not sure how to determine this yet. Sense amps return zero power for now + """Returns dynamic and leakage power. Results in nW""" + #Power in this module currently not defined. Returns 0 nW (leakage and dynamic). total_power = self.return_power() return total_power diff --git a/compiler/modules/tri_gate.py b/compiler/modules/tri_gate.py index 235c0c40..cce7683c 100644 --- a/compiler/modules/tri_gate.py +++ b/compiler/modules/tri_gate.py @@ -34,11 +34,11 @@ class tri_gate(design.design): return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) def analytical_power(self, proc, vdd, temp, load): - #Not sure how to determine this yet. Tri-gates return zero power for now - total_power = self.return_power() + """Returns dynamic and leakage power. Results in nW""" + #Power in this module currently not defined. Returns 0 nW (leakage and dynamic). + total_power = self.return_power() return total_power - def input_load(self): return 9*spice["min_tx_gate_c"] diff --git a/compiler/pgates/pinv.py b/compiler/pgates/pinv.py index 84f14590..7bf71e5d 100644 --- a/compiler/pgates/pinv.py +++ b/compiler/pgates/pinv.py @@ -243,7 +243,7 @@ class pinv(pgate.pgate): return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) def analytical_power(self, proc, vdd, temp, load): - #Returns dynamic and leakage power. Results in nW + """Returns dynamic and leakage power. Results in nW""" c_eff = self.calculate_effective_capacitance(load) freq = spice["default_event_rate"] power_dyn = c_eff*vdd*vdd*freq @@ -253,6 +253,7 @@ class pinv(pgate.pgate): return total_power def calculate_effective_capacitance(self, load): + """Computes effective capacitance. Results in fF""" c_load = load c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff transistion_prob = spice["inv_transisition_prob"] diff --git a/compiler/pgates/pnand2.py b/compiler/pgates/pnand2.py index c95e814c..fa576850 100644 --- a/compiler/pgates/pnand2.py +++ b/compiler/pgates/pnand2.py @@ -215,7 +215,7 @@ class pnand2(pgate.pgate): return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) def analytical_power(self, proc, vdd, temp, load): - #Returns dynamic and leakage power. Results in nW + """Returns dynamic and leakage power. Results in nW""" c_eff = self.calculate_effective_capacitance(load) freq = spice["default_event_rate"] power_dyn = c_eff*vdd*vdd*freq @@ -225,6 +225,7 @@ class pnand2(pgate.pgate): return total_power def calculate_effective_capacitance(self, load): + """Computes effective capacitance. Results in fF""" c_load = load c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff transistion_prob = spice["nand2_transisition_prob"] diff --git a/compiler/pgates/pnand3.py b/compiler/pgates/pnand3.py index ca1c6244..02262b39 100644 --- a/compiler/pgates/pnand3.py +++ b/compiler/pgates/pnand3.py @@ -235,7 +235,7 @@ class pnand3(pgate.pgate): return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) def analytical_power(self, proc, vdd, temp, load): - #Returns dynamic and leakage power. Results in nW + """Returns dynamic and leakage power. Results in nW""" c_eff = self.calculate_effective_capacitance(load) freq = spice["default_event_rate"] power_dyn = c_eff*vdd*vdd*freq @@ -245,6 +245,7 @@ class pnand3(pgate.pgate): return total_power def calculate_effective_capacitance(self, load): + """Computes effective capacitance. Results in fF""" c_load = load c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff transistion_prob = spice["nand3_transisition_prob"] diff --git a/compiler/pgates/pnor2.py b/compiler/pgates/pnor2.py index 1508a487..4ee1583e 100644 --- a/compiler/pgates/pnor2.py +++ b/compiler/pgates/pnor2.py @@ -225,7 +225,7 @@ class pnor2(pgate.pgate): return self.cal_delay_with_rc(r = r, c = c_para+load, slew = slew) def analytical_power(self, proc, vdd, temp, load): - #Returns dynamic and leakage power. Results in nW + """Returns dynamic and leakage power. Results in nW""" c_eff = self.calculate_effective_capacitance(load) freq = spice["default_event_rate"] power_dyn = c_eff*vdd*vdd*freq @@ -235,6 +235,7 @@ class pnor2(pgate.pgate): return total_power def calculate_effective_capacitance(self, load): + """Computes effective capacitance. Results in fF""" c_load = load c_para = spice["min_tx_drain_c"]*(self.nmos_size/parameter["min_tx_size"])#ff transistion_prob = spice["nor2_transisition_prob"] diff --git a/technology/scn3me_subm/tech/tech.py b/technology/scn3me_subm/tech/tech.py index fbb4cb7b..faafb7db 100755 --- a/technology/scn3me_subm/tech/tech.py +++ b/technology/scn3me_subm/tech/tech.py @@ -246,7 +246,21 @@ spice["dff_delay"] = 20.5 # DFF Clk-to-q delay in ps spice["dff_slew"] = 13.1 # DFF output slew in ps w/ no load spice["dff_in_cap"] = 9.8242 # Input capacitance of ms_flop (Din) [Femto-farad] +# analytical power parameters, many values are temporary +spice["bitcell_leakage"] = 1 # Leakage power of a single bitcell in nW +spice["inv_leakage"] = 1 # Leakage power of inverter in nW +spice["nand2_leakage"] = 1 # Leakage power of 2-input nand in nW +spice["nand3_leakage"] = 1 # Leakage power of 3-input nand in nW +spice["nor2_leakage"] = 1 # Leakage power of 2-input nor in nW +spice["msflop_leakage"] = 1 # Leakage power of flop in nW +spice["flop_para_cap"] = 2 # Parasitic Output capacitance in fF +spice["default_event_rate"] = 100 # Default event activity of every gate. MHz +spice["flop_transisition_prob"] = .5 # Transition probability of inverter. +spice["inv_transisition_prob"] = .5 # Transition probability of inverter. +spice["nand2_transisition_prob"] = .1875 # Transition probability of 2-input nand. +spice["nand3_transisition_prob"] = .1094 # Transition probability of 3-input nand. +spice["nor2_transisition_prob"] = .1875 # Transition probability of 2-input nor. ################################################### ##END Spice Simulation Parameters ################################################### From ae2dbb4cd58882cf1af8833054a1734bb461a9b8 Mon Sep 17 00:00:00 2001 From: Matt Guthaus Date: Fri, 2 Mar 2018 10:30:03 -0800 Subject: [PATCH 25/29] Add display techfiles from NCSU PDKs. --- .../freepdk45/tf/APACHE-LICENSE-2.0.txt | 176 + technology/freepdk45/tf/FreePDK45.tf | 1118 ++++++ technology/freepdk45/tf/README.txt | 47 + technology/freepdk45/tf/display.drf | 3445 +++++++++++++++++ technology/freepdk45/tf/glade_freepdk45.py | 7 + technology/freepdk45/tf/layers.map | 30 + technology/scn3me_subm/tf/display.drf | 714 ++++ .../scn3me_subm/tf/glade_scn3me_subm.py | 7 + technology/scn3me_subm/tf/layers.map | 16 + technology/scn3me_subm/tf/mosis.tf | 848 ++++ 10 files changed, 6408 insertions(+) create mode 100644 technology/freepdk45/tf/APACHE-LICENSE-2.0.txt create mode 100644 technology/freepdk45/tf/FreePDK45.tf create mode 100644 technology/freepdk45/tf/README.txt create mode 100644 technology/freepdk45/tf/display.drf create mode 100644 technology/freepdk45/tf/glade_freepdk45.py create mode 100644 technology/freepdk45/tf/layers.map create mode 100644 technology/scn3me_subm/tf/display.drf create mode 100644 technology/scn3me_subm/tf/glade_scn3me_subm.py create mode 100644 technology/scn3me_subm/tf/layers.map create mode 100644 technology/scn3me_subm/tf/mosis.tf diff --git a/technology/freepdk45/tf/APACHE-LICENSE-2.0.txt b/technology/freepdk45/tf/APACHE-LICENSE-2.0.txt new file mode 100644 index 00000000..2bb9ad24 --- /dev/null +++ b/technology/freepdk45/tf/APACHE-LICENSE-2.0.txt @@ -0,0 +1,176 @@ + Apache License + Version 2.0, January 2004 + http://www.apache.org/licenses/ + + TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION + + 1. Definitions. + + "License" shall mean the terms and conditions for use, reproduction, + and distribution as defined by Sections 1 through 9 of this document. + + "Licensor" shall mean the copyright owner or entity authorized by + the copyright owner that is granting the License. + + "Legal Entity" shall mean the union of the acting entity and all + other entities that control, are controlled by, or are under common + control with that entity. For the purposes of this definition, + "control" means (i) the power, direct or indirect, to cause the + direction or management of such entity, whether by contract or + otherwise, or (ii) ownership of fifty percent (50%) or more of the + outstanding shares, or (iii) beneficial ownership of such entity. + + "You" (or "Your") shall mean an individual or Legal Entity + exercising permissions granted by this License. + + "Source" form shall mean the preferred form for making modifications, + including but not limited to software source code, documentation + source, and configuration files. + + "Object" form shall mean any form resulting from mechanical + transformation or translation of a Source form, including but + not limited to compiled object code, generated documentation, + and conversions to other media types. + + "Work" shall mean the work of authorship, whether in Source or + Object form, made available under the License, as indicated by a + copyright notice that is included in or attached to the work + (an example is provided in the Appendix below). + + "Derivative Works" shall mean any work, whether in Source or Object + form, that is based on (or derived from) the Work and for which the + editorial revisions, annotations, elaborations, or other modifications + represent, as a whole, an original work of authorship. For the purposes + of this License, Derivative Works shall not include works that remain + separable from, or merely link (or bind by name) to the interfaces of, + the Work and Derivative Works thereof. + + "Contribution" shall mean any work of authorship, including + the original version of the Work and any modifications or additions + to that Work or Derivative Works thereof, that is intentionally + submitted to Licensor for inclusion in the Work by the copyright owner + or by an individual or Legal Entity authorized to submit on behalf of + the copyright owner. For the purposes of this definition, "submitted" + means any form of electronic, verbal, or written communication sent + to the Licensor or its representatives, including but not limited to + communication on electronic mailing lists, source code control systems, + and issue tracking systems that are managed by, or on behalf of, the + Licensor for the purpose of discussing and improving the Work, but + excluding communication that is conspicuously marked or otherwise + designated in writing by the copyright owner as "Not a Contribution." + + "Contributor" shall mean Licensor and any individual or Legal Entity + on behalf of whom a Contribution has been received by Licensor and + subsequently incorporated within the Work. + + 2. Grant of Copyright License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + copyright license to reproduce, prepare Derivative Works of, + publicly display, publicly perform, sublicense, and distribute the + Work and such Derivative Works in Source or Object form. + + 3. Grant of Patent License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + (except as stated in this section) patent license to make, have made, + use, offer to sell, sell, import, and otherwise transfer the Work, + where such license applies only to those patent claims licensable + by such Contributor that are necessarily infringed by their + Contribution(s) alone or by combination of their Contribution(s) + with the Work to which such Contribution(s) was submitted. If You + institute patent litigation against any entity (including a + cross-claim or counterclaim in a lawsuit) alleging that the Work + or a Contribution incorporated within the Work constitutes direct + or contributory patent infringement, then any patent licenses + granted to You under this License for that Work shall terminate + as of the date such litigation is filed. + + 4. Redistribution. You may reproduce and distribute copies of the + Work or Derivative Works thereof in any medium, with or without + modifications, and in Source or Object form, provided that You + meet the following conditions: + + (a) You must give any other recipients of the Work or + Derivative Works a copy of this License; and + + (b) You must cause any modified files to carry prominent notices + stating that You changed the files; and + + (c) You must retain, in the Source form of any Derivative Works + that You distribute, all copyright, patent, trademark, and + attribution notices from the Source form of the Work, + excluding those notices that do not pertain to any part of + the Derivative Works; and + + (d) If the Work includes a "NOTICE" text file as part of its + distribution, then any Derivative Works that You distribute must + include a readable copy of the attribution notices contained + within such NOTICE file, excluding those notices that do not + pertain to any part of the Derivative Works, in at least one + of the following places: within a NOTICE text file distributed + as part of the Derivative Works; within the Source form or + documentation, if provided along with the Derivative Works; or, + within a display generated by the Derivative Works, if and + wherever such third-party notices normally appear. The contents + of the NOTICE file are for informational purposes only and + do not modify the License. You may add Your own attribution + notices within Derivative Works that You distribute, alongside + or as an addendum to the NOTICE text from the Work, provided + that such additional attribution notices cannot be construed + as modifying the License. + + You may add Your own copyright statement to Your modifications and + may provide additional or different license terms and conditions + for use, reproduction, or distribution of Your modifications, or + for any such Derivative Works as a whole, provided Your use, + reproduction, and distribution of the Work otherwise complies with + the conditions stated in this License. + + 5. Submission of Contributions. Unless You explicitly state otherwise, + any Contribution intentionally submitted for inclusion in the Work + by You to the Licensor shall be under the terms and conditions of + this License, without any additional terms or conditions. + Notwithstanding the above, nothing herein shall supersede or modify + the terms of any separate license agreement you may have executed + with Licensor regarding such Contributions. + + 6. Trademarks. This License does not grant permission to use the trade + names, trademarks, service marks, or product names of the Licensor, + except as required for reasonable and customary use in describing the + origin of the Work and reproducing the content of the NOTICE file. + + 7. Disclaimer of Warranty. Unless required by applicable law or + agreed to in writing, Licensor provides the Work (and each + Contributor provides its Contributions) on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied, including, without limitation, any warranties or conditions + of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A + PARTICULAR PURPOSE. You are solely responsible for determining the + appropriateness of using or redistributing the Work and assume any + risks associated with Your exercise of permissions under this License. + + 8. Limitation of Liability. In no event and under no legal theory, + whether in tort (including negligence), contract, or otherwise, + unless required by applicable law (such as deliberate and grossly + negligent acts) or agreed to in writing, shall any Contributor be + liable to You for damages, including any direct, indirect, special, + incidental, or consequential damages of any character arising as a + result of this License or out of the use or inability to use the + Work (including but not limited to damages for loss of goodwill, + work stoppage, computer failure or malfunction, or any and all + other commercial damages or losses), even if such Contributor + has been advised of the possibility of such damages. + + 9. Accepting Warranty or Additional Liability. While redistributing + the Work or Derivative Works thereof, You may choose to offer, + and charge a fee for, acceptance of support, warranty, indemnity, + or other liability obligations and/or rights consistent with this + License. However, in accepting such obligations, You may act only + on Your own behalf and on Your sole responsibility, not on behalf + of any other Contributor, and only if You agree to indemnify, + defend, and hold each Contributor harmless for any liability + incurred by, or claims asserted against, such Contributor by reason + of your accepting any such warranty or additional liability. + + END OF TERMS AND CONDITIONS \ No newline at end of file diff --git a/technology/freepdk45/tf/FreePDK45.tf b/technology/freepdk45/tf/FreePDK45.tf new file mode 100644 index 00000000..0021e644 --- /dev/null +++ b/technology/freepdk45/tf/FreePDK45.tf @@ -0,0 +1,1118 @@ + +;******************************** +; LAYER DEFINITION +;******************************** +layerDefinitions( + + techLayerPurposePriorities( + ;layers are ordered from lowest to highest priority + ;( LayerName Purpose ) + ;( --------- ------- ) + ( background drawing ) + ( grid drawing ) + ( grid drawing1 ) + ( pwell drawing ) + ( pwell net ) + ( pwell pin ) + ( nwell drawing ) + ( nwell net ) + ( nwell pin ) + ( vtg drawing ) + ( vtg net ) + ( vth drawing ) + ( vth net ) + ( active drawing ) + ( active net ) + ( active pin ) + ( nimplant drawing ) + ( pimplant drawing ) + ( poly drawing ) + ( poly net ) + ( poly pin ) + ( poly label ) + ( poly boundary ) + ( poly blockage ) + ( thkox drawing ) + ( thkox label ) + ( contact drawing ) + ( contact net ) + ( contact pin ) + ( contact label ) + ( contact boundary ) + ( contact blockage ) + ( metal1 drawing ) + ( metal1 net ) + ( metal1 pin ) + ( metal1 label ) + ( metal1 boundary ) + ( metal1 blockage ) + ( via1 drawing ) + ( via1 net ) + ( via1 pin ) + ( via1 label ) + ( via1 boundary ) + ( via1 blockage ) + ( metal2 drawing ) + ( metal2 net ) + ( metal2 pin ) + ( metal2 label ) + ( metal2 boundary ) + ( metal2 blockage ) + ( via2 drawing ) + ( via2 net ) + ( via2 pin ) + ( via2 label ) + ( via2 boundary ) + ( via2 blockage ) + ( metal3 drawing ) + ( metal3 net ) + ( metal3 pin ) + ( metal3 label ) + ( metal3 boundary ) + ( metal3 blockage ) + ( via3 drawing ) + ( via3 net ) + ( via3 pin ) + ( via3 label ) + ( via3 boundary ) + ( via3 blockage ) + ( metal4 drawing ) + ( metal4 net ) + ( metal4 pin ) + ( metal4 label ) + ( metal4 boundary ) + ( metal4 blockage ) + ( via4 drawing ) + ( via4 net ) + ( via4 pin ) + ( via4 label ) + ( via4 boundary ) + ( via4 blockage ) + ( metal5 drawing ) + ( metal5 net ) + ( metal5 pin ) + ( metal5 label ) + ( metal5 boundary ) + ( metal5 blockage ) + ( via5 drawing ) + ( via5 net ) + ( via5 pin ) + ( via5 label ) + ( via5 boundary ) + ( via5 blockage ) + ( metal6 drawing ) + ( metal6 net ) + ( metal6 pin ) + ( metal6 label ) + ( metal6 boundary ) + ( metal6 blockage ) + ( via6 drawing ) + ( via6 net ) + ( via6 pin ) + ( via6 label ) + ( via6 boundary ) + ( via6 blockage ) + ( metal7 drawing ) + ( metal7 net ) + ( metal7 pin ) + ( metal7 label ) + ( metal7 boundary ) + ( metal7 blockage ) + ( via7 drawing ) + ( via7 net ) + ( via7 pin ) + ( via7 label ) + ( via7 boundary ) + ( via7 blockage ) + ( metal8 drawing ) + ( metal8 net ) + ( metal8 pin ) + ( metal8 label ) + ( metal8 boundary ) + ( metal8 blockage ) + ( via8 drawing ) + ( via8 net ) + ( via8 pin ) + ( via8 label ) + ( via8 boundary ) + ( via8 blockage ) + ( metal9 drawing ) + ( metal9 net ) + ( metal9 pin ) + ( metal9 label ) + ( metal9 boundary ) + ( metal9 blockage ) + ( via9 drawing ) + ( via9 net ) + ( via9 pin ) + ( via9 label ) + ( via9 boundary ) + ( via9 blockage ) + ( metal10 drawing ) + ( metal10 net ) + ( metal10 pin ) + ( metal10 label ) + ( metal10 boundary ) + ( metal10 blockage ) + ( annotate drawing ) + ( annotate drawing1 ) + ( annotate drawing2 ) + ( annotate drawing3 ) + ( annotate drawing4 ) + ( annotate drawing5 ) + ( annotate drawing6 ) + ( annotate drawing7 ) + ( annotate drawing8 ) + ( annotate drawing9 ) + ( instance drawing ) + ( instance label ) + ( prBoundary drawing ) + ( prBoundary boundary ) + ( prBoundary label ) + ( align drawing ) + ( hardFence drawing ) + ( softFence drawing ) + ( text drawing ) + ( text drawing1 ) + ( text drawing2 ) + ( border drawing ) + ( device drawing ) + ( device label ) + ( device drawing1 ) + ( device drawing2 ) + ( device annotate ) + ( wire drawing ) + ( wire label ) + ( wire flight ) + ( pin label ) + ( pin drawing ) + ( pin annotate ) + ( axis drawing ) + ( edgeLayer drawing ) + ( edgeLayer pin ) + ( snap drawing ) + ( stretch drawing ) + ( y0 drawing ) + ( y0 flight ) + ( y1 drawing ) + ( y1 flight ) + ( y2 drawing ) + ( y2 flight ) + ( y3 drawing ) + ( y3 flight ) + ( y4 drawing ) + ( y4 flight ) + ( y5 drawing ) + ( y5 flight ) + ( y6 drawing ) + ( y6 flight ) + ( y7 drawing ) + ( y7 flight ) + ( y8 drawing ) + ( y8 flight ) + ( y9 drawing ) + ( y9 flight ) + ( hilite drawing ) + ( hilite drawing1 ) + ( hilite drawing2 ) + ( hilite drawing3 ) + ( hilite drawing4 ) + ( hilite drawing5 ) + ( hilite drawing6 ) + ( hilite drawing7 ) + ( hilite drawing8 ) + ( hilite drawing9 ) + ( select drawing ) + ( drive drawing ) + ( hiz drawing ) + ( resist drawing ) + ( spike drawing ) + ( supply drawing ) + ( unknown drawing ) + ( unset drawing ) + ( designFlow drawing ) + ( designFlow drawing1 ) + ( designFlow drawing2 ) + ( designFlow drawing3 ) + ( designFlow drawing4 ) + ( designFlow drawing5 ) + ( designFlow drawing6 ) + ( designFlow drawing7 ) + ( designFlow drawing8 ) + ( designFlow drawing9 ) + ( changedLayer tool0 ) + ( changedLayer tool1 ) + ( marker warning ) + ( marker error ) + ( marker annotate ) + ( marker info ) + ( marker ackWarn ) + ( marker soError ) + ( marker soCritical ) + ( marker critical ) + ( marker fatal ) + ( Row drawing ) + ( Row label ) + ( Row boundary ) + ( Group drawing ) + ( Group label ) + ( Group boundary ) + ( Cannotoccupy drawing ) + ( Cannotoccupy boundary ) + ( Canplace drawing ) + ( Unrouted drawing ) + ( Unrouted drawing1 ) + ( Unrouted drawing2 ) + ( Unrouted drawing3 ) + ( Unrouted drawing4 ) + ( Unrouted drawing5 ) + ( Unrouted drawing6 ) + ( Unrouted drawing7 ) + ( Unrouted drawing8 ) + ( Unrouted drawing9 ) + ( Unrouted track ) + ( snap boundary ) + ( border boundary ) + ( metal1 track ) + ( metal2 track ) + ( metal3 track ) + ( metal4 track ) + ( metal5 track ) + ( metal6 track ) + ( metal7 track ) + ( metal8 track ) + ( metal9 track ) + ( metal10 track ) + ( contact grid ) + ( metal1 grid ) + ( via1 grid ) + ( metal2 grid ) + ( via2 grid ) + ( metal3 grid ) + ( via3 grid ) + ( metal4 grid ) + ( via4 grid ) + ( metal5 grid ) + ( via5 grid ) + ( metal6 grid ) + ( via6 grid ) + ( metal7 grid ) + ( via7 grid ) + ( metal8 grid ) + ( via8 grid ) + ( metal9 grid ) + ( via9 grid ) + ( metal10 grid ) + ( snap grid ) + ) ;techLayerPurposePriorities + + techDisplays( + ;( LayerName Purpose Packet Vis Sel Con2ChgLy DrgEnbl Valid ) + ;( --------- ------- ------ --- --- --------- ------- ----- ) + ( pwell drawing pwell t t t t t ) + ( nwell drawing nwell t t t t t ) + ( vtg drawing vtg t t t t t ) + ( vth drawing vth t t t t t ) + ( active drawing active t t t t t ) + ( nimplant drawing nimplant t t t t t ) + ( pimplant drawing pimplant t t t t t ) + ( poly drawing poly t t t t t ) + ( thkox drawing thkox t t t t t ) + ( metal1 drawing metal1 t t t t t ) + ( metal1 blockage metal1 t nil t t nil ) + ( metal2 drawing metal2 t t t t t ) + ( metal2 blockage metal2 t nil t t nil ) + ( metal3 drawing metal3 t t t t t ) + ( metal3 blockage metal3 t nil t t nil ) + ( metal4 drawing metal4 t t t t t ) + ( metal4 blockage metal4 t nil t t nil ) + ( metal5 drawing metal5 t t t t t ) + ( metal5 blockage metal5 t nil t t nil ) + ( metal6 drawing metal6 t t t t t ) + ( metal6 blockage metal6 t nil t t nil ) + ( metal7 drawing metal7 t t t t t ) + ( metal7 blockage metal7 t nil t t nil ) + ( metal8 drawing metal8 t t t t t ) + ( metal8 blockage metal8 t nil t t nil ) + ( metal9 drawing metal9 t t t t t ) + ( metal9 blockage metal9 t nil t t nil ) + ( metal10 drawing metal10 t t t t t ) + ( metal10 blockage metal10 t nil t t nil ) + ( contact drawing contact t t t t t ) + ( contact blockage contact t nil t t nil ) + ( via1 drawing via1 t t t t t ) + ( via1 blockage via1 t nil t t nil ) + ( via2 drawing via2 t t t t t ) + ( via2 blockage via2 t nil t t nil ) + ( via3 drawing via3 t t t t t ) + ( via3 blockage via3 t nil t t nil ) + ( via4 drawing via4 t t t t t ) + ( via4 blockage via4 t nil t t nil ) + ( via5 drawing via5 t t t t t ) + ( via5 blockage via5 t nil t t nil ) + ( via6 drawing via6 t t t t t ) + ( via6 blockage via6 t nil t t nil ) + ( via7 drawing via7 t t t t t ) + ( via7 blockage via7 t nil t t nil ) + ( via8 drawing via8 t t t t t ) + ( via8 blockage via8 t nil t t nil ) + ( via9 drawing via9 t t t t t ) + ( via9 blockage via9 t nil t t nil ) + ( background drawing background t nil t nil nil ) + ( grid drawing grid t nil t nil nil ) + ( grid drawing1 grid1 t nil t nil nil ) + ( annotate drawing annotate t t t t nil ) + ( annotate drawing1 annotate1 t t t t nil ) + ( annotate drawing2 annotate2 t t t t nil ) + ( annotate drawing3 annotate3 t t t t nil ) + ( annotate drawing4 annotate4 t t t t nil ) + ( annotate drawing5 annotate5 t t t t nil ) + ( annotate drawing6 annotate6 t t t t nil ) + ( annotate drawing7 annotate7 t t t t nil ) + ( annotate drawing8 annotate8 t t t t nil ) + ( annotate drawing9 annotate9 nil t t t nil ) + ( instance drawing instance t t t t nil ) + ( instance label instanceLbl t t t t nil ) + ( prBoundary drawing prBoundary t t t t nil ) + ( prBoundary boundary prBoundaryBnd t t t t nil ) + ( prBoundary label prBoundaryLbl t t t t nil ) + ( align drawing align t t t t nil ) + ( hardFence drawing hardFence t t t t nil ) + ( softFence drawing softFence t t t t nil ) + ( text drawing text t t t t t ) + ( text drawing1 text1 t t t t nil ) + ( text drawing2 text2 t t t t nil ) + ( border drawing border t t t t nil ) + ( device drawing device t t t t nil ) + ( device label deviceLbl t t t t nil ) + ( device drawing1 device1 t t t t nil ) + ( device drawing2 device2 t t t t nil ) + ( device annotate deviceAnt t t t t nil ) + ( wire drawing wire t t t t nil ) + ( wire label wireLbl t t t t nil ) + ( wire flight wireFlt t t t t nil ) + ( pin label pinLbl t t t t nil ) + ( pin drawing pin t t t t nil ) + ( pin annotate pinAnt t t t t nil ) + ( axis drawing axis t nil t t nil ) + ( edgeLayer drawing edgeLayer t t t t nil ) + ( edgeLayer pin edgeLayerPin t t t t nil ) + ( snap drawing snap t t t t nil ) + ( stretch drawing stretch t t t t nil ) + ( y0 drawing y0 t t t t nil ) + ( y1 drawing y1 t t t t nil ) + ( y2 drawing y2 t t t t nil ) + ( y3 drawing y3 t t t t nil ) + ( y4 drawing y4 t t t t nil ) + ( y5 drawing y5 t t t t nil ) + ( y6 drawing y6 t t t t nil ) + ( y7 drawing y7 t t t t nil ) + ( y8 drawing y8 t t t t nil ) + ( y9 drawing y9 t t t t nil ) + ( hilite drawing hilite t t t t nil ) + ( hilite drawing1 hilite1 t t t t nil ) + ( hilite drawing2 hilite2 t t t t nil ) + ( hilite drawing3 hilite3 t t t t nil ) + ( hilite drawing4 hilite4 t t t t nil ) + ( hilite drawing5 hilite5 t t t t nil ) + ( hilite drawing6 hilite6 t t t t nil ) + ( hilite drawing7 hilite7 t t t t nil ) + ( hilite drawing8 hilite8 t t t t nil ) + ( hilite drawing9 hilite9 t t t t nil ) + ( select drawing select t t t t nil ) + ( drive drawing drive t t t t nil ) + ( hiz drawing hiz t t t t nil ) + ( resist drawing resist t t t t nil ) + ( spike drawing spike t t t t nil ) + ( supply drawing supply t t t t nil ) + ( unknown drawing unknown t t t t nil ) + ( unset drawing unset t t t t nil ) + ( designFlow drawing designFlow t t t nil nil ) + ( designFlow drawing1 designFlow1 t t t nil nil ) + ( designFlow drawing2 designFlow2 t t t nil nil ) + ( designFlow drawing3 designFlow3 t t t nil nil ) + ( designFlow drawing4 designFlow4 t t t nil nil ) + ( designFlow drawing5 designFlow5 t t t nil nil ) + ( designFlow drawing6 designFlow6 t t t nil nil ) + ( designFlow drawing7 designFlow7 t t t nil nil ) + ( designFlow drawing8 designFlow8 t t t nil nil ) + ( designFlow drawing9 designFlow9 t t t nil nil ) + ( changedLayer tool0 changedLayerTl0 nil nil t nil nil ) + ( changedLayer tool1 changedLayerTl1 nil nil t nil nil ) + ( marker warning markerWarn t t t t nil ) + ( marker error markerErr t t t t nil ) + ( Row drawing Row t t t t nil ) + ( Row label RowLbl t nil t t nil ) + ( Group drawing Group t t t t nil ) + ( Group label GroupLbl t nil t t nil ) + ( contact label contactLbl t t t nil nil ) + ( metal1 label metal1Lbl t t t nil nil ) + ( metal2 label metal2Lbl t t t nil nil ) + ( metal3 label metal3Lbl t t t nil nil ) + ( metal4 label metal4Lbl t t t nil nil ) + ( metal5 label metal5Lbl t t t nil nil ) + ( metal6 label metal6Lbl t t t nil nil ) + ( metal7 label metal7Lbl t t t nil nil ) + ( metal8 label metal8Lbl t t t nil nil ) + ( metal9 label metal9Lbl t t t nil nil ) + ( metal10 label metal10Lbl t t t nil nil ) + ( poly label polyLbl t t t nil nil ) + ( thkox label thkoxLbl t t t nil nil ) + ( via1 label via1Lbl t t t nil nil ) + ( via2 label via2Lbl t t t nil nil ) + ( via3 label via3Lbl t t t nil nil ) + ( via4 label via4Lbl t t t nil nil ) + ( via5 label via5Lbl t t t nil nil ) + ( via6 label via6Lbl t t t nil nil ) + ( via7 label via7Lbl t t t nil nil ) + ( via8 label via8Lbl t t t nil nil ) + ( via9 label via9Lbl t t t nil nil ) + ( pwell net pwellNet t t t nil t ) + ( nwell net nwellNet t t t nil t ) + ( vtg net vtgNet t t t nil t ) + ( vth net vthNet t t t nil t ) + ( active net activeNet t t t nil t ) + ( poly net polyNet t t t nil t ) + ( metal1 net metal1Net t t t nil t ) + ( metal2 net metal2Net t t t nil t ) + ( metal3 net metal3Net t t t nil t ) + ( metal4 net metal4Net t t t nil t ) + ( metal5 net metal5Net t t t nil t ) + ( metal6 net metal6Net t t t nil t ) + ( metal7 net metal7Net t t t nil t ) + ( metal8 net metal8Net t t t nil t ) + ( metal9 net metal9Net t t t nil t ) + ( metal10 net metal10Net t t t nil t ) + ( contact net contactNet t t t nil t ) + ( via1 net via1Net t t t nil t ) + ( via2 net via2Net t t t nil t ) + ( via3 net via3Net t t t nil t ) + ( via4 net via4Net t t t nil t ) + ( via5 net via5Net t t t nil t ) + ( via6 net via6Net t t t nil t ) + ( via7 net via7Net t t t nil t ) + ( via8 net via8Net t t t nil t ) + ( via9 net via9Net t t t nil t ) + ( active pin activePin t t t nil nil ) + ( contact pin contactPin t t t nil nil ) + ( metal1 pin metal1Pin t t t nil nil ) + ( metal2 pin metal2Pin t t t nil nil ) + ( metal3 pin metal3Pin t t t nil nil ) + ( metal4 pin metal4Pin t t t nil nil ) + ( metal5 pin metal5Pin t t t nil nil ) + ( metal6 pin metal6Pin t t t nil nil ) + ( metal7 pin metal7Pin t t t nil nil ) + ( metal8 pin metal8Pin t t t nil nil ) + ( metal9 pin metal9Pin t t t nil nil ) + ( metal10 pin metal10Pin t t t nil nil ) + ( nwell pin nwellPin t t t nil nil ) + ( poly pin polyPin t t t nil nil ) + ( pwell pin pwellPin t t t nil nil ) + ( via1 pin via1Pin t t t nil nil ) + ( via2 pin via2Pin t t t nil nil ) + ( via3 pin via3Pin t t t nil nil ) + ( via4 pin via4Pin t t t nil nil ) + ( via5 pin via5Pin t t t nil nil ) + ( via6 pin via6Pin t t t nil nil ) + ( via7 pin via7Pin t t t nil nil ) + ( via8 pin via8Pin t t t nil nil ) + ( via9 pin via9Pin t t t nil nil ) + ( Cannotoccupy drawing Cannotoccupy t t t t nil ) + ( Cannotoccupy boundary CannotoccupyBnd t t t t nil ) + ( Canplace drawing Canplace t t t t nil ) + ( contact boundary contactBnd t t t nil nil ) + ( metal1 boundary metal1Bnd t t t nil nil ) + ( metal2 boundary metal2Bnd t t t nil nil ) + ( metal3 boundary metal3Bnd t t t nil nil ) + ( metal4 boundary metal4Bnd t t t nil nil ) + ( metal5 boundary metal5Bnd t t t nil nil ) + ( metal6 boundary metal6Bnd t t t nil nil ) + ( metal7 boundary metal7Bnd t t t nil nil ) + ( metal8 boundary metal8Bnd t t t nil nil ) + ( metal9 boundary metal9Bnd t t t nil nil ) + ( metal10 boundary metal10Bnd t t t nil nil ) + ( poly boundary polyBnd t t t nil nil ) + ( via1 boundary via1Bnd t t t nil nil ) + ( via2 boundary via2Bnd t t t nil nil ) + ( via3 boundary via3Bnd t t t nil nil ) + ( via4 boundary via4Bnd t t t nil nil ) + ( via5 boundary via5Bnd t t t nil nil ) + ( via6 boundary via6Bnd t t t nil nil ) + ( via7 boundary via7Bnd t t t nil nil ) + ( via8 boundary via8Bnd t t t nil nil ) + ( via9 boundary via9Bnd t t t nil nil ) + ( Unrouted drawing Unrouted t t t t nil ) + ( Unrouted drawing1 Unrouted1 t t t t nil ) + ( Unrouted drawing2 Unrouted2 t t t t nil ) + ( Unrouted drawing3 Unrouted3 t t t t nil ) + ( Unrouted drawing4 Unrouted4 t t t t nil ) + ( Unrouted drawing5 Unrouted5 t t t t nil ) + ( Unrouted drawing6 Unrouted6 t t t t nil ) + ( Unrouted drawing7 Unrouted7 t t t t nil ) + ( Unrouted drawing8 Unrouted8 t t t t nil ) + ( Unrouted drawing9 Unrouted9 t t t t nil ) + ( snap boundary snap t nil t t nil ) + ( Row boundary RowBnd t t t t nil ) + ( Unrouted track UnroutedTrk t t t t nil ) + ( marker annotate markerAno t t t t nil ) + ( marker info markerInf t t t t nil ) + ( marker ackWarn markerAck t t t t nil ) + ( marker soError markerSer t t t t nil ) + ( marker soCritical markerScr t t t t nil ) + ( marker critical markerCrt t t t t nil ) + ( marker fatal markerFat t t t t nil ) + ( Group boundary GroupBnd t nil t t nil ) + ( y0 flight y0Flt t t t t nil ) + ( y1 flight y1Flt t t t t nil ) + ( y2 flight y2Flt t t t t nil ) + ( y3 flight y3Flt t t t t nil ) + ( y4 flight y4Flt t t t t nil ) + ( y5 flight y5Flt t t t t nil ) + ( y6 flight y6Flt t t t t nil ) + ( y7 flight y7Flt t t t t nil ) + ( y8 flight y8Flt t t t t nil ) + ( y9 flight y9Flt t t t t nil ) + ( border boundary area t nil t t nil ) + ) ;techDisplays + + techLayerProperties( + ;( PropName Layer1 [ Layer2 ] PropValue ) + ;( -------- ------ ---------- --------- ) + ( contactResistance via2 11.390000 ) + ( contactResistance via1 5.690000 ) + ( contactResistance via3 16.730000 ) + ( contactResistance via4 21.440000 ) + ( contactResistance via5 24.080000 ) + ( contactResistance via6 11.390000 ) + ( contactResistance via7 5.690000 ) + ( contactResistance via8 16.730000 ) + ( contactResistance via9 21.440000 ) + ( sheetResistance nwell 933.000000 ) + ( sheetResistance poly 7.800000 ) + ( sheetResistance metal1 0.380000 ) + ( sheetResistance metal3 0.250000 ) + ( sheetResistance metal4 0.250000 ) + ( sheetResistance metal5 0.250000 ) + ( sheetResistance metal6 0.250000 ) + ( sheetResistance metal2 0.250000 ) + ( sheetResistance metal7 0.250000 ) + ( sheetResistance metal8 0.250000 ) + ( sheetResistance metal9 0.210000 ) + ( sheetResistance metal10 0.210000 ) + ( contactResistance contact 10.500000 ) + ( areaCap active metal1 51 ) + ( areaCap active metal3 13 ) + ( areaCap active metal4 10 ) + ( areaCap active metal5 9 ) + ( areaCap active metal6 8 ) + ( areaCap active metal2 19 ) + ( areaCap active metal7 51 ) + ( areaCap active metal8 13 ) + ( areaCap active metal9 10 ) + ( areaCap active metal10 9 ) + ( areaCap poly metal1 61 ) + ( areaCap poly metal3 9 ) + ( areaCap poly metal4 7 ) + ( areaCap poly metal5 5 ) + ( areaCap poly metal6 4 ) + ( areaCap poly metal2 16 ) + ( areaCap poly metal7 61 ) + ( areaCap poly metal8 9 ) + ( areaCap poly metal9 7 ) + ( areaCap poly metal10 5 ) + ( perimeterCap poly metal1 66 ) + ( perimeterCap poly metal3 28 ) + ( perimeterCap poly metal4 23 ) + ( perimeterCap poly metal5 19 ) + ( perimeterCap poly metal6 17 ) + ( perimeterCap poly metal2 38 ) + ( perimeterCap poly metal7 66 ) + ( perimeterCap poly metal8 28 ) + ( perimeterCap poly metal9 23 ) + ( perimeterCap poly metal10 19 ) + ( areaCap metal1 metal3 13 ) + ( areaCap metal1 metal4 9 ) + ( areaCap metal1 metal5 6 ) + ( areaCap metal1 metal6 5 ) + ( areaCap metal1 metal2 34 ) + ( areaCap metal1 metal7 13 ) + ( areaCap metal1 metal8 9 ) + ( areaCap metal1 metal9 6 ) + ( areaCap metal1 metal10 5 ) + ( perimeterCap metal1 metal3 34 ) + ( perimeterCap metal1 metal4 34 ) + ( perimeterCap metal1 metal5 21 ) + ( perimeterCap metal1 metal6 18 ) + ( perimeterCap metal1 metal2 49 ) + ( perimeterCap metal1 metal7 49 ) + ( perimeterCap metal1 metal8 34 ) + ( perimeterCap metal1 metal9 34 ) + ( perimeterCap metal1 metal10 21 ) + ( areaCap metal3 metal2 36 ) + ( areaCap metal3 metal4 34 ) + ( areaCap metal3 metal5 14 ) + ( areaCap metal3 metal6 8 ) + ( areaCap metal3 metal7 36 ) + ( areaCap metal3 metal8 34 ) + ( areaCap metal3 metal9 14 ) + ( areaCap metal3 metal10 8 ) + ( perimeterCap metal3 metal2 46 ) + ( perimeterCap metal3 metal4 52 ) + ( perimeterCap metal3 metal5 34 ) + ( perimeterCap metal3 metal6 27 ) + ( perimeterCap metal3 metal7 46 ) + ( perimeterCap metal3 metal8 52 ) + ( perimeterCap metal3 metal9 34 ) + ( perimeterCap metal3 metal10 27 ) + ( areaCap metal4 metal2 13 ) + ( areaCap metal4 metal5 36 ) + ( areaCap metal4 metal6 13 ) + ( areaCap metal4 metal7 13 ) + ( areaCap metal4 metal8 36 ) + ( areaCap metal4 metal9 13 ) + ( areaCap metal4 metal10 13 ) + ( perimeterCap metal4 metal2 45 ) + ( perimeterCap metal4 metal5 57 ) + ( perimeterCap metal4 metal6 34 ) + ( perimeterCap metal4 metal7 45 ) + ( perimeterCap metal4 metal8 57 ) + ( perimeterCap metal4 metal9 34 ) + ( perimeterCap metal4 metal10 45 ) + ( areaCap metal5 metal2 8 ) + ( areaCap metal5 metal6 33 ) + ( areaCap metal5 metal7 33 ) + ( areaCap metal5 metal8 33 ) + ( areaCap metal5 metal9 33 ) + ( areaCap metal5 metal10 33 ) + ( perimeterCap metal5 metal2 26 ) + ( perimeterCap metal5 metal6 47 ) + ( perimeterCap metal5 metal7 47 ) + ( perimeterCap metal5 metal8 47 ) + ( perimeterCap metal5 metal9 47 ) + ( perimeterCap metal5 metal10 47 ) + ( areaCap metal6 metal2 6 ) + ( areaCap metal6 metal7 6 ) + ( areaCap metal6 metal8 6 ) + ( areaCap metal6 metal9 6 ) + ( areaCap metal6 metal10 6 ) + ( perimeterCap metal6 metal2 22 ) + ( perimeterCap metal6 metal7 22 ) + ( perimeterCap metal6 metal8 22 ) + ( perimeterCap metal6 metal9 22 ) + ( perimeterCap metal6 metal10 22 ) + ( areaCap metal7 metal2 6 ) + ( areaCap metal7 metal8 6 ) + ( areaCap metal7 metal9 6 ) + ( areaCap metal7 metal10 6 ) + ( perimeterCap metal7 metal2 22 ) + ( perimeterCap metal7 metal8 22 ) + ( perimeterCap metal7 metal9 22 ) + ( perimeterCap metal7 metal10 22 ) + ( areaCap metal8 metal2 6 ) + ( areaCap metal8 metal9 6 ) + ( areaCap metal8 metal10 6 ) + ( perimeterCap metal8 metal2 22 ) + ( perimeterCap metal8 metal9 22 ) + ( perimeterCap metal8 metal10 22 ) + ( areaCap metal9 metal2 6 ) + ( areaCap metal9 metal10 6 ) + ( perimeterCap metal9 metal2 22 ) + ( perimeterCap metal9 metal10 22 ) + ( areaCap metal10 metal2 6 ) + ( perimeterCap metal10 metal2 22 ) + ) ;techLayerProperties + + techDerivedLayers( + ;( DerivedLayerName # composition ) + ;( ---------------- ------ ------------ ) + ( noOverlapLayer1 10001 ( poly 'and active )) + ( noOverlapLayer2 10002 ( via1 'and contact )) + ) ;techDerivedLayers + +) ;layerDefinitions + + +;******************************** +; LAYER RULES +;******************************** +layerRules( + + equivalentLayers( + ;( list of layers ) + ;( -------------- ) + ) ;equivalentLayers + + functions( + ;( layer function [maskNumber]) + ;( ----- -------- ------------) + ( active "unknown" 1 ) + ( poly "poly" 9 ) + ( contact "cut" 10 ) + ( metal1 "metal" 11 ) + ( via1 "cut" 12 ) + ( metal2 "metal" 13 ) + ( via2 "cut" 14 ) + ( metal3 "metal" 15 ) + ( via3 "cut" 16 ) + ( metal4 "metal" 17 ) + ( via4 "cut" 18 ) + ( metal5 "metal" 19 ) + ( via5 "cut" 20 ) + ( metal6 "metal" 21 ) + ( via6 "cut" 22 ) + ( metal7 "metal" 23 ) + ( via7 "cut" 24 ) + ( metal8 "metal" 25 ) + ( via8 "cut" 26 ) + ( metal9 "metal" 27 ) + ( via9 "cut" 28 ) + ( metal10 "metal" 29 ) + ) ;functions + + routingDirections( + ;( layer direction ) + ;( ----- --------- ) + ( metal1 "horizontal" ) + ( metal10 "vertical" ) + ( metal2 "vertical" ) + ( metal3 "horizontal" ) + ( metal4 "vertical" ) + ( metal5 "horizontal" ) + ( metal6 "vertical" ) + ( metal7 "horizontal" ) + ( metal8 "vertical" ) + ( metal9 "horizontal" ) + ) ;routingDirections + + currentDensity( + ;( rule layer1 layer2 value ) + ;( ---- ------ ------ ----- ) + ) ;currentDensity + + currentDensityTables( + ;( rule layer1 + ; (( index1Definitions [index2Definitions]) [defaultValue] ) + ; (table)) + ;( ----------------------------------------------------------------------) + ) ;currentDensityTables + +) ;layerRules + + +;******************************** +; VIADEFS +;******************************** +viaDefs( + + standardViaDefs( + ;( viaDefName layer1 layer2 (cutLayer cutWidth cutHeight [resistancePerCut]) + ; (cutRows cutCol (cutSpace)) + ; (layer1Enc) (layer2Enc) (layer1Offset) (layer2Offset) (origOffset) + ; [implant1 (implant1Enc) [implant2 (implant2Enc)]]) + ;( -------------------------------------------------------------------------- ) + ( M2_M1 metal1 metal2 ("via1" 0.065 0.065) + (1 1 (0.075 0.075)) + (0.0 0.035) (0.0 0.035) (0.0 0.0) (0.0 0.0) (0.0 0.0) + ) + ( M3_M2 metal2 metal3 ("via2" 0.07 0.07) + (1 1 (0.085 0.085)) + (0.0 0.035) (0.0 0.035) (0.0 0.0) (0.0 0.0) (0.0 0.0) + ) + ( M4_M3 metal3 metal4 ("via3" 0.07 0.07) + (1 1 (0.085 0.085)) + (0.0 0.035) (0.0 0.0) (0.0 0.0) (0.0 0.0) (0.0 0.0) + ) + ( M5_M4 metal4 metal5 ("via4" 0.14 0.14) + (1 1 (0.16 0.16)) + (0.0 0.0) (0.0 0.0) (0.0 0.0) (0.0 0.0) (0.0 0.0) + ) + ( M6_M5 metal5 metal6 ("via5" 0.14 0.14) + (1 1 (0.16 0.16)) + (0.0 0.0) (0.0 0.0) (0.0 0.0) (0.0 0.0) (0.0 0.0) + ) + ( M7_M6 metal6 metal7 ("via6" 0.14 0.14) + (1 1 (0.16 0.16)) + (0.0 0.0) (0.13 0.13) (0.0 0.0) (0.0 0.0) (0.0 0.0) + ) + ( M8_M7 metal7 metal8 ("via7" 0.4 0.4) + (1 1 (0.4 0.4)) + (0.0 0.0) (0.0 0.0) (0.0 0.0) (0.0 0.0) (0.0 0.0) + ) + ( M9_M8 metal8 metal9 ("via8" 0.4 0.4) + (1 1 (0.4 0.4)) + (0.0 0.0) (0.2 0.2) (0.0 0.0) (0.0 0.0) (0.0 0.0) + ) + ( M10_M9 metal9 metal10 ("via9" 0.8 0.8) + (1 1 (0.8 0.8)) + (0.0 0.0) (0.0 0.0) (0.0 0.0) (0.0 0.0) (0.0 0.0) + ) + ( M1_POLY poly metal1 ("contact" 0.065 0.065) + (1 1 (0.075 0.075)) + (0.0 0.0) (0.0 0.035) (0.0 0.0) (0.0 0.0) (0.0 0.0) + ) + ( NTAP nwell metal1 ("contact" 0.065 0.065) + (1 1 (0.075 0.075)) + (0.0675 0.0675) (0.0 0.035) (0.0 0.0) (0.0 0.0) (0.0 0.0) + nimplant (-0.055 -0.055) active (0.0125 -0.0225) + ) + ( PTAP pwell metal1 ("contact" 0.065 0.065) + (1 1 (0.075 0.075)) + (0.0675 0.0675) (0.0 0.035) (0.0 0.0) (0.0 0.0) (0.0 0.0) + pimplant (-0.055 -0.055) active (0.0125 -0.0225) + ) + ( M1_N active metal1 ("contact" 0.065 0.065) + (1 1 (0.075 0.075)) + (0.005 0.005) (0.0 0.035) (0.0 0.0) (0.0 0.0) (0.0 0.0) + nimplant (0.0 0.0) + ) + ( M1_P active metal1 ("contact" 0.065 0.065) + (1 1 (0.075 0.075)) + (0.005 0.005) (0.0 0.035) (0.0 0.0) (0.0 0.0) (0.0 0.0) + pimplant (0.0 0.0) + ) + ) ;standardViaDefs + + customViaDefs( + ;( viaDefName libName cellName viewName layer1 layer2 resistancePerCut) + ;( ---------- ------- -------- -------- ------ ------ ----------------) + ( M2_M1_via NCSU_TechLib_FreePDK45 M2_M1_via via metal1 metal2 0.0) + ( M3_M2_via NCSU_TechLib_FreePDK45 M3_M2_via via metal2 metal3 0.0) + ( M4_M3_via NCSU_TechLib_FreePDK45 M4_M3_via via metal3 metal4 0.0) + ( M5_M4_via NCSU_TechLib_FreePDK45 M5_M4_via via metal4 metal5 0.0) + ( M6_M5_via NCSU_TechLib_FreePDK45 M6_M5_via via metal5 metal6 0.0) + ( M7_M6_via NCSU_TechLib_FreePDK45 M7_M6_via via metal6 metal7 0.0) + ( M8_M7_via NCSU_TechLib_FreePDK45 M8_M7_via via metal7 metal8 0.0) + ( M9_M8_via NCSU_TechLib_FreePDK45 M9_M8_via via metal8 metal9 0.0) + ( M10_M9_via NCSU_TechLib_FreePDK45 M10_M9_via via metal9 metal10 0.0) + ( M2_M1_viaB NCSU_TechLib_FreePDK45 M2_M1_viaB via metal1 metal2 0.0) + ( M2_M1_viaC NCSU_TechLib_FreePDK45 M2_M1_viaC via metal1 metal2 0.0) + ( M3_M2_viaB NCSU_TechLib_FreePDK45 M3_M2_viaB via metal2 metal3 0.0) + ( M3_M2_viaC NCSU_TechLib_FreePDK45 M3_M2_viaC via metal2 metal3 0.0) + ( M4_M3_viaB NCSU_TechLib_FreePDK45 M4_M3_viaB via metal3 metal4 0.0) + ) ;customViaDefs + +) ;viaDefs + + + +;******************************** +; CONSTRAINT GROUPS +;******************************** +constraintGroups( + + ;( group [override] ) + ;( ----- ---------- ) + ( "virtuosoDefaultExtractorSetup" nil + + interconnect( + ( validLayers (metal10 metal9 metal8 metal7 metal6 metal5 metal4 metal3 metal2 metal1 via9 via8 via7 via6 via5 via4 via3 via2 via1 contact poly active nwell pwell ) ) + ( errorLayer noOverlapLayer1 ) + ( errorLayer noOverlapLayer2 ) + ) ;interconnect + ) ;virtuosoDefaultExtractorSetup + + ;( group [override] ) + ;( ----- ---------- ) + ( "LEFDefaultRouteSpec" nil + + interconnect( + ( validLayers (metal1 metal2 metal3 metal4 metal5 metal6 metal7 metal8 metal9 metal10 ) ) + ) ;interconnect + + routingGrids( + ( horizontalPitch "metal1" 0.19 ) + ( verticalPitch "metal1" 0.19 ) + ( horizontalPitch "metal2" 0.19 ) + ( verticalPitch "metal2" 0.19 ) + ( horizontalPitch "metal3" 0.19 ) + ( verticalPitch "metal3" 0.19 ) + ( horizontalPitch "metal4" 0.285 ) + ( verticalPitch "metal4" 0.285 ) + ( horizontalPitch "metal5" 0.285 ) + ( verticalPitch "metal5" 0.285 ) + ( horizontalPitch "metal6" 0.285 ) + ( verticalPitch "metal6" 0.285 ) + ( horizontalPitch "metal7" 0.855 ) + ( verticalPitch "metal7" 0.855 ) + ( horizontalPitch "metal8" 0.855 ) + ( verticalPitch "metal8" 0.855 ) + ( horizontalPitch "metal9" 1.71 ) + ( verticalPitch "metal9" 1.71 ) + ( horizontalPitch "metal10" 1.71 ) + ( verticalPitch "metal10" 1.71 ) + ) ;routingGrids + + interconnect( + ( validVias (M2_M1_via M3_M2_via M4_M3_via M5_M4_via M6_M5_via M7_M6_via M8_M7_via M9_M8_via M10_M9_via M2_M1_viaB M2_M1_viaC M3_M2_viaB M3_M2_viaC M4_M3_viaB ) ) + ) ;interconnect + ) ;LEFDefaultRouteSpec + + ;( group [override] ) + ;( ----- ---------- ) + ( "foundry" nil + + orderedSpacings( + ( minEnclosure "nimplant" "active" 0.0 ) + ( minEnclosure "pimplant" "active" 0.0 ) + ( minEnclosure "nwell" "active" 0.055 ) + ( minEnclosure "pwell" "active" 0.055 ) + ( minEnclosure "nimplant" "contact" 0.005 ) + ( minEnclosure "pimplant" "contact" 0.005 ) + ( minEnclosure "active" "contact" 0.005 ) + ( minEnclosure "poly" "contact" 0.005 ) + ( minEnclosure "metal1" "contact" 0.0 ) + ( minEnclosure "metal1" "via1" 0.0 ) + ( minEnclosure "metal2" "via1" 0.0 ) + ( minEnclosure "nwell" "active" 0.0 ) + ( minEnclosure "pwell" "active" 0.0 ) + ( minEnclosure "metal2" "via2" 0.0 ) + ( minEnclosure "metal3" "via2" 0.0 ) + ( minEnclosure "metal3" "via3" 0.0 ) + ( minEnclosure "metal4" "via3" 0.0 ) + ( minEnclosure "metal4" "via4" 0.0 ) + ( minEnclosure "metal5" "via4" 0.0 ) + ( minEnclosure "metal5" "via5" 0.0 ) + ( minEnclosure "metal6" "via5" 0.0 ) + ( minEnclosure "metal6" "via6" 0.0 ) + ( minEnclosure "metal7" "via6" 0.0 ) + ( minEnclosure "metal7" "via7" 0.0 ) + ( minEnclosure "metal8" "via7" 0.0 ) + ( minEnclosure "metal8" "via8" 0.0 ) + ( minEnclosure "metal9" "via8" 0.0 ) + ( minEnclosure "metal9" "via9" 0.0 ) + ( minEnclosure "metal10" "via9" 0.0 ) + ) ;orderedSpacings + + spacings( + ( minSameNetSpacing "nwell" 0.135 ) + ( minSpacing "nwell" "active" 0.055 ) + ( minSpacing "pwell" "active" 0.055 ) + ( minSameNetSpacing "pwell" 0.135 ) + ( minSpacing "active" 0.08 ) + ( minSameNetSpacing "active" 0.08 ) + ( minWidth "active" 0.09 ) + ( minSpacing "nimplant" 0.045 ) + ( minSpacing "pimplant" 0.045 ) + ( minSameNetSpacing "nimplant" 0.045 ) + ( minSameNetSpacing "pimplant" 0.045 ) + ( minWidth "nimplant" 0.045 ) + ( minWidth "pimplant" 0.045 ) + ( minWidth "poly" 0.05 ) + ( minSpacing "poly" "contact" 0.09 ) + ( minSpacing "metal1" 0.065 ) + ( minSameNetSpacing "metal1" 0.065 ) + ( minWidth "metal1" 0.065 ) + ( minWidth "metal2" 0.070 ) + ( minSpacing "via1" 0.075 ) + ( minWidth "via1" 0.065 ) + ( minSpacing "metal2" 0.075 ) + ( minSameNetSpacing "metal2" 0.070 ) + ( minSpacing "contact" 0.075 ) + ( minSpacing "poly" 0.075 ) + ( minWidth "nwell" 0.2 ) + ( minWidth "pwell" 0.2 ) + ( minSpacing "nwell" 0.225 ) + ( minSpacing "pwell" 0.225 ) + ( minSpacing "metal6" 0.14 ) + ( minSameNetSpacing "metal6" 0.14 ) + ( minWidth "metal6" 0.14 ) + ( minSpacing "via5" 0.16 ) + ( minWidth "via5" 0.14 ) + ( minSpacing "metal5" 0.14 ) + ( minSameNetSpacing "metal5" 0.14 ) + ( minWidth "metal5" 0.14 ) + ( minSpacing "via4" 0.16 ) + ( minWidth "via4" 0.14 ) + ( minWidth "metal4" 0.14 ) + ( minSpacing "via3" 0.085 ) + ( minWidth "via3" 0.07 ) + ( minWidth "metal3" 0.07 ) + ( minSpacing "via2" 0.085 ) + ( minWidth "via2" 0.07 ) + ( minSpacing "metal4" 0.14 ) + ( minSameNetSpacing "metal4" 0.14 ) + ( minSpacing "metal3" 0.07 ) + ( minSameNetSpacing "metal3" 0.07 ) + + ( minSpacing "metal7" 0.44 ) + ( minSameNetSpacing "metal7" 0.44 ) + ( minWidth "metal7" 0.4 ) + ( minSpacing "via6" 0.16 ) + ( minWidth "via6" 0.14 ) + ( minSpacing "metal8" 0.44 ) + ( minSameNetSpacing "metal8" 0.44 ) + ( minWidth "metal8" 0.4 ) + ( minSpacing "via7" 0.44 ) + ( minWidth "via7" 0.4 ) + ( minWidth "metal9" 0.8 ) + ( minSpacing "via8" 0.44 ) + ( minWidth "via8" 0.4 ) + ( minWidth "metal10" 0.4 ) + ( minSpacing "via9" 0.88 ) + ( minWidth "via9" 0.8 ) + ( minSpacing "metal9" 0.8 ) + ( minSameNetSpacing "metal9" 0.8 ) + ( minSpacing "metal10" 0.8 ) + ( minSameNetSpacing "metal10" 0.8 ) + + ) ;spacings + ) ;foundry +) ;constraintGroups + + +;******************************** +; DEVICES +;******************************** +devices( +tcCreateCDSDeviceClass() + +; +; no cdsVia devices +; + +; +; no cdsMos devices +; + +; +; no ruleContact devices +; + +; +; no multipartPathTemplates +; + + +;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +; +; Opus Symbolic Device Class Definition +; +;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; + +; +; no other device classes +; + +;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +; +; Opus Symbolic Device Declaration +; +;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; + +; +; no other devices +; +) ;devices + + +;******************************** +; LE RULES +;******************************** +leRules( + + leLswLayers( + ;( layer purpose ) + ;( ----- ------- ) + ) ;leLswLayers + +) ;leRules diff --git a/technology/freepdk45/tf/README.txt b/technology/freepdk45/tf/README.txt new file mode 100644 index 00000000..5393b0b3 --- /dev/null +++ b/technology/freepdk45/tf/README.txt @@ -0,0 +1,47 @@ +These technology files are from the FreePDK45nm design kit. + +FreePDK 45nm verion 1.4 (2011-04-07) +(Subversion Repository revision 173) + +Copyright 2007 - W. Rhett Davis, Paul Franzon, Michael Bucher, + and Sunil Basavarajaiah, North Carolina State University +Copyright 2008 - W. Rhett Davis, Michael Bucher, and Sunil Basavarajaiah, + North Carolina State University (ncsu_basekit subtree) + James Stine, and Ivan Castellanos, + and Oklahoma State University (osu_soc subtree) +Copyright 2011 - W. Rhett Davis, and Harun Demircioglu, + North Carolina State University + +SVRF Technology in this kit is licensed under the the agreement found +in the file SVRF_EULA_06Feb09.txt in this directory. All other files +are licensed under the Apache License, Version 2.0 (the "License"); +you may not use these files except in compliance with the License. +You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + +Unless required by applicable law or agreed to in writing, software +distributed under the License is distributed on an "AS IS" BASIS, +WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +See the License for the specific language governing permissions and +limitations under the License. + +***** Welcome to the FreePDK 45nm Free, Open-Source Process Design Kit ***** + +This initiative is brought to you by the Semiconductor Research +Corporation (SRC), the National Science Foundation (NSF), Silicon +Integration Initiative (Si2), Mentor Graphics, and Synopsys. + +This version of the kit was created by Rhett Davis, Paul Franzon, +Michael Bucher, Sunil Basavarajaiah, and Harun Demircioglu +of North Carolina State University, and James Stine and Ivan Castellanos +of Oklahoma State University. + +Contributions and modifications to this kit are welcomed and encouraged. + +***** Contents ***** + +ncsu_basekit/ Base kit for custom design +osu_soc/ Standard-cell kit for synthesis, place, & route + + diff --git a/technology/freepdk45/tf/display.drf b/technology/freepdk45/tf/display.drf new file mode 100644 index 00000000..7923ae62 --- /dev/null +++ b/technology/freepdk45/tf/display.drf @@ -0,0 +1,3445 @@ +;========================================================================== +; +; $Id: display.drf 61 2007-07-24 20:05:21Z mdbucher $ +; +;-------------------------------------------------------------------------- + +drDefineDisplay( +;( DisplayName ) + ( display ) ;-- Screen -- + ( psc ) ;-- Color PS -- + ( psb ) ;-- B&W PS -- +) + + +; ------------------------------------------------------------------- +; ------ Display information for the display device 'display'. ------ +; ------------------------------------------------------------------- + +drDefineColor( +;( DisplayName ColorName Red Green Blue Blink ) +;( ----------- --------- --- ----- ---- ----- ) + ( display white 255 255 255 ) + ( display blinkWhite 255 255 255 t ) + ( display silver 217 230 255 ) + ( display cream 255 255 204 ) + ( display pink 255 191 242 ) + ( display magenta 255 0 255 ) + ( display lime 0 255 0 ) + ( display tan 255 230 191 ) + ( display cyan 0 255 255 ) + ( display cadetBlue 57 191 255 ) + ( display yellow 255 255 0 ) + ( display blinkYellow 255 255 0 t ) ; jts + ( display orange 255 128 0 ) + ( display red 255 0 0 ) + ( display purple 153 0 230 ) + ( display green 0 204 102 ) + ( display brown 191 64 38 ) + ( display blue 0 0 255 ) + ( display slate 140 140 166 ) + ( display gold 217 204 0 ) + ( display maroon 230 31 13 ) + ( display violet 94 0 230 ) + ( display forest 38 140 107 ) + ( display chocolate 128 38 38 ) + ( display navy 51 51 153 ) + ( display black 0 0 0 ) + ( display winBack 224 224 224 ) + ( display winFore 128 0 0 ) + ( display winText 51 51 51 ) + ( display winColor1 166 166 166 ) + ( display winColor2 115 115 115 ) + ( display winColor3 189 204 204 ) + ( display winColor4 204 204 204 ) + ( display winColor5 199 199 199 ) + ( display lightpink 255 196 209 ) + +;---- cmosx below ------------------------------- + ( display gray 204 204 217 ) + ( display volorange 255 164 0 ) + +) + +drDefineStipple( +;( DisplayName StippleName Bitmap ) +;( ----------- ----------- ------ ) + + ( display blank ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( display solid ( + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + ) ) + ( display dots ( + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( display hLine ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + ) ) + ( display hLine2 ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + ) ) + ( display vLine ( + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + ) ) + ( display vLine2 ( + (1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + ) ) + ( display cross ( + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + ) ) + ( display miniHatch ( + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 0 1 0 0 1 0 0 0 0 1 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 0 1 0 0 1 0 0 0 0 1 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( display grid ( + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + ) ) + ( display slash ( + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + ) ) + ( display halfslash ( + (0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + ) ) + ( display backSlash ( + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + ) ) + ( display hZigZag ( + (1 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 0 1 1 0 0 0 0 0 0 1 1 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 1) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (0 1 1 0 0 0 0 0 0 1 1 0 0 0 0 0) + (0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 1 1 0 0 0 0 0 0 1 1 0 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1) + (0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0) + (0 0 1 1 0 0 0 0 0 0 1 1 0 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 1 1 0 0 0 0 0 0 1 1 0) + (0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + ) ) + ( display vZigZag ( + (1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0) + (1 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0) + (0 1 0 0 0 0 1 0 0 0 0 0 1 0 0 0) + (0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0) + (0 0 1 0 0 0 0 0 1 0 0 0 0 1 0 0) + (0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 0) + (0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0) + (0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1) + (1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0) + (1 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0) + (0 1 0 0 0 0 1 0 0 0 0 0 1 0 0 0) + (0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0) + (0 0 1 0 0 0 0 0 1 0 0 0 0 1 0 0) + (0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 0) + (0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0) + (0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1) + ) ) + ( display rvZigZag ( + (0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1) + (0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1) + (0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 0) + (0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0) + (0 0 1 0 0 0 0 1 0 0 0 0 0 1 0 0) + (0 1 0 0 0 0 0 1 0 0 0 0 1 0 0 0) + (0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0) + (1 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1) + (0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1) + (0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 0) + (0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0) + (0 0 1 0 0 0 0 1 0 0 0 0 0 1 0 0) + (0 1 0 0 0 0 0 1 0 0 0 0 1 0 0 0) + (0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0) + (1 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0) + ) ) + ( display hCurb ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 1 1 1 1 0 0 0 1 1 1 1 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (1 1 1 1 0 0 0 1 1 1 1 1 0 0 0 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 1 1 1 1 0 0 0 1 1 1 1 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (1 1 1 1 0 0 0 1 1 1 1 1 0 0 0 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( display vCurb ( + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0) + ) ) + ( display brick ( + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + ) ) + ( display dagger ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (1 1 1 1 1 0 0 0 1 1 1 1 1 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 1 1 1 1 1 0 0 0 1 1 1 1 1) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + ) ) + ( display triangle ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0) + (0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0) + (0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0) + (0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( display x ( + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + ) ) + ( display dot1 ( + (1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( display dot2 ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( display dot3 ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 1 0 0 0 0 0 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 1 0 0 0 0 0 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 1 0 0 0 0 0 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( display dot4 ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( display checker ( + (1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1) + ) ) + ( display viap ( + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1) + ) ) + ( display metal1S ( + (1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1) + ) ) + ( display metal2S ( + (1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1) + ) ) + ( display gnd2S ( + (1 0 0 0 0 0 1 1 1 0 0 0 0 0 1 1) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (1 1 1 0 0 0 0 0 1 1 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 1 1 0 0 0 0 0 1 1 1 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 1 1 1 0 0 0 0 0 1 1 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (1 0 0 0 0 0 1 1 1 0 0 0 0 0 1 1) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (1 1 1 0 0 0 0 0 1 1 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 1 1 0 0 0 0 0 1 1 1 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 1 1 1 0 0 0 0 0 1 1 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + ) ) + ( display vcc2S ( + (0 0 0 1 1 0 0 0 0 0 0 1 1 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 1 1 0 0 0 0 0 0 1 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (0 1 1 0 0 0 0 0 0 1 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 0 1 1 0 0 0 0 0 0 1 1 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 1 1 0 0 0 0 0 0 1 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (0 1 1 0 0 0 0 0 0 1 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + ) ) + ( display vcc1S ( + (1 0 0 1 1 0 0 0 1 0 0 1 1 0 0 0) + (0 1 0 0 1 0 0 0 0 1 0 0 1 0 0 0) + (0 0 1 0 0 1 1 0 0 0 1 0 0 1 1 0) + (0 0 0 1 0 0 1 0 0 0 0 1 0 0 1 0) + (1 0 0 0 1 0 0 1 1 0 0 0 1 0 0 1) + (1 0 0 0 0 1 0 0 1 0 0 0 0 1 0 0) + (0 1 1 0 0 0 1 0 0 1 1 0 0 0 1 0) + (0 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1) + (1 0 0 1 1 0 0 0 1 0 0 1 1 0 0 0) + (0 1 0 0 1 0 0 0 0 1 0 0 1 0 0 0) + (0 0 1 0 0 1 1 0 0 0 1 0 0 1 1 0) + (0 0 0 1 0 0 1 0 0 0 0 1 0 0 1 0) + (1 0 0 0 1 0 0 1 1 0 0 0 1 0 0 1) + (1 0 0 0 0 1 0 0 1 0 0 0 0 1 0 0) + (0 1 1 0 0 0 1 0 0 1 1 0 0 0 1 0) + (0 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1) + ) ) + ( display poly2p ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 1 1 0 0 0 0 0 1 1 0 0 0 0) + (0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0) + (0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 0) + (0 0 1 1 1 0 0 0 0 0 0 1 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 1 1 1 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( display contp ( + (1 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0) + (1 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 1 1 0 0 0 0 0 0 1 1 0 0) + (0 0 0 0 1 1 0 0 0 0 0 0 1 1 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0) + (1 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 1 1 0 0 0 0 0 0 1 1 0 0) + (0 0 0 0 1 1 0 0 0 0 0 0 1 1 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( display pplusp ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( display wellp ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( display checker1 ( + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + ) ) + ( display checker2 ( + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + ) ) + ( display invCross ( + (1 1 0 1 1 1 0 1 1 1 0 1 1 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 1 1 0 1 1 1 0 1 1 1 0 1 1 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (1 1 0 1 1 1 0 1 1 1 0 1 1 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 1 1 0 1 1 1 0 1 1 1 0 1 1 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (1 1 0 1 1 1 0 1 1 1 0 1 1 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 1 1 0 1 1 1 0 1 1 1 0 1 1 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (1 1 0 1 1 1 0 1 1 1 0 1 1 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 1 1 0 1 1 1 0 1 1 1 0 1 1 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + ) ) + ( display wellBp ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 1 1 1 0 0 0 1 1 1 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 1 0 1 0 0 0 1 1 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 1 1 1 0 0 1 1 0 1 1 0 0 1 1 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 1 0 1 1 0 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 1 0 1 1 0 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 1 0 1 1 0 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 0 1 0 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) +( display wellvtg ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0) + ) ) +( display wellvth ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) +( display thickox ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( display cwellBp ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + + ( display capID ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 1 1 1 1 1 1 1 1 1 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 1 1 1 1 1 1 0 0 0 0 0) + (0 0 0 0 1 0 0 1 1 0 0 1 0 0 0 0) + (0 0 0 1 0 0 0 1 1 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( display resID ( ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 1 1 0 1 0 0 1 1 1 0 0 0 0 0 0 ) + ( 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) ) ) + ( display diodeID ( ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 1 1 0 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 1 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 0 1 0 1 0 0 0 0 ) + ( 0 0 0 1 1 1 1 0 0 0 1 1 1 1 0 0 ) + ( 0 0 0 0 0 0 1 0 0 1 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 1 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 1 1 0 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) ) ) + +) + +drDefineLineStyle( +;( DisplayName LineStyle Size Pattern ) +;( ----------- --------- ---- ------- ) + ( display solid 1 (1 1 1) ) + ( display dashed 1 (1 1 1 1 0 0) ) + ( display dots 1 (1 0 0) ) + ( display dashDot 1 (1 1 1 0 0 1 0 0) ) + ( display shortDash 1 (1 1 0 0) ) + ( display doubleDash 1 (1 1 1 1 0 0 1 1 0 0) ) + ( display hidden 1 (1 0 0 0) ) + ( display thickLine 3 (1 1 1) ) + ( display mLine 2 (1 1 1) ) + ) + +drDefinePacket( +;( DisplayName PacketName Stipple LineStyle Fill Outline ) +;( ----------- ---------- ------- --------- ---- ------- ) + ( display default blank solid green green ) + ( display hdrcBnd blank solid white white ) + ( display nwell wellp solid green green ) + ( display nwellNet blank solid green green ) + ( display nwellPin X solid green green ) + ( display pwell wellp solid orange orange ) + ( display pwellNet blank solid orange orange ) + ( display pwellPin X solid orange orange ) + ( display pbase checker2 solid orange orange ) + ( display pbaseNet blank solid orange orange ) + ( display pbasePin X solid orange orange ) + ( display vtg wellvtg dashed blue blue ) + ( display vtgNet blank dashed blue blue ) + ( display vth wellvth dashed blue blue ) + ( display vthNet blank dashed blue blue ) + ( display active contp solid green green ) + ( display activeNet blank solid green green ) + ( display activePin X solid green green ) + ( display thkox thickox solid yellow yellow ) + ( display thkoxLbl blank solid yellow yellow ) + ( display nimplant triangle solid green green ) + ( display pimplant triangle solid orange orange ) + ( display poly checker1 solid red red ) + ( display polyNet blank solid red red ) + ( display polyPin X solid red red ) + ( display polyLbl blank solid red red ) + ( display polyBnd blank solid red red ) + ( display sblock brick solid blue blue ) + ( display highres resID solid blue blue ) + ( display elec checker2 solid yellow yellow ) + ( display elecNet blank solid yellow yellow ) + ( display elecPin X solid yellow yellow ) + ( display elecLbl blank solid yellow yellow ) + ( display elecBnd blank solid yellow yellow ) + ( display metal1 backSlash solid blue blue ) + ( display metal1Net blank solid blue blue ) + ( display metal1Pin X solid blue blue ) + ( display metal1Lbl blank solid blue blue ) + ( display metal1Bnd blank solid blue blue ) + ( display contact X solid black lime ) + ( display contactNe blank solid brown brown ) + ( display contactPin X solid black black ) + ( display contactLbl blank solid black black ) + ( display contactBnd blank solid black black ) + ( display metal2 dots solid magenta magenta ) + ( display metal2Net blank solid magenta magenta ) + ( display metal2Pin X solid magenta magenta ) + ( display metal2Lbl blank solid magenta magenta ) + ( display metal2Bnd blank solid magenta magenta ) + ( display via1 invCross solid magenta navy ) + ( display via1Net blank solid purple black ) + ( display via1Pin X solid purple black ) + ( display via1Lbl blank solid purple black ) + ( display via1Bnd blank solid purple black ) + ( display metal3 halfslash solid cyan cyan ) + ( display metal3Net blank solid cyan cyan ) + ( display metal3Pin X solid cyan cyan ) + ( display metal3Lbl blank solid cyan cyan ) + ( display metal3Bnd blank solid cyan cyan ) + ( display via2 invCross solid cadetBlue cadetBlue ) + ( display via2Net blank solid cadetBlue cadetBlue ) + ( display via2Pin X solid cadetBlue cadetBlue ) + ( display via2Lbl blank solid cadetBlue cadetBlue ) + ( display via2Bnd blank solid cadetBlue cadetBlue ) + ( display metal4 dot4 solid cream cream ) + ( display metal4Net blank solid cream cream ) + ( display metal4Pin X solid cream cream ) + ( display metal4Lbl blank solid cream cream ) + ( display metal4Bnd blank solid cream cream ) + ( display via3 invCross solid tan tan ) + ( display via3Net blank solid tan tan ) + ( display via3Pin X solid tan tan ) + ( display via3Lbl blank solid tan tan ) + ( display via3Bnd blank solid tan tan ) + ( display metal5 metal2S solid cadetBlue cadetBlue ) + ( display metal5Net blank solid cadetBlue cadetBlue ) + ( display metal5Pin X solid cadetBlue cadetBlue ) + ( display metal5Lbl blank solid cadetBlue cadetBlue ) + ( display metal5Bnd blank solid cadetBlue cadetBlue ) + ( display via4 invCross solid blue blue ) + ( display via4Net blank solid blue blue ) + ( display via4Pin X solid blue blue ) + ( display via4Lbl blank solid blue blue ) + ( display via4Bnd blank solid blue blue ) + ( display metal6 miniHatch solid gold gold ) + ( display metal6Net blank solid gold gold ) + ( display metal6Pin X solid gold gold ) + ( display metal6Lbl blank solid gold gold ) + ( display metal6Bnd blank solid gold gold ) + ( display via5 invCross solid yellow yellow ) + ( display via5Net blank solid yellow yellow ) + ( display via5Pin X solid yellow yellow ) + ( display via5Lbl blank solid yellow yellow ) + ( display via5Bnd blank solid yellow yellow ) + ( display metal7 halfslash solid lime lime ) + ( display metal7Net blank solid lime lime ) + ( display metal7Pin X solid lime lime ) + ( display metal7Lbl blank solid lime lime ) + ( display metal7Bnd blank solid lime lime ) + ( display via6 invCross solid magenta magenta ) + ( display via6Net blank solid magenta magenta ) + ( display via6Pin X solid magenta magenta ) + ( display via6Lbl blank solid magenta magenta ) + ( display via6Bnd blank solid magenta magenta ) + ( display metal8 hLine2 solid white white ) + ( display metal8Net blank solid white white ) + ( display metal8Pin X solid white white ) + ( display metal8Lbl blank solid white white ) + ( display metal8Bnd blank solid white white ) + ( display via7 invCross solid cadetBlue cadetBlue ) + ( display via7Net blank solid cadetBlue cadetBlue ) + ( display via7Pin X solid cadetBlue cadetBlue ) + ( display via7Lbl blank solid cadetBlue cadetBlue ) + ( display via7Bnd blank solid cadetBlue cadetBlue ) + ( display metal9 vLine2 solid tan tan ) + ( display metal9Net blank solid tan tan ) + ( display metal9Pin X solid tan tan ) + ( display metal9Lbl blank solid tan tan ) + ( display metal9Bnd blank solid tan tan ) + ( display via8 invCross solid cream cream ) + ( display via8Net blank solid cream cream ) + ( display via8Pin X solid cream cream ) + ( display via8Lbl blank solid cream cream ) + ( display via8Bnd blank solid cream cream ) + ( display metal10 metal2S solid orange orange ) + ( display metal10Net blank solid orange orange ) + ( display metal10Pin X solid orange orange ) + ( display metal10Lbl blank solid orange orange ) + ( display metal10Bnd blank solid orange orange ) + ( display via9 invCross solid blue blue ) + ( display via9Net blank solid blue blue ) + ( display via9Pin X solid blue blue ) + ( display via9Lbl blank solid blue blue ) + ( display via9Bnd blank solid blue blue ) + ( display glass vLine solid slate slate ) + ( display open vCurb solid violet violet ) + ( display openNet blank solid violet violet ) + ( display openPin X solid violet violet ) + ( display openLbl blank solid violet violet ) + ( display openBnd blank solid violet violet ) + ( display pstop dagger solid maroon maroon ) + ( display pstopNet blank solid maroon maroon ) + ( display pstopPin X solid maroon maroon ) + ( display pstopLbl blank solid maroon maroon ) + ( display pstopBnd blank solid maroon maroon ) + ( display pad X doubleDash yellow yellow ) + ( display nodrc X doubleDash cyan cyan ) + ( display nolpe dot3 doubleDash tan tan ) + ( display cap_id capID doubleDash slate slate ) + ( display res_id resID doubleDash slate slate ) + ( display dio_id diodeID doubleDash slate slate ) + ( display metalcap miniHatch solid violet violet ) + ( display metalcapBnd miniHatch solid violet violet ) + ( display metalcapPin X solid violet violet ) + ( display metalcapNet blank solid violet violet ) + ( display metalcapLbl blank solid violet violet ) + ( display background solid solid black black ) + ( display grid blank solid slate slate ) + ( display grid1 blank solid white white ) + ( display axis blank solid white white ) + ( display instance blank solid red red ) + ( display instanceLbl blank solid red red ) + ( display prBoundary blank solid purple purple ) + ( display prBoundaryBnd blank solid cyan cyan ) + ( display prBoundaryLbl blank solid purple purple ) + ( display align blank solid tan tan ) + ( display hardFence blank solid red red ) + ( display softFence blank solid yellow yellow ) + ( display text blank solid white white ) + ( display text1 blank dashed white white ) + ( display text2 solid solid white white ) + ( display border blank solid tan tan ) + ( display device blank solid green green ) + ( display device2 blank dashed green green ) + ( display device1 solid solid green green ) + ( display wire solid solid cadetBlue cadetBlue ) + ( display wireLbl solid solid cadetBlue cadetBlue ) + ( display wireFlt blank dashed red red ) + ( display deviceAnt blank solid yellow yellow ) + ( display deviceLbl blank solid green green ) + ( display pinLbl blank solid red red ) + ( display pin solid solid red red ) + ( display pinAnt blank solid red red ) + ( display annotate blank solid orange orange ) + ( display annotate1 blank solid pink pink ) + ( display annotate2 blank solid lime lime ) + ( display annotate3 blank solid cyan cyan ) + ( display annotate4 blank solid yellow yellow ) + ( display annotate5 blank solid white white ) + ( display annotate6 blank solid silver silver ) + ( display annotate7 blank solid red red ) + ( display annotate8 blank solid tan tan ) + ( display annotate9 blank solid green green ) + ( display edgeLayer blank solid winColor5 winColor5 ) + ( display edgeLayerPin blank solid yellow yellow ) + ( display snap blank solid yellow yellow ) + ( display stretch blank solid yellow yellow ) + ( display y0 blank dashed magenta magenta ) + ( display y1 blank dashed brown brown ) + ( display y2 blank dashed red red ) + ( display y3 blank dashed pink pink ) + ( display y4 blank dashed orange orange ) + ( display y5 blank dashed green green ) + ( display y6 blank dashed blue blue ) + ( display y7 blank dashed purple purple ) + ( display y8 blank dashed gold gold ) + ( display y9 blank dashed silver silver ) + ( display hilite blank thickLine white white ) + ( display hilite1 blank solid magenta magenta ) + ( display hilite2 blank solid orange orange ) + ( display hilite3 blank solid cyan cyan ) + ( display hilite4 blank solid tan tan ) + ( display hilite5 blank solid lime lime ) + ( display hilite6 blank solid orange orange ) + ( display hilite7 blank solid cream cream ) + ( display hilite8 blank solid magenta magenta ) + ( display hilite9 blank solid pink pink ) + ( display implant blank solid orange orange ) + ( display drive blank solid blue blue ) + ( display hiz blank solid orange orange ) + ( display resist blank solid cyan cyan ) + ( display spike blank solid purple purple ) + ( display supply blank solid lime lime ) + ( display unknown blank solid yellow yellow ) + ( display unset blank solid forest forest ) + ( display designFlow solid solid green green ) + ( display designFlow1 solid solid red red ) + ( display designFlow2 solid solid purple purple ) + ( display designFlow3 solid solid pink pink ) + ( display designFlow4 solid solid black black ) + ( display designFlow5 solid solid silver silver ) + ( display designFlow6 solid solid tan tan ) + ( display designFlow7 solid solid cyan cyan ) + ( display designFlow8 solid solid navy navy ) + ( display designFlow9 solid solid orange orange ) + ( display changedLayerTl0 blank solid red red ) + ( display changedLayerTl1 blank solid yellow yellow ) + ( display markerWarn X solid yellow yellow ) + ( display markerErr X solid white white ) + ( display Row blank solid cyan cyan ) + ( display RowLbl blank solid cyan cyan ) + ( display Group dots solid green green ) + ( display GroupLbl blank solid green green ) + ( display Cannotoccupy X solid red red ) + ( display CannotoccupyBnd blank solid red red ) + ( display Canplace blank solid cyan cyan ) + ( display Unrouted blank dashed winColor5 winColor5 ) + ( display Unrouted1 blank dashed brown brown ) + ( display Unrouted2 blank dashed red red ) + ( display Unrouted3 blank dashed pink pink ) + ( display Unrouted4 blank dashed orange orange ) + ( display Unrouted5 blank dashed green green ) + ( display Unrouted6 blank dashed blue blue ) + ( display Unrouted7 blank dashed purple purple ) + ( display Unrouted8 blank dashed gold gold ) + ( display Unrouted9 blank dashed silver silver ) + +;---- cmosx below ------------------------------------------------------------------ + ( display NdiffResMask metal1S solid green green ) + ( display NLDD_Block dagger solid cream cream ) + ( display PLDD_Block dagger solid orange orange ) + ( display glass2 blank solid silver silver ) + ( display PdiffResMask metal1S solid brown brown ) + ( display NwellResMask metal1S solid lime lime ) + ( display PwellResMask metal1S solid orange orange ) + ( display celltag blank solid silver silver ) + ( display cellpwrtext blank solid yellow yellow ) + ( display celliotext blank solid yellow yellow ) + ( display cellnametext blank solid yellow yellow ) + ( display cellioterm blank solid yellow yellow ) + ( display cellbox blank solid yellow yellow ) + ( display PolyResMask metal1S solid red red ) + ( display M1ResMask metal1S solid blue blue ) + ( display M2ResMask metal1S solid magenta magenta ) + ( display polytext blank solid yellow yellow ) + ( display paatext blank solid yellow yellow ) + ( display naatext blank solid yellow yellow ) + ( display pwelltext blank solid yellow yellow ) + ( display nwelltext blank solid yellow yellow ) + ( display psubtext blank solid yellow yellow ) + ( display metal2text blank solid cyan cyan ) + ( display metal1text blank solid violet violet ) + ( display aaPin X solid volorange volorange ) + ( display nplus blank solid green green ) + ( display aa blank solid volorange volorange ) + ( display pplus blank solid brown brown ) + ( display aaNet blank solid volorange volorange ) + ( display contact X solid white white ) + ( display contactNet blank solid winColor5 winColor5 ) + ( display contactPin blank solid red red ) + ( display glasscut blank solid yellow yellow ) + ( display NdiffNet blank solid green green ) + ( display Ndiff dagger solid green green ) + ( display PdiffPin X solid brown brown ) + ( display NdiffPin X solid green green ) + ( display PdiffNet blank solid brown brown ) + ( display Pdiff dagger solid brown brown ) + ( display Met1TopTxt blank solid violet violet ) + ( display Met2TopTxt blank solid cyan cyan ) + ( display Met3TopTxt blank solid tan tan ) + ( display diodeMask blank solid yellow yellow ) + ( display TFDmask blank solid yellow yellow ) + ( display TABmask blank solid yellow yellow ) + ( display metal3text blank solid tan tan ) + ( display PolyNwellCap capID solid lime yellow ) + ( display PolyPwellCap capID solid brown yellow ) + ( display M1PolyCap capID solid blue yellow ) + ( display M2M1Cap capID solid magenta yellow ) + +) + + +; ---------------------------------------------------------------------------- +; ------ Display information for the display device 'psc' (Color PS). -------- +; ---------------------------------------------------------------------------- +drDefineColor( +;( DisplayName ColorName Red Green Blue Blink ) +;( ----------- --------- --- ----- ---- ----- ) + ( psc white 255 255 255 ) + ( psc silver 217 230 255 ) + ( psc cream 255 255 204 ) + ( psc pink 255 191 242 ) + ( psc magenta 255 0 255 ) + ( psc lime 0 255 0 ) + ( psc tan 255 230 191 ) + ( psc cyan 0 255 255 ) + ( psc cadetBlue 57 191 255 ) + ( psc yellow 255 255 0 ) + ( psc orange 255 128 0 ) + ( psc red 255 0 0 ) + ( psc purple 153 0 230 ) + ( psc green 0 204 102 ) + ( psc brown 191 64 38 ) + ( psc blue 0 0 255 ) + ( psc slate 140 140 166 ) + ( psc gold 217 204 0 ) + ( psc maroon 230 31 13 ) + ( psc violet 94 0 230 ) + ( psc forest 38 140 107 ) + ( psc chocolate 128 38 38 ) + ( psc navy 51 51 153 ) + ( psc black 0 0 0 ) + ( psc winBack 224 224 224 ) + ( psc winFore 128 0 0 ) + ( psc winText 51 51 51 ) + ( psc winColor1 166 166 166 ) + ( psc winColor2 115 115 115 ) + ( psc winColor3 189 204 204 ) + ( psc winColor4 204 204 204 ) + ( psc winColor5 199 199 199 ) + ( psc lightpink 255 196 209 ) +) + +drDefineStipple( +;( DisplayName StippleName Bitmap ) +;( ----------- ----------- ------ ) + + ( psc blank ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psc solid ( + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + ) ) + ( psc dots ( + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psc hLine ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + ) ) + ( psc hLine2 ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + ) ) + ( psc vLine ( + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + ) ) + ( psc cross ( + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + ) ) + ( psc miniHatch ( + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 0 1 0 0 1 0 0 0 0 1 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 0 1 0 0 1 0 0 0 0 1 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psc grid ( + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + ) ) + ( psc slash ( + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + ) ) + ( psc halfslash ( + (0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + ) ) + ( psc backSlash ( + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + ) ) + ( psc hZigZag ( + (1 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 0 1 1 0 0 0 0 0 0 1 1 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 1) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (0 1 1 0 0 0 0 0 0 1 1 0 0 0 0 0) + (0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 1 1 0 0 0 0 0 0 1 1 0 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1) + (0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0) + (0 0 1 1 0 0 0 0 0 0 1 1 0 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 1 1 0 0 0 0 0 0 1 1 0) + (0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + ) ) + ( psc vZigZag ( + (1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0) + (1 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0) + (0 1 0 0 0 0 1 0 0 0 0 0 1 0 0 0) + (0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0) + (0 0 1 0 0 0 0 0 1 0 0 0 0 1 0 0) + (0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 0) + (0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0) + (0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1) + (1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0) + (1 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0) + (0 1 0 0 0 0 1 0 0 0 0 0 1 0 0 0) + (0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0) + (0 0 1 0 0 0 0 0 1 0 0 0 0 1 0 0) + (0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 0) + (0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0) + (0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1) + ) ) + ( psc rvZigZag ( + (0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1) + (0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1) + (0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 0) + (0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0) + (0 0 1 0 0 0 0 1 0 0 0 0 0 1 0 0) + (0 1 0 0 0 0 0 1 0 0 0 0 1 0 0 0) + (0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0) + (1 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1) + (0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1) + (0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 0) + (0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0) + (0 0 1 0 0 0 0 1 0 0 0 0 0 1 0 0) + (0 1 0 0 0 0 0 1 0 0 0 0 1 0 0 0) + (0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0) + (1 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0) + ) ) + ( psc hCurb ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 1 1 1 1 0 0 0 1 1 1 1 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (1 1 1 1 0 0 0 1 1 1 1 1 0 0 0 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 1 1 1 1 0 0 0 1 1 1 1 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1) + (1 1 1 1 0 0 0 1 1 1 1 1 0 0 0 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psc vCurb ( + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0) + ) ) + ( psc brick ( + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + ) ) + ( psc dagger ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (1 1 1 1 1 0 0 0 1 1 1 1 1 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 1 1 1 1 1 0 0 0 1 1 1 1 1) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + ) ) + ( psc triangle ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0) + (0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0) + (0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0) + (0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psc x ( + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + ) ) + ( psc dot1 ( + (1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psc dot2 ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psc dot3 ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 1 0 0 0 0 0 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 1 0 0 0 0 0 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 1 0 0 0 0 0 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psc dot4 ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psc checker ( + (1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1) + ) ) + ( psc viap ( + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1) + ) ) + ( psc metal1S ( + (1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1) + ) ) + ( psc metal2S ( + (1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1) + ) ) + ( psc gnd2S ( + (1 0 0 0 0 0 1 1 1 0 0 0 0 0 1 1) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (1 1 1 0 0 0 0 0 1 1 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 1 1 0 0 0 0 0 1 1 1 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 1 1 1 0 0 0 0 0 1 1 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (1 0 0 0 0 0 1 1 1 0 0 0 0 0 1 1) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (1 1 1 0 0 0 0 0 1 1 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 1 1 0 0 0 0 0 1 1 1 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 1 1 1 0 0 0 0 0 1 1 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + ) ) + ( psc vcc2S ( + (0 0 0 1 1 0 0 0 0 0 0 1 1 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 1 1 0 0 0 0 0 0 1 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (0 1 1 0 0 0 0 0 0 1 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 0 1 1 0 0 0 0 0 0 1 1 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 1 1 0 0 0 0 0 0 1 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (0 1 1 0 0 0 0 0 0 1 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + ) ) + ( psc vcc1S ( + (1 0 0 1 1 0 0 0 1 0 0 1 1 0 0 0) + (0 1 0 0 1 0 0 0 0 1 0 0 1 0 0 0) + (0 0 1 0 0 1 1 0 0 0 1 0 0 1 1 0) + (0 0 0 1 0 0 1 0 0 0 0 1 0 0 1 0) + (1 0 0 0 1 0 0 1 1 0 0 0 1 0 0 1) + (1 0 0 0 0 1 0 0 1 0 0 0 0 1 0 0) + (0 1 1 0 0 0 1 0 0 1 1 0 0 0 1 0) + (0 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1) + (1 0 0 1 1 0 0 0 1 0 0 1 1 0 0 0) + (0 1 0 0 1 0 0 0 0 1 0 0 1 0 0 0) + (0 0 1 0 0 1 1 0 0 0 1 0 0 1 1 0) + (0 0 0 1 0 0 1 0 0 0 0 1 0 0 1 0) + (1 0 0 0 1 0 0 1 1 0 0 0 1 0 0 1) + (1 0 0 0 0 1 0 0 1 0 0 0 0 1 0 0) + (0 1 1 0 0 0 1 0 0 1 1 0 0 0 1 0) + (0 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1) + ) ) + ( psc poly2p ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 1 1 0 0 0 0 0 1 1 0 0 0 0) + (0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0) + (0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 0) + (0 0 1 1 1 0 0 0 0 0 0 1 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 1 1 1 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psc contp ( + (1 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0) + (1 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 1 1 0 0 0 0 0 0 1 1 0 0) + (0 0 0 0 1 1 0 0 0 0 0 0 1 1 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0) + (1 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 1 1 0 0 0 0 0 0 1 1 0 0) + (0 0 0 0 1 1 0 0 0 0 0 0 1 1 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psc pplusp ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psc wellp ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psc checker1 ( + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + ) ) + ( psc checker2 ( + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + ) ) + ( psc invCross ( + (1 1 0 1 1 1 0 1 1 1 0 1 1 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 1 1 0 1 1 1 0 1 1 1 0 1 1 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (1 1 0 1 1 1 0 1 1 1 0 1 1 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 1 1 0 1 1 1 0 1 1 1 0 1 1 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (1 1 0 1 1 1 0 1 1 1 0 1 1 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 1 1 0 1 1 1 0 1 1 1 0 1 1 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (1 1 0 1 1 1 0 1 1 1 0 1 1 1 0 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + (0 1 1 1 0 1 1 1 0 1 1 1 0 1 1 1) + (1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0) + ) ) + ( psc wellBp ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 1 1 1 0 0 0 1 1 1 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 1 0 1 0 0 0 1 1 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 1 1 1 0 0 1 1 0 1 1 0 0 1 1 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 1 0 1 1 0 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 1 0 1 1 0 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 1 0 1 1 0 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 0 1 0 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psc cwellBp ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psc capID ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 1 1 1 1 1 1 1 1 1 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 1 1 1 1 1 1 0 0 0 0 0) + (0 0 0 0 1 0 0 1 1 0 0 1 0 0 0 0) + (0 0 0 1 0 0 0 1 1 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psc resID ( ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 1 1 0 1 0 0 1 1 1 0 0 0 0 0 0 ) + ( 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) ) ) + ( psc diodeID ( ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 1 1 0 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 1 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 0 1 0 1 0 0 0 0 ) + ( 0 0 0 1 1 1 1 0 0 0 1 1 1 1 0 0 ) + ( 0 0 0 0 0 0 1 0 0 1 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 1 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 1 1 0 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) ) ) +) + +drDefineLineStyle( +;( DisplayName LineStyle Size Pattern ) +;( ----------- --------- ---- ------- ) + ( psc solid 1 (1 1 1) ) + ( psc dashed 1 (1 1 1 1 0 0) ) + ( psc dots 1 (1 0 0) ) + ( psc dashDot 1 (1 1 1 0 0 1 0 0) ) + ( psc shortDash 1 (1 1 0 0) ) + ( psc doubleDash 1 (1 1 1 1 0 0 1 1 0 0) ) + ( psc hidden 1 (1 0 0 0) ) + ( psc thickLine 3 (1 1 1) ) + ( psc mLine 2 (1 1 1) ) + ) + +drDefinePacket( +;( DisplayName PacketName Stipple LineStyle Fill Outline ) +;( ----------- ---------- ------- --------- ---- ------- ) + ( psc default blank solid green green ) + ( psc hdrcBnd blank solid white white ) + ( psc nwell slash solid green green ) + ( psc nwellNet blank solid green green ) + ( psc nwellPin X solid green green ) + ( psc pwell slash solid orange orange ) + ( psc pwellNet blank solid orange orange ) + ( psc pwellPin X solid orange orange ) + ( psc pbase checker2 solid orange orange ) + ( psc pbaseNet blank solid orange orange ) + ( psc pbasePin X solid orange orange ) + ( psc active invCross solid green green ) + ( psc activeNet blank solid green green ) + ( psc activePin X solid green green ) + ( psc nimplant blank solid green green ) + ( psc pimplant blank solid orange orange ) + ( psc polycap checker2 solid lightpink lightpink ) + ( psc polycapNet blank solid lightpink lightpink ) + ( psc polycapPin X solid lightpink lightpink ) + ( psc polycapLbl blank solid lightpink lightpink ) + ( psc polycapBnd blank solid lightpink lightpink ) + ( psc poly checker1 solid red red ) + ( psc polyNet blank solid red red ) + ( psc polyPin X solid red red ) + ( psc polyLbl blank solid red red ) + ( psc polyBnd blank solid red red ) + ( psc sblock brick solid blue blue ) + ( psc highres resID solid blue blue ) + ( psc elec checker2 solid yellow yellow ) + ( psc elecNet blank solid yellow yellow ) + ( psc elecPin X solid yellow yellow ) + ( psc elecLbl blank solid yellow yellow ) + ( psc metal1 backSlash solid blue blue ) + ( psc metal1Net blank solid blue blue ) + ( psc metal1Pin X solid blue blue ) + ( psc metal1Lbl blank solid blue blue ) + ( psc metal1Bnd blank solid blue blue ) + ( psc contact solid solid black lime ) + ( psc contactNet blank solid brown brown ) + ( psc contactPin X solid black black ) + ( psc contactLbl blank solid black black ) + ( psc contactBnd blank solid black black ) + ( psc metal2 dots solid magenta magenta ) + ( psc metal2Net blank solid magenta magenta ) + ( psc metal2Pin X solid magenta magenta ) + ( psc metal2Lbl blank solid magenta magenta ) + ( psc metal2Bnd blank solid magenta magenta ) + ( psc via1 viap solid purple black ) + ( psc via1Net blank solid purple black ) + ( psc via1Pin X solid purple black ) + ( psc via1Lbl blank solid purple black ) + ( psc viaBnd blank solid purple black ) + ( psc metal3 halfslash solid cyan cyan ) + ( psc metal3Net blank solid cyan cyan ) + ( psc metal3Pin X solid cyan cyan ) + ( psc metal3Lbl blank solid cyan cyan ) + ( psc metal3Bnd blank solid cyan cyan ) + ( psc via2 brick solid black black ) + ( psc via2Net blank solid black black ) + ( psc via2Pin X solid black black ) + ( psc via2Lbl blank solid black black ) + ( psc via2Bnd blank solid black black ) + ( psc metal4 dot4 solid cream cream ) + ( psc metal4Net blank solid cream cream ) + ( psc metal4Pin X solid cream cream ) + ( psc metal4Lbl blank solid cream cream ) + ( psc metal4Bnd blank solid cream cream ) + ( psc via3 invCross solid tan tan ) + ( psc via3Net blank solid tan tan ) + ( psc via3Pin X solid tan tan ) + ( psc via3Lbl blank solid tan tan ) + ( psc via3Bnd blank solid tan tan ) + ( psc metal5 metal2S solid cadetBlue cadetBlue ) + ( psc metal5Net blank solid cadetBlue cadetBlue ) + ( psc metal5Pin X solid cadetBlue cadetBlue ) + ( psc metal5Lbl blank solid cadetBlue cadetBlue ) + ( psc metal5Bnd blank solid cadetBlue cadetBlue ) + ( psc via4 invCross solid blue blue ) + ( psc via4Net blank solid blue blue ) + ( psc via4Pin X solid blue blue ) + ( psc via4Lbl blank solid blue blue ) + ( psc via4Bnd blank solid blue blue ) + ( psc metal6 miniHatch solid gold gold ) + ( psc metal6Net blank solid gold gold ) + ( psc metal6Pin X solid gold gold ) + ( psc metal6Lbl blank solid gold gold ) + ( psc metal6Bnd blank solid gold gold ) + ( psc via5 invCross solid yellow yellow ) + ( psc via5Net blank solid yellow yellow ) + ( psc via5Pin X solid yellow yellow ) + ( psc via5Lbl blank solid yellow yellow ) + ( psc via5Bnd blank solid yellow yellow ) + ( psc metal7 dots solid maroon maroon ) + ( psc metal7Net blank solid maroon maroon ) + ( psc metal7Pin X solid maroon maroon ) + ( psc metal7Lbl blank solid maroon maroon ) + ( psc metal7Bnd blank solid maroon maroon ) + ( psc via6 invCross solid magenta magenta ) + ( psc viaNet6 blank solid magenta magenta ) + ( psc viaPin6 X solid magenta magenta ) + ( psc viaLbl6 blank solid magenta magenta ) + ( psc viaBnd6 blank solid magenta magenta ) + ( psc metal8 halfslash solid cyan cyan ) + ( psc metal8Net blank solid cyan cyan ) + ( psc metal8Pin X solid cyan cyan ) + ( psc metal8Lbl blank solid cyan cyan ) + ( psc metal8Bnd blank solid cyan cyan ) + ( psc via7 invCross solid cadetBlue cadetBlue ) + ( psc via7Net blank solid cadetBlue cadetBlue ) + ( psc via7Pin X solid cadetBlue cadetBlue ) + ( psc via7Lbl blank solid cadetBlue cadetBlue ) + ( psc via7Bnd blank solid cadetBlue cadetBlue ) + ( psc metal9 dot4 solid cream cream ) + ( psc metal9Net blank solid cream cream ) + ( psc metal9Pin X solid cream cream ) + ( psc metal9Lbl blank solid cream cream ) + ( psc metal9Bnd blank solid cream cream ) + ( psc via8 invCross solid tan tan ) + ( psc via8Net blank solid tan tan ) + ( psc via8Pin X solid tan tan ) + ( psc via8Lbl blank solid tan tan ) + ( psc via8Bnd blank solid tan tan ) + ( psc metal10 metal2S solid cadetBlue cadetBlue ) + ( psc metal10Net blank solid cadetBlue cadetBlue ) + ( psc metal10Pin X solid cadetBlue cadetBlue ) + ( psc metal10Lbl blank solid cadetBlue cadetBlue ) + ( psc metal10Bnd blank solid cadetBlue cadetBlue ) + ( psc via9 invCross solid blue blue ) + ( psc via9Net blank solid blue blue ) + ( psc via9Pin X solid blue blue ) + ( psc via9Lbl blank solid blue blue ) + ( psc via9Bnd blank solid blue blue ) + ( psc metal11 miniHatch solid gold gold ) + ( psc metal11Net blank solid gold gold ) + ( psc metal11Pin X solid gold gold ) + ( psc metal11Lbl blank solid gold gold ) + ( psc metal11Bnd blank solid gold gold ) + ( psc glass vLine solid slate slate ) + ( psc open vCurb solid violet violet ) + ( psc openNet blank solid violet violet ) + ( psc openPin X solid violet violet ) + ( psc openLbl blank solid violet violet ) + ( psc openBnd blank solid violet violet ) + ( psc pstop dagger solid maroon maroon ) + ( psc pstopNet blank solid maroon maroon ) + ( psc pstopPin X solid maroon maroon ) + ( psc pstopLbl blank solid maroon maroon ) + ( psc pstopBnd blank solid maroon maroon ) + ( psc pad X doubleDash yellow yellow ) + ( psc nodrc X doubleDash cyan cyan ) + ( psc nolpe dot3 doubleDash tan tan ) + ( psc cap_id capID doubleDash slate slate ) + ( psc res_id resID doubleDash slate slate ) + ( psc dio_id diodeID doubleDash slate slate ) + ( psc metalcap miniHatch solid violet violet ) + ( psc metalcapBnd miniHatch solid violet violet ) + ( psc metalcapPin X solid violet violet ) + ( psc metalcapNet blank solid violet violet ) + ( psc metalcapLbl blank solid violet violet ) + ( psc background solid solid black black ) + ( psc grid blank solid slate slate ) + ( psc grid1 blank solid white white ) + ( psc axis blank solid white white ) + ( psc instance blank solid red red ) + ( psc instanceLbl blank solid gold gold ) + ( psc prBoundary blank solid purple purple ) + ( psc prBoundaryBnd blank solid cyan cyan ) + ( psc prBoundaryLbl blank solid purple purple ) + ( psc align blank solid tan tan ) + ( psc hardFence blank solid red red ) + ( psc softFence blank solid yellow yellow ) + ( psc text blank solid white white ) + ( psc text1 blank dashed white white ) + ( psc text2 solid solid white white ) + ( psc border blank solid tan tan ) + ( psc device blank solid green green ) + ( psc device2 blank dashed green green ) + ( psc device1 solid solid green green ) + ( psc wire solid solid cadetBlue cadetBlue ) + ( psc wireLbl solid solid cadetBlue cadetBlue ) + ( psc wireFlt blank dashed red red ) + ( psc deviceAnt blank solid yellow yellow ) + ( psc deviceLbl blank solid green green ) + ( psc pinLbl blank solid red red ) + ( psc pin solid solid red red ) + ( psc pinAnt blank solid red red ) + ( psc annotate blank solid orange orange ) + ( psc annotate1 blank solid pink pink ) + ( psc annotate2 blank solid lime lime ) + ( psc annotate3 blank solid cyan cyan ) + ( psc annotate4 blank solid yellow yellow ) + ( psc annotate5 blank solid white white ) + ( psc annotate6 blank solid silver silver ) + ( psc annotate7 blank solid red red ) + ( psc annotate8 blank solid tan tan ) + ( psc annotate9 blank solid green green ) + ( psc edgeLayer blank solid winColor5 winColor5 ) + ( psc edgeLayerPin blank solid yellow yellow ) + ( psc snap blank solid yellow yellow ) + ( psc stretch blank solid yellow yellow ) + ( psc y0 blank dashed winColor5 winColor5 ) + ( psc y1 blank dashed brown brown ) + ( psc y2 blank dashed red red ) + ( psc y3 blank dashed pink pink ) + ( psc y4 blank dashed orange orange ) + ( psc y5 blank dashed green green ) + ( psc y6 blank dashed blue blue ) + ( psc y7 blank dashed purple purple ) + ( psc y8 blank dashed gold gold ) + ( psc y9 blank dashed silver silver ) + ( psc hilite blank solid white white ) + ( psc hilite1 blank solid yellow yellow ) + ( psc hilite2 blank solid tan tan ) + ( psc hilite3 blank solid cyan cyan ) + ( psc hilite4 blank solid orange orange ) + ( psc hilite5 blank solid lime lime ) + ( psc hilite6 blank solid orange orange ) + ( psc hilite7 blank solid cream cream ) + ( psc hilite8 blank solid magenta magenta ) + ( psc hilite9 blank solid pink pink ) + ( psc select blank solid orange orange ) + ( psc drive blank solid blue blue ) + ( psc hiz blank solid orange orange ) + ( psc resist blank solid cyan cyan ) + ( psc spike blank solid purple purple ) + ( psc supply blank solid lime lime ) + ( psc unknown blank solid yellow yellow ) + ( psc unset blank solid forest forest ) + ( psc designFlow solid solid green green ) + ( psc designFlow1 solid solid red red ) + ( psc designFlow2 solid solid purple purple ) + ( psc designFlow3 solid solid pink pink ) + ( psc designFlow4 solid solid black black ) + ( psc designFlow5 solid solid silver silver ) + ( psc designFlow6 solid solid tan tan ) + ( psc designFlow7 solid solid cyan cyan ) + ( psc designFlow8 solid solid navy navy ) + ( psc designFlow9 solid solid orange orange ) + ( psc changedLayerTl0 blank solid red red ) + ( psc changedLayerTl1 blank solid yellow yellow ) + ( psc markerWarn X solid yellow yellow ) + ( psc markerErr X solid white white ) + ( psc Row blank solid cyan cyan ) + ( psc RowLbl blank solid cyan cyan ) + ( psc Group dots solid green green ) + ( psc GroupLbl blank solid green green ) + ( psc Cannotoccupy X solid red red ) + ( psc CannotoccupyBnd blank solid red red ) + ( psc Canplace blank solid cyan cyan ) + ( psc Unrouted blank dashed winColor5 winColor5 ) + ( psc Unrouted1 blank dashed brown brown ) + ( psc Unrouted2 blank dashed red red ) + ( psc Unrouted3 blank dashed pink pink ) + ( psc Unrouted4 blank dashed orange orange ) + ( psc Unrouted5 blank dashed green green ) + ( psc Unrouted6 blank dashed blue blue ) + ( psc Unrouted7 blank dashed purple purple ) + ( psc Unrouted8 blank dashed gold gold ) + ( psc Unrouted9 blank dashed silver silver ) +) + + +; ------------------------------------------------------------------------ +; ------ Display information for the display device 'psb' (B+W PS). ------ +; ------------------------------------------------------------------------ + +drDefineColor( +;( DisplayName ColorName Red Green Blue Blink ) +;( ----------- --------- --- ----- ---- ----- ) + ( psb white 255 255 255 ) + ( psb 1 0 0 0 ) +) + +drDefineStipple( +;( DisplayName StippleName Bitmap ) +;( ----------- ----------- ------ ) + + ( psb blank ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psb solid ( + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + ) ) + ( psb dots ( + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psb hLine ( + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psb hLine2 ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + ) ) + ( psb vLine ( + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + ) ) + ( psb cross ( + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 1 0 1 0 1 0 1 0 0 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 1 0 1 0 0 0 1 0 1) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 1 0 1 0 0 0 1 0 1 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 1 0 1 0 0 0 1 0 1 0 1 0 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 1 0 1 0 0 0 1 0 1 0 1 0 0 0 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 1 0 1 0 1 0 0 0 1 0 0) + (0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 0 1 0 1 0 1 0 0 0 1 0 0 0 1) + ) ) + ( psb x ( + (1 0 1 0 0 1 0 1 0 0 1 0 1 0 0 0) + (0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0) + (1 0 1 0 0 1 0 1 0 0 1 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 0 1 0 0 1 0 1 0 0 1 0 1) + (0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0) + (0 0 0 1 0 1 0 0 1 0 1 0 0 1 0 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 1 0 0 1 0 1 0 0 0 1 0 1 0 0) + (0 1 0 0 0 0 1 0 0 0 0 0 1 0 0 0) + (1 0 1 0 0 1 0 1 0 0 0 1 0 1 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psb miniHatch ( + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 0 1 0 0 1 0 0 0 0 1 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 0 1 0 0 1 0 0 0 0 1 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psb grid ( + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + ) ) + ( psb halfslash ( + (0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + ) ) + ( psb slash ( + (1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1) + (0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0) + (0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0) + (1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1) + (0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0) + (0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0) + (1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1) + (0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0) + (0 1 0 0 1 0 0 1 1 0 1 0 0 1 0 0) + (1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1) + (0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0) + (0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0) + (1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1) + (0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0) + (0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0) + (1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1) + ) ) + ( psb backSlash ( + (1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1) + (0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0) + (0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0) + (1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1) + (0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0) + (0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0) + (1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1) + (0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0) + (0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0) + (1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1) + (0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0) + (0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0) + (1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1) + (0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0) + (0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0) + (1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1) + ) ) + ( psb hZigZag ( + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psb vZigZag ( + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + (0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0) + ) ) + ( psb rvZigZag ( + (0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1) + (0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1) + (0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 0) + (0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0) + (0 0 1 0 0 0 0 1 0 0 0 0 0 1 0 0) + (0 1 0 0 0 0 0 1 0 0 0 0 1 0 0 0) + (0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0) + (1 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1) + (0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1) + (0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 0) + (0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0) + (0 0 1 0 0 0 0 1 0 0 0 0 0 1 0 0) + (0 1 0 0 0 0 0 1 0 0 0 0 1 0 0 0) + (0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0) + (1 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0) + ) ) + ( psb hCurb ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 1 1 1 0 0 0 1 1 1 1 1 0) + (0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 0) + (1 1 1 1 0 0 1 1 1 1 1 0 0 0 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 1 1 1 0 0 0 1 1 1 1 1 0) + (0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 0) + (0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 0) + (1 1 1 1 0 0 1 1 1 1 1 0 0 0 1 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psb vCurb ( + (0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0) + (0 0 1 1 1 1 0 0 0 1 1 1 1 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0) + (0 0 1 1 1 1 0 0 0 1 1 1 1 0 0 0) + (0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0) + (0 0 1 1 1 1 0 0 0 1 1 1 1 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0) + (0 0 1 1 1 1 0 0 0 1 1 1 1 0 0 0) + (0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0) + ) ) + ( psb brick ( + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + (0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1) + ) ) + ( psb dagger ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (1 1 1 1 1 0 0 0 1 1 1 1 1 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 1 1 1 1 1 0 0 0 1 1 1 1 1) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0) + ) ) + ( psb triangle ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0) + (0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 0) + (0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 1 1 1 1 1 1 1 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0) + (0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0) + (1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psb dot1 ( + (1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psb dot2 ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psb dot3 ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psb checker ( + (1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0) + (1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0) + (1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0) + (1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0) + (0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1) + (0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1) + (0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1) + (0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1) + (1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0) + (1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0) + (1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0) + (1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0) + (0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1) + (0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1) + (0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1) + (0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1) + ) ) + ( psb checker2 ( + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + (0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1) + ) ) + ( psb sgrid ( + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + (1 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1) + (1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1) + ) ) + ( psb metal1S ( + (1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1) + ) ) + ( psb metal2S ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0) + (0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psb gnd2S ( + (1 0 0 0 0 0 1 1 1 0 0 0 0 0 1 1) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (1 1 1 0 0 0 0 0 1 1 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 1 1 0 0 0 0 0 1 1 1 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 1 1 1 0 0 0 0 0 1 1 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (1 0 0 0 0 0 1 1 1 0 0 0 0 0 1 1) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (1 1 1 0 0 0 0 0 1 1 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 1 1 1 0 0 0 0 0 1 1 1 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 1 1 1 0 0 0 0 0 1 1 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + ) ) + ( psb vcc2S ( + (0 0 0 1 1 0 0 0 0 0 0 1 1 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 1 1 0 0 0 0 0 0 1 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (0 1 1 0 0 0 0 0 0 1 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + (0 0 0 1 1 0 0 0 0 0 0 1 1 0 0 0) + (0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0) + (0 0 0 0 0 1 1 0 0 0 0 0 0 1 1 0) + (0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0) + (1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1) + (1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0) + (0 1 1 0 0 0 0 0 0 1 1 0 0 0 0 0) + (0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0) + ) ) + ( psb vcc1S ( + (1 0 0 1 1 0 0 0 1 0 0 1 1 0 0 0) + (0 1 0 0 1 0 0 0 0 1 0 0 1 0 0 0) + (0 0 1 0 0 1 1 0 0 0 1 0 0 1 1 0) + (0 0 0 1 0 0 1 0 0 0 0 1 0 0 1 0) + (1 0 0 0 1 0 0 1 1 0 0 0 1 0 0 1) + (1 0 0 0 0 1 0 0 1 0 0 0 0 1 0 0) + (0 1 1 0 0 0 1 0 0 1 1 0 0 0 1 0) + (0 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1) + (1 0 0 1 1 0 0 0 1 0 0 1 1 0 0 0) + (0 1 0 0 1 0 0 0 0 1 0 0 1 0 0 0) + (0 0 1 0 0 1 1 0 0 0 1 0 0 1 1 0) + (0 0 0 1 0 0 1 0 0 0 0 1 0 0 1 0) + (1 0 0 0 1 0 0 1 1 0 0 0 1 0 0 1) + (1 0 0 0 0 1 0 0 1 0 0 0 0 1 0 0) + (0 1 1 0 0 0 1 0 0 1 1 0 0 0 1 0) + (0 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1) + ) ) + ( psb capID ( + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 1 1 1 1 1 1 1 1 1 1 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 1 1 1 1 1 1 0 0 0 0 0) + (0 0 0 0 1 0 0 1 1 0 0 1 0 0 0 0) + (0 0 0 1 0 0 0 1 1 0 0 0 1 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + (0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0) + ) ) + ( psb resID ( ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 1 1 0 1 0 0 1 1 1 0 0 0 0 0 0 ) + ( 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) ) ) + ( psb diodeID ( ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 1 1 0 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 1 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 0 1 0 1 0 0 0 0 ) + ( 0 0 0 1 1 1 1 0 0 0 1 1 1 1 0 0 ) + ( 0 0 0 0 0 0 1 0 0 1 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 1 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 1 1 0 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) ) ) +) + +drDefineLineStyle( +;( DisplayName LineStyle Size Pattern ) +;( ----------- --------- ---- ------- ) + ( psb solid 1 (1 1 1) ) + ( psb dashed 1 (1 1 1 1 0 0 0 0) ) + ( psb dots 1 (1 0 0 0 0) ) + ( psb dashDot 1 (1 1 1 1 0 0 0 0 1 0 0 0 0) ) + ( psb shortDash 1 (1 1 0 0) ) + ( psb doubleDash 1 (1 1 1 1 0 0 0 0 1 1 0 0) ) + ( psb hidden 1 (1 0 0 0 0 0 0 0 0) ) + ( psb thickLine 3 (1 1 1) ) + ) + +drDefinePacket( +;( DisplayName PacketName Stipple LineStyle Fill Outline ) +;( ----------- ---------- ------- --------- ---- ------- ) + ( psb default blank solid 1 1 ) + ( psb nwell blank solid 1 1 ) + ( psb nwellNet blank solid 1 1 ) + ( psb nwellPin X solid 1 1 ) + ( psb pwell dot1 solid 1 1 ) + ( psb pwellNet blank solid 1 1 ) + ( psb pwellPin X solid 1 1 ) + ( psb pbase blank solid 1 1 ) + ( psb pbaseNet blank solid 1 1 ) + ( psb pbasePin X solid 1 1 ) + ( psb active dot3 solid 1 1 ) + ( psb activeNet blank solid 1 1 ) + ( psb activePin X solid 1 1 ) + ( psb nimplant blank solid 1 1 ) + ( psb pimplant blank doubleDash 1 1 ) + ( psb polycap checker2 solid 1 1 ) + ( psb polycapNet blank solid 1 1 ) + ( psb polycapPin X solid 1 1 ) + ( psb polycapLbl blank solid 1 1 ) + ( psb polycapBnd blank solid 1 1 ) + ( psb poly checker solid 1 1 ) + ( psb polyNet blank solid 1 1 ) + ( psb polyPin X solid 1 1 ) + ( psb polyLbl blank solid 1 1 ) + ( psb polyBnd blank solid 1 1 ) + ( psb sblock vCurb solid 1 1 ) + ( psb highres vCurb solid 1 1 ) + ( psb elec dagger solid 1 1 ) + ( psb elecNet blank solid 1 1 ) + ( psb elecPin X solid 1 1 ) + ( psb elecLbl blank solid 1 1 ) + ( psb metal1 metal1S thickLine 1 1 ) + ( psb metal1Net blank solid 1 1 ) + ( psb metal1Pin X solid 1 1 ) + ( psb metal1Lbl blank solid 1 1 ) + ( psb metal1Bnd blank solid 1 1 ) + ( psb contact solid solid 1 1 ) + ( psb contactNet blank solid 1 1 ) + ( psb contactPin X solid 1 1 ) + ( psb contactLbl blank solid 1 1 ) + ( psb contactBnd blank solid 1 1 ) + ( psb metal2 metal2S thickLine 1 1 ) + ( psb metal2Net blank solid 1 1 ) + ( psb metal2Pin X solid 1 1 ) + ( psb metal2Lbl blank solid 1 1 ) + ( psb metal2Bnd blank solid 1 1 ) + ( psb metal3 halfslash thickLine 1 1 ) + ( psb metal3Net blank solid 1 1 ) + ( psb metal3Pin X solid 1 1 ) + ( psb metal3Lbl blank solid 1 1 ) + ( psb metal3Bnd blank solid 1 1 ) + ( psb via2 dot1 thickLine 1 1 ) + ( psb via2Net blank solid 1 1 ) + ( psb via2Pin X solid 1 1 ) + ( psb via2Lbl blank solid 1 1 ) + ( psb via2Bnd blank solid 1 1 ) + ( psb metal4 hCurb thickLine 1 1 ) + ( psb metal4Net blank solid 1 1 ) + ( psb metal4Pin X solid 1 1 ) + ( psb metal4Lbl blank solid 1 1 ) + ( psb metal4Bnd blank solid 1 1 ) + ( psb via3 triangle thickLine 1 1 ) + ( psb via3Net blank solid 1 1 ) + ( psb via3Pin X solid 1 1 ) + ( psb via3Lbl blank solid 1 1 ) + ( psb via3Bnd blank solid 1 1 ) + ( psb metal5 hLine2 thickLine 1 1 ) + ( psb metal5Net blank solid 1 1 ) + ( psb metal5Pin X solid 1 1 ) + ( psb metal5Lbl blank solid 1 1 ) + ( psb metal5Bnd blank solid 1 1 ) + ( psb via4 dagger thickLine 1 1 ) + ( psb via4Net blank solid 1 1 ) + ( psb via4Pin X solid 1 1 ) + ( psb via4Lbl blank solid 1 1 ) + ( psb via4Bnd blank solid 1 1 ) + ( psb metal6 miniHatch solid 1 1 ) + ( psb metal6Net blank solid 1 1 ) + ( psb metal6Pin X solid 1 1 ) + ( psb metal6Lbl blank solid 1 1 ) + ( psb metal6Bnd blank solid 1 1 ) + ( psb via5 dot2 solid 1 1 ) + ( psb via5Net blank solid 1 1 ) + ( psb via5Pin X solid 1 1 ) + ( psb via5Lbl blank solid 1 1 ) + ( psb via5Bnd blank solid 1 1 ) + ( psb metal7 dots solid 1 1 ) + ( psb metal7Net blank solid 1 1 ) + ( psb metal7Pin X solid 1 1 ) + ( psb metal7Lbl blank solid 1 1 ) + ( psb metal7Bnd blank solid 1 1 ) + ( psb via6 dot2 solid 1 1 ) + ( psb viaNet6 blank solid 1 1 ) + ( psb viaPin6 X solid 1 1 ) + ( psb viaLbl6 blank solid 1 1 ) + ( psb viaBnd6 blank solid 1 1 ) + ( psb metal8 halfslash solid 1 1 ) + ( psb metal8Net blank solid 1 1 ) + ( psb metal8Pin X solid 1 1 ) + ( psb metal8Lbl blank solid 1 1 ) + ( psb metal8Bnd blank solid 1 1 ) + ( psb via7 dot2 solid 1 1 ) + ( psb via7Net blank solid 1 1 ) + ( psb via7Pin X solid 1 1 ) + ( psb via7Lbl blank solid 1 1 ) + ( psb via7Bnd blank solid 1 1 ) + ( psb metal9 dot2 solid 1 1 ) + ( psb metal9Net blank solid 1 1 ) + ( psb metal9Pin X solid 1 1 ) + ( psb metal9Lbl blank solid 1 1 ) + ( psb metal9Bnd blank solid 1 1 ) + ( psb via8 dot2 solid 1 1 ) + ( psb via8Net blank solid 1 1 ) + ( psb via8Pin X solid 1 1 ) + ( psb via8Lbl blank solid 1 1 ) + ( psb via8Bnd blank solid 1 1 ) + ( psb metal10 metal2S solid 1 1 ) + ( psb metal10Net blank solid 1 1 ) + ( psb metal10Pin X solid 1 1 ) + ( psb metal10Lbl blank solid 1 1 ) + ( psb metal10Bnd blank solid 1 1 ) + ( psb via9 dot2 solid 1 1 ) + ( psb via9Net blank solid 1 1 ) + ( psb via9Pin X solid 1 1 ) + ( psb via9Lbl blank solid 1 1 ) + ( psb via9Bnd blank solid 1 1 ) + ( psb metal11 miniHatch solid 1 1 ) + ( psb metal11Net blank solid 1 1 ) + ( psb metal11Pin X solid 1 1 ) + ( psb metal11Lbl blank solid 1 1 ) + ( psb metal11Bnd blank solid 1 1 ) + + + + + ( psb glass blank solid 1 1 ) + ( psb open vCurb solid 1 1 ) + ( psb openNet blank solid 1 1 ) + ( psb openPin blank solid 1 1 ) + ( psb openLbl blank solid 1 1 ) + ( psb openBnd blank solid 1 1 ) + ( psb pstop dagger solid 1 1 ) + ( psb pstopNet blank solid 1 1 ) + ( psb pstopPin X solid 1 1 ) + ( psb pstopLbl blank solid 1 1 ) + ( psb pstopBnd blank solid 1 1 ) + ( psb pad X doubleDash 1 1 ) + ( psb nodrc X doubleDash 1 1 ) + ( psb cap_id capID doubleDash 1 1 ) + ( psb res_id resID doubleDash 1 1 ) + ( psb dio_id diodeID doubleDash 1 1 ) + ( psb metalcap miniHatch solid 1 1 ) + ( psb metalcapBnd miniHatch solid 1 1 ) + ( psb metalcapPin X solid 1 1 ) + ( psb metalcapNet blank solid 1 1 ) + ( psb metalcapLbl blank solid 1 1 ) + ( psb background solid solid 1 1 ) + ( psb grid blank solid 1 1 ) + ( psb grid1 blank solid 1 1 ) + ( psb axis blank solid 1 1 ) + ( psb instance blank solid 1 1 ) + ( psb instanceLbl blank solid 1 1 ) + ( psb prBoundary blank solid 1 1 ) + ( psb prBoundaryBnd blank solid 1 1 ) + ( psb align blank solid 1 1 ) + ( psb text blank solid 1 1 ) + ( psb text1 blank solid 1 1 ) + ( psb text2 solid solid 1 1 ) + ( psb border solid solid 1 1 ) + ( psb device blank solid 1 1 ) + ( psb device1 blank solid 1 1 ) + ( psb wire solid solid 1 1 ) + ( psb wireLbl solid solid 1 1 ) + ( psb wireFlt blank solid 1 1 ) + ( psb deviceAnt blank solid 1 1 ) + ( psb deviceLbl blank solid 1 1 ) + ( psb pinLbl blank solid 1 1 ) + ( psb pin solid solid 1 1 ) + ( psb pinAnt blank solid 1 1 ) + ( psb annotate blank solid 1 1 ) + ( psb annotate1 blank solid 1 1 ) + ( psb annotate2 blank solid 1 1 ) + ( psb annotate3 blank solid 1 1 ) + ( psb annotate4 blank solid 1 1 ) + ( psb annotate5 blank solid 1 1 ) + ( psb annotate6 blank solid 1 1 ) + ( psb annotate7 blank solid 1 1 ) + ( psb annotate8 blank solid 1 1 ) + ( psb annotate9 blank solid 1 1 ) + ( psb edgeLayer blank solid 1 1 ) + ( psb edgeLayerPin blank solid 1 1 ) + ( psb snap blank solid 1 1 ) + ( psb stretch blank solid 1 1 ) + ( psb y0 blank solid 1 1 ) + ( psb y1 blank dashed 1 1 ) + ( psb y2 blank dots 1 1 ) + ( psb y3 blank dashDot 1 1 ) + ( psb y4 blank shortDash 1 1 ) + ( psb y5 blank doubleDash 1 1 ) + ( psb y6 blank hidden 1 1 ) + ( psb y7 blank thickLine 1 1 ) + ( psb y8 blank solid 1 1 ) + ( psb y9 hLine dashed 1 1 ) + ( psb hilite blank solid 1 1 ) + ( psb hilite1 blank solid 1 1 ) + ( psb hilite2 blank solid 1 1 ) + ( psb hilite3 blank solid 1 1 ) + ( psb hilite4 blank solid 1 1 ) + ( psb hilite5 blank solid 1 1 ) + ( psb hilite6 blank solid 1 1 ) + ( psb hilite7 blank solid 1 1 ) + ( psb hilite8 blank solid 1 1 ) + ( psb hilite9 blank solid 1 1 ) + ( psb select blank solid 1 1 ) + ( psb drive blank solid 1 1 ) + ( psb hiz blank solid 1 1 ) + ( psb resist blank solid 1 1 ) + ( psb spike blank solid 1 1 ) + ( psb supply blank solid 1 1 ) + ( psb designFlow solid solid 1 1 ) + ( psb designFlow1 blank solid 1 1 ) + ( psb designFlow2 blank solid 1 1 ) + ( psb designFlow3 blank solid 1 1 ) + ( psb designFlow4 blank solid 1 1 ) + ( psb designFlow5 blank solid 1 1 ) + ( psb designFlow6 blank solid 1 1 ) + ( psb designFlow7 blank solid 1 1 ) + ( psb designFlow8 blank solid 1 1 ) + ( psb designFlow9 blank solid 1 1 ) + ( psb changedLayerTl0 blank solid 1 1 ) + ( psb changedLayerTl1 blank solid 1 1 ) + ( psb markerWarn X solid 1 1 ) + ( psb markerErr X solid 1 1 ) +) + +; vim:ts=4:columns=132: diff --git a/technology/freepdk45/tf/glade_freepdk45.py b/technology/freepdk45/tf/glade_freepdk45.py new file mode 100644 index 00000000..09ad83ea --- /dev/null +++ b/technology/freepdk45/tf/glade_freepdk45.py @@ -0,0 +1,7 @@ +import os +CWD = os.environ.get("OPENRAM_TECH") + "/freepdk45/tf" +ui().importCds("default", CWD+"/display.drf", CWD+"/FreePDK45.tf", 1000, 1, CWD+"/layers.map") + + + + diff --git a/technology/freepdk45/tf/layers.map b/technology/freepdk45/tf/layers.map new file mode 100644 index 00000000..8c0c18d2 --- /dev/null +++ b/technology/freepdk45/tf/layers.map @@ -0,0 +1,30 @@ +active drawing 1 0 +pwell drawing 2 0 +nwell drawing 3 0 +nimplant drawing 4 0 +pimplant drawing 5 0 +vtg drawing 6 0 +vth drawing 7 0 +thkox drawing 8 0 +poly drawing 9 0 +contact drawing 10 0 +metal1 drawing 11 0 +via1 drawing 12 0 +metal2 drawing 13 0 +via2 drawing 14 0 +metal3 drawing 15 0 +via3 drawing 16 0 +metal4 drawing 17 0 +via4 drawing 18 0 +metal5 drawing 19 0 +via5 drawing 20 0 +metal6 drawing 21 0 +via6 drawing 22 0 +metal7 drawing 23 0 +via7 drawing 24 0 +metal8 drawing 25 0 +via8 drawing 26 0 +metal9 drawing 27 0 +via9 drawing 28 0 +metal10 drawing 29 0 +text drawing 239 0 diff --git a/technology/scn3me_subm/tf/display.drf b/technology/scn3me_subm/tf/display.drf new file mode 100644 index 00000000..e9a22348 --- /dev/null +++ b/technology/scn3me_subm/tf/display.drf @@ -0,0 +1,714 @@ +drDefineDisplay( +;( DisplayName ) + ( display ) +) +drDefineColor( +;( DisplayName ColorsName Red Green Blue ) + ( display white 255 255 255 ) + ( display yellow 255 255 0 ) + ( display silver 217 230 255 ) + ( display cream 255 255 204 ) + ( display pink 255 191 242 ) + ( display magenta 255 0 255 ) + ( display lime 0 255 0 ) + ( display tan 255 230 191 ) + ( display cyan 0 255 255 ) + ( display cadetBlue 57 191 255 ) + ( display orange 255 128 0 ) + ( display red 255 51 51 ) + ( display purple 153 0 230 ) + ( display green 0 204 102 ) + ( display brown 191 64 38 ) + ( display blue 51 77 255 ) + ( display slate 140 140 166 ) + ( display gold 217 204 0 ) + ( display maroon 230 31 13 ) + ( display violet 94 0 230 ) + ( display forest 38 140 107 ) + ( display chocolate 128 38 38 ) + ( display navy 51 51 153 ) + ( display black 0 0 0 ) + ( display gray 204 204 217 ) + ( display winColor1 166 166 166 ) + ( display winColor2 115 115 115 ) + ( display winColor3 189 204 204 ) + ( display winColor4 204 204 204 ) + ( display winColor5 199 199 199 ) + ( display blinkRed 255 0 0 t ) + ( display blinkYellow 255 255 0 t ) + ( display blinkWhite 255 255 255 t ) + ( display winBack 224 224 224 ) + ( display winFore 128 0 0 ) + ( display winText 51 51 51 ) +) +drDefineStipple( +;( DisplayName StippleName Bitmap ) + ( display dots ( ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) ) ) + ( display dots1 ( ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) ) ) + ( display hLine ( ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ) ) ) + ( display vLine ( ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) ) ) + ( display cross ( ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ) ) ) + ( display grid ( ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ) ) ) + ( display slash ( ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) ) ) + ( display backSlash ( ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) ) ) + ( display hZigZag ( ( 1 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 0 0 0 1 1 0 0 0 0 0 0 1 1 0 0 0 ) + ( 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 ) + ( 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 1 ) + ( 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 ) + ( 0 1 1 0 0 0 0 0 0 1 1 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 ) + ( 0 0 0 0 1 1 0 0 0 0 0 0 1 1 0 0 ) + ( 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 ) + ( 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 ) + ( 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 ) + ( 0 0 1 1 0 0 0 0 0 0 1 1 0 0 0 0 ) + ( 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 ) + ( 0 0 0 0 0 1 1 0 0 0 0 0 0 1 1 0 ) + ( 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 ) ) ) + ( display vZigZag ( ( 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 ) + ( 1 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 ) + ( 0 1 0 0 0 0 1 0 0 0 0 0 1 0 0 0 ) + ( 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 ) + ( 0 0 1 0 0 0 0 0 1 0 0 0 0 1 0 0 ) + ( 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 0 ) + ( 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 ) + ( 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 ) + ( 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 ) + ( 1 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 ) + ( 0 1 0 0 0 0 1 0 0 0 0 0 1 0 0 0 ) + ( 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 ) + ( 0 0 1 0 0 0 0 0 1 0 0 0 0 1 0 0 ) + ( 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 0 ) + ( 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 ) + ( 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 ) ) ) + ( display hCurb ( ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 1 1 1 1 0 0 0 1 1 1 1 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 1 1 1 0 0 0 1 1 1 1 1 0 0 0 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 1 1 1 1 0 0 0 1 1 1 1 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 1 1 1 0 0 0 1 1 1 1 1 0 0 0 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) ) ) + ( display vCurb ( ( 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 ) + ( 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 ) + ( 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 ) + ( 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 ) + ( 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 ) + ( 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 ) + ( 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 ) + ( 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 ) ) ) + ( display brick ( ( 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ) + ( 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 ) + ( 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 ) + ( 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 ) + ( 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ) + ( 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 ) + ( 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 ) + ( 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 ) ) ) + ( display dagger ( ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 1 1 1 1 1 0 0 0 1 1 1 1 1 0 0 0 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 ) + ( 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 ) + ( 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 ) + ( 0 0 0 1 1 1 1 1 0 0 0 1 1 1 1 1 ) + ( 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 ) + ( 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 ) + ( 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 ) ) ) + ( display triangle ( ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 ) + ( 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 ) + ( 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 ) + ( 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) ) ) + ( display x ( ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ) ) ) + ( display stipple0 ( ( 1 ) ) ) + ( display stipple1 ( ( 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) ) ) + ( display stipple2 ( ( 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 ) + ( 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 ) + ( 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 ) + ( 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 ) + ( 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 ) + ( 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 ) + ( 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 ) + ( 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 ) + ( 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 ) + ( 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 ) + ( 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 ) + ( 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 ) + ( 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 ) + ( 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 ) + ( 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 ) + ( 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 ) ) ) + ( display stipple3 ( ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) ) ) + ( display stipple4 ( ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 ) ) ) + ( display stipple5 ( ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) ) ) + ( display stipple6 ( ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) ) ) + ( display stipple7 ( ( 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 ) + ( 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 0 ) + ( 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 ) + ( 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 ) + ( 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 ) + ( 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 ) + ( 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 0 ) + ( 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 ) + ( 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 ) + ( 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 0 ) + ( 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 ) + ( 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 ) + ( 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 ) + ( 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 ) + ( 0 1 0 0 0 0 1 0 0 1 0 0 0 0 1 0 ) + ( 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 ) ) ) + ( display stipple8 ( ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 ) ) ) + ( display stipple9 ( ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) + ( 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 ) ) ) + ( display stipple10 ( ( 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) ) ) + ( display stipple11 ( ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) ) ) + ( display dots2 ( ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) ) ) + ( display dots4 ( ( 1 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 1 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 1 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 1 ) ) ) + ( display dats5 ( ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) + ( 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ) ) ) +) +drDefineLineStyle( +;( DisplayName LineStyle Size Pattern ) + ( display solid 1 (1 ) ) + ( display dashed 1 (1 1 1 0 0 1 1 1 ) ) + ( display dots 1 (1 0 0 ) ) + ( display dashDot 1 (1 1 1 0 0 1 0 0 ) ) + ( display shortDash 1 (1 1 0 0 ) ) + ( display doubleDash 1 (1 1 1 1 0 0 1 1 0 0 ) ) + ( display hidden 1 (1 0 0 0 ) ) + ( display thickLine 3 (1 1 1 ) ) + ( display lineStyle0 1 (1 ) ) + ( display lineStyle1 1 (1 1 1 0 1 1 1 0 1 1 1 0 1 1 0 1 ) ) +) +drDefinePacket( +;( DisplayName PacketName Stipple LineStyle Fill Outline [FillStyle]) + ( display NwellNet dots4 thickLine slate slate outlineStipple) + ( display border stipple0 solid tan tan solid ) + ( display y8 stipple0 solid gold gold solid ) + ( display background stipple1 lineStyle0 black black outlineStipple) + ( display y9 stipple0 solid silver silver solid ) + ( display Metal3Net dots4 solid navy navy outlineStipple) + ( display A1 stipple0 lineStyle0 winBack winBack solid ) + ( display pin solid lineStyle0 red red solid ) + ( display XPNet blank solid yellow yellow outline ) + ( display hardFence stipple0 solid red red solid ) + ( display PbaseNet dots4 solid yellow yellow outlineStipple) + ( display designFlow3 stipple1 lineStyle0 pink pink outlineStipple) + ( display A2 stipple0 lineStyle0 winBack winBack solid ) + ( display Unrouted1 stipple0 lineStyle1 brown brown solid ) + ( display RowLbl blank solid cyan cyan outline ) + ( display edgeLayerPin stipple0 solid yellow yellow solid ) + ( display instance blank solid winBack red outline ) + ( display Nselect dots4 solid green green outlineStipple) + ( display snap stipple0 solid yellow yellow solid ) + ( display pinAnt stipple0 solid red red solid ) + ( display winAttentionText solid solid winText winText solid ) + ( display designFlow2 stipple1 lineStyle0 purple purple outlineStipple) + ( display Unrouted2 stipple0 lineStyle1 red red solid ) + ( display hilite blank solid white white outline ) + ( display P2Con solid lineStyle0 orange orange solid ) + ( display designFlow1 stipple1 lineStyle0 red red outlineStipple) + ( display grid1 stipple0 solid gray gray solid ) + ( display Unrouted3 stipple0 lineStyle1 pink pink solid ) + ( display ViaNet x solid magenta magenta outlineStipple) + ( display select stipple0 solid tan tan solid ) + ( display Poly2Net dots4 lineStyle0 orange orange outlineStipple) + ( display winText solid solid winText winText solid ) + ( display Unrouted4 stipple0 lineStyle1 orange orange solid ) + ( display wireLbl solid lineStyle0 cyan cyan solid ) + ( display designFlow7 stipple1 lineStyle0 cyan cyan outlineStipple) + ( display align stipple0 solid tan tan solid ) + ( display Poly2Pin blank solid yellow yellow outline ) + ( display Unrouted5 stipple0 lineStyle1 green green solid ) + ( display unset stipple0 solid forest forest solid ) + ( display Poly1Net dots4 lineStyle0 red red outlineStipple) + ( display Resistor dots2 lineStyle0 cyan cyan outlineStipple) + ( display DiodeNet dots4 lineStyle0 cream cream outlineStipple) + ( display designFlow6 stipple1 lineStyle0 tan tan outlineStipple) + ( display Unrouted6 stipple0 lineStyle1 blue blue solid ) + ( display resist stipple0 solid cyan cyan solid ) + ( display designFlow5 stipple1 lineStyle0 silver silver outlineStipple) + ( display CapWellNet brick solid slate slate outlineStipple) + ( display Unrouted7 stipple0 lineStyle1 purple purple solid ) + ( display CannotoccupyBnd blank solid red red outline ) + ( display winTopShadow solid solid white white solid ) + ( display designFlow4 stipple1 lineStyle0 black black outlineStipple) + ( display softFence stipple0 solid yellow yellow solid ) + ( display ResistorNet dots4 solid cyan cyan outlineStipple) + ( display winError solid solid winColor5 winColor5 solid ) + ( display changedLayerTl1 stipple0 solid yellow yellow solid ) + ( display prBoundaryLbl stipple0 solid purple purple solid ) + ( display ActXNet x solid yellow yellow outlineStipple) + ( display Pbase stipple10 lineStyle0 yellow yellow outlineStipple) + ( display Active dots2 lineStyle0 yellow yellow outlineStipple) + ( display changedLayerTl0 stipple0 solid red red solid ) + ( display spike stipple0 solid purple purple solid ) + ( display Metal3 grid solid navy violet outlineStipple) + ( display text blank solid white white outline ) + ( display Poly1Pin stipple0 lineStyle0 red red solid ) + ( display Row blank solid cyan cyan outline ) + ( display Pwell stipple9 lineStyle0 slate slate outlineStipple) + ( display Metal2 stipple5 lineStyle0 magenta magenta outlineStipple) + ( display wire solid lineStyle0 cyan cyan solid ) + ( display ActX solid solid yellow yellow solid ) + ( display Metal1 stipple6 lineStyle0 cadetBlue cadetBlue outlineStipple) + ( display Cannotoccupy blank solid red red outline ) + ( display GroupLbl stipple0 solid green green solid ) + ( display axis stipple0 solid slate slate solid ) + ( display SiBlockNet x dashed tan tan outlineStipple) + ( display edgeLayer stipple0 solid gray gray solid ) + ( display annotate2 stipple0 solid lime lime solid ) + ( display Metal1Pin stipple0 lineStyle0 blue blue solid ) + ( display Diode stipple7 lineStyle0 cream cream outlineStipple) + ( display Glass X lineStyle0 white white X ) + ( display ViaXNet x solid magenta magenta outlineStipple) + ( display annotate3 stipple0 solid cyan cyan solid ) + ( display Poly2 dots1 lineStyle0 orange orange outlineStipple) + ( display deviceAnt stipple0 solid yellow yellow solid ) + ( display winBottomShadow solid solid winColor1 winColor1 solid ) + ( display PselectNet dots4 solid brown brown outlineStipple) + ( display comment stipple0 lineStyle0 winBack winBack solid ) + ( display Poly1 dots lineStyle0 red red outlineStipple) + ( display Unrouted stipple0 lineStyle1 winColor5 winColor5 solid ) + ( display stretch stipple0 solid yellow yellow solid ) + ( display XP blank lineStyle0 winBack gold outline ) + ( display annotate1 stipple0 solid pink pink solid ) + ( display Group stipple2 solid green green outlineStipple) + ( display deviceLbl stipple0 solid green green solid ) + ( display annotate6 stipple0 solid silver silver solid ) + ( display GlassNet blank solid yellow yellow outline ) + ( display Canplace blank solid cyan cyan outline ) + ( display annotate7 stipple0 solid red red solid ) + ( display Via2 solid solid navy navy solid ) + ( display Metal2Pin stipple0 lineStyle0 magenta magenta solid ) + ( display annotate4 stipple0 solid yellow yellow solid ) + ( display device1 stipple1 lineStyle0 green green outlineStipple) + ( display "90" blank solid white white outline ) + ( display markerWarn x solid yellow yellow outlineStipple) + ( display text2 stipple1 lineStyle0 white white outlineStipple) + ( display CapacitorNet dots4 lineStyle0 tan tan outlineStipple) + ( display designFlow stipple1 lineStyle0 green green outlineStipple) + ( display hilite1 stipple0 solid silver silver solid ) + ( display device blank solid green green outline ) + ( display prBoundary stipple0 solid purple purple solid ) + ( display annotate5 stipple0 solid white white solid ) + ( display text1 stipple0 dashed white white solid ) + ( display Via solid solid magenta magenta solid ) + ( display Capacitor stipple7 lineStyle0 tan tan outlineStipple) + ( display markerErr x solid white white outlineStipple) + ( display unknown stipple0 solid yellow yellow solid ) + ( display annotate stipple0 solid orange orange solid ) + ( display P1ConNet x solid red red outlineStipple) + ( display hilite3 stipple0 solid cyan cyan solid ) + ( display winActiveBanner solid solid winColor3 winColor3 solid ) + ( display pinLbl stipple0 solid red red solid ) + ( display device2 stipple0 lineStyle1 green green solid ) + ( display grid stipple0 solid slate slate solid ) + ( display winBackground solid solid winBack winBack solid ) + ( display Metal1Net dots4 lineStyle0 blue blue outlineStipple) + ( display hilite2 stipple0 solid tan tan solid ) + ( display annotate8 stipple0 solid tan tan solid ) + ( display hilite5 stipple0 solid lime lime solid ) + ( display annotate9 stipple0 solid green green solid ) + ( display Metal2Net dots4 lineStyle0 magenta magenta outlineStipple) + ( display Metal3Pin stipple0 solid navy navy solid ) + ( display hilite4 stipple0 solid gray gray solid ) + ( display y0 stipple0 solid gray gray solid ) + ( display supply stipple0 solid lime lime solid ) + ( display ActiveNet dots4 lineStyle0 yellow yellow outlineStipple) + ( display hilite7 stipple0 solid cream cream solid ) + ( display y1 stipple0 solid brown brown solid ) + ( display defaultPacket x solid chocolate winColor2 outlineStipple) + ( display Via2Net cross solid navy navy outlineStipple) + ( display NselectNet dots4 solid green green outlineStipple) + ( display Unrouted8 stipple0 lineStyle1 gold gold solid ) + ( display hilite6 stipple0 solid orange orange solid ) + ( display y2 stipple0 solid red red solid ) + ( display winBorder solid solid winColor2 winColor2 solid ) + ( display Nwell dats5 thickLine slate slate outlineStipple) + ( display Unrouted9 stipple0 lineStyle1 silver silver solid ) + ( display hilite9 stipple0 solid pink pink solid ) + ( display SiBlock blank dashed tan tan outline ) + ( display y3 stipple0 solid orange orange solid ) + ( display prBoundaryBnd stipple0 solid cyan cyan solid ) + ( display winForeground solid solid winFore winFore solid ) + ( display hilite8 stipple0 solid magenta magenta solid ) + ( display y4 stipple0 solid yellow yellow solid ) + ( display Pselect dots1 solid brown brown outlineStipple) + ( display winInactiveBanner solid solid winColor4 winColor4 solid ) + ( display designFlow9 stipple1 lineStyle0 orange orange outlineStipple) + ( display winButton solid solid winFore winFore solid ) + ( display y5 stipple0 solid green green solid ) + ( display hiz stipple0 solid orange orange solid ) + ( display drive stipple0 solid blue blue solid ) + ( display wireFlt stipple0 dashed red red solid ) + ( display instanceLbl stipple0 solid gold gold solid ) + ( display P2ConNet x lineStyle0 orange orange outlineStipple) + ( display designFlow8 stipple1 lineStyle0 navy navy outlineStipple) + ( display y6 stipple0 solid blue blue solid ) + ( display PwellNet dots4 lineStyle0 slate slate outlineStipple) + ( display P1Con solid solid red red solid ) + ( display CapWell dagger solid slate slate outlineStipple) + ( display y7 stipple0 solid purple purple solid ) + ( display ViaX solid solid magenta magenta solid ) + ( display HR x solid chocolate winColor2 outlineStipple) + ( display HRnet x solid chocolate winColor2 outlineStipple) +) diff --git a/technology/scn3me_subm/tf/glade_scn3me_subm.py b/technology/scn3me_subm/tf/glade_scn3me_subm.py new file mode 100644 index 00000000..d2f9aa7e --- /dev/null +++ b/technology/scn3me_subm/tf/glade_scn3me_subm.py @@ -0,0 +1,7 @@ +import os +CWD = os.environ.get("OPENRAM_TECH") + "/scn3me_subm/tf" +ui().importCds("default", CWD+"/display.drf", CWD+"/mosis.tf", 1000, 1, CWD+"/layers.map") + + + + diff --git a/technology/scn3me_subm/tf/layers.map b/technology/scn3me_subm/tf/layers.map new file mode 100644 index 00000000..d10d5f2d --- /dev/null +++ b/technology/scn3me_subm/tf/layers.map @@ -0,0 +1,16 @@ +Pwell drawing 41 0 +Nwell drawing 42 0 +Active drawing 43 0 +Poly1 drawing 46 0 +Pselect drawing 45 0 +Nselect drawing 44 0 +contact drawing 25 0 +P1Con drawing 47 0 +ActX drawing 48 0 +Metal1 drawing 49 0 +Via drawing 50 0 +Metal2 drawing 51 0 +Via2 drawing 61 0 +Metal3 drawing 62 0 +Glass drawing 52 0 +text drawing 83 0 diff --git a/technology/scn3me_subm/tf/mosis.tf b/technology/scn3me_subm/tf/mosis.tf new file mode 100644 index 00000000..759221f1 --- /dev/null +++ b/technology/scn3me_subm/tf/mosis.tf @@ -0,0 +1,848 @@ +; Generated on Sep 28 16:05:23 1998 +; with @(#)$CDS: icfb.exe version 4.4.1 06/17/98 23:40 (cds10067) $ +; +; Matt Clapp fixed: October 10, 2002 +; added via devices, deleted useless app-specific crap, +; added lxExtractRules so undo in layout editor doesn't +; complain. + + +;******************************** +; LAYER DEFINITION +;******************************** + +layerDefinitions( + techLayers( + ;( LayerName Layer# Abbreviation ) + ;( --------- ------ ------------ ) + ;User-Defined Layers: + ( P2Con 3 P2Con ) + ( Poly2 7 Poly2 ) + ( Pbase 10 Pbase ) + ( Resistor 16 Resisto ) + ( Capacitor 17 Capacit ) + ( Diode 18 Diode ) + ( SiBlock 29 SiBlock ) + ( HR 34 HR ) + ( Pwell 41 Pwell ) + ( Nwell 42 Nwell ) + ( Active 43 Active ) + ( Pselect 44 Pselect ) + ( Nselect 45 Nselect ) + ( Poly1 46 Poly1 ) + ( P1Con 47 P1Con ) + ( ActX 48 ActX ) + ( Metal1 49 Metal1 ) + ( Via 50 Via ) + ( Metal2 51 Metal2 ) + ( Glass 52 Glass ) + ( CapWell 59 CapWell ) + ( XP 60 XP ) + ( Via2 61 Via2 ) + ( Metal3 62 Metal3 ) + ( A1 80 A1 ) + ( A2 81 A2 ) + ( comment 117 comment ) + ;System-Reserved Layers: + ( Unrouted 200 Unroute ) + ( Row 201 Row ) + ( Group 202 Group ) + ( Cannotoccupy 203 Cannoto ) + ( Canplace 204 Canplac ) + ( hardFence 205 hardFen ) + ( softFence 206 softFen ) + ( y0 207 y0 ) + ( y1 208 y1 ) + ( y2 209 y2 ) + ( y3 210 y3 ) + ( y4 211 y4 ) + ( y5 212 y5 ) + ( y6 213 y6 ) + ( y7 214 y7 ) + ( y8 215 y8 ) + ( y9 216 y9 ) + ( designFlow 217 designF ) + ( stretch 218 stretch ) + ( edgeLayer 219 edgeLay ) + ( changedLayer 220 changed ) + ( unset 221 unset ) + ( unknown 222 unknown ) + ( spike 223 spike ) + ( hiz 224 hiz ) + ( resist 225 resist ) + ( drive 226 drive ) + ( supply 227 supply ) + ( wire 228 wire ) + ( pin 229 pin ) + ( text 230 text ) + ( device 231 device ) + ( border 232 border ) + ( snap 233 snap ) + ( align 234 align ) + ( prBoundary 235 prBound ) + ( instance 236 instanc ) + ( annotate 237 annotat ) + ( marker 238 marker ) + ( select 239 select ) + ( grid 251 grid ) + ( axis 252 axis ) + ( hilite 253 hilite ) + ( background 254 backgro ) + ) ;techLayers + + techPurposes( + ;( PurposeName Purpose# Abbreviation ) + ;( ----------- -------- ------------ ) + ;User-Defined Purposes: + ;System-Reserved Purposes: + ( warning 234 wng ) + ( tool1 235 tl1 ) + ( tool0 236 tl0 ) + ( label 237 lbl ) + ( flight 238 flt ) + ( error 239 err ) + ( annotate 240 ant ) + ( drawing1 241 dr1 ) + ( drawing2 242 dr2 ) + ( drawing3 243 dr3 ) + ( drawing4 244 dr4 ) + ( drawing5 245 dr5 ) + ( drawing6 246 dr6 ) + ( drawing7 247 dr7 ) + ( drawing8 248 dr8 ) + ( drawing9 249 dr9 ) + ( boundary 250 bnd ) + ( pin 251 pin ) + ( drawing 252 drw ) + ( net 253 net ) + ( cell 254 cel ) + ( all 255 all ) + ) ;techPurposes + + techLayerPurposePriorities( + ;layers are ordered from lowest to highest priority + ; (higher priority is drawn on top of lower priority) + ;( LayerName Purpose ) + ;( --------- ------- ) + ( background drawing ) + ( grid drawing ) + ( grid drawing1 ) + ( Nwell drawing ) + ( Pwell drawing ) + ( CapWell drawing ) + ( Pselect drawing ) + ( Nselect drawing ) + ( Active drawing ) + ( ActX drawing ) + ( SiBlock drawing ) + ( HR drawing ) + ( Poly1 drawing ) + ( P1Con drawing ) + ( Poly2 drawing ) + ( P2Con drawing ) + ( Metal1 drawing ) + ( Via drawing ) + ( Metal2 drawing ) + ( Via2 drawing ) + ( Metal3 drawing ) + ( annotate drawing ) + ( annotate drawing1 ) + ( annotate drawing2 ) + ( annotate drawing3 ) + ( annotate drawing4 ) + ( annotate drawing5 ) + ( annotate drawing6 ) + ( annotate drawing7 ) + ( annotate drawing8 ) + ( annotate drawing9 ) + ( Poly1 pin ) + ( Metal1 pin ) + ( Metal2 pin ) + ( Metal3 pin ) + ( Glass drawing ) + ( XP drawing ) + ( prBoundary drawing ) + ( prBoundary boundary ) + ( instance drawing ) + ( prBoundary label ) + ( instance label ) + ( Row drawing ) + ( Nwell net ) + ( align drawing ) + ( Pwell net ) + ( CapWell net ) + ( hardFence drawing ) + ( Active net ) + ( softFence drawing ) + ( Row label ) + ( Group drawing ) + ( Group label ) + ( Cannotoccupy drawing ) + ( Cannotoccupy boundary ) + ( Canplace drawing ) + ( ActX net ) + ( A2 drawing ) + ( A1 drawing ) + ( comment drawing ) + ( border drawing ) + ( Pselect net ) + ( Nselect net ) + ( SiBlock net ) + ( HR net ) + ( wire drawing ) + ( Poly1 net ) + ( wire label ) + ( P1Con net ) + ( wire flight ) + ( Metal1 net ) + ( device annotate ) + ( Metal2 net ) + ( device label ) + ( Via net ) + ( Metal3 net ) + ( Via2 net ) + ( pin label ) + ( text drawing ) + ( pin drawing ) + ( text drawing1 ) + ( pin annotate ) + ( device drawing ) + ( axis drawing ) + ( edgeLayer drawing ) + ( edgeLayer pin ) + ( snap drawing ) + ( stretch drawing ) + ( y0 drawing ) + ( y1 drawing ) + ( y2 drawing ) + ( y3 drawing ) + ( y4 drawing ) + ( y5 drawing ) + ( y6 drawing ) + ( y7 drawing ) + ( y8 drawing ) + ( y9 drawing ) + ( hilite drawing ) + ( hilite drawing1 ) + ( hilite drawing2 ) + ( hilite drawing3 ) + ( hilite drawing4 ) + ( hilite drawing5 ) + ( hilite drawing6 ) + ( hilite drawing7 ) + ( hilite drawing8 ) + ( hilite drawing9 ) + ( select drawing ) + ( drive drawing ) + ( hiz drawing ) + ( resist drawing ) + ( spike drawing ) + ( supply drawing ) + ( unknown drawing ) + ( unset drawing ) + ( designFlow drawing ) + ( designFlow drawing1 ) + ( designFlow drawing2 ) + ( designFlow drawing3 ) + ( designFlow drawing4 ) + ( designFlow drawing5 ) + ( designFlow drawing6 ) + ( designFlow drawing7 ) + ( designFlow drawing8 ) + ( designFlow drawing9 ) + ( changedLayer tool0 ) + ( changedLayer tool1 ) + ( marker warning ) + ( marker error ) + ( device drawing1 ) + ( Pbase drawing ) + ( Pbase net ) + ( Resistor net ) + ( Resistor drawing ) + ( Capacitor net ) + ( Capacitor drawing ) + ( Diode net ) + ( Diode drawing ) + ( Poly2 net ) + ( P2Con net ) + ( device drawing2 ) + ( Unrouted drawing ) + ( text drawing2 ) + ( Unrouted drawing1 ) + ( Unrouted drawing2 ) + ( Unrouted drawing3 ) + ( Unrouted drawing4 ) + ( Unrouted drawing5 ) + ( Unrouted drawing6 ) + ( Unrouted drawing7 ) + ( Unrouted drawing8 ) + ( Unrouted drawing9 ) + ) ;techLayerPurposePriorities + + techDisplays( + ;( LayerName Purpose Packet Vis Sel Con2ChgLy DrgEnbl Valid ) + ;( --------- ------- ------ --- --- --------- ------- ----- ) + ( background drawing background t nil nil nil nil ) + ( grid drawing grid t nil nil nil nil ) + ( grid drawing1 grid1 t nil nil nil nil ) + ( Nwell drawing Nwell t t t t t ) + ( Pwell drawing Pwell t t t t nil ) + ( Active drawing Active t t t t t ) + ( ActX drawing ActX t t t t t ) + ( Pselect drawing Pselect t t t t t ) + ( Nselect drawing Nselect t t t t t ) + ( SiBlock drawing SiBlock t t t t t ) + ( HR drawing HR t t t t t ) + ( CapWell drawing CapWell t t t t t ) + ( Poly1 drawing Poly1 t t t t t ) + ( P1Con drawing P1Con t t t t t ) + ( Metal1 drawing Metal1 t t t t t ) + ( Via drawing Via t t t t t ) + ( Metal2 drawing Metal2 t t t t t ) + ( annotate drawing annotate t t nil t nil ) + ( annotate drawing1 annotate1 t t nil t nil ) + ( annotate drawing2 annotate2 t t nil t nil ) + ( annotate drawing3 annotate3 t t nil t nil ) + ( annotate drawing4 annotate4 t t nil t nil ) + ( annotate drawing5 annotate5 t t nil t nil ) + ( annotate drawing6 annotate6 t t nil t nil ) + ( annotate drawing7 annotate7 t t nil t nil ) + ( annotate drawing8 annotate8 t t nil t nil ) + ( annotate drawing9 annotate9 t t nil t nil ) + ( Via2 drawing Via2 t t t t t ) + ( Metal3 drawing Metal3 t t t t t ) + ( Glass drawing Glass t t t nil t ) + ( XP drawing XP t t t nil t ) + ( Metal1 pin Metal1Pin t t t nil t ) + ( Metal2 pin Metal2Pin t t t nil t ) + ( Metal3 pin Metal3Pin t t t nil t ) + ( Poly1 pin Poly1Pin t t t nil t ) + ( prBoundary drawing prBoundary t t nil t nil ) + ( prBoundary boundary prBoundaryBnd t t nil t nil ) + ( instance drawing instance t t nil t t ) + ( prBoundary label prBoundaryLbl t t t t nil ) + ( instance label instanceLbl t t t t nil ) + ( Row drawing Row t t t t nil ) + ( Nwell net NwellNet t t t nil nil ) + ( align drawing align t t nil t nil ) + ( Pwell net PwellNet t t t nil nil ) + ( CapWell net CapWellNet t t t nil nil ) + ( SiBlock net SiBlockNet t t t nil nil ) + ( HR net HRnet t t t nil nil ) + ( hardFence drawing hardFence t t t t nil ) + ( Active net ActiveNet t t t nil nil ) + ( softFence drawing softFence t t t t nil ) + ( Row label RowLbl t t t t nil ) + ( Group drawing Group t t t t nil ) + ( Group label GroupLbl t t t t nil ) + ( Cannotoccupy drawing Cannotoccupy t t t t nil ) + ( Cannotoccupy boundary CannotoccupyBnd t t t t nil ) + ( Canplace drawing Canplace t t t t nil ) + ( ActX net ActXNet t t t nil nil ) + ( A2 drawing A2 t t t t nil ) + ( A1 drawing A1 t t t t nil ) + ( comment drawing comment t t t t nil ) + ( border drawing border t t t t nil ) + ( Pselect net PselectNet t t t nil nil ) + ( Nselect net NselectNet t t t nil nil ) + ( wire drawing wire t t t t nil ) + ( Poly1 net Poly1Net t t t nil nil ) + ( wire label wireLbl t t t t nil ) + ( P1Con net P1ConNet t t t nil nil ) + ( wire flight wireFlt t t t t nil ) + ( Metal1 net Metal1Net t t t nil nil ) + ( device annotate deviceAnt t t t t nil ) + ( Metal2 net Metal2Net t t t nil nil ) + ( Metal3 net Metal3Net t t t nil nil ) + ( device label deviceLbl t t t t nil ) + ( Via net ViaNet t t t nil nil ) + ( Via2 net Via2Net t t t nil nil ) + ( pin label pinLbl t t t t nil ) + ( text drawing text t t t t t ) + ( pin drawing pin t t t t nil ) + ( text drawing1 text1 t t t t nil ) + ( pin annotate pinAnt t t t t nil ) + ( device drawing device t t t t nil ) + ( axis drawing axis t t t t nil ) + ( edgeLayer drawing edgeLayer t t nil t nil ) + ( edgeLayer pin edgeLayerPin t t nil t nil ) + ( snap drawing snap t t nil t nil ) + ( stretch drawing stretch t t nil t nil ) + ( y0 drawing y0 t t nil t nil ) + ( y1 drawing y1 t t nil t nil ) + ( y2 drawing y2 t t nil t nil ) + ( y3 drawing y3 t t nil t nil ) + ( y4 drawing y4 t t nil t nil ) + ( y5 drawing y5 t t nil t nil ) + ( y6 drawing y6 t t nil t nil ) + ( y7 drawing y7 t t nil t nil ) + ( y8 drawing y8 t t nil t nil ) + ( y9 drawing y9 t t nil t nil ) + ( hilite drawing hilite t t nil t nil ) + ( hilite drawing1 hilite1 t t t t nil ) + ( hilite drawing2 hilite2 t t nil t nil ) + ( hilite drawing3 hilite3 t t t t nil ) + ( hilite drawing4 hilite4 t t t t nil ) + ( hilite drawing5 hilite5 t t t t nil ) + ( hilite drawing6 hilite6 t t t t nil ) + ( hilite drawing7 hilite7 t t t t nil ) + ( hilite drawing8 hilite8 t t t t nil ) + ( hilite drawing9 hilite9 t t t t nil ) + ( select drawing select t t nil t nil ) + ( drive drawing drive t t t t nil ) + ( hiz drawing hiz t t t t nil ) + ( resist drawing resist t t t t nil ) + ( spike drawing spike t t t t nil ) + ( supply drawing supply t t t t nil ) + ( unknown drawing unknown t t t t nil ) + ( unset drawing unset t t t t nil ) + ( designFlow drawing designFlow t t t nil nil ) + ( designFlow drawing1 designFlow1 t t t nil nil ) + ( designFlow drawing2 designFlow2 t t t nil nil ) + ( designFlow drawing3 designFlow3 t t t nil nil ) + ( designFlow drawing4 designFlow4 t t t nil nil ) + ( designFlow drawing5 designFlow5 t t t nil nil ) + ( designFlow drawing6 designFlow6 t t t nil nil ) + ( designFlow drawing7 designFlow7 t t t nil nil ) + ( designFlow drawing8 designFlow8 t t t nil nil ) + ( designFlow drawing9 designFlow9 t t t nil nil ) + ( changedLayer tool0 changedLayerTl0 nil nil nil nil nil ) + ( changedLayer tool1 changedLayerTl1 nil nil t nil nil ) + ( marker warning markerWarn t t t t nil ) + ( marker error markerErr t t t t nil ) + ( device drawing1 device1 t t t t nil ) + ( Poly2 net Poly2Net t t t nil nil ) + ( Poly2 drawing Poly2 t t t t t ) + ( P2Con net P2ConNet t t t nil nil ) + ( P2Con drawing P2Con t t t t t ) + ( Pbase net PbaseNet t t t nil nil ) + ( Pbase drawing Pbase t t t t t ) + ( Resistor net ResistorNet t t t nil nil ) + ( Resistor drawing Resistor t t t t t ) + ( Capacitor net CapacitorNet t t t nil nil ) + ( Capacitor drawing Capacitor t t t t t ) + ( Diode net DiodeNet t t t nil nil ) + ( Diode drawing Diode t t t t t ) + ( device drawing2 device2 t t t t nil ) + ( Unrouted drawing Unrouted t t t t nil ) + ( text drawing2 text2 t t t t nil ) + ( Unrouted drawing1 Unrouted1 t t t t nil ) + ( Unrouted drawing2 Unrouted2 t t t t nil ) + ( Unrouted drawing3 Unrouted3 t t t t nil ) + ( Unrouted drawing4 Unrouted4 t t t t nil ) + ( Unrouted drawing5 Unrouted5 t t t t nil ) + ( Unrouted drawing6 Unrouted6 t t t t nil ) + ( Unrouted drawing7 Unrouted7 t t t t nil ) + ( Unrouted drawing8 Unrouted8 t t t t nil ) + ( Unrouted drawing9 Unrouted9 t t t t nil ) + ) ;techDisplays + +; I don't think the following is necessary (or used!) +techLayerProperties( +;( PropName Layer1 [ Layer2 ] PropValue ) + ( contactLimit P2Con 10000 ) + ( eqPinLimit P2Con 10000 ) + ( horizontalJogLength P2Con 2147483648.000000 ) + ( routingGrid P2Con 1.000000 ) + ( verticalJogLength P2Con 2147483648.000000 ) + ( routingGrid Poly2 1.000000 ) + ( contactLimit Active 10000 ) + ( eqPinLimit Active 10000 ) + ( horizontalJogLength Active 2147483648.000000 ) + ( routingGrid Active 1.000000 ) + ( verticalJogLength Active 2147483648.000000 ) + ( routingGrid Poly1 1.000000 ) + ( contactLimit P1Con 10000 ) + ( eqPinLimit P1Con 10000 ) + ( horizontalJogLength P1Con 2147483648.000000 ) + ( routingGrid P1Con 1.000000 ) + ( verticalJogLength P1Con 2147483648.000000 ) + ( contactLimit ActX 10000 ) + ( eqPinLimit ActX 10000 ) + ( horizontalJogLength ActX 2147483648.000000 ) + ( routingGrid ActX 1.000000 ) + ( verticalJogLength ActX 2147483648.000000 ) + ( routingGrid Metal1 1.000000 ) + ( contactLimit Via 10000 ) + ( eqPinLimit Via 10000 ) + ( horizontalJogLength Via 2147483648.000000 ) + ( routingGrid Via 1.000000 ) + ( verticalJogLength Via 2147483648.000000 ) + ( routingGrid Metal2 1.000000 ) +) + +) ;layerDefinitions + + +;******************************** +; DEVICE RULES +;******************************** + +devices( + tcCreateCDSDeviceClass() + + symContactDevice( + ;( deviceName viaLayer viaPurpose + ( VIA Via drawing + + ; layer1 purpose1 [implant1] + Metal1 drawing + + ; layer2 purpose2 [implant2] + Metal2 drawing + + ; width length [( row column xPitch yPitch xBias yBias )] + ; 2 2 ( 1 1 _NA_ _NA_ _NA_ _NA_ ) + 2 2 + + ; encLayer1 encLayer2 legalRegion ) + 1 1 _NA_) + ) ;symContactDevice + + symContactDevice( + ;( deviceName viaLayer viaPurpose + ( VIA2 Via2 drawing + + ; layer1 purpose1 [implant1] + Metal2 drawing + + ; layer2 purpose2 [implant2] + Metal3 drawing + + ; width length [( row column xPitch yPitch xBias yBias )] + ; 2 2 ( 1 1 _NA_ _NA_ _NA_ _NA_ ) + 2 2 + + ; encLayer1 encLayer2 legalRegion ) + 1 2 _NA_) + ) ;symContactDevice + +) ;devices + + +;******************************** +; LAYER RULES +;******************************** + +layerRules( + streamLayers( + ;( layer streamNumber dataType translate ) + ;( ----- ------------ -------- --------- ) + ( ("background" "drawing") 0 0 nil ) + ( ("grid" "drawing") 0 0 nil ) + ( ("grid" "drawing1") 0 0 nil ) + ( ("Nwell" "drawing") 42 0 t ) + ( ("Pwell" "drawing") 41 0 t ) + ( ("Active" "drawing") 43 0 t ) + ( ("ActX" "drawing") 48 0 t ) + ( ("Pselect" "drawing") 44 0 t ) + ( ("Nselect" "drawing") 45 0 t ) + ( ("Poly1" "drawing") 46 0 t ) + ( ("P1Con" "drawing") 47 0 t ) + ( ("Metal1" "drawing") 49 0 t ) + ( ("Metal2" "drawing") 51 0 t ) + ( ("annotate" "drawing") 0 0 nil ) + ( ("annotate" "drawing1") 0 0 nil ) + ( ("annotate" "drawing2") 0 0 nil ) + ( ("annotate" "drawing3") 0 0 nil ) + ( ("annotate" "drawing4") 0 0 nil ) + ( ("annotate" "drawing5") 0 0 nil ) + ( ("annotate" "drawing6") 0 0 nil ) + ( ("annotate" "drawing7") 0 0 nil ) + ( ("annotate" "drawing8") 0 0 nil ) + ( ("annotate" "drawing9") 0 0 nil ) + ( ("Via" "drawing") 50 0 t ) + ( ("Glass" "drawing") 52 0 t ) + ( ("XP" "drawing") 60 0 t ) + ( ("Metal2" "pin") 0 0 nil ) + ( ("Poly1" "pin") 0 0 nil ) + ( ("prBoundary" "drawing") 0 0 nil ) + ( ("Metal1" "pin") 0 0 nil ) + ( ("prBoundary" "boundary") 0 0 nil ) + ( ("instance" "drawing") 246 0 nil ) + ( ("instance" "label") 0 0 nil ) + ( ("Nwell" "net") 0 0 nil ) + ( ("align" "drawing") 0 0 nil ) + ( ("Pwell" "net") 0 0 nil ) + ( ("hardFence" "drawing") 0 0 nil ) + ( ("Active" "net") 0 0 nil ) + ( ("softFence" "drawing") 0 0 nil ) + ( ("ActX" "net") 0 0 nil ) + ( ("A2" "drawing") 5 0 nil ) + ( ("A1" "drawing") 2 0 nil ) + ( ("comment" "drawing") 0 0 nil ) + ( ("border" "drawing") 0 0 nil ) + ( ("Pselect" "net") 0 0 nil ) + ( ("Nselect" "net") 0 0 nil ) + ( ("wire" "drawing") 0 0 nil ) + ( ("Poly1" "net") 0 0 nil ) + ( ("P1Con" "net") 0 0 nil ) + ( ("Metal1" "net") 0 0 nil ) + ( ("Metal2" "net") 0 0 nil ) + ( ("device" "label") 0 0 nil ) + ( ("Via" "net") 0 0 nil ) + ( ("pin" "label") 0 0 nil ) + ( ("text" "drawing") 63 0 t ) + ( ("pin" "drawing") 0 0 nil ) + ( ("device" "drawing") 0 0 nil ) + ( ("axis" "drawing") 0 0 nil ) + ( ("edgeLayer" "drawing") 0 0 nil ) + ( ("edgeLayer" "pin") 0 0 nil ) + ( ("snap" "drawing") 0 0 nil ) + ( ("stretch" "drawing") 0 0 nil ) + ( ("y0" "drawing") 0 0 nil ) + ( ("y1" "drawing") 0 0 nil ) + ( ("y2" "drawing") 0 0 nil ) + ( ("y3" "drawing") 0 0 nil ) + ( ("y4" "drawing") 0 0 nil ) + ( ("y5" "drawing") 0 0 nil ) + ( ("y6" "drawing") 0 0 nil ) + ( ("y7" "drawing") 0 0 nil ) + ( ("y8" "drawing") 0 0 nil ) + ( ("y9" "drawing") 0 0 nil ) + ( ("hilite" "drawing") 0 0 nil ) + ( ("hilite" "drawing2") 0 0 nil ) + ( ("select" "drawing") 0 0 nil ) + ( ("drive" "drawing") 0 0 nil ) + ( ("hiz" "drawing") 0 0 nil ) + ( ("resist" "drawing") 0 0 nil ) + ( ("spike" "drawing") 0 0 nil ) + ( ("supply" "drawing") 0 0 nil ) + ( ("unknown" "drawing") 0 0 nil ) + ( ("unset" "drawing") 0 0 nil ) + ( ("changedLayer" "tool0") 0 0 nil ) + ( ("Resistor" "net") 0 0 nil ) + ( ("Resistor" "drawing") 0 0 nil ) + ( ("Capacitor" "net") 0 0 nil ) + ( ("Capacitor" "drawing") 0 0 nil ) + ( ("Diode" "net") 0 0 nil ) + ( ("Diode" "drawing") 0 0 nil ) + ( ("Poly2" "net") 0 0 nil ) + ( ("Poly2" "drawing") 0 0 nil ) + ( ("P2Con" "net") 0 0 nil ) + ( ("P2Con" "drawing") 0 0 nil ) + ( ("Pbase" "drawing") 0 0 nil ) + ( ("Pbase" "net") 0 0 nil ) + ( P2Con 0 0 nil ) + ( Poly2 0 0 nil ) + ( Pwell 0 0 nil ) + ( Nwell 0 0 nil ) + ( Active 0 0 nil ) + ( Pselect 0 0 nil ) + ( Nselect 0 0 nil ) + ( Poly1 0 0 nil ) + ( P1Con 0 0 nil ) + ( ActX 0 0 nil ) + ( Metal1 0 0 nil ) + ( Via 0 0 nil ) + ( Metal2 0 0 nil ) + ( Glass 0 0 nil ) + ( XP 0 0 nil ) + ( ("Via2" "drawing") 50 0 t ) + ( ("Via2" "net") 0 0 nil ) + ( ("Metal3" "drawing") 50 0 t ) + ( ("Metal3" "net") 0 0 nil ) + ( ("Metal3" "pin") 0 0 nil ) + ( ("CapWell" "drawing") 0 0 nil ) + ( ("CapWell" "net") 0 0 nil ) + ( ("SiBlock" "drawing") 0 0 nil ) + ( ("SiBlock" "net") 0 0 nil ) + ( ("HR" "drawing") 0 0 nil ) + ( ("HR" "net") 0 0 nil ) + ) ;streamLayers + + viaLayers( + ;( layer1 viaLayer layer2 ) + ;( ------ -------- ------ ) + ( Metal2 Via2 Metal3 ) + ( Metal1 Via Metal2 ) + ( Active ActX Poly1 ) + ( Poly1 P1Con Metal1 ) + ( Poly2 P2Con Metal1 ) + ) ;viaLayers + +) ;layerRules + + +;******************************** +; PHYSICAL RULES +;******************************** + +physicalRules( + orderedSpacingRules( + ;( rule layer1 layer2 value ) + ;( ---- ------ ------ ----- ) + ( minEnclosure "prBoundary" "Metal1" 0.0 ) + ( minEnclosure "Metal2" "Via" 1.0 ) + ( minEnclosure "Metal1" "Via" 1.0 ) + ( minEnclosure "Metal1" "P1Con" 1.0 ) + ( minEnclosure "Metal1" "ActX" 1.0 ) + ( minEnclosure "Nselect" "Active" 2.0 ) + ( minEnclosure "Pselect" "Active" 2.0 ) + ( minEnclosure "Active" "ActX" 1.0 ) + ( minEnclosure "Pwell" "Active" 5.0 ) + ( minEnclosure "Nwell" "Active" 5.0 ) + ) ;orderedSpacingRules + + spacingRules( + ;( rule layer1 layer2 value ) + ;( ---- ------ ------ ----- ) + ( minSpacing "P2Con" 2.0 ) + ( minSpacing "Poly2" 3.0 ) + ( minSpacing "Pwell" 9.0 ) + ( minSpacing "Nwell" 9.0 ) + ( minSpacing "Active" 3.0 ) + ( minSpacing "Pselect" 2.0 ) + ( minSpacing "Nselect" 2.0 ) + ( minSpacing "Poly1" 2.0 ) + ( minSpacing "P1Con" 2.0 ) + ( minSpacing "ActX" 2.0 ) + ( minSpacing "Metal1" 3.0 ) + ( minSpacing "Via" 3.0 ) + ( minSpacing "Via2" 3.0 ) + ( minSpacing "Metal2" 3.0 ) + ( minSpacing "Metal3" 4.0 ) + ( minSpacing "Glass" 75.0 ) + ( minSpacing "XP" 100.0 ) + ( minSpacing "Metal2" 4.0 ) + ( minSpacing "P1Con" "Via" 2.0 ) + ( minSpacing "ActX" "Via" 2.0 ) + ( minSpacing "ActX" "P2Con" 2.0 ) + ( minSpacing "Poly2" "P2Con" 4.0 ) + ( minSpacing "Poly1" "P1Con" 4.0 ) + ( minSpacing "ActX" "P1Con" 2.0 ) + ( minSpacing "Active" "P1Con" 2.0 ) + ( minSpacing "Active" "Poly2" 2.0 ) + ( minSpacing "Poly1" "Poly2" 2.0 ) + ( minSpacing "Active" "Poly1" 2.0 ) + ( minSpacing "ActX" "Poly1" 2.0 ) + ( minSpacing "Pselect" "Nselect" 0.0 ) + ( minSpacing "Nwell" "Pwell" 9.0 ) + ( minWidth "P2Con" 2.0 ) + ( minWidth "Poly2" 3.0 ) + ( minWidth "Pwell" 10.0 ) + ( minWidth "Nwell" 10.0 ) + ( minWidth "Active" 3.0 ) + ( minWidth "Pselect" 2.0 ) + ( minWidth "Nselect" 2.0 ) + ( minWidth "Poly1" 2.0 ) + ( minWidth "P1Con" 2.0 ) + ( minWidth "ActX" 2.0 ) + ( minWidth "Metal1" 4.0 ) + ( minWidth "Via" 2.0 ) + ( minWidth "Metal2" 4.0 ) + ( minWidth "Glass" 75.0 ) + ( minWidth "XP" 100.0 ) + ( minWidth "Metal3" 6.0 ) + ) ;spacingRules + + mfgGridResolution( + ( 1.000000 ) + ) ;mfgGridResolution + +) ;physicalRules + + +;******************************** +; ELECTRICAL RULES +;******************************** + +electricalRules( + characterizationRules( + ;( rule layer1 layer2 value ) + ;( ---- ------ ------ ----- ) + ( areaCap "P2Con" 0.0 ) + ( areaCap "Poly2" 0.0 ) + ( areaCap "Active" 0.0 ) + ( areaCap "Poly1" 6e-05 ) + ( areaCap "P1Con" 0.0 ) + ( areaCap "ActX" 0.0 ) + ( areaCap "Metal1" 2.6e-05 ) + ( areaCap "Via" 0.0 ) + ( areaCap "Metal2" 1.6e-05 ) + ( edgeCapacitance "P2Con" 0.0 ) + ( edgeCapacitance "Poly2" 0.0 ) + ( edgeCapacitance "Active" 0.0 ) + ( edgeCapacitance "Poly1" 0.0 ) + ( edgeCapacitance "P1Con" 0.0 ) + ( edgeCapacitance "ActX" 0.0 ) + ( edgeCapacitance "Metal1" 0.0 ) + ( edgeCapacitance "Via" 0.0 ) + ( edgeCapacitance "Metal2" 0.0 ) + ( sheetRes "P2Con" 0.0 ) + ( sheetRes "Poly2" 0.0 ) + ( sheetRes "Active" 0.0 ) + ( sheetRes "Poly1" 23.0 ) + ( sheetRes "P1Con" 0.0 ) + ( sheetRes "ActX" 0.0 ) + ( sheetRes "Metal1" 0.04 ) + ( sheetRes "Via" 0.0 ) + ( sheetRes "Metal2" 0.07 ) + ( currentDensity "P2Con" 1.0 ) + ( currentDensity "Poly2" 1.0 ) + ( currentDensity "Active" 1.0 ) + ( currentDensity "Poly1" 1.0 ) + ( currentDensity "P1Con" 1.0 ) + ( currentDensity "ActX" 1.0 ) + ( currentDensity "Metal1" 1.0 ) + ( currentDensity "Via" 1.0 ) + ( currentDensity "Metal2" 1.0 ) + ) ;characterizationRules + +) ;electricalRules + + +;******************************** +; LAYOUT EDITOR RULES +;******************************** +; specifies the ordering of the layers in the LSW + +leRules( + leLswLayers( + ;( layer purpose ) + ; ----- ------- ) + ( Nwell drawing ) + ( Pselect drawing ) + ( Nselect drawing ) + ( Active drawing ) + ( ActX drawing ) + ( Poly1 drawing ) + ( P1Con drawing ) + ( Metal1 drawing ) + ( Via drawing ) + ( Metal2 drawing ) + ( Via2 drawing ) + ( Metal3 drawing ) + ( Poly1 pin ) + ( Metal1 pin ) + ( Metal2 pin ) + ( Metal3 pin ) + ( Poly2 drawing ) + ( P2Con drawing ) + ( instance drawing ) + ( text drawing ) + ( CapWell drawing ) + ( SiBlock drawing ) + ( HR drawing ) + ( Pbase drawing ) + ( Resistor drawing ) + ( Capacitor drawing ) + ( Diode drawing ) + ( Glass drawing ) + ( XP drawing ) + + ) ;leLswLayers +) ;leRules + + +;******************************** +; VIRTUOSO XL RULES +;******************************** +; specifies the ordering of the layers in the LSW + +lxRules( + lxExtractLayers( + (Metal1 Metal2 Metal3) + ) ;lxExtractLayers +) ;lxRules + From fc441fe568998cddb5473459cd773716715cdfc1 Mon Sep 17 00:00:00 2001 From: Matt Guthaus Date: Fri, 2 Mar 2018 10:42:23 -0800 Subject: [PATCH 26/29] Add LICENSE and README from NCSU CDK --- technology/scn3me_subm/tf/LICENSE | 4 ++++ technology/scn3me_subm/tf/README | 19 +++++++++++++++++++ 2 files changed, 23 insertions(+) create mode 100644 technology/scn3me_subm/tf/LICENSE create mode 100644 technology/scn3me_subm/tf/README diff --git a/technology/scn3me_subm/tf/LICENSE b/technology/scn3me_subm/tf/LICENSE new file mode 100644 index 00000000..8d22c4be --- /dev/null +++ b/technology/scn3me_subm/tf/LICENSE @@ -0,0 +1,4 @@ +The NCSU CDK is Copyright (C) NC State University, 1998, 1999, 2004, +2006. Users are free to use or modify the NCSU CDK as appropriate as long +as this notice appears in the modified package. The NCSU CDK is +provided with NO WARRANTY. diff --git a/technology/scn3me_subm/tf/README b/technology/scn3me_subm/tf/README new file mode 100644 index 00000000..400cfe98 --- /dev/null +++ b/technology/scn3me_subm/tf/README @@ -0,0 +1,19 @@ +;; NCSU CDK v. 1.6.0.beta +;; Last Modified: 2007-07-12 + +The NCSU CDK is Copyright (C) NC State University, 1998, 1999, 2004, +2006, 2007. Users are free to use or modify the NCSU CDK as appropriate as long +as this notice appears in the modified package. The NCSU CDK is +provided with NO WARRANTY. + +As of version 1.5.1, all documentation for the NCSU CDK is provided +by the NCSU EDA Wiki which can be found at: + + http://www.eda.ncsu.edu/ + +This beta release of the kit is to be used in migrating to Cadence Virtuoso 6.1 +for OpenAccess. Details of the conversion of the CDK from the CDB version can +be found in the file cdb2oa/OA_Conversion.txt. + +This kit is not yet fully supported. Please post problems and solutions at +http://www.chiptalk.org -> Forums -> NCSU CDK -> NCSU CDK 1.6.0.beta for Virtuoso 6.1 From 2b130de1984c16049fd65405f3dbf696c5818fd3 Mon Sep 17 00:00:00 2001 From: Matt Guthaus Date: Fri, 2 Mar 2018 18:03:55 -0800 Subject: [PATCH 27/29] Rewrite run_lvs.sh script to utilize setup.tcl file. --- compiler/verify/magic.py | 33 ++++++++++++++++++++------------- 1 file changed, 20 insertions(+), 13 deletions(-) diff --git a/compiler/verify/magic.py b/compiler/verify/magic.py index ab2de4de..8207d373 100644 --- a/compiler/verify/magic.py +++ b/compiler/verify/magic.py @@ -113,19 +113,8 @@ def write_netgen_script(cell_name, sp_name): f = open(run_file, "w") f.write("#!/bin/sh\n") f.write("{} -noconsole << EOF\n".format(OPTS.lvs_exe[1])) - f.write("readnet spice {}.spice\n".format(cell_name)) - f.write("readnet spice {}\n".format(sp_name)) - f.write("ignore class c\n") - f.write("permute transistors\n") - f.write("equate class {{{0}.spice nfet}} {{{1} n}}\n".format(cell_name, sp_name)) - f.write("equate class {{{0}.spice pfet}} {{{1} p}}\n".format(cell_name, sp_name)) - # This circuit has symmetries and needs to be flattened to resolve them or the banks won't pass - # Is there a more elegant way to add this when needed? - f.write("flatten class {{{0}.spice precharge_array}}\n".format(cell_name)) - f.write("property {{{0}.spice nfet}} remove as ad ps pd\n".format(cell_name)) - f.write("property {{{0}.spice pfet}} remove as ad ps pd\n".format(cell_name)) - f.write("property {{{0} n}} remove as ad ps pd\n".format(sp_name)) - f.write("property {{{0} p}} remove as ad ps pd\n".format(sp_name)) + f.write("readnet spice {0}.spice\n".format(cell_name)) + f.write("readnet spice {0}\n".format(sp_name)) # Allow some flexibility in W size because magic will snap to a lambda grid # This can also cause disconnects unfortunately! # f.write("property {{{0}{1}.spice nfet}} tolerance {{w 0.1}}\n".format(OPTS.openram_temp, @@ -137,6 +126,24 @@ def write_netgen_script(cell_name, sp_name): f.write("EOF\n") f.close() os.system("chmod u+x {}".format(run_file)) + + setup_file = OPTS.openram_temp + "setup.tcl" + f = open(setup_file, "w") + f.write("ignore class c\n") + f.write("equate class {{nfet {0}.spice}} {{n {1}}}\n".format(cell_name, sp_name)) + f.write("equate class {{pfet {0}.spice}} {{p {1}}}\n".format(cell_name, sp_name)) + # This circuit has symmetries and needs to be flattened to resolve them or the banks won't pass + # Is there a more elegant way to add this when needed? + f.write("flatten class {{{0}.spice precharge_array}}\n".format(cell_name)) + f.write("property {{nfet {0}.spice}} remove as ad ps pd\n".format(cell_name)) + f.write("property {{pfet {0}.spice}} remove as ad ps pd\n".format(cell_name)) + f.write("property {{n {0}}} remove as ad ps pd\n".format(sp_name)) + f.write("property {{p {0}}} remove as ad ps pd\n".format(sp_name)) + f.write("permute transistors\n") + f.write("permute pins n source drain\n") + f.write("permute pins p source drain\n") + f.close() + def run_drc(cell_name, gds_name, extract=False): """Run DRC check on a cell which is implemented in gds_name.""" From 242a1a68e04685aefe47bdfac5c83cef20fef289 Mon Sep 17 00:00:00 2001 From: Matt Guthaus Date: Fri, 2 Mar 2018 18:05:46 -0800 Subject: [PATCH 28/29] Fix duplicate instance gds output bug that only showed up in Magic extraction. Every time we saved a GDS, additional instances were put in the GDS file. Most extraction tools ignored this, but Magic actually extracted duplicates. --- compiler/base/hierarchy_layout.py | 11 +++++++---- 1 file changed, 7 insertions(+), 4 deletions(-) diff --git a/compiler/base/hierarchy_layout.py b/compiler/base/hierarchy_layout.py index 81ca717d..7b73a684 100644 --- a/compiler/base/hierarchy_layout.py +++ b/compiler/base/hierarchy_layout.py @@ -121,7 +121,8 @@ class layout(lef.lef): def add_inst(self, name, mod, offset=[0,0], mirror="R0",rotate=0): """Adds an instance of a mod to this module""" self.insts.append(geometry.instance(name, mod, offset, mirror, rotate)) - debug.info(4, "adding instance" + ",".join(x.name for x in self.insts)) + debug.info(3, "adding instance {}".format(self.insts[-1])) + debug.info(4, "instance list: " + ",".join(x.name for x in self.insts)) return self.insts[-1] def get_inst(self, name): @@ -453,6 +454,7 @@ class layout(lef.lef): def gds_write_file(self, newLayout): """Recursive GDS write function""" + # Visited means that we already prepared self.gds for this subtree if self.visited: return for i in self.insts: @@ -468,10 +470,11 @@ class layout(lef.lef): """Write the entire gds of the object to the file.""" debug.info(3, "Writing to {0}".format(gds_name)) - #self.gds = gdsMill.VlsiLayout(name=self.name,units=GDS["unit"]) writer = gdsMill.Gds2writer(self.gds) - # clear the visited flag for the traversal - self.clear_visited() + # MRG: 3/2/18 We don't want to clear the visited flag since + # this would result in duplicates of all instances being placed in self.gds + # which may have been previously processed! + #self.clear_visited() # recursively create all the remaining objects self.gds_write_file(self.gds) # populates the xyTree data structure for gds From 04ed3792c7e86c8bfb78a90bc07165f1603eba60 Mon Sep 17 00:00:00 2001 From: mguthaus Date: Fri, 2 Mar 2018 18:13:06 -0800 Subject: [PATCH 29/29] Fix analytical lib tests with new power numbers. --- .../sram_2_16_1_freepdk45_TT_1p0V_25C_analytical.lib | 10 +++++----- .../sram_2_16_1_scn3me_subm_TT_5p0V_25C_analytical.lib | 10 +++++----- 2 files changed, 10 insertions(+), 10 deletions(-) diff --git a/compiler/tests/golden/sram_2_16_1_freepdk45_TT_1p0V_25C_analytical.lib b/compiler/tests/golden/sram_2_16_1_freepdk45_TT_1p0V_25C_analytical.lib index 8a638a05..5143e33a 100644 --- a/compiler/tests/golden/sram_2_16_1_freepdk45_TT_1p0V_25C_analytical.lib +++ b/compiler/tests/golden/sram_2_16_1_freepdk45_TT_1p0V_25C_analytical.lib @@ -82,7 +82,7 @@ cell (sram_2_16_1_freepdk45){ leakage_power () { when : "CSb"; - value : 0; + value : 0.000173; } cell_leakage_power : 0; bus(DATA){ @@ -298,19 +298,19 @@ cell (sram_2_16_1_freepdk45){ internal_power(){ when : "!CSb & clk & !WEb"; rise_power(scalar){ - values("0.0"); + values("0.065526962224"); } fall_power(scalar){ - values("0.0"); + values("0.065526962224"); } } internal_power(){ when : "!CSb & !clk & WEb"; rise_power(scalar){ - values("0.0"); + values("0.065526962224"); } fall_power(scalar){ - values("0.0"); + values("0.065526962224"); } } internal_power(){ diff --git a/compiler/tests/golden/sram_2_16_1_scn3me_subm_TT_5p0V_25C_analytical.lib b/compiler/tests/golden/sram_2_16_1_scn3me_subm_TT_5p0V_25C_analytical.lib index 8601c425..789f61a4 100644 --- a/compiler/tests/golden/sram_2_16_1_scn3me_subm_TT_5p0V_25C_analytical.lib +++ b/compiler/tests/golden/sram_2_16_1_scn3me_subm_TT_5p0V_25C_analytical.lib @@ -82,7 +82,7 @@ cell (sram_2_16_1_scn3me_subm){ leakage_power () { when : "CSb"; - value : 0; + value : 0.000173; } cell_leakage_power : 0; bus(DATA){ @@ -298,19 +298,19 @@ cell (sram_2_16_1_scn3me_subm){ internal_power(){ when : "!CSb & clk & !WEb"; rise_power(scalar){ - values("0.0"); + values("10.9314668117"); } fall_power(scalar){ - values("0.0"); + values("10.9314668117"); } } internal_power(){ when : "!CSb & !clk & WEb"; rise_power(scalar){ - values("0.0"); + values("10.9314668117"); } fall_power(scalar){ - values("0.0"); + values("10.9314668117"); } } internal_power(){