diff --git a/ICCAD16_openram_paper/.gitignore b/ICCAD16_openram_paper/.gitignore deleted file mode 100644 index 566fccac..00000000 --- a/ICCAD16_openram_paper/.gitignore +++ /dev/null @@ -1,10 +0,0 @@ -.DS_Store -*.bak -openram.pdf -main.aux -main.bbl -main.blg -main.log -main.out -main.pdf -main.synctex.gz diff --git a/ICCAD16_openram_paper/OpenRAM_ICCAD_2016_paper.pdf b/ICCAD16_openram_paper/OpenRAM_ICCAD_2016_paper.pdf deleted file mode 100644 index fd3a4a65..00000000 Binary files a/ICCAD16_openram_paper/OpenRAM_ICCAD_2016_paper.pdf and /dev/null differ diff --git a/ICCAD16_openram_paper/PID4416171.pdf b/ICCAD16_openram_paper/PID4416171.pdf deleted file mode 100644 index 5951ed26..00000000 Binary files a/ICCAD16_openram_paper/PID4416171.pdf and /dev/null differ diff --git a/ICCAD16_openram_paper/README b/ICCAD16_openram_paper/README deleted file mode 100644 index 26e94748..00000000 --- a/ICCAD16_openram_paper/README +++ /dev/null @@ -1,11 +0,0 @@ -#To create a PDF version of the paper, named: openram.pdf, -#use this command: ./t.sh -# -#This script is used to correctly setup the references/bib -#for the paper and uses pdflatex to create the PDF. -# -#DEBUGGING: -#If the generation of the PDF failed, read the error messages -#and line numbers and use these keyboard commands to get out -#of the current error messages: Ctrl+D - diff --git a/ICCAD16_openram_paper/abstract.tex b/ICCAD16_openram_paper/abstract.tex deleted file mode 100644 index 1dfc5a28..00000000 --- a/ICCAD16_openram_paper/abstract.tex +++ /dev/null @@ -1,14 +0,0 @@ -\begin{abstract} -Computer systems research is often inhibited by the availability of -memory designs. Existing Process Design Kits (PDKs) frequently lack -memory compilers, while expensive commercial solutions only provide -memory models with immutable cells, limited configurations, and -restrictive licenses. Manually creating memories can be time consuming -and tedious and the designs are usually inflexible. This paper -introduces OpenRAM, an open-source memory compiler, that provides a -platform for the generation, characterization, and verification of -fabricable memory designs across various technologies, sizes, and -configurations. It enables research in computer architecture, -system-on-chip design, memory circuit and device research, and -computer-\allowbreak aided design. -\end{abstract} diff --git a/ICCAD16_openram_paper/acknowledgments.tex b/ICCAD16_openram_paper/acknowledgments.tex deleted file mode 100644 index ae9e22e8..00000000 --- a/ICCAD16_openram_paper/acknowledgments.tex +++ /dev/null @@ -1,7 +0,0 @@ -\section{Acknowledgments} -\label{sec:acknowledgements} -This material is based upon work supported by the National Science -Foundation under Grant No. CNS-1205685 and CNS-1205493. Many students -have contributed to the project throughout their studies including -Jeff Butera, Tom Golubev, Seokjoong Kim, Matthew Gaalswyk, and Son -Bui. diff --git a/ICCAD16_openram_paper/acm_template/sig-alternate.cls b/ICCAD16_openram_paper/acm_template/sig-alternate.cls deleted file mode 100644 index 5ff4b1cd..00000000 --- a/ICCAD16_openram_paper/acm_template/sig-alternate.cls +++ /dev/null @@ -1,1650 +0,0 @@ -% SIG-ALTERNATE.CLS - VERSION 2.5 -% "COMPATIBLE" WITH THE "ACM_PROC_ARTICLE-SP.CLS" V3.2SP -% Gerald Murray - May 23rd 2012 -% -% ---- Start of 'updates' ---- -% Changed $10 fee to $15 -- May 2012 -- Gerry -% Changed $5 fee to $10 -- April 2009 -- Gerry -% April 22nd. 2009 - Fixed 'Natbib' incompatibility problem - Gerry -% April 22nd. 2009 - Fixed 'Babel' incompatibility problem - Gerry -% April 22nd. 2009 - Inserted various bug-fixes and improvements - Gerry -% -% To produce Type 1 fonts in the document plus allow for 'normal LaTeX accenting' in the critical areas; -% title, author block, section-heads, confname, etc. etc. -% i.e. the whole purpose of this version update is to NOT resort to 'inelegant accent patches'. -% After much research, three extra .sty packages were added to the the tail (ae, aecompl, aeguill) to solve, -% in particular, the accenting problem(s). We _could_ ask authors (via instructions/sample file) to 'include' these in -% the source .tex file - in the preamble - but if everything is already provided ('behind the scenes' - embedded IN the .cls) -% then this is less work for authors and also makes everything appear 'vanilla'. -% NOTE: all 'patchwork accenting" has been commented out (here) and is no longer 'used' in the sample .tex file (either). -% Gerry June 2007 -% -% Patch for accenting in conference name/location. Gerry May 3rd. 2007 -% Rule widths changed to .5, author count (>6) fixed, roll-back for Type 3 problem. Gerry March 20th. 2007 -% Changes made to 'modernize' the fontnames but esp. for MikTeX users V2.4/2.5 - Nov. 30th. 2006 -% Updated the \email definition to allow for its use inside of 'shared affiliations' - Nov. 30th. 2006 -% Fixed the 'section number depth value' - Nov. 30th. 2006 -% -% Footnotes inside table cells using \minipage (Oct. 2002) -% Georgia fixed bug in sub-sub-section numbering in paragraphs (July 29th. 2002) -% JS/GM fix to vertical spacing before Proofs (July 30th. 2002) -% -% Made the Permission Statement / Conference Info / Copyright Info -% 'user definable' in the source .tex file OR automatic if -% not specified. -% -% Allowance made to switch default fonts between those systems using -% normal/modern font names and those using 'Type 1' or 'Truetype' fonts. -% See LINE NUMBER 255 for details. -% Also provided for enumerated/annotated Corollaries 'surrounded' by -% enumerated Theorems (line 848). -% Gerry November 11th. 1999 -% -% ---- End of 'updates' ---- -% -\def\fileversion{v2.5} % for ACM's tracking purposes -\def\filedate{May 23, 2012} % Gerry Murray's tracking data -\def\docdate {Wednesday 23rd. May 2012} % Gerry Murray (with deltas to doc} -\usepackage{graphicx} -\usepackage{epsfig} -\usepackage{amssymb} -\usepackage{amsmath} -\usepackage{amsfonts} -% Need this for accents in Arial/Helvetica -%\usepackage[T1]{fontenc} % Gerry March 12, 2007 - causes Type 3 problems (body text) -%\usepackage{textcomp} -% -% SIG-ALTERNATE DOCUMENT STYLE -% G.K.M. Tobin August-October 1999 -% adapted from ARTICLE document style by Ken Traub, Olin Shivers -% also using elements of esub2acm.cls -% HEAVILY MODIFIED, SUBSEQUENTLY, BY GERRY MURRAY 2000 -% ARTICLE DOCUMENT STYLE -- Released 16 March 1988 -% for LaTeX version 2.09 -% Copyright (C) 1988 by Leslie Lamport -% -% -%%% sig-alternate.cls is an 'ALTERNATE' document style for producing -%%% two-column camera-ready pages for ACM conferences. -%%% THIS FILE DOES NOT STRICTLY ADHERE TO THE SIGS (BOARD-ENDORSED) -%%% PROCEEDINGS STYLE. It has been designed to produce a 'tighter' -%%% paper in response to concerns over page budgets. -%%% The main features of this style are: -%%% -%%% 1) Two columns. -%%% 2) Side and top margins of 4.5pc, bottom margin of 6pc, column gutter of -%%% 2pc, hence columns are 20pc wide and 55.5pc tall. (6pc =3D 1in, approx) -%%% 3) First page has title information, and an extra 6pc of space at the -%%% bottom of the first column for the ACM copyright notice. -%%% 4) Text is 9pt on 10pt baselines; titles (except main) are 9pt bold. -%%% -%%% -%%% There are a few restrictions you must observe: -%%% -%%% 1) You cannot change the font size; ACM wants you to use 9pt. -%%% 3) You must start your paper with the \maketitle command. Prior to the -%%% \maketitle you must have \title and \author commands. If you have a -%%% \date command it will be ignored; no date appears on the paper, since -%%% the proceedings will have a date on the front cover. -%%% 4) Marginal paragraphs, tables of contents, lists of figures and tables, -%%% and page headings are all forbidden. -%%% 5) The `figure' environment will produce a figure one column wide; if you -%%% want one that is two columns wide, use `figure*'. -%%% -% -%%% Copyright Space: -%%% This style automatically reserves 1" blank space at the bottom of page 1/ -%%% column 1. This space can optionally be filled with some text using the -%%% \toappear{...} command. If used, this command must be BEFORE the \maketitle -%%% command. If this command is defined AND [preprint] is on, then the -%%% space is filled with the {...} text (at the bottom); otherwise, it is -%%% blank. If you use \toappearbox{...} instead of \toappear{...} then a -%%% box will be drawn around the text (if [preprint] is on). -%%% -%%% A typical usage looks like this: -%%% \toappear{To appear in the Ninth AES Conference on Medievil Lithuanian -%%% Embalming Technique, June 1991, Alfaretta, Georgia.} -%%% This will be included in the preprint, and left out of the conference -%%% version. -%%% -%%% WARNING: -%%% Some dvi-ps converters heuristically allow chars to drift from their -%%% true positions a few pixels. This may be noticeable with the 9pt sans-serif -%%% bold font used for section headers. -%%% You may turn this hackery off via the -e option: -%%% dvips -e 0 foo.dvi >foo.ps -%%% -\typeout{Document Class 'sig-alternate' <23rd. May '12>. Modified by G.K.M. Tobin/Gerry Murray} -\typeout{Based in part upon document Style `acmconf' <22 May 89>. Hacked 4/91 by} -\typeout{shivers@cs.cmu.edu, 4/93 by theobald@cs.mcgill.ca} -\typeout{Excerpts were taken from (Journal Style) 'esub2acm.cls'.} -\typeout{****** Bugs/comments/suggestions/technicalities to Gerry Murray -- murray@hq.acm.org ******} -\typeout{Questions on the style, SIGS policies, etc. to Adrienne Griscti griscti@acm.org} -\oddsidemargin 4.5pc -\evensidemargin 4.5pc -\advance\oddsidemargin by -1in % Correct for LaTeX gratuitousness -\advance\evensidemargin by -1in % Correct for LaTeX gratuitousness -\marginparwidth 0pt % Margin pars are not allowed. -\marginparsep 11pt % Horizontal space between outer margin and - % marginal note - - % Top of page: -\topmargin 4.5pc % Nominal distance from top of page to top of - % box containing running head. -\advance\topmargin by -1in % Correct for LaTeX gratuitousness -\headheight 0pt % Height of box containing running head. -\headsep 0pt % Space between running head and text. - % Bottom of page: -\footskip 30pt % Distance from baseline of box containing foot - % to baseline of last line of text. -\@ifundefined{footheight}{\newdimen\footheight}{}% this is for LaTeX2e -\footheight 12pt % Height of box containing running foot. - -%% Must redefine the top margin so there's room for headers and -%% page numbers if you are using the preprint option. Footers -%% are OK as is. Olin. -\advance\topmargin by -37pt % Leave 37pt above text for headers -\headheight 12pt % Height of box containing running head. -\headsep 25pt % Space between running head and text. - -\textheight 666pt % 9 1/4 column height -\textwidth 42pc % Width of text line. - % For two-column mode: -\columnsep 2pc % Space between columns -\columnseprule 0pt % Width of rule between columns. -\hfuzz 1pt % Allow some variation in column width, otherwise it's - % too hard to typeset in narrow columns. - -\footnotesep 5.6pt % Height of strut placed at the beginning of every - % footnote =3D height of normal \footnotesize strut, - % so no extra space between footnotes. - -\skip\footins 8.1pt plus 4pt minus 2pt % Space between last line of text and - % top of first footnote. -\floatsep 11pt plus 2pt minus 2pt % Space between adjacent floats moved - % to top or bottom of text page. -\textfloatsep 18pt plus 2pt minus 4pt % Space between main text and floats - % at top or bottom of page. -\intextsep 11pt plus 2pt minus 2pt % Space between in-text figures and - % text. -\@ifundefined{@maxsep}{\newdimen\@maxsep}{}% this is for LaTeX2e -\@maxsep 18pt % The maximum of \floatsep, - % \textfloatsep and \intextsep (minus - % the stretch and shrink). -\dblfloatsep 11pt plus 2pt minus 2pt % Same as \floatsep for double-column - % figures in two-column mode. -\dbltextfloatsep 18pt plus 2pt minus 4pt% \textfloatsep for double-column - % floats. -\@ifundefined{@dblmaxsep}{\newdimen\@dblmaxsep}{}% this is for LaTeX2e -\@dblmaxsep 18pt % The maximum of \dblfloatsep and - % \dbltexfloatsep. -\@fptop 0pt plus 1fil % Stretch at top of float page/column. (Must be - % 0pt plus ...) -\@fpsep 8pt plus 2fil % Space between floats on float page/column. -\@fpbot 0pt plus 1fil % Stretch at bottom of float page/column. (Must be - % 0pt plus ... ) -\@dblfptop 0pt plus 1fil % Stretch at top of float page. (Must be 0pt plus ...) -\@dblfpsep 8pt plus 2fil % Space between floats on float page. -\@dblfpbot 0pt plus 1fil % Stretch at bottom of float page. (Must be - % 0pt plus ... ) -\marginparpush 5pt % Minimum vertical separation between two marginal - % notes. - -\parskip 0pt plus 1pt % Extra vertical space between paragraphs. -\parindent 9pt % GM July 2000 / was 0pt - width of paragraph indentation. -\partopsep 2pt plus 1pt minus 1pt% Extra vertical space, in addition to - % \parskip and \topsep, added when user - % leaves blank line before environment. - -\@lowpenalty 51 % Produced by \nopagebreak[1] or \nolinebreak[1] -\@medpenalty 151 % Produced by \nopagebreak[2] or \nolinebreak[2] -\@highpenalty 301 % Produced by \nopagebreak[3] or \nolinebreak[3] - -\@beginparpenalty -\@lowpenalty % Before a list or paragraph environment. -\@endparpenalty -\@lowpenalty % After a list or paragraph environment. -\@itempenalty -\@lowpenalty % Between list items. - -%\@namedef{ds@10pt}{\@latexerr{The `10pt' option is not allowed in the `acmconf' -\@namedef{ds@10pt}{\ClassError{The `10pt' option is not allowed in the `acmconf' % January 2008 - document style.}\@eha} -%\@namedef{ds@11pt}{\@latexerr{The `11pt' option is not allowed in the `acmconf' -\@namedef{ds@11pt}{\ClassError{The `11pt' option is not allowed in the `acmconf' % January 2008 - document style.}\@eha} -%\@namedef{ds@12pt}{\@latexerr{The `12pt' option is not allowed in the `acmconf' -\@namedef{ds@12pt}{\ClassError{The `12pt' option is not allowed in the `acmconf' % January 2008 - document style.}\@eha} - -\@options - -\lineskip 2pt % \lineskip is 1pt for all font sizes. -\normallineskip 2pt -\def\baselinestretch{1} - -\abovedisplayskip 9pt plus2pt minus4.5pt% -\belowdisplayskip \abovedisplayskip -\abovedisplayshortskip \z@ plus3pt% -\belowdisplayshortskip 5.4pt plus3pt minus3pt% -\let\@listi\@listI % Setting of \@listi added 9 Jun 87 - -\def\small{\@setsize\small{9pt}\viiipt\@viiipt -\abovedisplayskip 7.6pt plus 3pt minus 4pt% -\belowdisplayskip \abovedisplayskip -\abovedisplayshortskip \z@ plus2pt% -\belowdisplayshortskip 3.6pt plus2pt minus 2pt -\def\@listi{\leftmargin\leftmargini %% Added 22 Dec 87 -\topsep 4pt plus 2pt minus 2pt\parsep 2pt plus 1pt minus 1pt -\itemsep \parsep}} - -\def\footnotesize{\@setsize\footnotesize{9pt}\ixpt\@ixpt -\abovedisplayskip 6.4pt plus 2pt minus 4pt% -\belowdisplayskip \abovedisplayskip -\abovedisplayshortskip \z@ plus 1pt% -\belowdisplayshortskip 2.7pt plus 1pt minus 2pt -\def\@listi{\leftmargin\leftmargini %% Added 22 Dec 87 -\topsep 3pt plus 1pt minus 1pt\parsep 2pt plus 1pt minus 1pt -\itemsep \parsep}} - -\newcount\aucount -\newcount\originalaucount -\newdimen\auwidth -\auwidth=\textwidth -\newdimen\auskip -\newcount\auskipcount -\newdimen\auskip -\global\auskip=1pc -\newdimen\allauboxes -\allauboxes=\auwidth -\newtoks\addauthors -\newcount\addauflag -\global\addauflag=0 %Haven't shown additional authors yet - -\newtoks\subtitletext -\gdef\subtitle#1{\subtitletext={#1}} - -\gdef\additionalauthors#1{\addauthors={#1}} - -\gdef\numberofauthors#1{\global\aucount=#1 -\ifnum\aucount>3\global\originalaucount=\aucount \global\aucount=3\fi %g} % 3 OK - Gerry March 2007 -\global\auskipcount=\aucount\global\advance\auskipcount by 1 -\global\multiply\auskipcount by 2 -\global\multiply\auskip by \auskipcount -\global\advance\auwidth by -\auskip -\global\divide\auwidth by \aucount} - -% \and was modified to count the number of authors. GKMT 12 Aug 1999 -\def\alignauthor{% % \begin{tabular} -\end{tabular}% - \begin{tabular}[t]{p{\auwidth}}\centering}% - -% *** NOTE *** NOTE *** NOTE *** NOTE *** -% If you have 'font problems' then you may need -% to change these, e.g. 'arialb' instead of "arialbd". -% Gerry Murray 11/11/1999 -% *** OR ** comment out block A and activate block B or vice versa. -% ********************************************** -% -% -- Start of block A -- (Type 1 or Truetype fonts) -%\newfont{\secfnt}{timesbd at 12pt} % was timenrb originally - now is timesbd -%\newfont{\secit}{timesbi at 12pt} %13 Jan 00 gkmt -%\newfont{\subsecfnt}{timesi at 11pt} % was timenrri originally - now is timesi -%\newfont{\subsecit}{timesbi at 11pt} % 13 Jan 00 gkmt -- was times changed to timesbi gm 2/4/2000 -% % because "normal" is italic, "italic" is Roman -%\newfont{\ttlfnt}{arialbd at 18pt} % was arialb originally - now is arialbd -%\newfont{\ttlit}{arialbi at 18pt} % 13 Jan 00 gkmt -%\newfont{\subttlfnt}{arial at 14pt} % was arialr originally - now is arial -%\newfont{\subttlit}{ariali at 14pt} % 13 Jan 00 gkmt -%\newfont{\subttlbf}{arialbd at 14pt} % 13 Jan 00 gkmt -%\newfont{\aufnt}{arial at 12pt} % was arialr originally - now is arial -%\newfont{\auit}{ariali at 12pt} % 13 Jan 00 gkmt -%\newfont{\affaddr}{arial at 10pt} % was arialr originally - now is arial -%\newfont{\affaddrit}{ariali at 10pt} %13 Jan 00 gkmt -%\newfont{\eaddfnt}{arial at 12pt} % was arialr originally - now is arial -%\newfont{\ixpt}{times at 9pt} % was timenrr originally - now is times -%\newfont{\confname}{timesi at 8pt} % was timenrri - now is timesi -%\newfont{\crnotice}{times at 8pt} % was timenrr originally - now is times -%\newfont{\ninept}{times at 9pt} % was timenrr originally - now is times - -% ********************************************* -% -- End of block A -- -% -% -% -- Start of block B -- UPDATED FONT NAMES -% ********************************************* -% Gerry Murray 11/30/2006 -% ********************************************* -\newfont{\secfnt}{ptmb8t at 12pt} -\newfont{\secit}{ptmbi8t at 12pt} %13 Jan 00 gkmt -\newfont{\subsecfnt}{ptmri8t at 11pt} -\newfont{\subsecit}{ptmbi8t at 11pt} % -\newfont{\ttlfnt}{phvb8t at 18pt} -\newfont{\ttlit}{phvbo8t at 18pt} % GM 2/4/2000 -\newfont{\subttlfnt}{phvr8t at 14pt} -\newfont{\subttlit}{phvro8t at 14pt} % GM 2/4/2000 -\newfont{\subttlbf}{phvb8t at 14pt} % 13 Jan 00 gkmt -\newfont{\aufnt}{phvr8t at 12pt} -\newfont{\auit}{phvro8t at 12pt} % GM 2/4/2000 -\newfont{\affaddr}{phvr8t at 10pt} -\newfont{\affaddrit}{phvro8t at 10pt} % GM 2/4/2000 -\newfont{\eaddfnt}{phvr8t at 12pt} -\newfont{\ixpt}{ptmr8t at 9pt} -\newfont{\confname}{ptmri8t at 8pt} -\newfont{\crnotice}{ptmr8t at 8pt} -\newfont{\ninept}{ptmr8t at 9pt} -% +++++++++++++++++++++++++++++++++++++++++++++ -% -- End of block B -- - -%\def\email#1{{{\eaddfnt{\vskip 4pt#1}}}} -% If we have an email, inside a "shared affiliation" then we need the following instead -\def\email#1{{{\eaddfnt{\par #1}}}} % revised - GM - 11/30/2006 - -\def\addauthorsection{\ifnum\originalaucount>6 % was 3 - Gerry March 2007 - \section{Additional Authors}\the\addauthors - \fi} - -\newcount\savesection -\newcount\sectioncntr -\global\sectioncntr=1 - -\setcounter{secnumdepth}{3} - -\def\appendix{\par -\section*{APPENDIX} -\setcounter{section}{0} - \setcounter{subsection}{0} - \def\thesection{\Alph{section}} } - -\leftmargini 22.5pt -\leftmarginii 19.8pt % > \labelsep + width of '(m)' -\leftmarginiii 16.8pt % > \labelsep + width of 'vii.' -\leftmarginiv 15.3pt % > \labelsep + width of 'M.' -\leftmarginv 9pt -\leftmarginvi 9pt - -\leftmargin\leftmargini -\labelsep 4.5pt -\labelwidth\leftmargini\advance\labelwidth-\labelsep - -\def\@listI{\leftmargin\leftmargini \parsep 3.6pt plus 2pt minus 1pt% -\topsep 7.2pt plus 2pt minus 4pt% -\itemsep 3.6pt plus 2pt minus 1pt} - -\let\@listi\@listI -\@listi - -\def\@listii{\leftmargin\leftmarginii - \labelwidth\leftmarginii\advance\labelwidth-\labelsep - \topsep 3.6pt plus 2pt minus 1pt - \parsep 1.8pt plus 0.9pt minus 0.9pt - \itemsep \parsep} - -\def\@listiii{\leftmargin\leftmarginiii - \labelwidth\leftmarginiii\advance\labelwidth-\labelsep - \topsep 1.8pt plus 0.9pt minus 0.9pt - \parsep \z@ \partopsep 1pt plus 0pt minus 1pt - \itemsep \topsep} - -\def\@listiv{\leftmargin\leftmarginiv - \labelwidth\leftmarginiv\advance\labelwidth-\labelsep} - -\def\@listv{\leftmargin\leftmarginv - \labelwidth\leftmarginv\advance\labelwidth-\labelsep} - -\def\@listvi{\leftmargin\leftmarginvi - \labelwidth\leftmarginvi\advance\labelwidth-\labelsep} - -\def\labelenumi{\theenumi.} -\def\theenumi{\arabic{enumi}} - -\def\labelenumii{(\theenumii)} -\def\theenumii{\alph{enumii}} -\def\p@enumii{\theenumi} - -\def\labelenumiii{\theenumiii.} -\def\theenumiii{\roman{enumiii}} -\def\p@enumiii{\theenumi(\theenumii)} - -\def\labelenumiv{\theenumiv.} -\def\theenumiv{\Alph{enumiv}} -\def\p@enumiv{\p@enumiii\theenumiii} - -\def\labelitemi{$\bullet$} -\def\labelitemii{\bf --} -\def\labelitemiii{$\ast$} -\def\labelitemiv{$\cdot$} - -\def\verse{\let\\=\@centercr - \list{}{\itemsep\z@ \itemindent -1.5em\listparindent \itemindent - \rightmargin\leftmargin\advance\leftmargin 1.5em}\item[]} -\let\endverse\endlist - -\def\quotation{\list{}{\listparindent 1.5em - \itemindent\listparindent - \rightmargin\leftmargin \parsep 0pt plus 1pt}\item[]} -\let\endquotation=\endlist - -\def\quote{\list{}{\rightmargin\leftmargin}\item[]} -\let\endquote=\endlist - -\def\descriptionlabel#1{\hspace\labelsep \bf #1} -\def\description{\list{}{\labelwidth\z@ \itemindent-\leftmargin - \let\makelabel\descriptionlabel}} - -\let\enddescription\endlist - -\def\theequation{\arabic{equation}} - -\arraycolsep 4.5pt % Half the space between columns in an array environment. -\tabcolsep 5.4pt % Half the space between columns in a tabular environment. -\arrayrulewidth .5pt % Width of rules in array and tabular environment. % (was .4) updated Gerry March 20 2007 -\doublerulesep 1.8pt % Space between adjacent rules in array or tabular env. - -\tabbingsep \labelsep % Space used by the \' command. (See LaTeX manual.) - -\skip\@mpfootins =\skip\footins - -\fboxsep =2.7pt % Space left between box and text by \fbox and \framebox. -\fboxrule =.5pt % Width of rules in box made by \fbox and \framebox. % (was .4) updated Gerry March 20 2007 - -\def\thepart{\Roman{part}} % Roman numeral part numbers. -\def\thesection {\arabic{section}} -\def\thesubsection {\thesection.\arabic{subsection}} -%\def\thesubsubsection {\thesubsection.\arabic{subsubsection}} % GM 7/30/2002 -%\def\theparagraph {\thesubsubsection.\arabic{paragraph}} % GM 7/30/2002 -\def\thesubparagraph {\theparagraph.\arabic{subparagraph}} - -\def\@pnumwidth{1.55em} -\def\@tocrmarg {2.55em} -\def\@dotsep{4.5} -\setcounter{tocdepth}{3} - -%\def\tableofcontents{\@latexerr{\tableofcontents: Tables of contents are not -% allowed in the `acmconf' document style.}\@eha} - -\def\tableofcontents{\ClassError{% - \string\tableofcontents\space is not allowed in the `acmconf' document % January 2008 - style}\@eha} - -\def\l@part#1#2{\addpenalty{\@secpenalty} - \addvspace{2.25em plus 1pt} % space above part line - \begingroup - \@tempdima 3em % width of box holding part number, used by - \parindent \z@ \rightskip \@pnumwidth %% \numberline - \parfillskip -\@pnumwidth - {\large \bf % set line in \large boldface - \leavevmode % TeX command to enter horizontal mode. - #1\hfil \hbox to\@pnumwidth{\hss #2}}\par - \nobreak % Never break after part entry - \endgroup} - -\def\l@section#1#2{\addpenalty{\@secpenalty} % good place for page break - \addvspace{1.0em plus 1pt} % space above toc entry - \@tempdima 1.5em % width of box holding section number - \begingroup - \parindent \z@ \rightskip \@pnumwidth - \parfillskip -\@pnumwidth - \bf % Boldface. - \leavevmode % TeX command to enter horizontal mode. - \advance\leftskip\@tempdima %% added 5 Feb 88 to conform to - \hskip -\leftskip %% 25 Jan 88 change to \numberline - #1\nobreak\hfil \nobreak\hbox to\@pnumwidth{\hss #2}\par - \endgroup} - - -\def\l@subsection{\@dottedtocline{2}{1.5em}{2.3em}} -\def\l@subsubsection{\@dottedtocline{3}{3.8em}{3.2em}} -\def\l@paragraph{\@dottedtocline{4}{7.0em}{4.1em}} -\def\l@subparagraph{\@dottedtocline{5}{10em}{5em}} - -%\def\listoffigures{\@latexerr{\listoffigures: Lists of figures are not -% allowed in the `acmconf' document style.}\@eha} - -\def\listoffigures{\ClassError{% - \string\listoffigures\space is not allowed in the `acmconf' document % January 2008 - style}\@eha} - -\def\l@figure{\@dottedtocline{1}{1.5em}{2.3em}} - -%\def\listoftables{\@latexerr{\listoftables: Lists of tables are not -% allowed in the `acmconf' document style.}\@eha} -%\let\l@table\l@figure - -\def\listoftables{\ClassError{% - \string\listoftables\space is not allowed in the `acmconf' document % January 2008 - style}\@eha} - \let\l@table\l@figure - -\def\footnoterule{\kern-3\p@ - \hrule width .5\columnwidth % (was .4) updated Gerry March 20 2007 - \kern 2.6\p@} % The \hrule has default height of .4pt % (was .4) updated Gerry March 20 2007 -% ------ -\long\def\@makefntext#1{\noindent -%\hbox to .5em{\hss$^{\@thefnmark}$}#1} % original -\hbox to .5em{\hss\textsuperscript{\@thefnmark}}#1} % C. Clifton / GM Oct. 2nd. 2002 -% ------- - -\long\def\@maketntext#1{\noindent -#1} - -\long\def\@maketitlenotetext#1#2{\noindent - \hbox to 1.8em{\hss$^{#1}$}#2} - -\setcounter{topnumber}{2} -\def\topfraction{.7} -\setcounter{bottomnumber}{1} -\def\bottomfraction{.3} -\setcounter{totalnumber}{3} -\def\textfraction{.2} -\def\floatpagefraction{.5} -\setcounter{dbltopnumber}{2} -\def\dbltopfraction{.7} -\def\dblfloatpagefraction{.5} - -% -\long\def\@makecaption#1#2{ - \vskip \baselineskip - \setbox\@tempboxa\hbox{\textbf{#1: #2}} - \ifdim \wd\@tempboxa >\hsize % IF longer than one line: - \textbf{#1: #2}\par % THEN set as ordinary paragraph. - \else % ELSE center. - \hbox to\hsize{\hfil\box\@tempboxa\hfil}\par - \fi} - -% - -\long\def\@makecaption#1#2{ - \vskip 10pt - \setbox\@tempboxa\hbox{\textbf{#1: #2}} - \ifdim \wd\@tempboxa >\hsize % IF longer than one line: - \textbf{#1: #2}\par % THEN set as ordinary paragraph. - \else % ELSE center. - \hbox to\hsize{\hfil\box\@tempboxa\hfil} - \fi} - -\@ifundefined{figure}{\newcounter {figure}} % this is for LaTeX2e - -\def\fps@figure{tbp} -\def\ftype@figure{1} -\def\ext@figure{lof} -\def\fnum@figure{Figure \thefigure} -\def\figure{\@float{figure}} -%\let\endfigure\end@float -\def\endfigure{\end@float} % Gerry January 2008 -\@namedef{figure*}{\@dblfloat{figure}} -\@namedef{endfigure*}{\end@dblfloat} - -\@ifundefined{table}{\newcounter {table}} % this is for LaTeX2e - -\def\fps@table{tbp} -\def\ftype@table{2} -\def\ext@table{lot} -\def\fnum@table{Table \thetable} -\def\table{\@float{table}} -%\let\endtable\end@float -\def\endtable{\end@float} % Gerry January 2008 -\@namedef{table*}{\@dblfloat{table}} -\@namedef{endtable*}{\end@dblfloat} - -\newtoks\titleboxnotes -\newcount\titleboxnoteflag - -\def\maketitle{\par - \begingroup - \def\thefootnote{\fnsymbol{footnote}} - \def\@makefnmark{\hbox - to 0pt{$^{\@thefnmark}$\hss}} - \twocolumn[\@maketitle] -\@thanks - \endgroup - \setcounter{footnote}{0} - \let\maketitle\relax - \let\@maketitle\relax - \gdef\@thanks{}\gdef\@author{}\gdef\@title{}\gdef\@subtitle{}\let\thanks\relax - \@copyrightspace} - -%% CHANGES ON NEXT LINES -\newif\if@ll % to record which version of LaTeX is in use - -\expandafter\ifx\csname LaTeXe\endcsname\relax % LaTeX2.09 is used -\else% LaTeX2e is used, so set ll to true -\global\@lltrue -\fi - -\if@ll - \NeedsTeXFormat{LaTeX2e} - \ProvidesClass{sig-alternate} [2012/05/23 - V2.5 - based on acmproc.cls V1.3 ] - \RequirePackage{latexsym}% QUERY: are these two really needed? - \let\dooptions\ProcessOptions -\else - \let\dooptions\@options -\fi -%% END CHANGES - -\def\@height{height} -\def\@width{width} -\def\@minus{minus} -\def\@plus{plus} -\def\hb@xt@{\hbox to} -\newif\if@faircopy -\@faircopyfalse -\def\ds@faircopy{\@faircopytrue} - -\def\ds@preprint{\@faircopyfalse} - -\@twosidetrue -\@mparswitchtrue -\def\ds@draft{\overfullrule 5\p@} -%% CHANGE ON NEXT LINE -\dooptions - -\lineskip \p@ -\normallineskip \p@ -\def\baselinestretch{1} -\def\@ptsize{0} %needed for amssymbols.sty - -%% CHANGES ON NEXT LINES -\if@ll% allow use of old-style font change commands in LaTeX2e -\@maxdepth\maxdepth -% -\DeclareOldFontCommand{\rm}{\ninept\rmfamily}{\mathrm} -\DeclareOldFontCommand{\sf}{\normalfont\sffamily}{\mathsf} -\DeclareOldFontCommand{\tt}{\normalfont\ttfamily}{\mathtt} -\DeclareOldFontCommand{\bf}{\normalfont\bfseries}{\mathbf} -\DeclareOldFontCommand{\it}{\normalfont\itshape}{\mathit} -\DeclareOldFontCommand{\sl}{\normalfont\slshape}{\@nomath\sl} -\DeclareOldFontCommand{\sc}{\normalfont\scshape}{\@nomath\sc} -\DeclareRobustCommand*{\cal}{\@fontswitch{\relax}{\mathcal}} -\DeclareRobustCommand*{\mit}{\@fontswitch{\relax}{\mathnormal}} -\fi -% -\if@ll - \renewcommand{\rmdefault}{cmr} % was 'ttm' -% Note! I have also found 'mvr' to work ESPECIALLY well. -% Gerry - October 1999 -% You may need to change your LV1times.fd file so that sc is -% mapped to cmcsc - -for smallcaps -- that is if you decide -% to change {cmr} to {times} above. (Not recommended) - \renewcommand{\@ptsize}{} - \renewcommand{\normalsize}{% - \@setfontsize\normalsize\@ixpt{10.5\p@}%\ninept% - \abovedisplayskip 6\p@ \@plus2\p@ \@minus\p@ - \belowdisplayskip \abovedisplayskip - \abovedisplayshortskip 6\p@ \@minus 3\p@ - \belowdisplayshortskip 6\p@ \@minus 3\p@ - \let\@listi\@listI - } -\else - \def\@normalsize{%changed next to 9 from 10 - \@setsize\normalsize{9\p@}\ixpt\@ixpt - \abovedisplayskip 6\p@ \@plus2\p@ \@minus\p@ - \belowdisplayskip \abovedisplayskip - \abovedisplayshortskip 6\p@ \@minus 3\p@ - \belowdisplayshortskip 6\p@ \@minus 3\p@ - \let\@listi\@listI - }% -\fi -\if@ll - \newcommand\scriptsize{\@setfontsize\scriptsize\@viipt{8\p@}} - \newcommand\tiny{\@setfontsize\tiny\@vpt{6\p@}} - \newcommand\large{\@setfontsize\large\@xiipt{14\p@}} - \newcommand\Large{\@setfontsize\Large\@xivpt{18\p@}} - \newcommand\LARGE{\@setfontsize\LARGE\@xviipt{20\p@}} - \newcommand\huge{\@setfontsize\huge\@xxpt{25\p@}} - \newcommand\Huge{\@setfontsize\Huge\@xxvpt{30\p@}} -\else - \def\scriptsize{\@setsize\scriptsize{8\p@}\viipt\@viipt} - \def\tiny{\@setsize\tiny{6\p@}\vpt\@vpt} - \def\large{\@setsize\large{14\p@}\xiipt\@xiipt} - \def\Large{\@setsize\Large{18\p@}\xivpt\@xivpt} - \def\LARGE{\@setsize\LARGE{20\p@}\xviipt\@xviipt} - \def\huge{\@setsize\huge{25\p@}\xxpt\@xxpt} - \def\Huge{\@setsize\Huge{30\p@}\xxvpt\@xxvpt} -\fi -\normalsize - -% make aubox hsize/number of authors up to 3, less gutter -% then showbox gutter showbox gutter showbox -- GKMT Aug 99 -\newbox\@acmtitlebox -\def\@maketitle{\newpage - \null - \setbox\@acmtitlebox\vbox{% -\baselineskip 20pt -\vskip 2em % Vertical space above title. - \begin{center} - {\ttlfnt \@title\par} % Title set in 18pt Helvetica (Arial) bold size. - \vskip 1.5em % Vertical space after title. -%This should be the subtitle. -{\subttlfnt \the\subtitletext\par}\vskip 1.25em%\fi - {\baselineskip 16pt\aufnt % each author set in \12 pt Arial, in a - \lineskip .5em % tabular environment - \begin{tabular}[t]{c}\@author - \end{tabular}\par} - \vskip 1.5em % Vertical space after author. - \end{center}} - \dimen0=\ht\@acmtitlebox - \advance\dimen0 by -12.75pc\relax % Increased space for title box -- KBT - \unvbox\@acmtitlebox - \ifdim\dimen0<0.0pt\relax\vskip-\dimen0\fi} - - -\newcount\titlenotecount -\global\titlenotecount=0 -\newtoks\tntoks -\newtoks\tntokstwo -\newtoks\tntoksthree -\newtoks\tntoksfour -\newtoks\tntoksfive - -\def\abstract{ -\ifnum\titlenotecount>0 % was =1 - \insert\footins{% - \reset@font\footnotesize - \interlinepenalty\interfootnotelinepenalty - \splittopskip\footnotesep - \splitmaxdepth \dp\strutbox \floatingpenalty \@MM - \hsize\columnwidth \@parboxrestore - \protected@edef\@currentlabel{% - }% - \color@begingroup -\ifnum\titlenotecount=1 - \@maketntext{% - \raisebox{4pt}{$\ast$}\rule\z@\footnotesep\ignorespaces\the\tntoks\@finalstrut\strutbox}% -\fi -\ifnum\titlenotecount=2 - \@maketntext{% - \raisebox{4pt}{$\ast$}\rule\z@\footnotesep\ignorespaces\the\tntoks\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\dagger$}\rule\z@\footnotesep\ignorespaces\the\tntokstwo\@finalstrut\strutbox}% -\fi -\ifnum\titlenotecount=3 - \@maketntext{% - \raisebox{4pt}{$\ast$}\rule\z@\footnotesep\ignorespaces\the\tntoks\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\dagger$}\rule\z@\footnotesep\ignorespaces\the\tntokstwo\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\ddagger$}\rule\z@\footnotesep\ignorespaces\the\tntoksthree\@finalstrut\strutbox}% -\fi -\ifnum\titlenotecount=4 - \@maketntext{% - \raisebox{4pt}{$\ast$}\rule\z@\footnotesep\ignorespaces\the\tntoks\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\dagger$}\rule\z@\footnotesep\ignorespaces\the\tntokstwo\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\ddagger$}\rule\z@\footnotesep\ignorespaces\the\tntoksthree\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\S$}\rule\z@\footnotesep\ignorespaces\the\tntoksfour\@finalstrut\strutbox}% -\fi -\ifnum\titlenotecount=5 - \@maketntext{% - \raisebox{4pt}{$\ast$}\rule\z@\footnotesep\ignorespaces\the\tntoks\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\dagger$}\rule\z@\footnotesep\ignorespaces\the\tntokstwo\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\ddagger$}\rule\z@\footnotesep\ignorespaces\the\tntoksthree\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\S$}\rule\z@\footnotesep\ignorespaces\the\tntoksfour\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\P$}\rule\z@\footnotesep\ignorespaces\the\tntoksfive\@finalstrut\strutbox}% -\fi - \color@endgroup} %g} -\fi -\setcounter{footnote}{0} -\section*{ABSTRACT}\normalsize%\ninept -} - -\def\endabstract{\if@twocolumn\else\endquotation\fi} - -\def\keywords{\if@twocolumn -\section*{Keywords} -\else \small -\quotation -\fi} - -\def\terms{\if@twocolumn -\section*{General Terms} -\else \small -\quotation -\fi} - -% -- Classification needs to be a bit smart due to optionals - Gerry/Georgia November 2nd. 1999 -\newcount\catcount -\global\catcount=1 - -\def\category#1#2#3{% -\ifnum\catcount=1 -\section*{Categories and Subject Descriptors} -\advance\catcount by 1\else{\unskip; }\fi - \@ifnextchar [{\@category{#1}{#2}{#3}}{\@category{#1}{#2}{#3}[]}% -} - -\def\@category#1#2#3[#4]{% - \begingroup - \let\and\relax - #1 [\textbf{#2}]% - \if!#4!% - \if!#3!\else : #3\fi - \else - :\space - \if!#3!\else #3\kern\z@---\hskip\z@\fi - \textit{#4}% - \fi - \endgroup -} -% - -%%% This section (written by KBT) handles the 1" box in the lower left -%%% corner of the left column of the first page by creating a picture, -%%% and inserting the predefined string at the bottom (with a negative -%%% displacement to offset the space allocated for a non-existent -%%% caption). -%%% -\newtoks\copyrightnotice -\def\ftype@copyrightbox{8} -\def\@copyrightspace{ -\@float{copyrightbox}[b] -\begin{center} -\setlength{\unitlength}{1pc} -\begin{picture}(20,6) %Space for copyright notice -\put(0,-0.95){\crnotice{\@toappear}} -\end{picture} -\end{center} -\end@float} - -\def\@toappear{} % Default setting blank - commands below change this. -\long\def\toappear#1{\def\@toappear{\parbox[b]{20pc}{\baselineskip 9pt#1}}} -\def\toappearbox#1{\def\@toappear{\raisebox{5pt}{\framebox[20pc]{\parbox[b]{19pc}{#1}}}}} - -\newtoks\conf -\newtoks\confinfo -\def\conferenceinfo#1#2{\global\conf={#1}\global\confinfo{#2}} - - -%\def\marginpar{\@latexerr{The \marginpar command is not allowed in the -% `acmconf' document style.}\@eha} - -\def\marginpar{\ClassError{% - \string\marginpar\space is not allowed in the `acmconf' document % January 2008 - style}\@eha} - -\mark{{}{}} % Initializes TeX's marks - -\def\today{\ifcase\month\or - January\or February\or March\or April\or May\or June\or - July\or August\or September\or October\or November\or December\fi - \space\number\day, \number\year} - -\def\@begintheorem#1#2{% - \parskip 0pt % GM July 2000 (for tighter spacing) - \trivlist - \item[% - \hskip 10\p@ - \hskip \labelsep - {{\sc #1}\hskip 5\p@\relax#2.}% - ] - \it -} -\def\@opargbegintheorem#1#2#3{% - \parskip 0pt % GM July 2000 (for tighter spacing) - \trivlist - \item[% - \hskip 10\p@ - \hskip \labelsep - {\sc #1\ #2\ % This mod by Gerry to enumerate corollaries - \setbox\@tempboxa\hbox{(#3)} % and bracket the 'corollary title' - \ifdim \wd\@tempboxa>\z@ % and retain the correct numbering of e.g. theorems - \hskip 5\p@\relax % if they occur 'around' said corollaries. - \box\@tempboxa % Gerry - Nov. 1999. - \fi.}% - ] - \it -} -\newif\if@qeded -\global\@qededfalse - -% -- original -%\def\proof{% -% \vspace{-\parskip} % GM July 2000 (for tighter spacing) -% \global\@qededfalse -% \@ifnextchar[{\@xproof}{\@proof}% -%} -% -- end of original - -% (JSS) Fix for vertical spacing bug - Gerry Murray July 30th. 2002 -\def\proof{% -\vspace{-\lastskip}\vspace{-\parsep}\penalty-51% -\global\@qededfalse -\@ifnextchar[{\@xproof}{\@proof}% -} - -\def\endproof{% - \if@qeded\else\qed\fi - \endtrivlist -} -\def\@proof{% - \trivlist - \item[% - \hskip 10\p@ - \hskip \labelsep - {\sc Proof.}% - ] - \ignorespaces -} -\def\@xproof[#1]{% - \trivlist - \item[\hskip 10\p@\hskip \labelsep{\sc Proof #1.}]% - \ignorespaces -} -\def\qed{% - \unskip - \kern 10\p@ - \begingroup - \unitlength\p@ - \linethickness{.4\p@}% - \framebox(6,6){}% - \endgroup - \global\@qededtrue -} - -\def\newdef#1#2{% - \expandafter\@ifdefinable\csname #1\endcsname - {\@definecounter{#1}% - \expandafter\xdef\csname the#1\endcsname{\@thmcounter{#1}}% - \global\@namedef{#1}{\@defthm{#1}{#2}}% - \global\@namedef{end#1}{\@endtheorem}% - }% -} -\def\@defthm#1#2{% - \refstepcounter{#1}% - \@ifnextchar[{\@ydefthm{#1}{#2}}{\@xdefthm{#1}{#2}}% -} -\def\@xdefthm#1#2{% - \@begindef{#2}{\csname the#1\endcsname}% - \ignorespaces -} -\def\@ydefthm#1#2[#3]{% - \trivlist - \item[% - \hskip 10\p@ - \hskip \labelsep - {\it #2% -% \savebox\@tempboxa{#3}% - \saveb@x\@tempboxa{#3}% % January 2008 - \ifdim \wd\@tempboxa>\z@ - \ \box\@tempboxa - \fi.% - }]% - \ignorespaces -} -\def\@begindef#1#2{% - \trivlist - \item[% - \hskip 10\p@ - \hskip \labelsep - {\it #1\ \rm #2.}% - ]% -} -\def\theequation{\arabic{equation}} - -\newcounter{part} -\newcounter{section} -\newcounter{subsection}[section] -\newcounter{subsubsection}[subsection] -\newcounter{paragraph}[subsubsection] -\def\thepart{\Roman{part}} -\def\thesection{\arabic{section}} -\def\thesubsection{\thesection.\arabic{subsection}} -\def\thesubsubsection{\thesubsection.\arabic{subsubsection}} %removed \subsecfnt 29 July 2002 gkmt -\def\theparagraph{\thesubsubsection.\arabic{paragraph}} %removed \subsecfnt 29 July 2002 gkmt -\newif\if@uchead -\@ucheadfalse - -%% CHANGES: NEW NOTE -%% NOTE: OK to use old-style font commands below, since they were -%% suitably redefined for LaTeX2e -%% END CHANGES -\setcounter{secnumdepth}{3} -\def\part{% - \@startsection{part}{9}{\z@}{-10\p@ \@plus -4\p@ \@minus -2\p@} - {4\p@}{\normalsize\@ucheadtrue}% -} -\def\section{% - \@startsection{section}{1}{\z@}{-10\p@ \@plus -4\p@ \@minus -2\p@}% GM - {4\p@}{\baselineskip 14pt\secfnt\@ucheadtrue}% -} - -\def\subsection{% - \@startsection{subsection}{2}{\z@}{-8\p@ \@plus -2\p@ \@minus -\p@} - {4\p@}{\secfnt}% -} -\def\subsubsection{% - \@startsection{subsubsection}{3}{\z@}{-8\p@ \@plus -2\p@ \@minus -\p@}% - {4\p@}{\subsecfnt}% -} -%\def\paragraph{% -% \vskip 12pt\@startsection{paragraph}{3}{\z@}{6\p@ \@plus \p@}% original -% {-5\p@}{\subsecfnt}% -%} -% If one wants sections, subsections and subsubsections numbered, -% but not paragraphs, one usually sets secnumepth to 3. -% For that, the "depth" of paragraphs must be given correctly -% in the definition (``4'' instead of ``3'' as second argument -% of @startsection): -\def\paragraph{% - \vskip 12pt\@startsection{paragraph}{4}{\z@}{6\p@ \@plus \p@}% % GM and Wolfgang May - 11/30/06 - {-5\p@}{\subsecfnt}% -} -\let\@period=. -\def\@startsection#1#2#3#4#5#6{% - \if@noskipsec %gkmt, 11 aug 99 - \global\let\@period\@empty - \leavevmode - \global\let\@period.% - \fi - \par % - \@tempskipa #4\relax - \@afterindenttrue - \ifdim \@tempskipa <\z@ - \@tempskipa -\@tempskipa - \@afterindentfalse - \fi - \if@nobreak - \everypar{}% - \else - \addpenalty\@secpenalty - \addvspace\@tempskipa - \fi -\parskip=0pt % GM July 2000 (non numbered) section heads - \@ifstar - {\@ssect{#3}{#4}{#5}{#6}} - {\@dblarg{\@sect{#1}{#2}{#3}{#4}{#5}{#6}}}% -} -\def\@sect#1#2#3#4#5#6[#7]#8{% - \ifnum #2>\c@secnumdepth - \let\@svsec\@empty - \else - \refstepcounter{#1}% - \edef\@svsec{% - \begingroup - %\ifnum#2>2 \noexpand\rm \fi % changed to next 29 July 2002 gkmt - \ifnum#2>2 \noexpand#6 \fi - \csname the#1\endcsname - \endgroup - \ifnum #2=1\relax .\fi - \hskip 1em - }% - \fi - \@tempskipa #5\relax - \ifdim \@tempskipa>\z@ - \begingroup - #6\relax - \@hangfrom{\hskip #3\relax\@svsec}% - \begingroup - \interlinepenalty \@M - \if@uchead - \uppercase{#8}% - \else - #8% - \fi - \par - \endgroup - \endgroup - \csname #1mark\endcsname{#7}% - \vskip -12pt %gkmt, 11 aug 99 and GM July 2000 (was -14) - numbered section head spacing -\addcontentsline{toc}{#1}{% - \ifnum #2>\c@secnumdepth \else - \protect\numberline{\csname the#1\endcsname}% - \fi - #7% - }% - \else - \def\@svsechd{% - #6% - \hskip #3\relax - \@svsec - \if@uchead - \uppercase{#8}% - \else - #8% - \fi - \csname #1mark\endcsname{#7}% - \addcontentsline{toc}{#1}{% - \ifnum #2>\c@secnumdepth \else - \protect\numberline{\csname the#1\endcsname}% - \fi - #7% - }% - }% - \fi - \@xsect{#5}\hskip 1pt - \par -} -\def\@xsect#1{% - \@tempskipa #1\relax - \ifdim \@tempskipa>\z@ - \par - \nobreak - \vskip \@tempskipa - \@afterheading - \else - \global\@nobreakfalse - \global\@noskipsectrue - \everypar{% - \if@noskipsec - \global\@noskipsecfalse - \clubpenalty\@M - \hskip -\parindent - \begingroup - \@svsechd - \@period - \endgroup - \unskip - \@tempskipa #1\relax - \hskip -\@tempskipa - \else - \clubpenalty \@clubpenalty - \everypar{}% - \fi - }% - \fi - \ignorespaces -} -\def\@trivlist{% - \@topsepadd\topsep - \if@noskipsec - \global\let\@period\@empty - \leavevmode - \global\let\@period.% - \fi - \ifvmode - \advance\@topsepadd\partopsep - \else - \unskip - \par - \fi - \if@inlabel - \@noparitemtrue - \@noparlisttrue - \else - \@noparlistfalse - \@topsep\@topsepadd - \fi - \advance\@topsep \parskip - \leftskip\z@skip - \rightskip\@rightskip - \parfillskip\@flushglue - \@setpar{\if@newlist\else{\@@par}\fi} - \global\@newlisttrue - \@outerparskip\parskip -} - -%%% Actually, 'abbrev' works just fine as the default -%%% Bibliography style. - -\typeout{Using 'Abbrev' bibliography style} -\newcommand\bibyear[2]{% - \unskip\quad\ignorespaces#1\unskip - \if#2..\quad \else \quad#2 \fi -} -\newcommand{\bibemph}[1]{{\em#1}} -\newcommand{\bibemphic}[1]{{\em#1\/}} -\newcommand{\bibsc}[1]{{\sc#1}} -\def\@normalcite{% - \def\@cite##1##2{[##1\if@tempswa , ##2\fi]}% -} -\def\@citeNB{% - \def\@cite##1##2{##1\if@tempswa , ##2\fi}% -} -\def\@citeRB{% - \def\@cite##1##2{##1\if@tempswa , ##2\fi]}% -} -\def\start@cite#1#2{% - \edef\citeauthoryear##1##2##3{% - ###1% - \ifnum#2=\z@ \else\ ###2\fi - }% - \ifnum#1=\thr@@ - \let\@@cite\@citeyear - \else - \let\@@cite\@citenormal - \fi - \@ifstar{\@citeNB\@@cite}{\@normalcite\@@cite}% -} -%\def\cite{\start@cite23} -\DeclareRobustCommand\cite{\start@cite23} % January 2008 -\def\citeNP{\cite*} % No Parentheses e.g. 5 -%\def\citeA{\start@cite10} -\DeclareRobustCommand\citeA{\start@cite10} % January 2008 -\def\citeANP{\citeA*} -%\def\shortcite{\start@cite23} -\DeclareRobustCommand\shortcite{\start@cite23} % January 2008 -\def\shortciteNP{\shortcite*} -%\def\shortciteA{\start@cite20} -\DeclareRobustCommand\shortciteA{\start@cite20} % January 2008 -\def\shortciteANP{\shortciteA*} -%\def\citeyear{\start@cite30} -\DeclareRobustCommand\citeyear{\start@cite30} % January 2008 -\def\citeyearNP{\citeyear*} -%\def\citeN{% -\DeclareRobustCommand\citeN{% % January 2008 - \@citeRB - \def\citeauthoryear##1##2##3{##1\ [##3% - \def\reserved@a{##1}% - \def\citeauthoryear####1####2####3{% - \def\reserved@b{####1}% - \ifx\reserved@a\reserved@b - ####3% - \else - \errmessage{Package acmart Error: author mismatch - in \string\citeN^^J^^J% - See the acmart package documentation for explanation}% - \fi - }% - }% - \@ifstar\@citeyear\@citeyear -} -%\def\shortciteN{% -\DeclareRobustCommand\shortciteN{% % January 2008 - \@citeRB - \def\citeauthoryear##1##2##3{##2\ [##3% - \def\reserved@a{##2}% - \def\citeauthoryear####1####2####3{% - \def\reserved@b{####2}% - \ifx\reserved@a\reserved@b - ####3% - \else - \errmessage{Package acmart Error: author mismatch - in \string\shortciteN^^J^^J% - See the acmart package documentation for explanation}% - \fi - }% - }% - \@ifstar\@citeyear\@citeyear % GM July 2000 -} - -\def\@citenormal{% - \@ifnextchar [{\@tempswatrue\@citex;}% -% original {\@tempswafalse\@citex,[]}% was ; Gerry 2/24/00 -{\@tempswafalse\@citex[]}% % GERRY FIX FOR BABEL 3/20/2009 -} - -\def\@citeyear{% - \@ifnextchar [{\@tempswatrue\@citex,}% -% original {\@tempswafalse\@citex,[]}% -{\@tempswafalse\@citex[]}% % GERRY FIX FOR BABEL 3/20/2009 -} - -\def\@citex#1[#2]#3{% - \let\@citea\@empty - \@cite{% - \@for\@citeb:=#3\do{% - \@citea -% original \def\@citea{#1 }% - \def\@citea{#1, }% % GERRY FIX FOR BABEL 3/20/2009 -- SO THAT YOU GET [1, 2] IN THE BODY TEXT - \edef\@citeb{\expandafter\@iden\@citeb}% - \if@filesw - \immediate\write\@auxout{\string\citation{\@citeb}}% - \fi - \@ifundefined{b@\@citeb}{% - {\bf ?}% - \@warning{% - Citation `\@citeb' on page \thepage\space undefined% - }% - }% - {\csname b@\@citeb\endcsname}% - }% - }{#2}% -} -%\let\@biblabel\@gobble % Dec. 2008 - Gerry -% ---- -\def\@biblabelnum#1{[#1]} % Gerry's solution #1 - for Natbib -- April 2009 -\let\@biblabel=\@biblabelnum % Gerry's solution #1 - for Natbib -- April 2009 -\def\newblock{\relax} % Gerry Dec. 2008 -% --- -\newdimen\bibindent -\setcounter{enumi}{1} -\bibindent=0em -\def\thebibliography#1{% -\ifnum\addauflag=0\addauthorsection\global\addauflag=1\fi - \section[References]{% <=== OPTIONAL ARGUMENT ADDED HERE - {References} % was uppercased but this affects pdf bookmarks (SP/GM October 2004) - {\vskip -9pt plus 1pt} % GM Nov. 2006 / GM July 2000 (for somewhat tighter spacing) - \@mkboth{{\refname}}{{\refname}}% - }% - \list{[\arabic{enumi}]}{% - \settowidth\labelwidth{[#1]}% - \leftmargin\labelwidth - \advance\leftmargin\labelsep - \advance\leftmargin\bibindent - \parsep=0pt\itemsep=1pt % GM July 2000 - \itemindent -\bibindent - \listparindent \itemindent - \usecounter{enumi} - }% - \let\newblock\@empty - \raggedright % GM July 2000 - \sloppy - \sfcode`\.=1000\relax -} - - -\gdef\balancecolumns -{\vfill\eject -\global\@colht=\textheight -\global\ht\@cclv=\textheight -} - -\newcount\colcntr -\global\colcntr=0 -%\newbox\savebox -\newbox\saveb@x % January 2008 - -\gdef \@makecol {% -\global\advance\colcntr by 1 -\ifnum\colcntr>2 \global\colcntr=1\fi - \ifvoid\footins - \setbox\@outputbox \box\@cclv - \else - \setbox\@outputbox \vbox{% -\boxmaxdepth \@maxdepth - \@tempdima\dp\@cclv - \unvbox \@cclv - \vskip-\@tempdima - \vskip \skip\footins - \color@begingroup - \normalcolor - \footnoterule - \unvbox \footins - \color@endgroup - }% - \fi - \xdef\@freelist{\@freelist\@midlist}% - \global \let \@midlist \@empty - \@combinefloats - \ifvbox\@kludgeins - \@makespecialcolbox - \else - \setbox\@outputbox \vbox to\@colht {% -\@texttop - \dimen@ \dp\@outputbox - \unvbox \@outputbox - \vskip -\dimen@ - \@textbottom - }% - \fi - \global \maxdepth \@maxdepth -} -\def\titlenote{\@ifnextchar[\@xtitlenote{\stepcounter\@mpfn -\global\advance\titlenotecount by 1 -\ifnum\titlenotecount=1 - \raisebox{9pt}{$\ast$} -\fi -\ifnum\titlenotecount=2 - \raisebox{9pt}{$\dagger$} -\fi -\ifnum\titlenotecount=3 - \raisebox{9pt}{$\ddagger$} -\fi -\ifnum\titlenotecount=4 -\raisebox{9pt}{$\S$} -\fi -\ifnum\titlenotecount=5 -\raisebox{9pt}{$\P$} -\fi - \@titlenotetext -}} - -\long\def\@titlenotetext#1{\insert\footins{% -\ifnum\titlenotecount=1\global\tntoks={#1}\fi -\ifnum\titlenotecount=2\global\tntokstwo={#1}\fi -\ifnum\titlenotecount=3\global\tntoksthree={#1}\fi -\ifnum\titlenotecount=4\global\tntoksfour={#1}\fi -\ifnum\titlenotecount=5\global\tntoksfive={#1}\fi - \reset@font\footnotesize - \interlinepenalty\interfootnotelinepenalty - \splittopskip\footnotesep - \splitmaxdepth \dp\strutbox \floatingpenalty \@MM - \hsize\columnwidth \@parboxrestore - \protected@edef\@currentlabel{% - }% - \color@begingroup - \color@endgroup}} - -%%%%%%%%%%%%%%%%%%%%%%%%% -\ps@plain -\baselineskip=11pt -\let\thepage\relax % For NO page numbers - GM Nov. 30th. 1999 and July 2000 -\def\setpagenumber#1{\global\setcounter{page}{#1}} -%\pagenumbering{arabic} % Arabic page numbers GM July 2000 -\twocolumn % Double column. -\flushbottom % Even bottom -- alas, does not balance columns at end of document -\pagestyle{plain} - -% Need Copyright Year and Copyright Data to be user definable (in .tex file). -% Gerry Nov. 30th. 1999 -\newtoks\copyrtyr -\newtoks\acmcopyr -\newtoks\boilerplate -\global\acmcopyr={X-XXXXX-XX-X/XX/XX} % Default - 5/11/2001 *** Gerry -\global\copyrtyr={20XX} % Default - 3/3/2003 *** Gerry -\def\CopyrightYear#1{\global\copyrtyr{#1}} -\def\crdata#1{\global\acmcopyr{#1}} -\def\permission#1{\global\boilerplate{#1}} -% -\global\boilerplate={Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. To copy otherwise, to republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee.} -\newtoks\copyrightetc -\global\copyrightetc{Copyright \the\copyrtyr\ ACM \the\acmcopyr\ ...\$15.00} % Gerry changed to 15 May 2012 -\toappear{\the\boilerplate\par -{\confname{\the\conf}} \the\confinfo\par \the\copyrightetc.} -%\DeclareFixedFont{\altcrnotice}{OT1}{tmr}{m}{n}{8} % << patch needed for accenting e.g. Montreal - Gerry, May 2007 -%\DeclareFixedFont{\altconfname}{OT1}{tmr}{m}{it}{8} % << patch needed for accenting in italicized confname - Gerry, May 2007 -% -%{\altconfname{{\the\conf}}} {\altcrnotice\the\confinfo\par} \the\copyrightetc.} % << Gerry, May 2007 -% -% The following section (i.e. 3 .sty inclusions) was added in May 2007 so as to fix the problems that many -% authors were having with accents. Sometimes accents would occur, but the letter-character would be of a different -% font. Conversely the letter-character font would be correct but, e.g. a 'bar' would appear superimposed on the -% character instead of, say, an unlaut/diaresis. Sometimes the letter-character would NOT appear at all. -% Using [T1]{fontenc} outright was not an option as this caused 99% of the authors to 'produce' a Type-3 (bitmapped) -% PDF file - useless for production. -% -% For proper (font) accenting we NEED these packages to be part of the .cls file i.e. 'ae', 'aecompl' and 'aeguil' -% ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -%% This is file `ae.sty' -\def\fileversion{1.3} -\def\filedate{2001/02/12} -\NeedsTeXFormat{LaTeX2e} -%\ProvidesPackage{ae}[\filedate\space\fileversion\space % GM -% Almost European Computer Modern] % GM - keeping the log file clean(er) -\newif\if@ae@slides \@ae@slidesfalse -\DeclareOption{slides}{\@ae@slidestrue} -\ProcessOptions -\fontfamily{aer} -\RequirePackage[T1]{fontenc} -\if@ae@slides - \renewcommand{\sfdefault}{laess} - \renewcommand{\rmdefault}{laess} % no roman - \renewcommand{\ttdefault}{laett} -\else - \renewcommand{\sfdefault}{aess} - \renewcommand{\rmdefault}{aer} - \renewcommand{\ttdefault}{aett} -\fi -\endinput -%% -%% End of file `ae.sty'. -% -% -\def\fileversion{0.9} -\def\filedate{1998/07/23} -\NeedsTeXFormat{LaTeX2e} -%\ProvidesPackage{aecompl}[\filedate\space\fileversion\space % GM -%T1 Complements for AE fonts (D. Roegel)] % GM -- keeping the log file clean(er) - -\def\@ae@compl#1{{\fontencoding{T1}\fontfamily{cmr}\selectfont\symbol{#1}}} -\def\guillemotleft{\@ae@compl{19}} -\def\guillemotright{\@ae@compl{20}} -\def\guilsinglleft{\@ae@compl{14}} -\def\guilsinglright{\@ae@compl{15}} -\def\TH{\@ae@compl{222}} -\def\NG{\@ae@compl{141}} -\def\ng{\@ae@compl{173}} -\def\th{\@ae@compl{254}} -\def\DJ{\@ae@compl{208}} -\def\dj{\@ae@compl{158}} -\def\DH{\@ae@compl{208}} -\def\dh{\@ae@compl{240}} -\def\@perthousandzero{\@ae@compl{24}} -\def\textperthousand{\%\@perthousandzero} -\def\textpertenthousand{\%\@perthousandzero\@perthousandzero} -\endinput -% -% -%% This is file `aeguill.sty' -% This file gives french guillemets (and not guillemots!) -% built with the Polish CMR fonts (default), WNCYR fonts, the LASY fonts -% or with the EC fonts. -% This is useful in conjunction with the ae package -% (this package loads the ae package in case it has not been loaded) -% and with or without the french(le) package. -% -% In order to get the guillemets, it is necessary to either type -% \guillemotleft and \guillemotright, or to use an 8 bit encoding -% (such as ISO-Latin1) which selects these two commands, -% or, if you use the french package (but not the frenchle package), -% to type << or >>. -% -% By default, you get the Polish CMR guillemets; if this package is loaded -% with the `cm' option, you get the LASY guillemets; with `ec,' you -% get the EC guillemets, and with `cyr,' you get the cyrillic guillemets. -% -% In verbatim mode, you always get the EC/TT guillemets. -% -% The default option is interesting in conjunction with PDF, -% because there is a Type 1 version of the Polish CMR fonts -% and these guillemets are very close in shape to the EC guillemets. -% There are no free Type 1 versions of the EC fonts. -% -% Support for Polish CMR guillemets was kindly provided by -% Rolf Niepraschk in version 0.99 (2000/05/22). -% Bernd Raichle provided extensive simplifications to the code -% for version 1.00. -% -% This package is released under the LPPL. -% -% Changes: -% Date version -% 2001/04/12 1.01 the frenchle and french package are now distinguished. -% -\def\fileversion{1.01} -\def\filedate{2001/04/12} -\NeedsTeXFormat{LaTeX2e} -%\ProvidesPackage{aeguill}[2001/04/12 1.01 % % GM -%AE fonts with french guillemets (D. Roegel)] % GM - keeping the log file clean(er) -%\RequirePackage{ae} % GM May 2007 - already embedded here - -\newcommand{\@ae@switch}[4]{#4} -\DeclareOption{ec}{\renewcommand\@ae@switch[4]{#1}} -\DeclareOption{cm}{\renewcommand\@ae@switch[4]{#2}} -\DeclareOption{cyr}{\renewcommand\@ae@switch[4]{#3}} -\DeclareOption{pl}{\renewcommand\@ae@switch[4]{#4}} -\ExecuteOptions{pl} -\ProcessOptions - -% -% Load necessary packages -% -\@ae@switch{% ec - % do nothing -}{% cm - \RequirePackage{latexsym}% GM - May 2007 - already 'mentioned as required' up above -}{% cyr - \RequirePackage[OT2,T1]{fontenc}% -}{% pl - \RequirePackage[OT4,T1]{fontenc}% -} - -% The following command will be compared to \frenchname, -% as defined in french.sty and frenchle.sty. -\def\aeguillfrenchdefault{french}% - -\let\guill@verbatim@font\verbatim@font -\def\verbatim@font{\guill@verbatim@font\ecguills{cmtt}% - \let\guillemotleft\@oguills\let\guillemotright\@fguills} - -\begingroup \catcode`\<=13 \catcode`\>=13 -\def\x{\endgroup - \def\ae@lfguill{<<}% - \def\ae@rfguill{>>}% -}\x - -\newcommand{\ecguills}[1]{% - \def\selectguillfont{\fontencoding{T1}\fontfamily{#1}\selectfont}% - \def\@oguills{{\selectguillfont\symbol{19}}}% - \def\@fguills{{\selectguillfont\symbol{20}}}% - } - -\newcommand{\aeguills}{% - \ae@guills - % We redefine \guillemotleft and \guillemotright - % in order to catch them when they are used - % with \DeclareInputText (in latin1.def for instance) - % We use \auxWARNINGi as a safe indicator that french.sty is used. - \gdef\guillemotleft{\ifx\auxWARNINGi\undefined - \@oguills % neither french.sty nor frenchle.sty - \else - \ifx\aeguillfrenchdefault\frenchname - \ae@lfguill % french.sty - \else - \@oguills % frenchle.sty - \fi - \fi}% - \gdef\guillemotright{\ifx\auxWARNINGi\undefined - \@fguills % neither french.sty nor frenchle.sty - \else - \ifx\aeguillfrenchdefault\frenchname - \ae@rfguill % french.sty - \else - \@fguills % frenchle.sty - \fi - \fi}% - } - -% -% Depending on the class option -% define the internal command \ae@guills -\@ae@switch{% ec - \newcommand{\ae@guills}{% - \ecguills{cmr}}% -}{% cm - \newcommand{\ae@guills}{% - \def\selectguillfont{\fontencoding{U}\fontfamily{lasy}% - \fontseries{m}\fontshape{n}\selectfont}% - \def\@oguills{\leavevmode\nobreak - \hbox{\selectguillfont (\kern-.20em(\kern.20em}\nobreak}% - \def\@fguills{\leavevmode\nobreak - \hbox{\selectguillfont \kern.20em)\kern-.2em)}% - \ifdim\fontdimen\@ne\font>\z@\/\fi}}% -}{% cyr - \newcommand{\ae@guills}{% - \def\selectguillfont{\fontencoding{OT2}\fontfamily{wncyr}\selectfont}% - \def\@oguills{{\selectguillfont\symbol{60}}}% - \def\@fguills{{\selectguillfont\symbol{62}}}} -}{% pl - \newcommand{\ae@guills}{% - \def\selectguillfont{\fontencoding{OT4}\fontfamily{cmr}\selectfont}% - \def\@oguills{{\selectguillfont\symbol{174}}}% - \def\@fguills{{\selectguillfont\symbol{175}}}} -} - - -\AtBeginDocument{% - \ifx\GOfrench\undefined - \aeguills - \else - \let\aeguill@GOfrench\GOfrench - \gdef\GOfrench{\aeguill@GOfrench \aeguills}% - \fi - } - -\endinput -% - diff --git a/ICCAD16_openram_paper/acmcopyright.sty b/ICCAD16_openram_paper/acmcopyright.sty deleted file mode 100755 index e8de127a..00000000 --- a/ICCAD16_openram_paper/acmcopyright.sty +++ /dev/null @@ -1,221 +0,0 @@ -%% -%% This is file `acmcopyright.sty', -%% generated with the docstrip utility. -%% -%% The original source files were: -%% -%% acmcopyright.dtx (with options: `style') -%% -%% IMPORTANT NOTICE: -%% -%% For the copyright see the source file. -%% -%% Any modified versions of this file must be renamed -%% with new filenames distinct from acmcopyright.sty. -%% -%% For distribution of the original source see the terms -%% for copying and modification in the file acmcopyright.dtx. -%% -%% This generated file may be distributed as long as the -%% original source files, as listed above, are part of the -%% same distribution. (The sources need not necessarily be -%% in the same archive or directory.) -%% \CharacterTable -%% {Upper-case \A\B\C\D\E\F\G\H\I\J\K\L\M\N\O\P\Q\R\S\T\U\V\W\X\Y\Z -%% Lower-case \a\b\c\d\e\f\g\h\i\j\k\l\m\n\o\p\q\r\s\t\u\v\w\x\y\z -%% Digits \0\1\2\3\4\5\6\7\8\9 -%% Exclamation \! Double quote \" Hash (number) \# -%% Dollar \$ Percent \% Ampersand \& -%% Acute accent \' Left paren \( Right paren \) -%% Asterisk \* Plus \+ Comma \, -%% Minus \- Point \. Solidus \/ -%% Colon \: Semicolon \; Less than \< -%% Equals \= Greater than \> Question mark \? -%% Commercial at \@ Left bracket \[ Backslash \\ -%% Right bracket \] Circumflex \^ Underscore \_ -%% Grave accent \` Left brace \{ Vertical bar \| -%% Right brace \} Tilde \~} -\NeedsTeXFormat{LaTeX2e} -\ProvidesPackage{acmcopyright} -[2014/06/29 v1.2 Copyright statemens for ACM classes] -\newif\if@printcopyright -\@printcopyrighttrue -\newif\if@printpermission -\@printpermissiontrue -\newif\if@acmowned -\@acmownedtrue -\RequirePackage{xkeyval} -\define@choicekey*{ACM@}{acmcopyrightmode}[% - \acm@copyrightinput\acm@copyrightmode]{none,acmcopyright,acmlicensed,% - rightsretained,usgov,usgovmixed,cagov,cagovmixed,% - licensedusgovmixed,licensedcagovmixed,othergov,licensedothergov}{% - \@printpermissiontrue - \@printcopyrighttrue - \@acmownedtrue - \ifnum\acm@copyrightmode=0\relax % none - \@printpermissionfalse - \@printcopyrightfalse - \@acmownedfalse - \fi - \ifnum\acm@copyrightmode=2\relax % acmlicensed - \@acmownedfalse - \fi - \ifnum\acm@copyrightmode=3\relax % rightsretained - \@acmownedfalse - \fi - \ifnum\acm@copyrightmode=4\relax % usgov - \@printpermissiontrue - \@printcopyrightfalse - \@acmownedfalse - \fi - \ifnum\acm@copyrightmode=6\relax % cagov - \@acmownedfalse - \fi - \ifnum\acm@copyrightmode=8\relax % licensedusgovmixed - \@acmownedfalse - \fi - \ifnum\acm@copyrightmode=9\relax % licensedcagovmixed - \@acmownedfalse - \fi - \ifnum\acm@copyrightmode=10\relax % othergov - \@acmownedtrue - \fi - \ifnum\acm@copyrightmode=11\relax % licensedothergov - \@acmownedfalse - \@printcopyrightfalse - \fi} -\def\setcopyright#1{\setkeys{ACM@}{acmcopyrightmode=#1}} -\setcopyright{acmcopyright} -\def\@copyrightowner{% - \ifcase\acm@copyrightmode\relax % none - \or % acmcopyright - ACM. - \or % acmlicensed - Copyright held by the owner/author(s). Publication rights licensed to - ACM. - \or % rightsretained - Copyright held by the owner/author(s). - \or % usgov - \or % usgovmixed - ACM. - \or % cagov - Crown in Right of Canada. - \or %cagovmixed - ACM. - \or %licensedusgovmixed - Copyright held by the owner/author(s). Publication rights licensed to - ACM. - \or %licensedcagovmixed - Copyright held by the owner/author(s). Publication rights licensed to - ACM. - \or % othergov - ACM. - \or % licensedothergov - \fi} -\def\@copyrightpermission{% - \ifcase\acm@copyrightmode\relax % none - \or % acmcopyright - Permission to make digital or hard copies of all or part of this - work for personal or classroom use is granted without fee provided - that copies are not made or distributed for profit or commercial - advantage and that copies bear this notice and the full citation on - the first page. Copyrights for components of this work owned by - others than ACM must be honored. Abstracting with credit is - permitted. To copy otherwise, or republish, to post on servers or to - redistribute to lists, requires prior specific permission - and\hspace*{.5pt}/or a fee. Request permissions from - permissions@acm.org. - \or % acmlicensed - Permission to make digital or hard copies of all or part of this - work for personal or classroom use is granted without fee provided - that copies are not made or distributed for profit or commercial - advantage and that copies bear this notice and the full citation on - the first page. Copyrights for components of this work owned by - others than the author(s) must be honored. Abstracting with credit - is permitted. To copy otherwise, or republish, to post on servers - or to redistribute to lists, requires prior specific permission - and\hspace*{.5pt}/or a fee. Request permissions from - permissions@acm.org. - \or % rightsretained - Permission to make digital or hard copies of part or all of this work - for personal or classroom use is granted without fee provided that - copies are not made or distributed for profit or commercial advantage - and that copies bear this notice and the full citation on the first - page. Copyrights for third-party components of this work must be - honored. For all other uses, contact the - owner\hspace*{.5pt}/author(s). - \or % usgov - This paper is authored by an employee(s) of the United States - Government and is in the public domain. Non-exclusive copying or - redistribution is allowed, provided that the article citation is - given and the authors and agency are clearly identified as its - source. - \or % usgovmixed - ACM acknowledges that this contribution was authored or co-authored - by an employee, or contractor of the national government. As such, - the Government retains a nonexclusive, royalty-free right to - publish or reproduce this article, or to allow others to do so, for - Government purposes only. Permission to make digital or hard copies - for personal or classroom use is granted. Copies must bear this - notice and the full citation on the first page. Copyrights for - components of this work owned by others than ACM must be - honored. To copy otherwise, distribute, republish, or post, - requires prior specific permission and\hspace*{.5pt}/or a - fee. Request permissions from permissions@acm.org. - \or % cagov - This article was authored by employees of the Government of Canada. - As such, the Canadian government retains all interest in the - copyright to this work and grants to ACM a nonexclusive, - royalty-free right to publish or reproduce this article, or to allow - others to do so, provided that clear attribution is given both to - the authors and the Canadian government agency employing them. - Permission to make digital or hard copies for personal or classroom - use is granted. Copies must bear this notice and the full citation - on the first page. Copyrights for components of this work owned by - others than the Canadain Government must be honored. To copy - otherwise, distribute, republish, or post, requires prior specific - permission and\hspace*{.5pt}/or a fee. Request permissions from - permissions@acm.org. - \or % cagovmixed - ACM acknowledges that this contribution was co-authored by an - affiliate of the national government of Canada. As such, the Crown - in Right of Canada retains an equal interest in the copyright. - Reprints must include clear attribution to ACM and the author's - government agency affiliation. Permission to make digital or hard - copies for personal or classroom use is granted. Copies must bear - this notice and the full citation on the first page. Copyrights for - components of this work owned by others than ACM must be honored. - To copy otherwise, distribute, republish, or post, requires prior - specific permission and\hspace*{.5pt}/or a fee. Request permissions - from permissions@acm.org. - \or % licensedusgovmixed - Publication rights licensed to ACM. ACM acknowledges that this - contribution was authored or co-authored by an employee, contractor - or affiliate of the United States government. As such, the - Government retains a nonexclusive, royalty-free right to publish or - reproduce this article, or to allow others to do so, for Government - purposes only. - \or % licensedcagovmixed - Publication rights licensed to ACM. ACM acknowledges that this - contribution was authored or co-authored by an employee, contractor - or affiliate of the national government of Canada. As such, the - Government retains a nonexclusive, royalty-free right to publish or - reproduce this article, or to allow others to do so, for Government - purposes only. - \or % othergov - ACM acknowledges that this contribution was authored or co-authored - by an employee, contractor or affiliate of a national government. As - such, the Government retains a nonexclusive, royalty-free right to - publish or reproduce this article, or to allow others to do so, for - Government purposes only. - \or % licensedothergov - Publication rights licensed to ACM. ACM acknowledges that this - contribution was authored or co-authored by an employee, contractor - or affiliate of a national government. As such, the Government - retains a nonexclusive, royalty-free right to publish or reproduce - this article, or to allow others to do so, for Government purposes - only. - \fi} -\endinput -%% -%% End of file `acmcopyright.sty'. diff --git a/ICCAD16_openram_paper/appendix.tex b/ICCAD16_openram_paper/appendix.tex deleted file mode 100644 index a917d0dd..00000000 --- a/ICCAD16_openram_paper/appendix.tex +++ /dev/null @@ -1 +0,0 @@ -\appendix diff --git a/ICCAD16_openram_paper/architecture.tex b/ICCAD16_openram_paper/architecture.tex deleted file mode 100644 index cd3f9e16..00000000 --- a/ICCAD16_openram_paper/architecture.tex +++ /dev/null @@ -1,200 +0,0 @@ -\section{Architecture} -\label{sec:architecture} - -% Overview of SRAM blocks -The OpenRAM SRAM architecture is based on a bank of memory cells -with peripheral circuits and control logic as illustrated in -Figure~\ref{fig:structure}. These are further refined into eight major -blocks: the bit-cell array, the address decoder, the word-line drivers, -the column multiplexer, the pre-charge circuitry, the sense amplifier, -the write drivers, and the control logic. - -\begin{figure}[tb] -\centering -\includegraphics[width=8cm]{./figs/sram_structure.pdf} -\caption{An OpenRAM SRAM consists of a bit-cell array along with decoder, - reading and writing circuitry and control logic timed with a replica - bit-line. -\label{fig:structure}} -\end{figure} - -% we don't implement these yet, so don't give a tutorial on them -%% General memories and Register Files (RF) are both examples of what an -%% memory compiler can generate. General memories usually have shared -%% read/write ports whereas RFs typically have separate ports. All of -%% these options are permitted through the use of different types of -%% memory cells such as 6, 8, and 12 transistor (T) cells which contains -%% 1-4 access transistor pairs and their associated bit-lines. Some basic -%% memory array options are available below: -%% \begin{itemize} -%% \setlength{\itemsep}{0pt} \setlength{\parskip}{0pt} -%% \item Standard 6T cell for single-port memory -%% \item Dual-port 8T cell for dual-port memory or separate read/write ports -%% \item Four-port 12T cell for dual separate read/write ports -%% \item Custom sense amplifier designs for different performances -%% \item Different types of address decoders for different performances -%% \end{itemize} - -\begin{figure*}[tb] -\centering -\subfigure[Read operation timing]{ -\includegraphics[width = 8cm]{figs/timing_read.pdf} -\label{fig:timing_read}} -\subfigure[Write operation timing]{ -\includegraphics[width = 8cm]{figs/timing_write.pdf} -\label{fig:timing_write}} -\caption{OpenRAM uses a synchronous SRAM interface using a system - clock (clk) along with control signals: output enable (OEb), chip - select (CSb) and write enable (WEb).} -\label{fig:timing} -\end{figure*} - -{\bf Bit-cell Array:} In the initial release of OpenRAM, the $6$T cell -is the default memory cell because it is the most commonly used cell -in SRAM devices. $6$T cells are tiled together with abutting word- and -bit-lines to make up the memory array. The bit-cell array's aspect -ratio is made as square as possible using multiple columns of data -words. The memory cell is a custom designed library cell for each technology. -Other types of memory cells, such as $7$T, $8$T, and $10$T cells, can be used -as alternatives to the $6$T cell. - -{\bf Address Decoder:} The address decoder takes the row address bits -as inputs and asserts the appropriate word-line so that the correct -memory cells can be read from or written to. The address decoder is -placed to the left of the memory array and spans the array's vertical -length. Different types of decoders can be used such as an included -dynamic NAND decoder, but OpenRAM's default option is a hierarchical CMOS -decoder. - -{\bf Word-Line Driver:} Word-line drivers are inserted between the -address decoder and the memory array as buffers. The word-line drivers -are sized based on the width of the memory array so that they can drive -the row select signal across the bit-cell array. - -{\bf Column Multiplexer:} The column multiplexer is an optional block -that uses the lower address bits to select the associated word in a -row. The column mux is dynamically generated and can be omitted or can -have 2 or 4 inputs. Larger column muxes are possible, but are not -frequently used in memories. There are options for a multi-level tree -mux as well. - -{\bf Bit-line Precharge:} This circuitry pre-charges -the bit-lines during the first phase of the clock for read -operations. The precharge circuit is placed on top of every column in -the memory array and equalizes the bit-line voltages so that the -sense amplifier can sense the voltage difference between the two -bit-lines. - -{\bf Sense Amplifier:} A differential sense amplifier is used to sense -the voltage difference between the bit-lines of a memory cell while a -read operation is performed. The sense amplifier uses a bit-line -isolation technique to increase performance. The sense amplifier -circuitry is placed below the column multiplexer or the memory -array if no column multiplexer is used. There is one sense amplifier for -each output bit. - -{\bf Write Driver:} The write drivers send the input data signals onto the -bit-lines for a write operation. The write drivers are tri-stated -so that they can be placed between the column multiplexer/memory array -and the sense amplifiers. There is one write driver for each input -data bit. - -%% \subsubsection{Bit-cell and Bit-cell Array} -%% A bit-cell class is provided to instantiate the custom designed memory -%% cell located in the technology directory. Then the bit-cell array class -%% will take the single bit-cell instance to dynamically generate the -%% memory array. Using the functionality of GdsMill, we can rotate and/or -%% mirror an instance. Doing so, will allow us to abut the power rails. - -%% \subsubsection{Address Decoder} -%% The hierarchical decoder is the default row address decoder that is -%% used in OpenRAM. The hierarchical decoder is dynamically generated -%% using the inverter and NAND gates with the help of basic shapes. The -%% height of each decoder row will match the height of the memory cell so -%% that the power rails can be abutted. OpenRAM also provides a NAND -%% decoder as an alternative. NAND decoder uses NMOS and PMOS transistors -%% created by ptx class. User can define type of the decoder in the -%% configuration file. - -%% \subsubsection{Word-line Driver} -%% The word-line driver will be a column of alternating "mirrored" -%% inverters instances that is used to drive the signal to access the -%% memory cells in the row. The inverters will be sized accordingly -%% depending on the size of the memory array. - -%% \subsubsection{Column Multiplexer} -%% The column multiplexer is an optional block that is used depending on -%% the size of the memory array. By generating an instance of a 1-1 -%% multiplexer, we can then tile them to create bigger multiplexers such -%% as 2-1, 4-1, etc. OpenRAM has two options for column multiplexing. -%% Single-level-column-mux is the default column multiplexer but user can -%% choose Tree-Column-Mux in configuration file. Both multiplexers use -%% transistors created by ptx class. - -%% \subsubsection{Precharge and Precharge Array} -%% The precharge circuitry is dynamically generated using the transistor -%% instances and various basic shapes. The precharge class dynamically -%% generates an instance for a single column. The precharge array class -%% takes that instance and tiles them horizontally to match the number of -%% columns in the memory array. The width of the precharge cell is -%% determined by the width of the user-created memory cell. - -%% \subsubsection{Sense Amplifier and Sense Amplifier Array} -%% The sense amplifier is user-designed analog circuit that is placed in -%% the technology directory. The sense amplifier class instantiates the -%% library cell and the sense amplifier array takes that instance to -%% create a horizontal array matching the number of output bits for the -%% memory. When designing this library cell, the user should match this -%% cell's width and bit-lines to the memory cell's. - -%% \subsubsection{Write Driver and Write Driver Array} -%% Similar to the precharge classes, the write driver class will generate -%% an instance for a single bit and the write driver array will tile them -%% horizontally to match the number of input bits for the memory. The -%% write drivers will be dynamically sized accordingly based on the size -%% of the memory array. - -%% \subsubsection{Control Logic} -%% There will be a control logic module that will arrange the -%% master-slave flip-flops and the logic associated with the control -%% signals into a single design. Flip-flops are used to drive the control -%% signals and standard library cells such as NAND and NOR gates will be -%% used for the logic. A RBL is also generated using parameterized gates -%% and Replica Cell (RC). RC is a 6T SRAM memory cell which is hard-wired -%% to store a zero in order to discharge the RBL and generate the sense -%% amplifier enable signal in read mode. - -%% \subsubsection{Additional Arrays} -%% In addition to the eight main blocks, there are helper modules that -%% help simplify the designs in the eight main blocks. We have a -%% flip-flop array class that takes the custom designed master-slave -%% flip-flop library cell to create a tiled array. We also have the -%% tri-state array class that will generate the array of tri-states for -%% the DATA bus. - -% Overview of signal inputs and timing -{\bf Control Logic:} The OpenRAM SRAM architecture incorporates a -standard synchronous memory interface using a system clock (clk). The -control logic uses an externally provided, active-low output enable -(OEb), chip select (CSb), and write enable (WEb) to combine multiple -SRAMs into a larger structure. Internally, the OpenRAM compiler can -have $1$, $2$, or $4$ memory banks to amortize the area/power cost of -control logic and peripheral circuitry. - -All of the input control signals are stored using master-slave (MS) -flip-flops (FF) to ensure that the signals are valid for the entire -clock cycle. During a read operation, data is available after the -negative clock edge (second half of cycle) as shown in -Figure~\ref{fig:timing_read}. To avoid dead cycles which degrade -performance, a Zero Bus Turn-around (ZBT) technique is used in OpenRAM -timing. The ZBT enables higher memory throughput since there are no -wait states. During ZBT writes, data is set up before the negative -clock edge and is captured on the negative edge. Figure~\ref{fig:timing_write} -shows the timing for input signals during the write operation. - -The internal control signals are generated using a replica bit-line (RBL) -structure for the timing of the sense amplifier enable and output -data storage~\cite{RBL:1998}. The RBL turns on the sense amplifiers -at the exact time in presence of process variability in sub-$100$nm -technologies. - diff --git a/ICCAD16_openram_paper/background.tex b/ICCAD16_openram_paper/background.tex deleted file mode 100644 index 7fe8928f..00000000 --- a/ICCAD16_openram_paper/background.tex +++ /dev/null @@ -1,93 +0,0 @@ -\section{Background} -\label{sec:background} -% brief origin/background of memory compilers - -% Existence of memory compilers from the beginning -Memory compilers have been used in Electronic Design Automation (EDA) -design flows to reduce the design -time long before contemporary -compilers~\cite{broderson:sicompiler,johannsen:blocks}. -However, these compilers were generally not portable as they were -nothing more -than quick scripts to aid designers. Porting to a new technology -essentially required rewriting the scripts. However, the increase in -design productivity when porting designs between technologies has led to -more research on memory array -compilers~\cite{cabe:flexible,huang:array,poechmueller:array,Xu:2007}. - -% Reason why compilers evolved to today's current version -As technology entered the Deep Sub-Micron (DSM) era, memory designs -became one of the most challenging parts of circuit design -due to decreasing static noise margins (SNM), increasing fabrication -variability, and increasing leakage power consumption. -This increased the complexity of memory compilers dramatically as they had to -adapt to the ever-changing technologies. Simultaneously, design -methodologies shifted from silicon compilers to standard cell place -and route methods which required large optimized libraries. During -this time, industry began using third-party suppliers of standard cell -libraries and memory compilers that allowed their reuse to amortize -development costs. These next-generation memory compilers provided -silicon-verification that allowed designers to focus on their new -design contribution rather than time-consuming tasks such as memory -generation. - -% Commercial industry memory compilers' description and cost -Contemporary memory compilers have been widely used by industry, but -the internal operation is typically hidden. Several prominent -companies and foundries have provided memory compilers to their -customers. These memory compilers usually allow customers to view -front-end simulation, timing/power values, and pin locations after a -license agreement is signed. Back-end features such as layout are -normally supplied directly to the fab and are only given to the user -for a licensing fee. - -% Examples of commercial compilers' drawbacks -Specifically, Global Foundries offers front-end PDKs for free, but not -back-end detailed views~\cite{globalfoundries:2015}. Faraday -Technologies provides a \enquote{black box} design kit where users do -not know the details of the internal memory -design~\cite{faraday:2015}. Dolphin Technology offers closed-source -compilers which can create RAMs, ROMs, and CAMs for a variety of -technologies~\cite{dolphin:2015}. The majority of these commercial -compilers do not allow the customer to alter the base design, are -restricted by the company's license, and usually require a fee. This -makes them virtually unavailable and not useful for many academic -research projects. - -% Describe the problem (no free open-source that is widely distributed) -In addition to memory compilers provided by industry, various research -groups have released scripts to generate memories. However, these -designs are not silicon verified and are usually only composed of -simple structures. For example, FabMem is able to -create small arrays, but it is highly dependent on the Cadence design -tools~\cite{fabmem:2010}. The scripts do not provide any characterization capability -and cannot easily integrate with commercial place and route tools. - -% Industry's attempt to provide academia a memory compiler -Another recent, promising solution for academia is the Synopsys -Generic Memory Compiler (GMC)~\cite{Goldman:2014}. The software is -provided with sample generic libraries such as Synopsys' $32$/$28$nm and -$90$nm abstract technologies and can generate the entire SRAM for these -technologies. The GMC generates GDSII layout data, SPICE netlists, -Verilog and VHDL models, timing/power libraries, and DRC/LVS -verification reports. GMC, however, is not recommended for -fabrication since the technologies it supports are not real. Its sole -purpose is to aid students in VLSI courses to learn about using -memories in design flows. - -% Academia's' attempts at a memory compiler -There have been multiple attempts by academia to implement a memory -compiler that is not restricted: the Institute of -Microelectronics' SRAM IP Compiler~\cite{Xu:2007}, School of -Electronic Science and Engineering at Southeast University's Memory IP -Compiler~\cite{Chen:2012}, and Tsinghua University's Low Power SRAM -Compiler~\cite{Wu:2010}. These are all methodologies and design flows -for a memory compiler, but there are no public releases. - -% State what we are looking for in academia. -- duplicate from introduction -%% With all these attempts, there still isn't a complete solution for -%% academia's research needs. Researchers need a memory compiler that is -%% open-source, platform- and tool-portable, technology independent, and -%% can generate fabricable memory designs. - - diff --git a/ICCAD16_openram_paper/camera_copy.pdf b/ICCAD16_openram_paper/camera_copy.pdf deleted file mode 100644 index 4a82bbb1..00000000 Binary files a/ICCAD16_openram_paper/camera_copy.pdf and /dev/null differ diff --git a/ICCAD16_openram_paper/conclusion.tex b/ICCAD16_openram_paper/conclusion.tex deleted file mode 100644 index 3e822b70..00000000 --- a/ICCAD16_openram_paper/conclusion.tex +++ /dev/null @@ -1,21 +0,0 @@ -\section{Conclusions} -\label{sec:conclusions} -This paper introduced OpenRAM, an open-source and portable memory -compiler. OpenRAM generates the circuit, functional model, and layout -of variable-sized SRAMs. In addition, a memory characterizer -provides synthesis timing/power models. - -The main motivation behind OpenRAM is to promote and simplify -memory-related research in academia. Since OpenRAM is open-sourced, -flexible, and portable, this memory compiler can be adapted to various -technologies and is easily modified to address specific design -requirements. Therefore, OpenRAM provides a platform to implement and test -new memory designs. - -Designs are currently being fabricated to test designs using the -OpenRAM framework in SCMOS. We are also continuously introducing new -features, such as non-6T memories, variability characterization, -word-line segmenting, characterization speed-up, and a graphical user -interface (GUI). We hope to engage an active community in the future -development of OpenRAM. - diff --git a/ICCAD16_openram_paper/embed_fonts.sh b/ICCAD16_openram_paper/embed_fonts.sh deleted file mode 100755 index 54688ed9..00000000 --- a/ICCAD16_openram_paper/embed_fonts.sh +++ /dev/null @@ -1,5 +0,0 @@ -#!/bin/bash -psfile=${1%.pdf}.ps -gs -dSAFER -dNOPLATFONTS -dNOPAUSE -dBATCH -sDEVICE=pdfwrite -sPAPERSIZE=letter -dCompatibilityLevel=1.4 -dPDFSETTINGS=/printer -dCompatibilityLevel=1.4 -dMaxSubsetPct=100 -dSubsetFonts=true -dEmbedAllFonts=true -sOutputFile=temp.pdf -f $1 -mv temp.pdf $1 -pdf2ps $1 $psfile diff --git a/ICCAD16_openram_paper/figs/Freepdk_Area.pdf b/ICCAD16_openram_paper/figs/Freepdk_Area.pdf deleted file mode 100644 index 36f91e9f..00000000 Binary files a/ICCAD16_openram_paper/figs/Freepdk_Area.pdf and /dev/null differ diff --git a/ICCAD16_openram_paper/figs/Freepdk_Read_Access_time.pdf b/ICCAD16_openram_paper/figs/Freepdk_Read_Access_time.pdf deleted file mode 100644 index bec17822..00000000 Binary files a/ICCAD16_openram_paper/figs/Freepdk_Read_Access_time.pdf and /dev/null differ diff --git a/ICCAD16_openram_paper/figs/Results.pdf b/ICCAD16_openram_paper/figs/Results.pdf deleted file mode 100644 index c63a8ba6..00000000 Binary files a/ICCAD16_openram_paper/figs/Results.pdf and /dev/null differ diff --git a/ICCAD16_openram_paper/figs/Results2.eps b/ICCAD16_openram_paper/figs/Results2.eps deleted file mode 100644 index f532a0ef..00000000 --- a/ICCAD16_openram_paper/figs/Results2.eps +++ /dev/null @@ -1,4599 +0,0 @@ -%!PS-Adobe-3.0 EPSF-3.0 -%%Creator: (MATLAB, The Mathworks, Inc. Version 8.5.0.197613 \(R2015a\). Operating System: Mac OS X) -%%Title: /Users/stineje/Desktop/Results2.eps -%%CreationDate: 2015-11-23T09:50:58 -%%Pages: (atend) -%%BoundingBox: 0 0 512 937 -%%LanguageLevel: 2 -%%EndComments -%%BeginProlog -%%BeginResource: procset (Apache XML Graphics Std ProcSet) 1.2 0 -%%Version: 1.2 0 -%%Copyright: (Copyright 2001-2003,2010 The Apache Software Foundation. License terms: http://www.apache.org/licenses/LICENSE-2.0) -/bd{bind def}bind def -/ld{load def}bd -/GR/grestore ld -/M/moveto ld -/LJ/setlinejoin ld -/C/curveto ld -/f/fill ld -/LW/setlinewidth ld -/GC/setgray ld -/t/show ld -/N/newpath ld -/CT/concat ld -/cp/closepath ld -/S/stroke ld -/L/lineto ld -/CC/setcmykcolor ld -/A/ashow ld -/GS/gsave ld -/RC/setrgbcolor ld -/RM/rmoveto ld -/ML/setmiterlimit ld -/re {4 2 roll M -1 index 0 rlineto -0 exch rlineto -neg 0 rlineto -cp } bd -/_ctm matrix def -/_tm matrix def -/BT { _ctm currentmatrix pop matrix _tm copy pop 0 0 moveto } bd -/ET { _ctm setmatrix } bd -/iTm { _ctm setmatrix _tm concat } bd -/Tm { _tm astore pop iTm 0 0 moveto } bd -/ux 0.0 def -/uy 0.0 def -/F { - /Tp exch def - /Tf exch def - Tf findfont Tp scalefont setfont - /cf Tf def /cs Tp def -} bd -/ULS {currentpoint /uy exch def /ux exch def} bd -/ULE { - /Tcx currentpoint pop def - gsave - newpath - cf findfont cs scalefont dup - /FontMatrix get 0 get /Ts exch def /FontInfo get dup - /UnderlinePosition get Ts mul /To exch def - /UnderlineThickness get Ts mul /Tt exch def - ux uy To add moveto Tcx uy To add lineto - Tt setlinewidth stroke - grestore -} bd -/OLE { - /Tcx currentpoint pop def - gsave - newpath - cf findfont cs scalefont dup - /FontMatrix get 0 get /Ts exch def /FontInfo get dup - /UnderlinePosition get Ts mul /To exch def - /UnderlineThickness get Ts mul /Tt exch def - ux uy To add cs add moveto Tcx uy To add cs add lineto - Tt setlinewidth stroke - grestore -} bd -/SOE { - /Tcx currentpoint pop def - gsave - newpath - cf findfont cs scalefont dup - /FontMatrix get 0 get /Ts exch def /FontInfo get dup - /UnderlinePosition get Ts mul /To exch def - /UnderlineThickness get Ts mul /Tt exch def - ux uy To add cs 10 mul 26 idiv add moveto Tcx uy To add cs 10 mul 26 idiv add lineto - Tt setlinewidth stroke - grestore -} bd -/QT { -/Y22 exch store -/X22 exch store -/Y21 exch store -/X21 exch store -currentpoint -/Y21 load 2 mul add 3 div exch -/X21 load 2 mul add 3 div exch -/X21 load 2 mul /X22 load add 3 div -/Y21 load 2 mul /Y22 load add 3 div -/X22 load /Y22 load curveto -} bd -/SSPD { -dup length /d exch dict def -{ -/v exch def -/k exch def -currentpagedevice k known { -/cpdv currentpagedevice k get def -v cpdv ne { -/upd false def -/nullv v type /nulltype eq def -/nullcpdv cpdv type /nulltype eq def -nullv nullcpdv or -{ -/upd true def -} { -/sametype v type cpdv type eq def -sametype { -v type /arraytype eq { -/vlen v length def -/cpdvlen cpdv length def -vlen cpdvlen eq { -0 1 vlen 1 sub { -/i exch def -/obj v i get def -/cpdobj cpdv i get def -obj cpdobj ne { -/upd true def -exit -} if -} for -} { -/upd true def -} ifelse -} { -v type /dicttype eq { -v { -/dv exch def -/dk exch def -/cpddv cpdv dk get def -dv cpddv ne { -/upd true def -exit -} if -} forall -} { -/upd true def -} ifelse -} ifelse -} if -} ifelse -upd true eq { -d k v put -} if -} if -} if -} forall -d length 0 gt { -d setpagedevice -} if -} bd -%%EndResource -%%BeginResource: procset (Apache XML Graphics EPS ProcSet) 1.0 0 -%%Version: 1.0 0 -%%Copyright: (Copyright 2002-2003 The Apache Software Foundation. License terms: http://www.apache.org/licenses/LICENSE-2.0) -/BeginEPSF { %def -/b4_Inc_state save def % Save state for cleanup -/dict_count countdictstack def % Count objects on dict stack -/op_count count 1 sub def % Count objects on operand stack -userdict begin % Push userdict on dict stack -/showpage { } def % Redefine showpage, { } = null proc -0 setgray 0 setlinecap % Prepare graphics state -1 setlinewidth 0 setlinejoin -10 setmiterlimit [ ] 0 setdash newpath -/languagelevel where % If level not equal to 1 then -{pop languagelevel % set strokeadjust and -1 ne % overprint to their defaults. -{false setstrokeadjust false setoverprint -} if -} if -} bd -/EndEPSF { %def -count op_count sub {pop} repeat % Clean up stacks -countdictstack dict_count sub {end} repeat -b4_Inc_state restore -} bd -%%EndResource -%FOPBeginFontDict -%%IncludeResource: font Courier-Bold -%%IncludeResource: font Helvetica -%%IncludeResource: font Courier-BoldOblique -%%IncludeResource: font Courier-Oblique -%%IncludeResource: font Times-Roman -%%IncludeResource: font Helvetica-BoldOblique -%%IncludeResource: font Helvetica-Bold -%%IncludeResource: font Helvetica-Oblique -%%IncludeResource: font Times-BoldItalic -%%IncludeResource: font Courier -%%IncludeResource: font Times-Italic -%%IncludeResource: font Times-Bold -%%IncludeResource: font Symbol -%%IncludeResource: font ZapfDingbats -%FOPEndFontDict -%%BeginResource: encoding WinAnsiEncoding -/WinAnsiEncoding [ -/.notdef /.notdef /.notdef /.notdef /.notdef -/.notdef /.notdef /.notdef /.notdef /.notdef -/.notdef /.notdef /.notdef /.notdef /.notdef -/.notdef /.notdef /.notdef /.notdef /.notdef -/.notdef /.notdef /.notdef /.notdef /.notdef -/.notdef /.notdef /.notdef /.notdef /.notdef -/.notdef /.notdef /space /exclam /quotedbl -/numbersign /dollar /percent /ampersand /quotesingle -/parenleft /parenright /asterisk /plus /comma -/hyphen /period /slash /zero /one -/two /three /four /five /six -/seven /eight /nine /colon /semicolon -/less /equal /greater /question /at -/A /B /C /D /E -/F /G /H /I /J -/K /L /M /N /O -/P /Q /R /S /T -/U /V /W /X /Y -/Z /bracketleft /backslash /bracketright /asciicircum -/underscore /quoteleft /a /b /c -/d /e /f /g /h -/i /j /k /l /m -/n /o /p /q /r -/s /t /u /v /w -/x /y /z /braceleft /bar -/braceright /asciitilde /bullet /Euro /bullet -/quotesinglbase /florin /quotedblbase /ellipsis /dagger -/daggerdbl /circumflex /perthousand /Scaron /guilsinglleft -/OE /bullet /Zcaron /bullet /bullet -/quoteleft /quoteright /quotedblleft /quotedblright /bullet -/endash /emdash /asciitilde /trademark /scaron -/guilsinglright /oe /bullet /zcaron /Ydieresis -/space /exclamdown /cent /sterling /currency -/yen /brokenbar /section /dieresis /copyright -/ordfeminine /guillemotleft /logicalnot /sfthyphen /registered -/macron /degree /plusminus /twosuperior /threesuperior -/acute /mu /paragraph /middot /cedilla -/onesuperior /ordmasculine /guillemotright /onequarter /onehalf -/threequarters /questiondown /Agrave /Aacute /Acircumflex -/Atilde /Adieresis /Aring /AE /Ccedilla -/Egrave /Eacute /Ecircumflex /Edieresis /Igrave -/Iacute /Icircumflex /Idieresis /Eth /Ntilde -/Ograve /Oacute /Ocircumflex /Otilde /Odieresis -/multiply /Oslash /Ugrave /Uacute /Ucircumflex -/Udieresis /Yacute /Thorn /germandbls /agrave -/aacute /acircumflex /atilde /adieresis /aring -/ae /ccedilla /egrave /eacute /ecircumflex -/edieresis /igrave /iacute /icircumflex /idieresis -/eth /ntilde /ograve /oacute /ocircumflex -/otilde /odieresis /divide /oslash /ugrave -/uacute /ucircumflex /udieresis /yacute /thorn -/ydieresis -] def -%%EndResource -%FOPBeginFontReencode -/Courier-Bold findfont -dup length dict begin - {1 index /FID ne {def} {pop pop} ifelse} forall - /Encoding WinAnsiEncoding def - currentdict -end -/Courier-Bold exch definefont pop -/Helvetica findfont -dup length dict begin - {1 index /FID ne {def} {pop pop} ifelse} forall - /Encoding WinAnsiEncoding def - currentdict -end -/Helvetica exch definefont pop -/Courier-BoldOblique findfont -dup length dict begin - {1 index /FID ne {def} {pop pop} ifelse} forall - /Encoding WinAnsiEncoding def - currentdict -end -/Courier-BoldOblique exch definefont pop -/Courier-Oblique findfont -dup length dict begin - {1 index /FID ne {def} {pop pop} ifelse} forall - /Encoding WinAnsiEncoding def - currentdict -end -/Courier-Oblique exch definefont pop -/Times-Roman findfont -dup length dict begin - {1 index /FID ne {def} {pop pop} ifelse} forall - /Encoding WinAnsiEncoding def - currentdict -end -/Times-Roman exch definefont pop -/Helvetica-BoldOblique findfont -dup length dict begin - {1 index /FID ne {def} {pop pop} ifelse} forall - /Encoding WinAnsiEncoding def - currentdict -end -/Helvetica-BoldOblique exch definefont pop -/Helvetica-Bold findfont -dup length dict begin - {1 index /FID ne {def} {pop pop} ifelse} forall - /Encoding WinAnsiEncoding def - currentdict -end -/Helvetica-Bold exch definefont pop -/Helvetica-Oblique findfont -dup length dict begin - {1 index /FID ne {def} {pop pop} ifelse} forall - /Encoding WinAnsiEncoding def - currentdict -end -/Helvetica-Oblique exch definefont pop -/Times-BoldItalic findfont -dup length dict begin - {1 index /FID ne {def} {pop pop} ifelse} forall - /Encoding WinAnsiEncoding def - currentdict -end -/Times-BoldItalic exch definefont pop -/Courier findfont -dup length dict begin - {1 index /FID ne {def} {pop pop} ifelse} forall - /Encoding WinAnsiEncoding def - currentdict -end -/Courier exch definefont pop -/Times-Italic findfont -dup length dict begin - {1 index /FID ne {def} {pop pop} ifelse} forall - /Encoding WinAnsiEncoding def - currentdict -end -/Times-Italic exch definefont pop -/Times-Bold findfont -dup length dict begin - {1 index /FID ne {def} {pop pop} ifelse} forall - /Encoding WinAnsiEncoding def - currentdict -end -/Times-Bold exch definefont pop -%FOPEndFontReencode -%%EndProlog -%%Page: 1 1 -%%PageBoundingBox: 0 0 512 937 -%%BeginPageSetup -[1 0 0 -1 0 937] CT -%%EndPageSetup -GS -1 GC -N -0 0 512 937 re -f -GR -GS -1 GC -N -0 0 512 937 re -f -GR -GS -1 GC -N -67 218 M -463 218 L -463 70 L -67 70 L -cp -f -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -67 218 M -67 70 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -123.571 218 M -123.571 70 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -180.143 218 M -180.143 70 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -236.714 218 M -236.714 70 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -293.286 218 M -293.286 70 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -349.857 218 M -349.857 70 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -406.429 218 M -406.429 70 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 218 M -463 70 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 218 M -67 218 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 168.667 M -67 168.667 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 119.333 M -67 119.333 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 70 M -67 70 L -S -GR -GS -[1 0 0 1 265.00018 237.39999] CT -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --46 11 moveto -1 -1 scale -(Total Size \(Kbits\)) t -GR -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 218 M -463 218 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 70 M -463 70 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 218 M -67 214.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -123.571 218 M -123.571 214.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -180.143 218 M -180.143 214.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -236.714 218 M -236.714 214.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -293.286 218 M -293.286 214.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -349.857 218 M -349.857 214.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -406.429 218 M -406.429 214.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 218 M -463 214.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 70 M -67 73.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -123.571 70 M -123.571 73.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -180.143 70 M -180.143 73.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -236.714 70 M -236.714 73.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -293.286 70 M -293.286 73.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -349.857 70 M -349.857 73.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -406.429 70 M -406.429 73.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 70 M -463 73.96 L -S -GR -GS -[1 0 0 1 67 222.39999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --3.5 11 moveto -1 -1 scale -(0) t -GR -GR -GS -[1 0 0 1 123.57143 222.39999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 11 moveto -1 -1 scale -(20) t -GR -GR -GS -[1 0 0 1 180.14285 222.39999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 11 moveto -1 -1 scale -(40) t -GR -GR -GS -[1 0 0 1 236.71428 222.39999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 11 moveto -1 -1 scale -(60) t -GR -GR -GS -[1 0 0 1 293.28571 222.39999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 11 moveto -1 -1 scale -(80) t -GR -GR -GS -[1 0 0 1 349.85715 222.39999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --10.5 11 moveto -1 -1 scale -(100) t -GR -GR -GS -[1 0 0 1 406.42856 222.39999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --10.5 11 moveto -1 -1 scale -(120) t -GR -GR -GS -[1 0 0 1 463 222.39999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --10.5 11 moveto -1 -1 scale -(140) t -GR -GR -GS -[0 -1 1 0 40 176] CT -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT -0 0 moveto -1 -1 scale -(Area \(mm) t -GR -GR -GS -[0 -1 1 0 34 123] CT -/Helvetica 9 F -GS -[1 0 0 1 0 0] CT -0 0 moveto -1 -1 scale -(2) t -GR -GR -GS -[0 -1 1 0 40 117] CT -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT -0 0 moveto -1 -1 scale -(\)) t -GR -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 218 M -67 70 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 218 M -463 70 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 218 M -70.96 218 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 168.667 M -70.96 168.667 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 119.333 M -70.96 119.333 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 70 M -70.96 70 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 218 M -459.04 218 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 168.667 M -459.04 168.667 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 119.333 M -459.04 119.333 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 70 M -459.04 70 L -S -GR -GS -[1 0 0 1 62.6 218] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 4 moveto -1 -1 scale -(0) t -GR -GR -GS -[1 0 0 1 62.6 168.66667] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --17 4 moveto -1 -1 scale -(0.1) t -GR -GR -GS -[1 0 0 1 62.6 119.33333] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --17 4 moveto -1 -1 scale -(0.2) t -GR -GR -GS -[1 0 0 1 62.6 69.99999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --17 4 moveto -1 -1 scale -(0.3) t -GR -GR -GS -0 0 1 RC -[2 2] 0 setdash -2 LJ -2 LW -N -72.657 215.612 M -89.629 211.34 L -157.514 192.803 L -429.057 77.96 L -S -GR -GS -0 0 1 RC -2 setlinecap -10.0 ML -2 LW -N -68.6 219.4 M -68.6 211.6 L -76.4 211.6 L -76.4 219.4 L -68.6 219.4 L -S -GR -GS -0 0 1 RC -2 setlinecap -10.0 ML -2 LW -N -85.6 215.4 M -85.6 207.6 L -93.4 207.6 L -93.4 215.4 L -85.6 215.4 L -S -GR -GS -0 0 1 RC -2 setlinecap -10.0 ML -2 LW -N -153.6 196.4 M -153.6 188.6 L -161.4 188.6 L -161.4 196.4 L -153.6 196.4 L -S -GR -GS -0 0 1 RC -2 setlinecap -10.0 ML -2 LW -N -425.6 81.4 M -425.6 73.6 L -433.4 73.6 L -433.4 81.4 L -425.6 81.4 L -S -GR -GS -1 0 0 RC -[8 2 4 2] 0 setdash -2 LJ -2 LW -N -72.657 215.627 M -89.629 211.34 L -157.514 196.512 L -429.057 113.487 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -68 220 M -77 211 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -68 211 M -77 220 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -85 216 M -94 207 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -85 207 M -94 216 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -153 201 M -162 192 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -153 192 M -162 201 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -425 118 M -434 109 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -425 109 M -434 118 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -72.657 215.643 M -89.629 211.622 L -157.514 197.285 L -429.057 140.548 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -67 215.5 M -78 215.5 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -72.5 221 M -72.5 210 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -68 220 M -77 211 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -68 211 M -77 220 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -84 211.5 M -95 211.5 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -89.5 217 M -89.5 206 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -85 216 M -94 207 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -85 207 M -94 216 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -152 197.5 M -163 197.5 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -157.5 203 M -157.5 192 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -153 202 M -162 193 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -153 193 M -162 202 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -424 140.5 M -435 140.5 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -429.5 146 M -429.5 135 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -425 145 M -434 136 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -425 136 M -434 145 L -S -GR -GS -[8 8] 0 setdash -2 LJ -2 LW -N -72.657 215.39 M -89.629 211.646 L -157.514 197.328 L -429.057 143.377 L -S -GR -GS -2 setlinecap -10.0 ML -2 LW -N -77.5 215.5 M -76.545 212.561 L -74.045 210.745 L -70.955 210.745 L -68.455 212.561 L -67.5 215.5 L -68.455 218.439 L -70.955 220.255 L -74.045 220.255 L -76.545 218.439 L -77.5 215.5 L -S -GR -GS -2 setlinecap -10.0 ML -2 LW -N -94.5 211.5 M -93.545 208.561 L -91.045 206.745 L -87.955 206.745 L -85.455 208.561 L -84.5 211.5 L -85.455 214.439 L -87.955 216.255 L -91.045 216.255 L -93.545 214.439 L -94.5 211.5 L -S -GR -GS -2 setlinecap -10.0 ML -2 LW -N -162.5 197.5 M -161.545 194.561 L -159.045 192.745 L -155.955 192.745 L -153.455 194.561 L -152.5 197.5 L -153.455 200.439 L -155.955 202.255 L -159.045 202.255 L -161.545 200.439 L -162.5 197.5 L -S -GR -GS -2 setlinecap -10.0 ML -2 LW -N -434.5 143.5 M -433.545 140.561 L -431.045 138.745 L -427.955 138.745 L -425.455 140.561 L -424.5 143.5 L -425.455 146.439 L -427.955 148.255 L -431.045 148.255 L -433.545 146.439 L -434.5 143.5 L -S -GR -GS -1 GC -N -67 423 M -463 423 L -463 276 L -67 276 L -cp -f -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -67 423 M -67 276 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -123.571 423 M -123.571 276 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -180.143 423 M -180.143 276 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -236.714 423 M -236.714 276 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -293.286 423 M -293.286 276 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -349.857 423 M -349.857 276 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -406.429 423 M -406.429 276 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 423 M -463 276 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 423 M -67 423 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 393.6 M -67 393.6 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 364.2 M -67 364.2 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 334.8 M -67 334.8 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 305.4 M -67 305.4 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 276 M -67 276 L -S -GR -GS -[1 0 0 1 265.00018 442.39999] CT -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --46 11 moveto -1 -1 scale -(Total Size \(Kbits\)) t -GR -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 423 M -463 423 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 276 M -463 276 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 423 M -67 419.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -123.571 423 M -123.571 419.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -180.143 423 M -180.143 419.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -236.714 423 M -236.714 419.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -293.286 423 M -293.286 419.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -349.857 423 M -349.857 419.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -406.429 423 M -406.429 419.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 423 M -463 419.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 276 M -67 279.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -123.571 276 M -123.571 279.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -180.143 276 M -180.143 279.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -236.714 276 M -236.714 279.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -293.286 276 M -293.286 279.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -349.857 276 M -349.857 279.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -406.429 276 M -406.429 279.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 276 M -463 279.96 L -S -GR -GS -[1 0 0 1 67 427.39999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --3.5 11 moveto -1 -1 scale -(0) t -GR -GR -GS -[1 0 0 1 123.57143 427.39999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 11 moveto -1 -1 scale -(20) t -GR -GR -GS -[1 0 0 1 180.14285 427.39999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 11 moveto -1 -1 scale -(40) t -GR -GR -GS -[1 0 0 1 236.71428 427.39999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 11 moveto -1 -1 scale -(60) t -GR -GR -GS -[1 0 0 1 293.28571 427.39999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 11 moveto -1 -1 scale -(80) t -GR -GR -GS -[1 0 0 1 349.85715 427.39999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --10.5 11 moveto -1 -1 scale -(100) t -GR -GR -GS -[1 0 0 1 406.42856 427.39999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --10.5 11 moveto -1 -1 scale -(120) t -GR -GR -GS -[1 0 0 1 463 427.39999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --10.5 11 moveto -1 -1 scale -(140) t -GR -GR -GS -[0 -1 1 0 43 381] CT -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT -0 0 moveto -1 -1 scale -(Area \(mm) t -GR -GR -GS -[0 -1 1 0 37 328] CT -/Helvetica 9 F -GS -[1 0 0 1 0 0] CT -0 0 moveto -1 -1 scale -(2) t -GR -GR -GS -[0 -1 1 0 43 322] CT -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT -0 0 moveto -1 -1 scale -(\)) t -GR -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 423 M -67 276 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 423 M -463 276 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 423 M -70.96 423 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 393.6 M -70.96 393.6 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 364.2 M -70.96 364.2 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 334.8 M -70.96 334.8 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 305.4 M -70.96 305.4 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 276 M -70.96 276 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 423 M -459.04 423 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 393.6 M -459.04 393.6 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 364.2 M -459.04 364.2 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 334.8 M -459.04 334.8 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 305.4 M -459.04 305.4 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 276 M -459.04 276 L -S -GR -GS -[1 0 0 1 62.6 423] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 4 moveto -1 -1 scale -(0) t -GR -GR -GS -[1 0 0 1 62.6 393.60001] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --14 4 moveto -1 -1 scale -(10) t -GR -GR -GS -[1 0 0 1 62.6 364.20001] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --14 4 moveto -1 -1 scale -(20) t -GR -GR -GS -[1 0 0 1 62.6 334.79999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --14 4 moveto -1 -1 scale -(30) t -GR -GR -GS -[1 0 0 1 62.6 305.39999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --14 4 moveto -1 -1 scale -(40) t -GR -GR -GS -[1 0 0 1 62.6 276] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --14 4 moveto -1 -1 scale -(50) t -GR -GR -GS -0 0 1 RC -[2 2] 0 setdash -2 LJ -2 LW -N -72.657 420.787 M -89.629 416.86 L -157.514 399.794 L -429.057 303.362 L -S -GR -GS -0 0 1 RC -2 setlinecap -10.0 ML -2 LW -N -68.6 424.4 M -68.6 416.6 L -76.4 416.6 L -76.4 424.4 L -68.6 424.4 L -S -GR -GS -0 0 1 RC -2 setlinecap -10.0 ML -2 LW -N -85.6 420.4 M -85.6 412.6 L -93.4 412.6 L -93.4 420.4 L -85.6 420.4 L -S -GR -GS -0 0 1 RC -2 setlinecap -10.0 ML -2 LW -N -153.6 403.4 M -153.6 395.6 L -161.4 395.6 L -161.4 403.4 L -153.6 403.4 L -S -GR -GS -0 0 1 RC -2 setlinecap -10.0 ML -2 LW -N -425.6 307.4 M -425.6 299.6 L -433.4 299.6 L -433.4 307.4 L -425.6 307.4 L -S -GR -GS -1 0 0 RC -[8 2 4 2] 0 setdash -2 LJ -2 LW -N -72.657 420.8 M -89.629 417.056 L -157.514 402.995 L -429.057 329.987 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -68 425 M -77 416 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -68 416 M -77 425 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -85 422 M -94 413 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -85 413 M -94 422 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -153 407 M -162 398 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -153 398 M -162 407 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -425 334 M -434 325 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -425 325 M -434 334 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -72.657 420.814 M -89.629 417.077 L -157.514 403.509 L -429.057 350.546 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -67 420.5 M -78 420.5 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -72.5 426 M -72.5 415 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -68 425 M -77 416 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -68 416 M -77 425 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -84 417.5 M -95 417.5 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -89.5 423 M -89.5 412 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -85 422 M -94 413 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -85 413 M -94 422 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -152 403.5 M -163 403.5 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -157.5 409 M -157.5 398 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -153 408 M -162 399 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -153 399 M -162 408 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -424 350.5 M -435 350.5 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -429.5 356 M -429.5 345 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -425 355 M -434 346 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -425 346 M -434 355 L -S -GR -GS -[8 8] 0 setdash -2 LJ -2 LW -N -72.657 420.54 M -89.629 417.098 L -157.514 403.546 L -429.057 352.912 L -S -GR -GS -2 setlinecap -10.0 ML -2 LW -N -77.5 420.5 M -76.545 417.561 L -74.045 415.745 L -70.955 415.745 L -68.455 417.561 L -67.5 420.5 L -68.455 423.439 L -70.955 425.255 L -74.045 425.255 L -76.545 423.439 L -77.5 420.5 L -S -GR -GS -2 setlinecap -10.0 ML -2 LW -N -94.5 417.5 M -93.545 414.561 L -91.045 412.745 L -87.955 412.745 L -85.455 414.561 L -84.5 417.5 L -85.455 420.439 L -87.955 422.255 L -91.045 422.255 L -93.545 420.439 L -94.5 417.5 L -S -GR -GS -2 setlinecap -10.0 ML -2 LW -N -162.5 403.5 M -161.545 400.561 L -159.045 398.745 L -155.955 398.745 L -153.455 400.561 L -152.5 403.5 L -153.455 406.439 L -155.955 408.255 L -159.045 408.255 L -161.545 406.439 L -162.5 403.5 L -S -GR -GS -2 setlinecap -10.0 ML -2 LW -N -434.5 352.5 M -433.545 349.561 L -431.045 347.745 L -427.955 347.745 L -425.455 349.561 L -424.5 352.5 L -425.455 355.439 L -427.955 357.255 L -431.045 357.255 L -433.545 355.439 L -434.5 352.5 L -S -GR -GS -1 GC -N -67 629 M -463 629 L -463 481 L -67 481 L -cp -f -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -67 629 M -67 481 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -123.571 629 M -123.571 481 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -180.143 629 M -180.143 481 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -236.714 629 M -236.714 481 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -293.286 629 M -293.286 481 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -349.857 629 M -349.857 481 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -406.429 629 M -406.429 481 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 629 M -463 481 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 629 M -67 629 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 599.4 M -67 599.4 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 569.8 M -67 569.8 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 540.2 M -67 540.2 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 510.6 M -67 510.6 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 481 M -67 481 L -S -GR -GS -[1 0 0 1 265.00018 648.40002] CT -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --46 11 moveto -1 -1 scale -(Total Size \(Kbits\)) t -GR -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 629 M -463 629 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 481 M -463 481 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 629 M -67 625.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -123.571 629 M -123.571 625.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -180.143 629 M -180.143 625.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -236.714 629 M -236.714 625.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -293.286 629 M -293.286 625.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -349.857 629 M -349.857 625.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -406.429 629 M -406.429 625.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 629 M -463 625.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 481 M -67 484.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -123.571 481 M -123.571 484.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -180.143 481 M -180.143 484.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -236.714 481 M -236.714 484.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -293.286 481 M -293.286 484.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -349.857 481 M -349.857 484.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -406.429 481 M -406.429 484.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 481 M -463 484.96 L -S -GR -GS -[1 0 0 1 67 633.40002] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --3.5 11 moveto -1 -1 scale -(0) t -GR -GR -GS -[1 0 0 1 123.57143 633.40002] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 11 moveto -1 -1 scale -(20) t -GR -GR -GS -[1 0 0 1 180.14285 633.40002] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 11 moveto -1 -1 scale -(40) t -GR -GR -GS -[1 0 0 1 236.71428 633.40002] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 11 moveto -1 -1 scale -(60) t -GR -GR -GS -[1 0 0 1 293.28571 633.40002] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 11 moveto -1 -1 scale -(80) t -GR -GR -GS -[1 0 0 1 349.85715 633.40002] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --10.5 11 moveto -1 -1 scale -(100) t -GR -GR -GS -[1 0 0 1 406.42856 633.40002] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --10.5 11 moveto -1 -1 scale -(120) t -GR -GR -GS -[1 0 0 1 463 633.40002] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --10.5 11 moveto -1 -1 scale -(140) t -GR -GR -GS -[0 -1 1 0 45.6 554.99994] CT -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --44.5 -3 moveto -1 -1 scale -(Access time \(ns\)) t -GR -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 629 M -67 481 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 629 M -463 481 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 629 M -70.96 629 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 599.4 M -70.96 599.4 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 569.8 M -70.96 569.8 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 540.2 M -70.96 540.2 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 510.6 M -70.96 510.6 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 481 M -70.96 481 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 629 M -459.04 629 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 599.4 M -459.04 599.4 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 569.8 M -459.04 569.8 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 540.2 M -459.04 540.2 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 510.6 M -459.04 510.6 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 481 M -459.04 481 L -S -GR -GS -[1 0 0 1 62.6 629] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 4 moveto -1 -1 scale -(0) t -GR -GR -GS -[1 0 0 1 62.6 599.40002] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 4 moveto -1 -1 scale -(2) t -GR -GR -GS -[1 0 0 1 62.6 569.79999] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 4 moveto -1 -1 scale -(4) t -GR -GR -GS -[1 0 0 1 62.6 540.20001] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 4 moveto -1 -1 scale -(6) t -GR -GR -GS -[1 0 0 1 62.6 510.60001] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 4 moveto -1 -1 scale -(8) t -GR -GR -GS -[1 0 0 1 62.6 481] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --14 4 moveto -1 -1 scale -(10) t -GR -GR -GS -0 0 1 RC -[2 2] 0 setdash -2 LJ -2 LW -N -72.657 616.257 M -89.629 609.464 L -157.514 602.36 L -429.057 596.44 L -S -GR -GS -0 0 1 RC -2 setlinecap -10.0 ML -2 LW -N -68.6 620.4 M -68.6 612.6 L -76.4 612.6 L -76.4 620.4 L -68.6 620.4 L -S -GR -GS -0 0 1 RC -2 setlinecap -10.0 ML -2 LW -N -85.6 613.4 M -85.6 605.6 L -93.4 605.6 L -93.4 613.4 L -85.6 613.4 L -S -GR -GS -0 0 1 RC -2 setlinecap -10.0 ML -2 LW -N -153.6 606.4 M -153.6 598.6 L -161.4 598.6 L -161.4 606.4 L -153.6 606.4 L -S -GR -GS -0 0 1 RC -2 setlinecap -10.0 ML -2 LW -N -425.6 600.4 M -425.6 592.6 L -433.4 592.6 L -433.4 600.4 L -425.6 600.4 L -S -GR -GS -1 0 0 RC -[8 2 4 2] 0 setdash -2 LJ -2 LW -N -72.657 613.904 M -89.629 609.316 L -157.514 601.916 L -429.057 590.52 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -68 618 M -77 609 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -68 609 M -77 618 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -85 614 M -94 605 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -85 605 M -94 614 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -153 606 M -162 597 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -153 597 M -162 606 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -425 595 M -434 586 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -425 586 M -434 595 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -72.657 616.272 M -89.629 606.8 L -157.514 600.88 L -429.057 529.1 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -67 616.5 M -78 616.5 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -72.5 622 M -72.5 611 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -68 621 M -77 612 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -68 612 M -77 621 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -84 606.5 M -95 606.5 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -89.5 612 M -89.5 601 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -85 611 M -94 602 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -85 602 M -94 611 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -152 600.5 M -163 600.5 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -157.5 606 M -157.5 595 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -153 605 M -162 596 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -153 596 M -162 605 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -424 529.5 M -435 529.5 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -429.5 535 M -429.5 524 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -425 534 M -434 525 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -425 525 M -434 534 L -S -GR -GS -[8 8] 0 setdash -2 LJ -2 LW -N -72.657 613.075 M -89.629 609.168 L -157.514 599.252 L -429.057 483.072 L -S -GR -GS -2 setlinecap -10.0 ML -2 LW -N -77.5 613.5 M -76.545 610.561 L -74.045 608.745 L -70.955 608.745 L -68.455 610.561 L -67.5 613.5 L -68.455 616.439 L -70.955 618.255 L -74.045 618.255 L -76.545 616.439 L -77.5 613.5 L -S -GR -GS -2 setlinecap -10.0 ML -2 LW -N -94.5 609.5 M -93.545 606.561 L -91.045 604.745 L -87.955 604.745 L -85.455 606.561 L -84.5 609.5 L -85.455 612.439 L -87.955 614.255 L -91.045 614.255 L -93.545 612.439 L -94.5 609.5 L -S -GR -GS -2 setlinecap -10.0 ML -2 LW -N -162.5 599.5 M -161.545 596.561 L -159.045 594.745 L -155.955 594.745 L -153.455 596.561 L -152.5 599.5 L -153.455 602.439 L -155.955 604.255 L -159.045 604.255 L -161.545 602.439 L -162.5 599.5 L -S -GR -GS -2 setlinecap -10.0 ML -2 LW -N -434.5 483.5 M -433.545 480.561 L -431.045 478.745 L -427.955 478.745 L -425.455 480.561 L -424.5 483.5 L -425.455 486.439 L -427.955 488.255 L -431.045 488.255 L -433.545 486.439 L -434.5 483.5 L -S -GR -GS -1 GC -N -67 834 M -463 834 L -463 686 L -67 686 L -cp -f -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -67 834 M -67 686 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -123.571 834 M -123.571 686 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -180.143 834 M -180.143 686 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -236.714 834 M -236.714 686 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -293.286 834 M -293.286 686 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -349.857 834 M -349.857 686 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -406.429 834 M -406.429 686 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 834 M -463 686 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 834 M -67 834 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 807.091 M -67 807.091 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 780.182 M -67 780.182 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 753.273 M -67 753.273 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 726.364 M -67 726.364 L -S -GR -GS -0.873 GC -2 setlinecap -10.0 ML -N -463 699.455 M -67 699.455 L -S -GR -GS -[1 0 0 1 265.00018 853.40002] CT -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --46 11 moveto -1 -1 scale -(Total Size \(Kbits\)) t -GR -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 834 M -463 834 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 686 M -463 686 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 834 M -67 830.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -123.571 834 M -123.571 830.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -180.143 834 M -180.143 830.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -236.714 834 M -236.714 830.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -293.286 834 M -293.286 830.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -349.857 834 M -349.857 830.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -406.429 834 M -406.429 830.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 834 M -463 830.04 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 686 M -67 689.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -123.571 686 M -123.571 689.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -180.143 686 M -180.143 689.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -236.714 686 M -236.714 689.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -293.286 686 M -293.286 689.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -349.857 686 M -349.857 689.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -406.429 686 M -406.429 689.96 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 686 M -463 689.96 L -S -GR -GS -[1 0 0 1 67 838.40002] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --3.5 11 moveto -1 -1 scale -(0) t -GR -GR -GS -[1 0 0 1 123.57143 838.40002] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 11 moveto -1 -1 scale -(20) t -GR -GR -GS -[1 0 0 1 180.14285 838.40002] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 11 moveto -1 -1 scale -(40) t -GR -GR -GS -[1 0 0 1 236.71428 838.40002] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 11 moveto -1 -1 scale -(60) t -GR -GR -GS -[1 0 0 1 293.28571 838.40002] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 11 moveto -1 -1 scale -(80) t -GR -GR -GS -[1 0 0 1 349.85715 838.40002] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --10.5 11 moveto -1 -1 scale -(100) t -GR -GR -GS -[1 0 0 1 406.42856 838.40002] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --10.5 11 moveto -1 -1 scale -(120) t -GR -GR -GS -[1 0 0 1 463 838.40002] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --10.5 11 moveto -1 -1 scale -(140) t -GR -GR -GS -[0 -1 1 0 45.6 759.99994] CT -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --44.5 -3 moveto -1 -1 scale -(Access time \(ns\)) t -GR -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 834 M -67 686 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 834 M -463 686 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 834 M -70.96 834 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 807.091 M -70.96 807.091 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 780.182 M -70.96 780.182 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 753.273 M -70.96 753.273 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 726.364 M -70.96 726.364 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -67 699.455 M -70.96 699.455 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 834 M -459.04 834 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 807.091 M -459.04 807.091 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 780.182 M -459.04 780.182 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 753.273 M -459.04 753.273 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 726.364 M -459.04 726.364 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -N -463 699.455 M -459.04 699.455 L -S -GR -GS -[1 0 0 1 62.6 834] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --7 4 moveto -1 -1 scale -(0) t -GR -GR -GS -[1 0 0 1 62.6 807.09088] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --14 4 moveto -1 -1 scale -(10) t -GR -GR -GS -[1 0 0 1 62.6 780.18182] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --14 4 moveto -1 -1 scale -(20) t -GR -GR -GS -[1 0 0 1 62.6 753.27271] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --14 4 moveto -1 -1 scale -(30) t -GR -GR -GS -[1 0 0 1 62.6 726.36365] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --14 4 moveto -1 -1 scale -(40) t -GR -GR -GS -[1 0 0 1 62.6 699.45453] CT -0.149 GC -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT --14 4 moveto -1 -1 scale -(50) t -GR -GR -GS -0 0 1 RC -[2 2] 0 setdash -2 LJ -2 LW -N -72.657 808.652 M -89.629 811.8 L -157.514 802.543 L -429.057 799.825 L -S -GR -GS -0 0 1 RC -2 setlinecap -10.0 ML -2 LW -N -68.6 812.4 M -68.6 804.6 L -76.4 804.6 L -76.4 812.4 L -68.6 812.4 L -S -GR -GS -0 0 1 RC -2 setlinecap -10.0 ML -2 LW -N -85.6 815.4 M -85.6 807.6 L -93.4 807.6 L -93.4 815.4 L -85.6 815.4 L -S -GR -GS -0 0 1 RC -2 setlinecap -10.0 ML -2 LW -N -153.6 806.4 M -153.6 798.6 L -161.4 798.6 L -161.4 806.4 L -153.6 806.4 L -S -GR -GS -0 0 1 RC -2 setlinecap -10.0 ML -2 LW -N -425.6 803.4 M -425.6 795.6 L -433.4 795.6 L -433.4 803.4 L -425.6 803.4 L -S -GR -GS -1 0 0 RC -[8 2 4 2] 0 setdash -2 LJ -2 LW -N -72.657 802.193 M -89.629 790.838 L -157.514 794.444 L -429.057 784.999 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -68 807 M -77 798 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -68 798 M -77 807 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -85 795 M -94 786 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -85 786 M -94 795 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -153 799 M -162 790 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -153 790 M -162 799 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -425 789 M -434 780 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -425 780 M -434 789 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -72.657 794.148 M -89.629 782.227 L -157.514 769.903 L -429.057 752.6 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -67 794.5 M -78 794.5 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -72.5 800 M -72.5 789 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -68 799 M -77 790 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -68 790 M -77 799 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -84 782.5 M -95 782.5 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -89.5 788 M -89.5 777 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -85 787 M -94 778 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -85 778 M -94 787 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -152 769.5 M -163 769.5 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -157.5 775 M -157.5 764 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -153 774 M -162 765 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -153 765 M -162 774 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -424 752.5 M -435 752.5 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -429.5 758 M -429.5 747 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -425 757 M -434 748 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -425 748 M -434 757 L -S -GR -GS -[8 8] 0 setdash -2 LJ -2 LW -N -72.657 772.378 M -89.629 771.786 L -157.514 751.255 L -429.057 713.044 L -S -GR -GS -2 setlinecap -10.0 ML -2 LW -N -77.5 772.5 M -76.545 769.561 L -74.045 767.745 L -70.955 767.745 L -68.455 769.561 L -67.5 772.5 L -68.455 775.439 L -70.955 777.255 L -74.045 777.255 L -76.545 775.439 L -77.5 772.5 L -S -GR -GS -2 setlinecap -10.0 ML -2 LW -N -94.5 771.5 M -93.545 768.561 L -91.045 766.745 L -87.955 766.745 L -85.455 768.561 L -84.5 771.5 L -85.455 774.439 L -87.955 776.255 L -91.045 776.255 L -93.545 774.439 L -94.5 771.5 L -S -GR -GS -2 setlinecap -10.0 ML -2 LW -N -162.5 751.5 M -161.545 748.561 L -159.045 746.745 L -155.955 746.745 L -153.455 748.561 L -152.5 751.5 L -153.455 754.439 L -155.955 756.255 L -159.045 756.255 L -161.545 754.439 L -162.5 751.5 L -S -GR -GS -2 setlinecap -10.0 ML -2 LW -N -434.5 713.5 M -433.545 710.561 L -431.045 708.745 L -427.955 708.745 L -425.455 710.561 L -424.5 713.5 L -425.455 716.439 L -427.955 718.255 L -431.045 718.255 L -433.545 716.439 L -434.5 713.5 L -S -GR -GS -1 GC -N -189 64 M -189 2 L -322 2 L -322 64 L -cp -f -GR -GS -[1 0 0 1 228 11.07317] CT -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT -0 4 moveto -1 -1 scale -(16-bit word size) t -GR -GR -GS -0 0 1 RC -[2 2] 0 setdash -2 LJ -2 LW -N -195 11.073 M -225 11.073 L -S -GR -GS -0 0 1 RC -2 setlinecap -10.0 ML -2 LW -N -205.6 15.4 M -205.6 7.6 L -213.4 7.6 L -213.4 15.4 L -205.6 15.4 L -S -GR -GS -[1 0 0 1 228 25.69106] CT -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT -0 4 moveto -1 -1 scale -(32-bit word size) t -GR -GR -GS -1 0 0 RC -[8 2 4 2] 0 setdash -2 LJ -2 LW -N -195 25.691 M -225 25.691 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -205 30 M -214 21 L -S -GR -GS -1 0 0 RC -2 setlinecap -10.0 ML -2 LW -N -205 21 M -214 30 L -S -GR -GS -[1 0 0 1 228 40.30894] CT -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT -0 4 moveto -1 -1 scale -(64-bit word size) t -GR -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -195 40.309 M -225 40.309 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -204 40.5 M -215 40.5 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -209.5 46 M -209.5 35 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -205 45 M -214 36 L -S -GR -GS -0 1 0 RC -2 setlinecap -10.0 ML -2 LW -N -205 36 M -214 45 L -S -GR -GS -[1 0 0 1 228 54.92683] CT -/Helvetica 12 F -GS -[1 0 0 1 0 0] CT -0 4 moveto -1 -1 scale -(128-bit word size) t -GR -GR -GS -[8 8] 0 setdash -2 LJ -2 LW -N -195 54.927 M -225 54.927 L -S -GR -GS -2 setlinecap -10.0 ML -2 LW -N -214.5 54.5 M -213.545 51.561 L -211.045 49.745 L -207.955 49.745 L -205.455 51.561 L -204.5 54.5 L -205.455 57.439 L -207.955 59.255 L -211.045 59.255 L -213.545 57.439 L -214.5 54.5 L -S -GR -GS -0.149 GC -2 setlinecap -10.0 ML -1.2 LW -N -189 64 M -189 2 L -322 2 L -322 64 L -189 64 L -S -GR -GS -[1 0 0 1 83.37605 287.43033] CT -/Helvetica-Bold 12 F -GS -[1 0 0 1 0 0] CT -0 11 moveto -1 -1 scale -(SCMOS) t -GR -GR -GS -[1 0 0 1 84.0391 493.55234] CT -/Helvetica-Bold 12 F -GS -[1 0 0 1 0 0] CT -0 11 moveto -1 -1 scale -(Freepdk45) t -GR -GR -GS -[1 0 0 1 83.6773 708.67529] CT -/Helvetica-Bold 12 F -GS -[1 0 0 1 0 0] CT -0 11 moveto -1 -1 scale -(SCMOS) t -GR -GR -GS -[1 0 0 1 84.1773 79.50815] CT -/Helvetica-Bold 12 F -GS -[1 0 0 1 0 0] CT -0 11 moveto -1 -1 scale -(Freepdk45) t -GR -GR -%%Trailer -%%Pages: 1 -%%EOF diff --git a/ICCAD16_openram_paper/figs/Results2.fig b/ICCAD16_openram_paper/figs/Results2.fig deleted file mode 100644 index 11eff33c..00000000 Binary files a/ICCAD16_openram_paper/figs/Results2.fig and /dev/null differ diff --git a/ICCAD16_openram_paper/figs/Results2.m b/ICCAD16_openram_paper/figs/Results2.m deleted file mode 100644 index 250bf24a..00000000 --- a/ICCAD16_openram_paper/figs/Results2.m +++ /dev/null @@ -1,55 +0,0 @@ -clc; - -X = [ 2 , 8 , 32, 128]; -Y1_Freepdk =[0.0048413475625; 0.0135007585125;0.051075243575; 0.283865472]; -Y2_Freepdk=[0.0048094391125; 0.0135007585125; 0.0435568917; 0.2118510735]; -Y3_Freepdk=[0.0047775306625; 0.0129289229375; 0.0419903161125; 0.156997489175]; -Y4_Freepdk=[0.0052897701375; 0.0128789376875; 0.0419019176625; 0.1512635205]; - - -Y1_SCN3ME =[0.75276018; 2.08835631; 7.89312366; 40.6931238]; -Y2_SCN3ME =[0.74817639; 2.0216187; 6.804401625; 31.6371744]; -Y3_SCN3ME =[0.7435926; 2.01449475; 6.62959215; 24.64420014]; -Y4_SCN3ME =[0.83660283; 2.0073708; 6.61707036; 23.839544025]; - -Y1_T_Freepdk =[0.861; 1.32; 1.8; 2.2]; -Y2_T_Freepdk =[1.02; 1.33; 1.83; 2.6]; -Y3_T_Freepdk =[0.86; 1.5; 1.9; 6.75]; -Y4_T_Freepdk =[1.076; 1.34; 2.01; 9.86]; - -Y1_T_SCN3ME =[9.42; 8.25; 11.69; 12.7]; -Y2_T_SCN3ME =[11.82; 16.04; 14.7; 18.21]; -Y3_T_SCN3ME =[14.81; 19.24; 23.82; 30.25]; -Y4_T_SCN3ME =[22.9; 23.12; 30.75; 44.95]; - - -subplot(4,1,1) -plot (X, Y1_Freepdk, X, Y2_Freepdk, X, Y3_Freepdk, X, Y4_Freepdk,'LineWidth',2); -grid on; -ylabel('Area (mm^2)','FontSize',12, 'Color','k'); -xlabel('Total Size (Kbits)','FontSize',12, 'Color','k'); -subplot(4,1,2) -plot (X, Y1_SCN3ME, X, Y2_SCN3ME, X, Y3_SCN3ME, X, Y4_SCN3ME,'LineWidth',2); -grid on; -ylabel('Area (mm^2)','FontSize',12, 'Color','k'); -xlabel('Total Size (Kbits)','FontSize',12, 'Color','k'); -subplot(4,1,3) -plot (X, Y1_T_Freepdk, X, Y2_T_Freepdk, X, Y3_T_Freepdk, X, Y4_T_Freepdk,'LineWidth',2); -grid on; -ylabel('Access time (ns)','FontSize',12, 'Color','k'); -xlabel('Total Size (Kbits)','FontSize',12, 'Color','k'); -subplot(4,1,4) -plot (X, Y1_T_SCN3ME, X, Y2_T_SCN3ME, X, Y3_T_SCN3ME, X, Y4_T_SCN3ME,'LineWidth',2); -ylabel('Access time (ns)','FontSize',12, 'Color','k'); -xlabel('Total Size (Kbits)','FontSize',12, 'Color','k'); - - -grid on; -legend({'16-bit word size', '32-bit word size','64-bit word size', '128-bit word size'},'Location','northwest','orientation', 'vertical' , 'FontSize',12, 'LineWidth',1.2); - - - - - - - \ No newline at end of file diff --git a/ICCAD16_openram_paper/figs/Results2.pdf b/ICCAD16_openram_paper/figs/Results2.pdf deleted file mode 100644 index 19ad9558..00000000 Binary files a/ICCAD16_openram_paper/figs/Results2.pdf and /dev/null differ diff --git a/ICCAD16_openram_paper/figs/Scn3me_Area.pdf b/ICCAD16_openram_paper/figs/Scn3me_Area.pdf deleted file mode 100644 index af4f2eaf..00000000 Binary files a/ICCAD16_openram_paper/figs/Scn3me_Area.pdf and /dev/null differ diff --git a/ICCAD16_openram_paper/figs/Scn3me_Read_Access_time.pdf b/ICCAD16_openram_paper/figs/Scn3me_Read_Access_time.pdf deleted file mode 100644 index 39aa1c89..00000000 Binary files a/ICCAD16_openram_paper/figs/Scn3me_Read_Access_time.pdf and /dev/null differ diff --git a/ICCAD16_openram_paper/figs/density_data/f_plot.gp b/ICCAD16_openram_paper/figs/density_data/f_plot.gp deleted file mode 100644 index 9bb5981f..00000000 --- a/ICCAD16_openram_paper/figs/density_data/f_plot.gp +++ /dev/null @@ -1,14 +0,0 @@ -set terminal pdf dashed -set output "../Freepdk_Area.pdf" -set palette color -set xlabel "Total Size (Kbit)" -set ylabel "Area (mm^2)" -set key below -plot 'freepdk45_size.dat' using ($1/1024):($2/1e6) with line title '16-bit word' lt 0 lw 5 lc 0 ,\ - 'freepdk45_size.dat' using ($1/1024):($2/1e6) with points title '' lt 0 lw 5 lc 0 ,\ - 'freepdk45_size.dat' using ($1/1024):($3/1e6) with line title '32-bit word' lt 1 lw 5 lc 1 ,\ - 'freepdk45_size.dat' using ($1/1024):($3/1e6) with points title '' lt 1 lw 5 lc 1 ,\ - 'freepdk45_size.dat' using ($1/1024):($4/1e6) with line title '64-bit word' lt 2 lw 5 lc 2 ,\ - 'freepdk45_size.dat' using ($1/1024):($4/1e6) with points title '' lt 2 lw 5 lc 2 ,\ - 'freepdk45_size.dat' using ($1/1024):($5/1e6) with line title '128-bit word' lt 3 lw 5 lc 3 ,\ - 'freepdk45_size.dat' using ($1/1024):($5/1e6) with points title '' lt 3 lw 5 lc 3 diff --git a/ICCAD16_openram_paper/figs/density_data/freepdk45_size.dat b/ICCAD16_openram_paper/figs/density_data/freepdk45_size.dat deleted file mode 100644 index 305ba87c..00000000 --- a/ICCAD16_openram_paper/figs/density_data/freepdk45_size.dat +++ /dev/null @@ -1,7 +0,0 @@ - -2048 4841.3475625 4809.4391125 4777.5306625 5289.7701375 -8192 13500.7585125 12978.9081875 12928.9229375 12878.9376875 -#16384 25605.7869 22997.2777125 22908.8792625 22820.4808125 -32768 51075.243575 43556.8917 41990.3161125 41901.9176625 -#65536 142381.5903 86382.658775 79459.1013 79292.00325 -131072 283865.472 211851.0735 156997.489175 151263.5205 diff --git a/ICCAD16_openram_paper/figs/density_data/s_plot.gp b/ICCAD16_openram_paper/figs/density_data/s_plot.gp deleted file mode 100644 index 01e4d0eb..00000000 --- a/ICCAD16_openram_paper/figs/density_data/s_plot.gp +++ /dev/null @@ -1,14 +0,0 @@ -set terminal pdf dashed -set output "../Scn3me_Area.pdf" -set palette color -set xlabel "Total Size (Kbit)" -set ylabel "Area (mm^2)" -set key below -plot 'scn3me_size.dat' using ($1/1024):($2/1e6) with line title '16-bit word' lt 0 lw 5 lc 0 ,\ - 'scn3me_size.dat' using ($1/1024):($2/1e6) with points title '' lt 0 lw 5 lc 0 ,\ - 'scn3me_size.dat' using ($1/1024):($3/1e6) with line title '32-bit word' lt 1 lw 5 lc 1 ,\ - 'scn3me_size.dat' using ($1/1024):($3/1e6) with points title '' lt 1 lw 5 lc 1 ,\ - 'scn3me_size.dat' using ($1/1024):($4/1e6) with line title '64-bit word' lt 2 lw 5 lc 2 ,\ - 'scn3me_size.dat' using ($1/1024):($4/1e6) with points title '' lt 2 lw 5 lc 2 ,\ - 'scn3me_size.dat' using ($1/1024):($5/1e6) with line title '128-bit word' lt 3 lw 5 lc 3 ,\ - 'scn3me_size.dat' using ($1/1024):($5/1e6) with points title '' lt 3 lw 5 lc 3 diff --git a/ICCAD16_openram_paper/figs/density_data/scn3me_size.dat b/ICCAD16_openram_paper/figs/density_data/scn3me_size.dat deleted file mode 100644 index 91d5163f..00000000 --- a/ICCAD16_openram_paper/figs/density_data/scn3me_size.dat +++ /dev/null @@ -1,5 +0,0 @@ - -2048 752760.18 748176.39 743592.6 836602.83 -8192 2088356.31 2021618.7 2014494.75 2007370.8 -32768 7893123.66 6804401.625 6629592.15 6617070.36 -131072 40693123.8 31637174.4 24644200.14 23839544.025 diff --git a/ICCAD16_openram_paper/figs/density_delay_plot.gp b/ICCAD16_openram_paper/figs/density_delay_plot.gp deleted file mode 100644 index b62153bd..00000000 --- a/ICCAD16_openram_paper/figs/density_delay_plot.gp +++ /dev/null @@ -1,100 +0,0 @@ -#!/usr/bin/gnuplot -# -# Demonstration of a common use scenario of the multiplot environment. -# -# AUTHOR: Hagen Wierstorf -# - -reset - -set terminal pdf dashed size 8cm,12cm -set output "Results.pdf" -set palette color - -unset key - -# Enable the use of macros -set macros - -# MACROS -# Margins for each row resp. column -# top of top fig, bottom of top fig -TMARGIN = "set tmargin at screen 0.9; set bmargin at screen 0.575" -# top of lower fig, bottom of lower fig -BMARGIN = "set tmargin at screen 0.525; set bmargin at screen 0.15" -# left of left fig, right of left fig -LMARGIN = "set lmargin at screen 0.1; set rmargin at screen 0.48" -# left point of right fig ,right most -RMARGIN = "set lmargin at screen 0.52; set rmargin at screen 0.9" - -# Placement of the a,b,c,d labels in the graphs -POSA = "at graph 0.6,0.2 font ',5'" -POSB = "at graph 0.5,0.2 font ',5'" - -### Start multiplot (2x2 layout) -set multiplot layout 4,1 -# --- GRAPH a -set key outside center vertical top box 3 -set lmargin at screen 0.2; set rmargin at screen 0.9 -set tmargin at screen 0.88; set bmargin at screen 0.68 -#@TMARGIN; @LMARGIN -#@NOXTICS; @YTICS -set label 1 '45nm Area' @POSA -set ylabel "mm^2" -plot 'density_data/freepdk45_size.dat' using ($1/1024):($2/1e6) with line axis x1y1 title '16-bit word size' lt 0 lw 5 lc 0 ,\ - 'density_data/freepdk45_size.dat' using ($1/1024):($2/1e6) with points axis x1y1 title '' lt 0 lw 5 lc 0 ,\ - 'density_data/freepdk45_size.dat' using ($1/1024):($3/1e6) with line axis x1y1 title '32-bit word size' lt 1 lw 5 lc 1 ,\ - 'density_data/freepdk45_size.dat' using ($1/1024):($3/1e6) with points axis x1y1 title '' lt 1 lw 5 lc 1 ,\ - 'density_data/freepdk45_size.dat' using ($1/1024):($4/1e6) with line axis x1y1 title '64-bit word size' lt 2 lw 5 lc 2 ,\ - 'density_data/freepdk45_size.dat' using ($1/1024):($4/1e6) with points axis x1y1 title '' lt 2 lw 5 lc 2 ,\ - 'density_data/freepdk45_size.dat' using ($1/1024):($5/1e6) with line axis x1y1 title '128-bit word size' lt 3 lw 5 lc 3 ,\ - 'density_data/freepdk45_size.dat' using ($1/1024):($5/1e6) with points axis x1y1 title '' lt 3 lw 5 lc 3 - -# --- GRAPH b -unset key -set tmargin at screen 0.68; set bmargin at screen 0.48 -#@TMARGIN; @RMARGIN -#@NOXTICS; @NOYTICS -set label 1 '180nm Area' @POSA -set ylabel "mm^2" -plot 'density_data/scn3me_size.dat' using ($1/1024):($2/1e6) with line axis x1y1 title '16-bit word size' lt 0 lw 5 lc 0 ,\ - 'density_data/scn3me_size.dat' using ($1/1024):($2/1e6) with points axis x1y1 title '' lt 0 lw 5 lc 0 ,\ - 'density_data/scn3me_size.dat' using ($1/1024):($3/1e6) with line axis x1y1 title '32-bit word size' lt 1 lw 5 lc 1 ,\ - 'density_data/scn3me_size.dat' using ($1/1024):($3/1e6) with points axis x1y1 title '' lt 1 lw 5 lc 1 ,\ - 'density_data/scn3me_size.dat' using ($1/1024):($4/1e6) with line axis x1y1 title '64-bit word size' lt 2 lw 5 lc 2 ,\ - 'density_data/scn3me_size.dat' using ($1/1024):($4/1e6) with points axis x1y1 title '' lt 2 lw 5 lc 2 ,\ - 'density_data/scn3me_size.dat' using ($1/1024):($5/1e6) with line axis x1y1 title '128-bit word size' lt 3 lw 5 lc 3 ,\ - 'density_data/scn3me_size.dat' using ($1/1024):($5/1e6) with points axis x1y1 title '' lt 3 lw 5 lc 3 - -# --- GRAPH c -set tmargin at screen 0.48; set bmargin at screen 0.28 -#@BMARGIN; @LMARGIN -#@XTICS; @YTICS -set label 1 '45nm Access time' @POSB -set ylabel "ns" -plot 'timing_data/freepdk45_timing.dat' using ($1/1024):2 with line axis x1y2 title '16-bit word' lt 0 lw 5 lc 0 ,\ - 'timing_data/freepdk45_timing.dat' using ($1/1024):2 with points axis x1y2 title '' lt 0 lw 5 lc 0 ,\ - 'timing_data/freepdk45_timing.dat' using ($1/1024):3 with line axis x1y2 title '32-bit word' lt 1 lw 5 lc 1 ,\ - 'timing_data/freepdk45_timing.dat' using ($1/1024):3 with points axis x1y2 title '' lt 1 lw 5 lc 1 ,\ - 'timing_data/freepdk45_timing.dat' using ($1/1024):4 with line axis x1y2 title '64-bit word' lt 2 lw 5 lc 2 ,\ - 'timing_data/freepdk45_timing.dat' using ($1/1024):4 with points axis x1y2 title '' lt 2 lw 5 lc 2 ,\ - 'timing_data/freepdk45_timing.dat' using ($1/1024):5 with line axis x1y2 title '128-bit word' lt 3 lw 5 lc 3 ,\ - 'timing_data/freepdk45_timing.dat' using ($1/1024):5 with points axis x1y2 title '' lt 3 lw 5 lc 3 - -# --- GRAPH d -set tmargin at screen 0.28; set bmargin at screen 0.08 -#@BMARGIN; @RMARGIN -#@XTICS; @NOYTICS -set ylabel "ns" -set xlabel "Total Size (Kbits)" -set label 1 '180nm Access time' @POSB -plot 'timing_data/scn3me_timing.dat' using ($1/1024):2 with line axis x1y2 title '16-bit word' lt 0 lw 5 lc 0 ,\ - 'timing_data/scn3me_timing.dat' using ($1/1024):2 with points axis x1y2 title '' lt 0 lw 5 lc 0 ,\ - 'timing_data/scn3me_timing.dat' using ($1/1024):3 with line axis x1y2 title '32-bit word' lt 1 lw 5 lc 1 ,\ - 'timing_data/scn3me_timing.dat' using ($1/1024):3 with points axis x1y2 title '' lt 1 lw 5 lc 1 ,\ - 'timing_data/scn3me_timing.dat' using ($1/1024):4 with line axis x1y2 title '64-bit word' lt 2 lw 5 lc 2 ,\ - 'timing_data/scn3me_timing.dat' using ($1/1024):4 with points axis x1y2 title '' lt 2 lw 5 lc 2 ,\ - 'timing_data/scn3me_timing.dat' using ($1/1024):5 with line axis x1y2 title '128-bit word' lt 3 lw 5 lc 3 ,\ - 'timing_data/scn3me_timing.dat' using ($1/1024):5 with points axis x1y2 title '' lt 3 lw 5 lc 3 -unset multiplot -### End multiplot diff --git a/ICCAD16_openram_paper/figs/layout.pdf b/ICCAD16_openram_paper/figs/layout.pdf deleted file mode 100644 index 01fe9622..00000000 Binary files a/ICCAD16_openram_paper/figs/layout.pdf and /dev/null differ diff --git a/ICCAD16_openram_paper/figs/layout.pptx b/ICCAD16_openram_paper/figs/layout.pptx deleted file mode 100644 index 15736c1d..00000000 Binary files a/ICCAD16_openram_paper/figs/layout.pptx and /dev/null differ diff --git a/ICCAD16_openram_paper/figs/methodology.eps b/ICCAD16_openram_paper/figs/methodology.eps deleted file mode 100644 index 57dd0aa7..00000000 --- a/ICCAD16_openram_paper/figs/methodology.eps +++ /dev/null @@ -1,866 +0,0 @@ -%!PS-Adobe-3.0 EPSF-3.0 -%%Creator: cairo 1.8.8 (http://cairographics.org) -%%CreationDate: Mon Oct 17 14:59:32 2011 -%%Pages: 1 -%%BoundingBox: 0 0 630 399 -%%DocumentData: Clean7Bit -%%LanguageLevel: 2 -%%EndComments -%%BeginProlog -/cairo_eps_state save def -/dict_count countdictstack def -/op_count count 1 sub def -userdict begin -/q { gsave } bind def -/Q { grestore } bind def -/cm { 6 array astore concat } bind def -/w { setlinewidth } bind def -/J { setlinecap } bind def -/j { setlinejoin } bind def -/M { setmiterlimit } bind def -/d { setdash } bind def -/m { moveto } bind def -/l { lineto } bind def -/c { curveto } bind def -/h { closepath } bind def -/re { exch dup neg 3 1 roll 5 3 roll moveto 0 rlineto - 0 exch rlineto 0 rlineto closepath } bind def -/S { stroke } bind def -/f { fill } bind def -/f* { eofill } bind def -/B { fill stroke } bind def -/B* { eofill stroke } bind def -/n { newpath } bind def -/W { clip } bind def -/W* { eoclip } bind def -/BT { } bind def -/ET { } bind def -/pdfmark where { pop globaldict /?pdfmark /exec load put } - { globaldict begin /?pdfmark /pop load def /pdfmark - /cleartomark load def end } ifelse -/BDC { mark 3 1 roll /BDC pdfmark } bind def -/EMC { mark /EMC pdfmark } bind def -/cairo_store_point { /cairo_point_y exch def /cairo_point_x exch def } def -/Tj { show currentpoint cairo_store_point } bind def -/TJ { - { - dup - type /stringtype eq - { show } { -0.001 mul 0 cairo_font_matrix dtransform rmoveto } ifelse - } forall - currentpoint cairo_store_point -} bind def -/cairo_selectfont { cairo_font_matrix aload pop pop pop 0 0 6 array astore - cairo_font exch selectfont cairo_point_x cairo_point_y moveto } bind def -/Tf { pop /cairo_font exch def /cairo_font_matrix where - { pop cairo_selectfont } if } bind def -/Td { matrix translate cairo_font_matrix matrix concatmatrix dup - /cairo_font_matrix exch def dup 4 get exch 5 get cairo_store_point - /cairo_font where { pop cairo_selectfont } if } bind def -/Tm { 2 copy 8 2 roll 6 array astore /cairo_font_matrix exch def - cairo_store_point /cairo_font where { pop cairo_selectfont } if } bind def -/g { setgray } bind def -/rg { setrgbcolor } bind def -/d1 { setcachedevice } bind def -%%EndProlog -11 dict begin -/FontType 42 def -/FontName /f-0-0 def -/PaintType 0 def -/FontMatrix [ 1 0 0 1 0 0 ] def -/FontBBox [ 0 0 0 0 ] def -/Encoding 256 array def -0 1 255 { Encoding exch /.notdef put } for -Encoding 1 /uni004D put -Encoding 2 /uni0065 put -Encoding 3 /uni006D put -Encoding 4 /uni006F put -Encoding 5 /uni0072 put -Encoding 6 /uni0079 put -Encoding 7 /uni0020 put -Encoding 8 /uni0043 put -Encoding 9 /uni0070 put -Encoding 10 /uni0069 put -Encoding 11 /uni006C put -Encoding 12 /uni0028 put -Encoding 13 /uni0050 put -Encoding 14 /uni0074 put -Encoding 15 /uni0068 put -Encoding 16 /uni006E put -Encoding 17 /uni0029 put -Encoding 18 /uni004C put -Encoding 19 /uni0067 put -Encoding 20 /uni0063 put -Encoding 21 /uni0061 put -Encoding 22 /uni0045 put -Encoding 23 /uni0046 put -Encoding 24 /uni002F put -Encoding 25 /uni0052 put -Encoding 26 /uni0041 put -Encoding 27 /uni0047 put -Encoding 28 /uni0044 put -Encoding 29 /uni0053 put -Encoding 30 /uni0049 put -Encoding 31 /uni0062 put -Encoding 32 /uni002E put -Encoding 33 /uni0056 put -Encoding 34 /uni002D put -Encoding 35 /uni0064 put -Encoding 36 /uni0073 put -Encoding 37 /uni0054 put -Encoding 38 /uni0077 put -Encoding 39 /uni007A put -Encoding 40 /uni0075 put -Encoding 41 /uni002C put -Encoding 42 /uni0078 put -Encoding 43 /uni0042 put -Encoding 44 /uni006B put -Encoding 45 /uni0055 put -Encoding 46 /uni0066 put -/CharStrings 47 dict dup begin -/.notdef 0 def -/uni004D 1 def -/uni0065 2 def -/uni006D 3 def -/uni006F 4 def -/uni0072 5 def -/uni0079 6 def -/uni0020 7 def -/uni0043 8 def -/uni0070 9 def -/uni0069 10 def -/uni006C 11 def -/uni0028 12 def -/uni0050 13 def -/uni0074 14 def -/uni0068 15 def -/uni006E 16 def -/uni0029 17 def -/uni004C 18 def -/uni0067 19 def -/uni0063 20 def -/uni0061 21 def -/uni0045 22 def -/uni0046 23 def -/uni002F 24 def -/uni0052 25 def -/uni0041 26 def -/uni0047 27 def -/uni0044 28 def -/uni0053 29 def -/uni0049 30 def -/uni0062 31 def -/uni002E 32 def -/uni0056 33 def -/uni002D 34 def -/uni0064 35 def -/uni0073 36 def -/uni0054 37 def -/uni0077 38 def -/uni007A 39 def -/uni0075 40 def -/uni002C 41 def -/uni0078 42 def -/uni0042 43 def -/uni006B 44 def -/uni0055 45 def -/uni0066 46 def -end readonly def -/sfnts [ -<00010000000a008000030020636d61700252f32d00001fd00000009c63767420ffd31d390000 -206c000001fc6670676de7b4f1c4000022680000008b676c79661cacd2b0000000ac00001f24 -68656164dd84a2d0000022f40000003668686561104507920000232c00000024686d7478d559 -18d200002350000000bc6c6f6361aa82b2fc0000240c000000606d617870046a063a0000246c -00000020707265703b07f1000000248c0000056800020066fe96046605a400030007001a400c -04fb0006fb0108057f0204002fc4d4ec310010d4ecd4ec301311211125211121660400fc7303 -1bfce5fe96070ef8f2720629000100c90000061f05d5000c00bf403403110708070211010208 -080702110302090a0901110a0a09420a070203080300af080b050908030201050a061c043e0a -1c00040d10fcecfcec11173931002f3cc4ec32111739304b5358071005ed071008ed071008ed -071005ed5922b2700e01015d405603070f080f09020a15021407130a260226072007260a200a -3407350a69027c027b07790a80028207820a90021604010b0313011b0323012c032708280934 -013c035608590965086a097608790981018d0395019b03145d005d1321090121112311012301 -1123c9012d017d017f012dc5fe7fcbfe7fc405d5fc0803f8fa2b051ffc000400fae100000002 -0071ffe3047f047b0014001b00704024001501098608880515a90105b90c01bb18b912b80c8c -1c1b1502081508004b02120f451c10fcecf4ecc4111239310010e4f4ece410ee10ee10f4ee11 -12393040293f1d701da01dd01df01d053f003f013f023f153f1b052c072f082f092c0a6f006f -016f026f156f1b095d71015d0115211e0133323637150e01232000111000333200072e012322 -0607047ffcb20ccdb76ac76263d06bfef4fec70129fce20107b802a5889ab90e025e5abec734 -34ae2a2c0138010a01130143feddc497b4ae9e00000100ba0000071d047b0022005a40260612 -09180f00061d07150c871d2003b81bbc19100700110f0808065011080f501c18081a462310fc -ec32fcfcfcec11123931002f3c3ce4f43cc4ec32111217393040133024502470249024a024a0 -24bf24df24ff2409015d013e0133321615112311342623220615112311342623220615112311 -33153e01333216042945c082afbeb972758fa6b972778da6b9b93fb0797aab03897c76f5e2fd -5c029ea19cbea4fd87029ea29bbfa3fd870460ae67627c00000000020071ffe30475047b000b -0017004a401306b91200b90cb8128c1809120f51031215451810fcecf4ec310010e4f4ec10ee -3040233f197b007b067f077f087f097f0a7f0b7b0c7f0d7f0e7f0f7f107f117b12a019f01911 -015d012206151416333236353426273200111000232200111000027394acab9593acac93f001 -12feeef0f1feef011103dfe7c9c9e7e8c8c7e99cfec8feecfeedfec701390113011401380000 -000100ba0000034a047b001100304014060b0700110b03870eb809bc070a06080008461210fc -c4ec3231002fe4f4ecc4d4cc11123930b450139f1302015d012e012322061511231133153e01 -33321617034a1f492c9ca7b9b93aba85132e1c03b41211cbbefdb20460ae6663050500000001 -003dfe56047f0460000f01a240430708020911000f0a110b0a00000f0e110f000f0d110c0d00 -000f0d110e0d0a0b0a0c110b0b0a420d0b0910000b058703bd0e0bbc100e0d0c0a0906030008 -0f040f0b1010d4c4c4111739310010e432f4ec113911391239304b5358071005ed071008ed07 -1008ed071005ed071008ed0705ed17325922014bb00a544bb008545b58bd0010ffc000010010 -001000403811373859014bb0145458bd00100040000100100010ffc0381137385940f0060005 -080609030d160a170d100d230d350d490a4f0a4e0d5a095a0a6a0a870d800d930d120a000a09 -060b050c0b0e0b0f1701150210041005170a140b140c1a0e1a0f270024012402200420052908 -2809250a240b240c270d2a0e2a0f201137003501350230043005380a360b360c380d390e390f -30114100400140024003400440054006400740084209450a470d490e490f4011540051015102 -5503500450055606550756085709570a550b550c590e590f501166016602680a690e690f6011 -7b08780e780f89008a09850b850c890d890e890f9909950b950c9a0e9a0fa40ba40cab0eab0f -b011cf11df11ff11655d005d050e012b01353332363f01013309013302934e947c936c4c5433 -21fe3bc3015e015ec368c87a9a488654044efc94036c00010073ffe3052705f000190036401a -0da10eae0a951101a100ae04951791118c1a07190d003014101a10fcec32ec310010e4f4ecf4 -ec10eef6ee30b40f1b1f1b02015d01152e0123200011100021323637150e0123200011100021 -3216052766e782ff00fef00110010082e7666aed84feadfe7a0186015386ed0562d55f5efec7 -fed8fed9fec75e5fd34848019f01670168019f470000000200bafe5604a4047b0010001c003e -401b1ab9000e14b90508b80e8c01bd03bc1d11120b471704000802461d10fcec3232f4ec3100 -10e4e4e4f4c4ec10c4ee304009601e801ea01ee01e04015d2511231133153e01333212111002 -2322260134262322061514163332360173b9b93ab17bccffffcc7bb10238a79292a7a79292a7 -a8fdae060aaa6461febcfef8fef8febc6101ebcbe7e7cbcbe7e7000200c10000017906140003 -0007002b400e06be04b100bc020501080400460810fc3cec3231002fe4fcec30400b10094009 -50096009700905015d1333112311331523c1b8b8b8b80460fba00614e900000100c100000179 -061400030022b7009702010800460410fcec31002fec30400d10054005500560057005f00506 -015d13331123c1b8b80614f9ec00000100b0fef2027b0612000d004f400f069800970e0d0700 -03120600130a0e10dce432ec113939310010fcec30014bb0135458bd000e00400001000e000e -ffc03811373859014bb00f5458bd000effc00001000e000e0040381137385901060215141217 -23260235341237027b86828385a0969594970612e6fe3ee7e7fe3be5eb01c6e0df01c4ec0002 -00c90000048d05d500080013003a40180195100095098112100a0802040005190d3f11001c09 -041410fcec32fcec11173931002ff4ecd4ec30400b0f151f153f155f15af1505015d01113332 -3635342623252132041514042b0111230193fe8d9a9a8dfe3801c8fb0101fefffbfeca052ffd -cf92878692a6e3dbdde2fda800010037000002f2059e0013003840190e05080f03a9001101bc -08870a0b08090204000810120e461410fc3cc4fc3cc432393931002fecf43cc4ec3211393930 -b2af1501015d01112115211114163b01152322263511233533110177017bfe854b73bdbdd5a2 -8787059efec28ffda0894e9a9fd202608f013e000000000100ba000004640614001300344019 -030900030e0106870e11b80c970a010208004e0d09080b461410fcec32f4ec31002f3cecf4c4 -ec1112173930b2601501015d0111231134262322061511231133113e013332160464b87c7c95 -acb9b942b375c1c602a4fd5c029e9f9ebea4fd870614fd9e6564ef00000100ba00000464047b -001300364019030900030e0106870e11b80cbc0a010208004e0d09080b461410fcec32f4ec31 -002f3ce4f4c4ec1112173930b46015cf1502015d0111231134262322061511231133153e0133 -32160464b87c7c95acb9b942b375c1c602a4fd5c029e9f9ebea4fd870460ae6564ef000100a4 -fef2026f0612000d001f400f079800970e0701000b12041308000e10dc3cf4ec113939310010 -fcec301333161215140207233612353402a4a096959596a08583830612ecfe3cdfe0fe3aebe5 -01c5e7e701c20000000100c90000046a05d500050025400c0295008104011c033a00040610fc -ecec31002fe4ec304009300750078003800404015d133311211521c9ca02d7fc5f05d5fad5aa -00020071fe56045a047b000b0028004a4023190c1d0912861316b90f03b92623b827bc09b90f -bd1a1d261900080c4706121220452910fcc4ecf4ec323231002fc4e4ece4f4c4ec10fed5ee11 -12393930b6602a802aa02a03015d01342623220615141633323617100221222627351e013332 -363d010e0123220211101233321617353303a2a59594a5a59495a5b8fefefa61ac51519e52b5 -b439b27ccefcfcce7cb239b8023dc8dcdcc8c7dcdcebfee2fee91d1eb32c2abdbf5b6362013a -01030104013a6263aa0000010071ffe303e7047b0019003f401b00860188040e860d880ab911 -04b917b8118c1a07120d004814451a10fce432ec310010e4f4ec10fef4ee10f5ee30400b0f1b -101b801b901ba01b05015d01152e0123220615141633323637150e0123220011100021321603 -e74e9d50b3c6c6b3509d4e4da55dfdfed6012d010655a20435ac2b2be3cdcde32b2baa242401 -3e010e0112013a2300000002007bffe3042d047b000a002500bc4027191f0b17090e00a91706 -b90e1120861fba1cb923b8118c170c001703180d09080b1f030814452610fcecccd4ec323211 -393931002fc4e4f4fcf4ec10c6ee10ee11391139123930406e301d301e301f3020302130223f -27401d401e401f402040214022501d501e501f50205021502250277027851d871e871f872087 -2185229027a027f0271e301e301f30203021401e401f40204021501e501f50205021601e601f -60206021701e701f70207021801e801f80208021185d015d0122061514163332363d01371123 -350e01232226353436332135342623220607353e0133321602bedfac816f99b9b8b83fbc88ac -cbfdfb0102a79760b65465be5af3f00233667b6273d9b4294cfd81aa6661c1a2bdc0127f8b2e -2eaa2727fc00000100c90000048b05d5000b002e401506950402950081089504ad0a05010907 -031c00040c10fcec32d4c4c431002fececf4ec10ee30b21f0d01015d13211521112115211121 -1521c903b0fd1a02c7fd3902f8fc3e05d5aafe46aafde3aa0000000100c90000042305d50009 -002940120695040295008104ad08050107031c00040a10fcec32d4c431002fecf4ec10ee30b2 -0f0b01015d13211521112115211123c9035afd700250fdb0ca05d5aafe48aafd370000010000 -ff4202b205d50003002d4014001a010201021a03000342029f008104020001032fc439393100 -10f4ec304b5358071005ed071005ed5922013301230208aafdf8aa05d5f96d000000000200c9 -0000055405d50013001c00b14035090807030a06110304030511040403420604001503041595 -0914950d810b040506031109001c160e050a191904113f140a1c0c041d10fcec32fcc4ec1117 -391139393931002f3cf4ecd4ec123912391239304b5358071005ed071005ed1117395922b240 -1e01015d40427a13010500050105020603070415001501140216031704250025012502260327 -06260726082609201e3601360246014602680575047505771388068807980698071f5d005d01 -1e01171323032e012b01112311212016151406011133323635342623038d417b3ecdd9bf4a8b -78dcca01c80100fc83fd89fe9295959202bc16907efe68017f9662fd8905d5d6d88dba024ffd -ee8783838500000200100000056805d50002000a00ba40410011010004050402110505040111 -0a030a0011020003030a0711050406110505040911030a08110a030a42000307950103810905 -09080706040302010009050a0b10d4c4173931002f3ce4d4ec1239304b5358071005ed0705ed -071005ed0705ed071008ed071005ed071005ed071008ed5922b2200c01015d403a0f00580076 -0070008c000507010802060309041601190256015802500c67016802780176027c0372047707 -780887018802800c980299039604175d005d090121013301230321032302bcfeee0225fe7be5 -0239d288fd5f88d5050efd1903aefa2b017ffe81000000010073ffe3058b05f0001d00394020 -00051b0195031b950812a111ae15950e91088c1e02001c1134043318190b101e10fcecfce4fc -c4310010e4f4ecf4ec10fed4ee11393930251121352111060423200011100021320417152626 -23200011100021323604c3feb6021275fee6a0fea2fe75018b015e9201076f70fc8bfeeefeed -011301126ba8d50191a6fd7f53550199016d016e01994846d75f60fecefed1fed2fece250000 -000200c9000005b005d500080011002e4015009509810195100802100a0005190d32001c0904 -1210fcecf4ec113939393931002fecf4ec30b2601301015d0111332000111000212521200011 -100029010193f40135011ffee1fecbfe42019f01b20196fe68fe50fe61052ffb770118012e01 -2c0117a6fe97fe80fe7efe96000000010087ffe304a205f00027007e403c0d0c020e0b021e1f -1e080902070a021f1f1e420a0b1e1f0415010015a11494189511049500942591118c281e0a0b -1f1b0700221b190e2d071914222810dcc4ecfcece4111239393939310010e4f4e4ec10eef6ee -10c6111739304b535807100eed11173907100eed1117395922b20f2901015db61f292f294f29 -035d01152e012322061514161f011e0115140421222627351e013332363534262f012e013534 -24333216044873cc5fa5b377a67ae2d7feddfee76aef807bec72adbc879a7be2ca0117f569da -05a4c53736807663651f192bd9b6d9e0302fd04546887e6e7c1f182dc0abc6e42600000100c9 -0000019305d500030039b700af02011c00040410fcec31002fec30014bb0105458bd0004ffc0 -00010004000400403811373859400d30054005500560058f059f05065d13331123c9caca05d5 -fa2b0000000200baffe304a40614000b001c0038401903b90c0f09b918158c0fb81b97190012 -1247180c06081a461d10fcec3232f4ec31002fece4f4c4ec10c6ee30b6601e801ea01e03015d -013426232206151416333236013e01333212111002232226271523113303e5a79292a7a79292 -a7fd8e3ab17bccffffcc7bb13ab9b9022fcbe7e7cbcbe7e702526461febcfef8fef8febc6164 -a8061400000100db000001ae00fe00030011b7008302011900180410fcec31002fec30373315 -23dbd3d3fefe000100100000056805d5000600b7402704110506050311020306060503110403 -000100021101010042030401af0006040302000505010710d4c4173931002fec3239304b5358 -071005ed071008ed071008ed071005ed5922b2500801015d406200032a03470447055a037d03 -8303070600070208040906150114021a041a052a002601260229042905250620083800330133 -023c043c053706480045014502490449054706590056066602690469057a0076017602790479 -057506800898009706295d005d21013309013301024afdc6d301d901dad2fdc705d5fb1704e9 -fa2b0001006401df027f028300030011b6009c020401000410dccc310010d4ec301321152164 -021bfde50283a40000020071ffe3045a06140010001c003840191ab9000e14b905088c0eb801 -970317040008024711120b451d10fcecf4ec323231002fece4f4c4ec10c4ee30b6601e801ea0 -1e03015d0111331123350e0123220211101233321601141633323635342623220603a2b8b83a -b17ccbffffcb7cb1fdc7a79292a8a89292a703b6025ef9eca86461014401080108014461fe15 -cbe7e7cbcbe7e7000001006fffe303c7047b002700e7403c0d0c020e0b531f1e080902070a53 -1e1f1e420a0b1e1f041500860189041486158918b91104b925b8118c281e0a0b1f1b0700521b -080e07081422452810fcc4ecd4ece4111239393939310010e4f4ec10fef5ee10f5ee12173930 -4b535807100eed111739070eed1117395922b2002701015d406d1c0a1c0b1c0c2e092c0a2c0b -2c0c3b093b0a3b0b3b0c0b200020012402280a280b2a132f142f152a16281e281f2920292124 -27860a860b860c860d12000000010202060a060b030c030d030e030f03100319031a031b031c -041d09272f293f295f297f2980299029a029f029185d005d7101152e012322061514161f011e -0115140623222627351e013332363534262f012e01353436333216038b4ea85a898962943fc4 -a5f7d85ac36c66c661828c65ab40ab98e0ce66b4043fae282854544049210e2a99899cb62323 -be353559514b50250f2495829eac1e0000000001fffa000004e905d50007004a400e06029500 -81040140031c0040050810d4e4fce431002ff4ec3230014bb00a5458bd000800400001000800 -08ffc03811373859401300091f00100110021f071009400970099f09095d0321152111231121 -0604effdeecbfdee05d5aafad5052b0000010056000006350460000c0201404905550605090a -0904550a0903550a0b0a025501020b0b0a061107080705110405080807021103020c000c0111 -00000c420a050203060300bf0b080c0b0a09080605040302010b07000d10d4cc173931002f3c -ec32321739304b5358071005ed071008ed071008ed071005ed071008ed071005ed0705ed0710 -08ed5922014bb00a544bb011545b4bb012545b4bb013545b4bb00b545b58bd000dffc0000100 -0d000d00403811373859014bb00c544bb00d545b4bb010545b58bd000d00400001000d000dff -c0381137385940ff050216021605220a350a49024905460a400a5b025b05550a500a6e026e05 -660a79027f0279057f05870299029805940abc02bc05ce02c703cf051d0502090306040b050a -080b09040b050c1502190316041a051b081b09140b150c250025012302270321042505220622 -0725082709240a210b230c390336043608390c300e4602480346044004420540064007400844 -09440a440b400e400e560056015602500451055206520750085309540a550b6300640165026a -0365046a056a066a076e09610b670c6f0e7500750179027d0378047d057a067f067a077f0778 -0879097f097b0a760b7d0c870288058f0e97009701940293039c049b05980698079908402f96 -0c9f0ea600a601a402a403ab04ab05a906a907ab08a40caf0eb502b103bd04bb05b809bf0ec4 -02c303cc04ca05795d005d13331b01331b013301230b012356b8e6e5d9e6e5b8fedbd9f1f2d9 -0460fc96036afc96036afba00396fc6a000000010058000003db0460000900b4401a08110203 -0203110708074208a900bc03a905080301000401060a10dcc432c411393931002fecf4ec304b -5358071005ed071005ed5922014bb00b544bb00c545b58bd000a00400001000a000affc03811 -373859014bb0135458bd000affc00001000a000a004038113738594042050216022602470249 -07050b080f0b18031b082b08200b36033908300b400140024503400440054308570359085f0b -6001600266036004600562087f0b800baf0b1b5d005d1321150121152135012171036afd4c02 -b4fc7d02b4fd650460a8fcdb93a803250000000100aeffe30458046000130036401903090003 -0e0106870e118c0a01bc0c0d09080b4e020800461410fcecf4ec3231002fe432f4c4ec111217 -3930b46015cf1502015d1311331114163332363511331123350e01232226aeb87c7c95adb8b8 -43b175c1c801ba02a6fd619f9fbea4027bfba0ac6663f0000001009eff1201c300fe00050019 -400c039e0083060304011900180610fcecd4cc310010fcec30373315032313f0d3a48152feac -fec001400001003b000004790460000b015a4046051106070604110304070706041105040102 -0103110202010b110001000a11090a0101000a110b0a0708070911080807420a070401040800 -bf05020a0704010408000208060c10d4c4d4c411173931002f3cec321739304b5358071005ed -071008ed071008ed071005ed071005ed071008ed071008ed071005ed5922014bb00a544bb00f -545b4bb010545b4bb011545b58bd000cffc00001000c000c00403811373859014bb0145458bd -000c00400001000c000cffc0381137385940980a04040a1a04150a260a3d04310a5504570758 -0a660a76017a047607740a8d04820a99049f049707920a900aa601a904af04a507a30aa00a1c -0a03040505090a0b1a03150515091a0b2903260525092a0b200d3a013903370534073609390b -300d4903460545094a0b400d590056015902590357055606590756085609590b500d6f0d7801 -7f0d9b019407ab01a407b00dcf0ddf0dff0d2f5d005d09022309012309013309010464fe6b01 -aad9febafebad901b3fe72d9012901290460fddffdc101b8fe48024a0216fe71018f00000003 -00c9000004ec05d5000800110020004340231900950a0995128101950aad1f110b080213191f -05000e1c1605191c2e09001c12042110fcec32fcecd4ec111739393931002fececf4ec10ee39 -30b20f2201015d01112132363534262301112132363534262325213216151406071e01151404 -232101930144a39d9da3febc012b94919194fe0b0204e7fa807c95a5fef0fbfde802c9fddd87 -8b8c850266fe3e6f727170a6c0b189a21420cb98c8da000100ba0000049c0614000a00bc4029 -0811050605071106060503110405040211050504420805020303bc0097090605010406080108 -00460b10fcec32d4c4113931002f3cece41739304b5358071004ed071005ed071005ed071004 -ed5922b2100c01015d405f04020a081602270229052b0856026602670873027705820289058e -08930296059708a3021209050906020b030a072803270428052b062b07400c6803600c890385 -0489058d068f079a039707aa03a705b607c507d607f703f003f704f0041a5d71005d13331101 -33090123011123bab90225ebfdae026bf0fdc7b90614fc6901e3fdf4fdac0223fddd000100b2 -ffe3052905d50011004b40160802110b0005950e8c09008112081c0a38011c00411210fcecfc -ec310010e432f4ec113939393930014bb0105458bd00120040000100120012ffc03811373859 -b61f138f139f13035d133311141633323635113311100021200011b2cbaec3c2aecbfedffee6 -fee5fedf05d5fc75f0d3d3f0038bfc5cfedcfed6012a012400000001002f000002f806140013 -0070401c0510010c08a906018700970e06bc0a02130700070905080d0f0b4c1410fc3cc4fc3c -c4c412393931002fe432fcec10ee3212393930014bb00a5458bd0014ffc00001001400140040 -3811373859014bb00e5458bd00140040000100140014ffc03811373859b640155015a015035d -01152322061d012115211123112335333534363302f8b0634d012ffed1b9b0b0aebd06149950 -68638ffc2f03d18f4ebbab000000000200030000000000140001000000000034000400200000 -0004000400010000f02effff0000f000ffff10000001000000000006006800000000002f0000 -000100020003000400050006000700080009000a000b000c000d000e000f0010001100120013 -001400150016001700180019001a001b001c001d001e001f0020002100220023002400250026 -002700280029002a002b002c002d002e013500b800cb00cb00c100aa009c01a600b800660000 -007100cb00a002b20085007500b800c301cb0189022d00cb00a600f000d300aa008700cb03aa -0400014a003300cb000000d9050200f4015400b4009c01390114013907060400044e04b40452 -04b804e704cd0037047304cd04600473013303a2055605a60556053903c5021200c9001f00b8 -01df007300ba03e9033303bc0444040e00df03cd03aa00e503aa0404000000cb008f00a4007b -00b80014016f007f027b0252008f00c705cd009a009a006f00cb00cd019e01d300f000ba0183 -00d5009803040248009e01d500c100cb00f600830354027f00000333026600d300c700a400cd -008f009a0073040005d5010a00fe022b00a400b4009c00000062009c0000001d032d05d505d5 -05d505f0007f007b005400a406b80614072301d300b800cb00a601c301ec069300a000d3035c -037103db0185042304a80448008f0139011401390360008f05d5019a06140723066601790460 -04600460047b009c00000277046001aa00e904600762007b00c5007f027b000000b4025205cd -006600bc00660077061000cd013b01850389008f007b0000001d00cd074a042f009c009c0000 -077d006f0000006f0335006a006f007b00ae00b2002d0396008f027b00f600830354063705f6 -008f009c04e10266008f018d02f600cd03440029006604ee007300001400b606050403020100 -2c2010b002254964b040515820c859212d2cb002254964b040515820c859212d2c20100720b0 -0050b00d7920b8ffff5058041b0559b0051cb0032508b0042523e120b00050b00d7920b8ffff -5058041b0559b0051cb0032508e12d2c4b505820b0fd454459212d2cb002254560442d2c4b53 -58b00225b0022545445921212d2c45442d000001000000020000322394a85f0f3cf5001f0800 -00000000bab9f0b800000000bac26791fe89fe1d0a4c076d0000000800010000000000000001 -0000076dfe1d00000abcfe89fe890a4c00010000000000000000000000000000002f04cd0066 -06e700c904ec007107cb00ba04e50071034a00ba04bc003d028b000005960073051400ba0239 -00c1023900c1031f00b004d300c903230037051200ba051200ba031f00a4047500c905140071 -0466007104e7007b050e00c9049a00c902b20000058f00c90579001006330073062900c90514 -0087025c00c9051400ba028b00db0579001002e3006405140071042b006f04e3fffa068b0056 -04330058051200ae028b009e04bc003b057d00c904a200ba05db00b202d1002f0000002200a0 -010a016c01be01f602e602e60332038003a803c6040a044a048804c40500052c054e05b205fe -069406c406ee0714079e0818086c08ac09280952099e09b20a220a380a840b340b6c0c8a0cfc -0d380d540e220e7a0ef20f3a0f9200010000002f004d00070042000400020010004000070000 -0415056800030001b8028040fffbfe03fa1403f92503f83203f79603f60e03f5fe03f4fe03f3 -2503f20e03f19603f02503ef8a4105effe03ee9603ed9603ecfa03ebfa03eafe03e93a03e842 -03e7fe03e63203e5e45305e59603e48a4105e45303e3e22f05e3fa03e22f03e1fe03e0fe03df -3203de1403dd9603dcfe03db1203da7d03d9bb03d8fe03d68a4105d67d03d5d44705d57d03d4 -4703d3d21b05d3fe03d21b03d1fe03d0fe03cffe03cefe03cd9603cccb1e05ccfe03cb1e03ca -3203c9fe03c6851105c61c03c51603c4fe03c3fe03c2fe03c1fe03c0fe03bffe03befe03bdfe -03bcfe03bbfe03ba1103b9862505b9fe03b8b7bb05b8fe03b7b65d05b7bb03b78004b6b52505 -b65d40ff03b64004b52503b4fe03b39603b2fe03b1fe03b0fe03affe03ae6403ad0e03acab25 -05ac6403abaa1205ab2503aa1203a98a4105a9fa03a8fe03a7fe03a6fe03a51203a4fe03a3a2 -0e05a33203a20e03a16403a08a4105a096039ffe039e9d0c059efe039d0c039c9b19059c6403 -9b9a10059b19039a1003990a0398fe0397960d0597fe03960d03958a410595960394930e0594 -2803930e0392fa039190bb0591fe03908f5d0590bb039080048f8e25058f5d038f40048e2503 -8dfe038c8b2e058cfe038b2e038a8625058a410389880b05891403880b038786250587640386 -85110586250385110384fe038382110583fe0382110381fe0380fe037ffe0340ff7e7d7d057e -fe037d7d037c64037b5415057b25037afe0379fe03780e03770c03760a0375fe0374fa0373fa -0372fa0371fa0370fe036ffe036efe036c21036bfe036a1142056a530369fe03687d03671142 -0566fe0365fe0364fe0363fe0362fe03613a0360fa035e0c035dfe035bfe035afe0359580a05 -59fa03580a035716190557320356fe035554150555420354150353011005531803521403514a -130551fe03500b034ffe034e4d10054efe034d10034cfe034b4a13054bfe034a4910054a1303 -491d0d05491003480d0347fe0346960345960344fe0343022d0543fa0342bb03414b0340fe03 -3ffe033e3d12053e14033d3c0f053d12033c3b0d053c40ff0f033b0d033afe0339fe03383714 -0538fa033736100537140336350b05361003350b03341e03330d0332310b0532fe03310b0330 -2f0b05300d032f0b032e2d09052e10032d09032c32032b2a25052b64032a2912052a25032912 -032827250528410327250326250b05260f03250b0324fe0323fe03220f032101100521120320 -64031ffa031e1d0d051e64031d0d031c1142051cfe031bfa031a42031911420519fe03186403 -1716190517fe031601100516190315fe0314fe0313fe031211420512fe0311022d0511420310 -7d030f64030efe030d0c16050dfe030c0110050c16030bfe030a100309fe0308022d0508fe03 -0714030664030401100504fe03401503022d0503fe0302011005022d0301100300fe0301b801 -64858d012b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b -2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b -2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b -2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b -2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b002b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b -2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b -2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b -2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b -2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b2b1d00> -] def -FontName currentdict end definefont pop -%%Page: 1 1 -%%BeginPageSetup -%%PageBoundingBox: 0 0 630 399 -%%EndPageSetup -q -0 g -1.005231 w -0 J -0 j -[] 0.0 d -4 M q 1 0 0 -1 0 398.268463 cm -220.387 54.336 m 351.496 54.336 l 351.496 88.773 l 220.387 88.773 l -220.387 54.336 l h -220.387 54.336 m S Q -BT -9.6 0 0 9.6 240.400003 325.596368 Tm -/f-0-0 1 Tf -[<01>1<02>2<03>2<0405>-1<060708>-1<0403>2<090a0b>1<02>2<05>]TJ -0 -1.25 Td -[<0c0d>-1<060e0f>-1<0410>-1<11>]TJ -ET -1.60016 w -q 1 0 0 -1 0 398.268463 cm -175.582 163.477 m 175.582 168.566 160.82 172.695 142.613 172.695 c -124.402 172.695 109.645 168.566 109.645 163.477 c 109.645 158.383 -124.402 154.254 142.613 154.254 c 160.82 154.254 175.582 158.383 -175.582 163.477 c h -175.582 163.477 m S Q -q 1 0 0 -1 0 398.268463 cm -175.504 212.371 m 175.504 217.461 160.742 221.59 142.535 221.59 c -124.328 221.59 109.566 217.461 109.566 212.371 c 109.566 207.277 -124.328 203.148 142.535 203.148 c 160.742 203.148 175.504 207.277 -175.504 212.371 c h -175.504 212.371 m S Q -1.6 w -q 1 0 0 -1 0 398.268463 cm -175.023 163.754 m 175.023 212.371 l S Q -q 1 0 0 -1 0 398.268463 cm -109.645 163.477 m 109.645 212.09 l S Q -1.60016 w -q 1 0 0 -1 0 398.268463 cm -311.406 163.895 m 311.406 168.988 296.645 173.113 278.438 173.113 c -260.227 173.113 245.469 168.988 245.469 163.895 c 245.469 158.801 -260.227 154.676 278.438 154.676 c 296.645 154.676 311.406 158.801 -311.406 163.895 c h -311.406 163.895 m S Q -q 1 0 0 -1 0 398.268463 cm -311.328 212.789 m 311.328 217.879 296.566 222.008 278.359 222.008 c -260.152 222.008 245.391 217.879 245.391 212.789 c 245.391 207.695 -260.152 203.57 278.359 203.57 c 296.566 203.57 311.328 207.695 311.328 -212.789 c h -311.328 212.789 m S Q -1.6 w -q 1 0 0 -1 0 398.268463 cm -310.844 164.176 m 310.844 212.789 l S Q -q 1 0 0 -1 0 398.268463 cm -245.469 163.895 m 245.469 212.508 l S Q -1.60016 w -q 1 0 0 -1 0 398.268463 cm -439.926 166.688 m 439.926 171.781 425.164 175.91 406.957 175.91 c -388.75 175.91 373.988 171.781 373.988 166.688 c 373.988 161.598 388.75 -157.469 406.957 157.469 c 425.164 157.469 439.926 161.598 439.926 -166.688 c h -439.926 166.688 m S Q -q 1 0 0 -1 0 398.268463 cm -439.848 215.582 m 439.848 220.676 425.09 224.801 406.883 224.801 c -388.672 224.801 373.914 220.676 373.914 215.582 c 373.914 210.492 -388.672 206.363 406.883 206.363 c 425.09 206.363 439.848 210.492 -439.848 215.582 c h -439.848 215.582 m S Q -1.6 w -q 1 0 0 -1 0 398.268463 cm -439.367 166.969 m 439.367 215.582 l S Q -q 1 0 0 -1 0 398.268463 cm -373.988 166.688 m 373.988 215.305 l S Q -1.60016 w -q 1 0 0 -1 0 398.268463 cm -252.73 339.355 m 252.73 344.445 237.973 348.574 219.762 348.574 c -201.555 348.574 186.793 344.445 186.793 339.355 c 186.793 334.262 -201.555 330.133 219.762 330.133 c 237.973 330.133 252.73 334.262 252.73 -339.355 c h -252.73 339.355 m S Q -q 1 0 0 -1 0 398.268463 cm -252.656 388.25 m 252.656 393.34 237.895 397.469 219.688 397.469 c -201.48 397.469 186.719 393.34 186.719 388.25 c 186.719 383.156 201.48 -379.027 219.688 379.027 c 237.895 379.027 252.656 383.156 252.656 -388.25 c h -252.656 388.25 m S Q -1.6 w -q 1 0 0 -1 0 398.268463 cm -252.172 339.633 m 252.172 388.25 l S Q -q 1 0 0 -1 0 398.268463 cm -186.793 339.355 m 186.793 387.969 l S Q -BT -9.6 0 0 9.6 75.557163 243.454333 Tm -/f-0-0 1 Tf -[<12>18<04>1<130a14>1<15>-2<0b>]TJ -18.684519 -2.996216 Td -[<1216>1<17>1<18>-1<17>1<19>41<1a01>]TJ -0 -1.25 Td -[<1b1c1d>-2<1e1e>]TJ -12.805588 0.0276477 Td -[<120a>1<1f02>2<05>-1<0e>-1<06070c>-1<200b0a>1<1f11>]TJ --26.891736 1.133586 Td -[<1d>-2<090a>1<14>1<02>1<18>-1<12>110<21>1<1d>]TJ -0 -1.25 Td -[<21>79<02>2<05>-1<0a0b>1<0413>]TJ -8.43187 5.747114 Td -[<17>73<05>21<0410>-1<0e22>-1<16>1<10>-1<23>]TJ -0 -1.25 Td -[<0d0f>-1<0624>-1<0a>1<14>1<15>-3<0b>]TJ -25.203725 -0.0305608 Td -[<16>1<24>-1<0e0a03>2<15>-2<0e>-1<02>2<23>]TJ -0 -1.25 Td -[<25>29<0a03>2<0a10>-1<1318>-1<0d>35<042602>2<05>]TJ -ET -1.005231 w -q 1 0 0 -1 0 398.268463 cm -156.406 248.234 m 287.516 248.234 l 287.516 282.676 l 156.406 282.676 l -156.406 248.234 l h -156.406 248.234 m S Q -BT -9.6 0 0 9.6 168.816605 130.165637 Tm -/f-0-0 1 Tf -[<01>1<02>2<03>2<0405>-1<060708>-1<0f>-1<15>-2<05>-1<15>-3<14>1<0e02>2<05>-1<0a -27>]TJ -10.126953 0 Td -[<02>2<05>]TJ --10.126953 -1.25 Td -[<0c0d>-1<060e0f>-1<0410>-1<11>]TJ -ET -0.921608 w -[ 5.529648 0.921608] 0 d -q 1 0 0 1 0 398.268463 cm -0.461 -281.039 m 116.008 -281.039 l 116.008 -248.191 l 0.461 -248.191 l -0.461 -281.039 l h -0.461 -281.039 m S Q -BT -9.6 0 0 9.6 4.795825 134.635925 Tm -/f-0-0 1 Tf -[<1d>-2<0a>1<03>1<28>-1<0b>1<15>-3<0e0405>]TJ -0 -1.25 Td -[<0c02>1<201320>-1<0710>-1<1324090a14>1<02>2<29>-1<0724>-1<0902>2<14>1<0e -05>]TJ -10.197266 0 Td -[<02>2<11>]TJ -ET -0.874385 w -[ 0.874385 1.748769] 0 d -q 1 0 0 -1 0 398.268463 cm -331.52 249.285 m 435.379 249.285 l 435.379 282.18 l 331.52 282.18 l -331.52 249.285 l h -331.52 249.285 m S Q -BT -9.6 0 0 9.6 345.902591 136.871082 Tm -/f-0-0 1 Tf -[<16>1<2a0e>-1<0515>-3<14>1<0e0405>]TJ -0 -1.25 Td -[<0c02>1<201320>-1<0708>-1<15>-2<0b0a>1<1f05>20<02>2<11>]TJ --23.853645 -9.999148 Td -[<1a1010>-1<040e15>-3<0e02>2<23>]TJ -0 -1.25 Td -[<25>29<0a03>2<0a10>-1<1318>-1<0d>35<042602>2<05>]TJ -7.661297 2.021848 Td -[<120a>1<1f02>2<05>-1<0e>-1<06070c>-1<200b0a>1<1f11>]TJ -0 -1.25 Td -[<1d>-2<090a>1<14>1<02>]TJ -ET -1.6 w -[] 0.0 d -q 1 0 0 -1 0 398.268463 cm -280.633 88.879 m 145.965 152.578 l S Q -151.75 248.425 m 153.273 252.686 l 144.52 245.007 l 156.012 246.901 l -151.75 248.425 l h -151.75 248.425 m f* -0.723173 w -q 1 0.473029 0.473029 -1 0 398.268463 cm -66.083 181.103 m 68.975 178.209 l 58.853 181.101 l 68.977 183.995 l -66.083 181.103 l h -66.083 181.103 m S Q -1.6 w -q 1 0 0 -1 0 398.268463 cm -280.074 89.438 m 280.074 153.695 l S Q -280.074 250.972 m 276.875 254.171 l 280.074 242.972 l 283.273 254.171 l -280.074 250.972 l h -280.074 250.972 m f* -0.8 w -q -0.000000000000000061 1 1 0.000000000000000061 0 398.268463 cm --147.297 280.074 m -144.098 276.875 l -155.297 280.074 l -144.098 -283.273 l -147.297 280.074 l h --147.297 280.074 m S Q -1.6 w -q 1 0 0 -1 0 398.268463 cm -285.27 89.043 m 340.207 121.863 l S Q -334.711 279.69 m 330.324 278.581 l 341.578 275.585 l 333.605 284.077 l -334.711 279.69 l h -334.711 279.69 m f* -0.686779 w -q -1 0.597406 0.597406 1 0 398.268463 cm --298.881 59.975 m -296.137 57.226 l -305.75 59.973 l -296.135 62.722 l --298.881 59.975 l h --298.881 59.975 m S Q -1.6 w -q 1 0 0 -1 0 398.268463 cm -155.465 265.453 m 116.348 265.453 l S Q -149.062 132.815 m 145.863 129.612 l 157.062 132.815 l 145.863 136.015 l -149.062 132.815 l h -149.062 132.815 m f* -0.8 w -q -1 -0.000000000000000122 -0.000000000000000122 1 0 398.268463 cm --149.062 -265.453 m -145.863 -268.656 l -157.062 -265.453 l -145.863 --262.254 l -149.062 -265.453 l h --149.062 -265.453 m S Q -122.75 132.815 m 125.949 136.015 l 114.75 132.815 l 125.949 129.612 l -122.75 132.815 l h -122.75 132.815 m f* -q 1 -0.000000000000000122 -0.000000000000000122 -1 0 398.268463 cm -122.75 265.453 m 125.949 262.254 l 114.75 265.453 l 125.949 268.656 l -122.75 265.453 l h -122.75 265.453 m S Q -1.6 w -q 1 0 0 -1 0 398.268463 cm -252.395 219.602 m 218.934 247.098 l S Q -223.879 155.233 m 224.32 159.737 l 217.699 150.155 l 228.383 154.792 l -223.879 155.233 l h -223.879 155.233 m f* -0.618042 w -q 1 0.821886 0.821886 -1 0 398.268463 cm -14.403 254.873 m 16.876 252.401 l 8.224 254.872 l 16.874 257.345 l -14.403 254.873 l h -14.403 254.873 m S Q -1.005231 w -q 1 0 0 -1 0 398.268463 cm -341.922 104.066 m 473.031 104.066 l 473.031 138.508 l 341.922 138.508 l -341.922 104.066 l h -341.922 104.066 m S Q -BT -9.6 0 0 9.6 354.334622 274.333276 Tm -/f-0-0 1 Tf -[<01>1<02>2<03>2<0405>-1<060708>-1<0f>-1<15>-2<05>-1<15>-3<14>1<0e02>2<05>-1<0a -27>]TJ -10.126953 0 Td -[<02>2<05>]TJ --10.126953 -1.25 Td -[<0c0d>-1<060e0f>-1<0410>-1<11>]TJ -ET -1.6 w -q 1 0 0 -1 0 398.268463 cm -408.594 137.887 m 408.594 156.578 l S Q -408.594 248.089 m 405.395 251.288 l 408.594 240.089 l 411.797 251.288 l -408.594 248.089 l h -408.594 248.089 m f* -0.8 w -q -0.000000000000000061 1 1 0.000000000000000061 0 398.268463 cm --150.18 408.594 m -146.98 405.395 l -158.18 408.594 l -146.98 411.797 l --150.18 408.594 l h --150.18 408.594 m S Q -1.6 w -q 1 0 0 -1 0 398.268463 cm -157.699 221.309 m 200.727 247.016 l S Q -195.23 154.538 m 190.844 153.429 l 202.098 150.433 l 194.125 158.925 l -195.23 154.538 l h -195.23 154.538 m f* -0.68678 w -q -1 0.597403 0.597403 1 0 398.268463 cm --251.189 -93.67 m -248.444 -96.418 l -258.057 -93.672 l -248.443 --90.923 l -251.189 -93.67 l h --251.189 -93.67 m S Q -2.4 w -[ 7.2 7.2] 0 d -q 1 0 0 -1 0 398.268463 cm -22.473 236.957 m 597.465 236.957 l S Q -BT -16 0 0 16 5.708505 64.082971 Tm -/f-0-0 1 Tf -[<2b15>-2<14>1<2c>1<22161023>]TJ -0 -1 Td -[<01>1<02>2<0e0f>-1<042304>1<0b04>1<1306>]TJ -0.674965 15.682794 Td -[<17>73<05>21<0410>-1<0e22>-1<16>1<10>-1<23>]TJ -0 -1 Td -[<01>1<02>2<0e0f>-1<042304>1<0b04>1<1306>]TJ -ET -0.921608 w -[ 5.529648 0.921608] 0 d -q 1 0 0 1 0 398.268463 cm -514.727 -138.27 m 630.273 -138.27 l 630.273 -105.422 l 514.727 -105.422 -l 514.727 -138.27 l h -514.727 -138.27 m S Q -BT -9.6 0 0 9.6 519.059866 277.406592 Tm -/f-0-0 1 Tf -[<1d>-2<0a>1<03>1<28>-1<0b>1<15>-3<0e0405>]TJ -0 -1.25 Td -[<0c02>1<201320>-1<0710>-1<1324090a14>1<02>2<29>-1<0724>-1<0902>2<14>1<0e -05>]TJ -10.197266 0 Td -[<02>2<11>]TJ -ET -1.6 w -[] 0.0 d -q 1 0 0 -1 0 398.268463 cm -513.824 122.125 m 474.711 122.125 l S Q -507.426 276.143 m 504.227 272.944 l 515.426 276.143 l 504.227 279.343 l -507.426 276.143 l h -507.426 276.143 m f* -0.8 w -q -1 -0.000000000000000122 -0.000000000000000122 1 0 398.268463 cm --507.426 -122.125 m -504.227 -125.324 l -515.426 -122.125 l -504.227 --118.926 l -507.426 -122.125 l h --507.426 -122.125 m S Q -481.109 276.143 m 484.312 279.343 l 473.109 276.143 l 484.312 272.944 l -481.109 276.143 l h -481.109 276.143 m f* -q 1 -0.000000000000000122 -0.000000000000000122 -1 0 398.268463 cm -481.109 122.125 m 484.312 118.926 l 473.109 122.125 l 484.312 125.324 l -481.109 122.125 l h -481.109 122.125 m S Q -1.60016 w -q 1 0 0 -1 0 398.268463 cm -488.539 29.785 m 488.539 34.879 473.781 39.004 455.57 39.004 c 437.363 -39.004 422.602 34.879 422.602 29.785 c 422.602 24.691 437.363 20.566 -455.57 20.566 c 473.781 20.566 488.539 24.691 488.539 29.785 c h -488.539 29.785 m S Q -q 1 0 0 -1 0 398.268463 cm -488.465 78.68 m 488.465 83.77 473.703 87.898 455.496 87.898 c 437.289 -87.898 422.527 83.77 422.527 78.68 c 422.527 73.586 437.289 69.461 -455.496 69.461 c 473.703 69.461 488.465 73.586 488.465 78.68 c h -488.465 78.68 m S Q -1.6 w -q 1 0 0 -1 0 398.268463 cm -487.98 30.062 m 487.98 78.68 l S Q -q 1 0 0 -1 0 398.268463 cm -422.602 29.785 m 422.602 78.398 l S Q -BT -9.6 0 0 9.6 441.005374 347.123721 Tm -/f-0-0 1 Tf -[<25>167<02>2<14>1<0f>]TJ -0 -1.25 Td -[<120a>1<1f05>-1<15>-2<05>-1<06>]TJ -ET -q 1 0 0 -1 0 398.268463 cm -422.809 52.973 m 353.52 73.09 l S Q -359.664 326.964 m 361.848 330.929 l 351.984 324.733 l 363.629 324.784 l -359.664 326.964 l h -359.664 326.964 m f* -0.768277 w -q 1 0.290323 0.290323 -1 0 398.268463 cm -312.613 162.063 m 315.689 158.991 l 304.933 162.064 l 315.686 165.135 l -312.613 162.063 l h -312.613 162.063 m S Q -1.6 w -q 1 0 0 -1 0 398.268463 cm -282.879 22.918 m 282.32 51.973 l S Q -282.441 352.694 m 279.305 355.956 l 282.289 344.694 l 285.703 355.831 l -282.441 352.694 l h -282.441 352.694 m f* -0.799852 w -q 0.0192309 1 1 -0.0192309 0 398.268463 cm --40.128 283.213 m -36.928 280.015 l -48.128 283.215 l -36.93 286.413 l --40.128 283.213 l h --40.128 283.213 m S Q -BT -9.6 0 0 9.6 199.049588 390.974713 Tm -/f-0-0 1 Tf -[<2d24>-1<02>2<05>-1<071d>-2<0902>2<140a>1<2e>-1<0a14>1<15>-2<0e>-1<0a>1<04 -10>]TJ -0 -1.25 Td -[<0c26>-1<04>1<05>16<230724>-1<0a>1<27>-1<02>2<2907>-1<03>2<02>2<03>1<04 -050607>]TJ -10.234375 0 Td -[<240a2702>1<2907>-1<15>-2<240902>2<140e0705>-1<15>-3<0e0a04>1<29>-1<07 -02>2<0e>]TJ -10.169922 0 Td -<1420>Tj -ET -1.6 w -q 1 0 0 -1 0 398.268463 cm -327.57 265.453 m 288.457 265.453 l S Q -321.172 132.815 m 317.973 129.612 l 329.172 132.815 l 317.973 136.015 l -321.172 132.815 l h -321.172 132.815 m f* -0.8 w -q -1 -0.000000000000000122 -0.000000000000000122 1 0 398.268463 cm --321.172 -265.453 m -317.973 -268.656 l -329.172 -265.453 l -317.973 --262.254 l -321.172 -265.453 l h --321.172 -265.453 m S Q -294.855 132.815 m 298.055 136.015 l 286.855 132.815 l 298.055 129.612 l -294.855 132.815 l h -294.855 132.815 m f* -q 1 -0.000000000000000122 -0.000000000000000122 -1 0 398.268463 cm -294.855 265.453 m 298.055 262.254 l 286.855 265.453 l 298.055 268.656 l -294.855 265.453 l h -294.855 265.453 m S Q -1.370434 w -q 1 0 0 -1 0 398.268463 cm -219.727 282.898 m 219.727 328.188 l S Q -219.727 75.561 m 216.984 78.304 l 219.727 68.71 l 222.465 78.304 l -219.727 75.561 l h -219.727 75.561 m f* -0.685217 w -q -0.000000000000000061 1 1 0.000000000000000061 0 398.268463 cm --322.707 219.727 m -319.965 216.984 l -329.559 219.727 l -319.965 -222.465 l -322.707 219.727 l h --322.707 219.727 m S Q -Q -showpage -%%Trailer -count op_count sub {pop} repeat -countdictstack dict_count sub {end} repeat -cairo_eps_state restore -%%EOF diff --git a/ICCAD16_openram_paper/figs/methodology.pdf b/ICCAD16_openram_paper/figs/methodology.pdf deleted file mode 100644 index be5be05d..00000000 Binary files a/ICCAD16_openram_paper/figs/methodology.pdf and /dev/null differ diff --git a/ICCAD16_openram_paper/figs/methodology.svg b/ICCAD16_openram_paper/figs/methodology.svg deleted file mode 100644 index 017d762e..00000000 --- a/ICCAD16_openram_paper/figs/methodology.svg +++ /dev/null @@ -1,1232 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - image/svg+xml - - - - - - - - Memory Compiler(Python) - - - - - - - Logical - LEF/FRAM GDSII - Liberty (.lib) - Spice/LVS Verilog - Front-EndPhysical - EstimatedTiming/Power - - Memory Characterizer(Python) - - Simulator(e.g. ngspice, spectre) - - Extractor(e.g. Calibre) - AnnotatedTiming/Power - Liberty (.lib) Spice - - - - - Memory Characterizer(Python) - - - Back-EndMethodology - Front-EndMethodology - - Simulator(e.g. ngspice, spectre) - - Tech Library - - User Specification(word size, memory size, aspect ratio, etc.) - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/ICCAD16_openram_paper/figs/sram_structure.pdf b/ICCAD16_openram_paper/figs/sram_structure.pdf deleted file mode 100644 index d225a632..00000000 Binary files a/ICCAD16_openram_paper/figs/sram_structure.pdf and /dev/null differ diff --git a/ICCAD16_openram_paper/figs/sram_structure.svg b/ICCAD16_openram_paper/figs/sram_structure.svg deleted file mode 100644 index 51eaf1c7..00000000 --- a/ICCAD16_openram_paper/figs/sram_structure.svg +++ /dev/null @@ -1,1968 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - image/svg+xml - - - - - - - - Bit Cell Array - - Column Mux - Sense Amp Array - Write Driver Array - - Input Data MS-Flop Array - - Tri Gate Array - AddressMS-Flop - ANDArray - Decoder - Wordline Driver - Predecdoer - Control Logic&Replica Bit-line - - - - - - - - - - - - Precharge Array - - - - - - - - - - - - - - Bank Select - - Address Bus - - - - n - BidirectionalData Bus - - m - - - - - - - - - Bank - - - - - 6T - - - CLK - - CSb - - OEb - - WEb - - diff --git a/ICCAD16_openram_paper/figs/sram_structure.vsd b/ICCAD16_openram_paper/figs/sram_structure.vsd deleted file mode 100644 index 8c9b08cd..00000000 Binary files a/ICCAD16_openram_paper/figs/sram_structure.vsd and /dev/null differ diff --git a/ICCAD16_openram_paper/figs/timing_data/f_plot.gp b/ICCAD16_openram_paper/figs/timing_data/f_plot.gp deleted file mode 100644 index 999c399f..00000000 --- a/ICCAD16_openram_paper/figs/timing_data/f_plot.gp +++ /dev/null @@ -1,14 +0,0 @@ -set terminal pdf dashed -set output "../Freepdk_Read_Access_time.pdf" -set palette color -set xlabel "Total Size (Kbit)" -set ylabel "Read Access time (ns)" -set key below -plot 'freepdk45_timing.dat' using ($1/1024):2 with line title '16-bit word' lt 0 lw 5 lc 0 ,\ - 'freepdk45_timing.dat' using ($1/1024):2 with points title '' lt 0 lw 5 lc 0 ,\ - 'freepdk45_timing.dat' using ($1/1024):3 with line title '32-bit word' lt 1 lw 5 lc 1 ,\ - 'freepdk45_timing.dat' using ($1/1024):3 with points title '' lt 1 lw 5 lc 1 ,\ - 'freepdk45_timing.dat' using ($1/1024):4 with line title '64-bit word' lt 2 lw 5 lc 2 ,\ - 'freepdk45_timing.dat' using ($1/1024):4 with points title '' lt 2 lw 5 lc 2 ,\ - 'freepdk45_timing.dat' using ($1/1024):5 with line title '128-bit word' lt 3 lw 5 lc 3 ,\ - 'freepdk45_timing.dat' using ($1/1024):5 with points title '' lt 3 lw 5 lc 3 diff --git a/ICCAD16_openram_paper/figs/timing_data/freepdk45_timing.dat b/ICCAD16_openram_paper/figs/timing_data/freepdk45_timing.dat deleted file mode 100644 index 8b8be34e..00000000 --- a/ICCAD16_openram_paper/figs/timing_data/freepdk45_timing.dat +++ /dev/null @@ -1,5 +0,0 @@ - -2048 0.861 1.02 0.86 1.076 -8192 1.32 1.33 1.5 1.34 -32768 1.8 1.83 1.9 2.01 -131072 2.2 2.6 6.75 9.86 diff --git a/ICCAD16_openram_paper/figs/timing_data/s_plot.gp b/ICCAD16_openram_paper/figs/timing_data/s_plot.gp deleted file mode 100644 index 4f66564e..00000000 --- a/ICCAD16_openram_paper/figs/timing_data/s_plot.gp +++ /dev/null @@ -1,14 +0,0 @@ -set terminal pdf dashed -set output "../Scn3me_Read_Access_time.pdf" -set palette color -set xlabel "Total Size (Kbit)" -set ylabel "Read Access time (ns)" -set key below -plot 'scn3me_timing.dat' using ($1/1024):2 with line title '16-bit word' lt 0 lw 5 lc 0 ,\ - 'scn3me_timing.dat' using ($1/1024):2 with points title '' lt 0 lw 5 lc 0 ,\ - 'scn3me_timing.dat' using ($1/1024):3 with line title '32-bit word' lt 1 lw 5 lc 1 ,\ - 'scn3me_timing.dat' using ($1/1024):3 with points title '' lt 1 lw 5 lc 1 ,\ - 'scn3me_timing.dat' using ($1/1024):4 with line title '64-bit word' lt 2 lw 5 lc 2 ,\ - 'scn3me_timing.dat' using ($1/1024):4 with points title '' lt 2 lw 5 lc 2 ,\ - 'scn3me_timing.dat' using ($1/1024):5 with line title '128-bit word' lt 3 lw 5 lc 3 ,\ - 'scn3me_timing.dat' using ($1/1024):5 with points title '' lt 3 lw 5 lc 3 diff --git a/ICCAD16_openram_paper/figs/timing_data/scn3me_timing.dat b/ICCAD16_openram_paper/figs/timing_data/scn3me_timing.dat deleted file mode 100644 index 4d65931e..00000000 --- a/ICCAD16_openram_paper/figs/timing_data/scn3me_timing.dat +++ /dev/null @@ -1,5 +0,0 @@ - -2048 9.42 11.82 14.81 22.9 -8192 8.25 16.04 19.24 23.12 -32768 11.69 14.7 23.82 30.75 -131072 12.7 18.21 30.25 44.95 diff --git a/ICCAD16_openram_paper/figs/timing_read.pdf b/ICCAD16_openram_paper/figs/timing_read.pdf deleted file mode 100644 index 5f69b5b3..00000000 Binary files a/ICCAD16_openram_paper/figs/timing_read.pdf and /dev/null differ diff --git a/ICCAD16_openram_paper/figs/timing_read.svg b/ICCAD16_openram_paper/figs/timing_read.svg deleted file mode 100644 index 835b6046..00000000 --- a/ICCAD16_openram_paper/figs/timing_read.svg +++ /dev/null @@ -1,658 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - image/svg+xml - - - - - - - - - - - - - - - - - - - - - - CLK - ADDR - CSb - OEb - WEb - DATA OUT - A0 - A1 - - - - - - - - - D0 - D1 - - - - - Setup - Hold - Setup - Hold - - Read Delay - Setup - - - SCLK - - - diff --git a/ICCAD16_openram_paper/figs/timing_write.pdf b/ICCAD16_openram_paper/figs/timing_write.pdf deleted file mode 100644 index 40d027a3..00000000 Binary files a/ICCAD16_openram_paper/figs/timing_write.pdf and /dev/null differ diff --git a/ICCAD16_openram_paper/figs/timing_write.svg b/ICCAD16_openram_paper/figs/timing_write.svg deleted file mode 100644 index 6ae8c816..00000000 --- a/ICCAD16_openram_paper/figs/timing_write.svg +++ /dev/null @@ -1,858 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - image/svg+xml - - - - - - - - - - - - - - - - - - - - - - CLK - ADDR - CSb - OEb - WEb - DATA IN - A0 - A1 - - - - - - - D0 - D1 - - - - - Setup - Hold - Setup - Hold - Setup - - - WD_EN - - - - - Setup - - Hold - - - - - - - D0 - X Mem Cell - - Write Delay - - - diff --git a/ICCAD16_openram_paper/implementation.tex b/ICCAD16_openram_paper/implementation.tex deleted file mode 100644 index aadcb24a..00000000 --- a/ICCAD16_openram_paper/implementation.tex +++ /dev/null @@ -1,214 +0,0 @@ -\section{Implementation} -\label{sec:implementation} - -% source langauge -OpenRAM's methodology is implemented using an object-oriented approach -in the Python programming language. Python is a simple, yet powerful -language that is easy to learn and very human-readable. Moreover, Python -enables portability to most operating systems. OpenRAM has no additional -dependencies except a DRC/LVS tool, but that is disabled with a -warning if the tools are unavailable. - -% portability of tools and technologies -In addition to system portability, OpenRAM is also translatable across -numerous process technologies. This is accomplished by using -generalized routines to generate the memory based on common features -across all technologies. To facilitate user modification and -technology interoperability, OpenRAM provides a reference implementation in -$45$nm FreePDK45~\cite{4231502} and a fabricable option using the -MOSIS Scalable CMOS (SCMOS) design rules~\cite{scmos}. FreePDK45 uses -many design rules found in modern technologies, but is non-fabricable, -while SCMOS enables fabrication of designs using the MOSIS foundry -services. SCMOS is not confidential and an implementation using it is -included, however, it does not include many advanced DSM design -rules. OpenRAM has also been ported to other commercial technologies, -but these are not directly included due to licensing issues. - -% methodology overview -OpenRAM's framework is divided into \enquote{front-end} and \enquote{back-end} -methodologies as shown in Figure~\ref{fig:methodology}. The front-end -has the compiler and the characterizer. The compiler generates -SPICE models and its GDSII layouts based on user inputs. The -characterizer calls a SPICE simulator to produce timing and power -results. The back-end uses a spice netlist extracted from the GDSII -layout using to generate annotated timing and power models. - -\begin{figure}[tb] -\centering -\includegraphics[width=8cm]{./figs/methodology.pdf} -\caption{Overall Compilation and Characterization Methodology} -\label{fig:methodology} -\end{figure} - -%\fixme{We actually dont have back end done yet.} - -\subsection{Base Data Structures} - -The design modules in OpenRAM are derived from the {\it design} class -(design.py). The design class has a name, a SPICE model (netlist), and -a layout. Both the SPICE model and the layout inherit their -capabilities from a hierarchical class. The design class also provides -inherited functions to perform DRC and LVS verification of any -sub-design for hierarchical debugging. - -The design class derives from the {\it spice} class -(hierarchy\_\allowbreak spice.py) which has a data structure to -maintain the circuit hierarchy. This class maintains the design -instances, their pins, and their connections as well as helper -functions to maintain the structure and connectivity of the circuit -hierarchy. - -The design class also derives from a {\it layout} class (hierarchy\_layout.py). -This class has a list of physical instances of sub-modules in the layout and -a structure for simple objects such as shapes and labels in the -current hierarchy level. In addition, there are helper functions that -maintain the physical layout structures. - -OpenRAM has an integrated, custom GDSII library to read, write, and -manipulate GDSII files. The library, originally called -GdsMill~\cite{gdsmill}, has been modified, debugged, and extended for -OpenRAM. Full rights were given to include the GdsMill source with -OpenRAM, but to make the interfacing easier and porting to other -physical layout databases possible, OpenRAM implements a {\it - geometry} wrapper class (geometry.py) that abstracts the GdsMill -library. - -\subsection{Technology and Tool Portability} - -% technology overview -OpenRAM is technology-independent by using a technology directory that -includes the technology's specific information, rules, and library -cells. Technology parameters such as the design rule check (DRC) rules -and the GDS layer map are required to ensure that the dynamically -generated designs are DRC clean. Custom designed library cells such as -the memory cell and the sense amplifier are also placed in this -directory. A very simple design rule parameter file has the most -important design rules for constructing basic interconnect and -transistor devices. FreePDK45 and SCMOS reference technologies are provided. - -% hand-optimized cells -OpenRAM uses some custom-designed library primitives as technology -input. Since density is extremely important, the following cells are -pre-designed in each technology: 6T cell, sense amplifier, -master-slave flip-flop, tri-state gate, and write driver. All other -cells are generated on-the-fly using parameterizable transistor and -gate primitives. - -% technology specific features -OpenRAM can be used for various technologies since it creates the -basic components of memory designs that are common over these -technologies. For technologies that have specific design requirements, -such as specialized well contacts, the user can include call-back -helper functions in the technology directory. This is done so that the -main compiler remains free of dependencies to specific technologies. - -% DRC and LVS -OpenRAM has two functions that provide a wrapper interface with DRC -and LVS tools. These two functions perform DRC and LVS using the GDSII -layout and SPICE netlist files. Since each DRC and LVS tool has -different output, this routine is customized per tool to parse DRC/LVS -reports and return the number of errors while also outputting debug -information. These routines allow flexibility of any DRC/LVS tool, -but the default implementation calls Calibre nmDRC and nmLVS. In -OpenRAM, both DRC and LVS are performed at all levels of the design -hierarchy to enhance bug tracking. DRC and LVS can be disabled for -improved run-time or if tool licenses are not available. - -\subsection{Class Hierarchy} - -\subsubsection{High-Level Classes} - -The {\it openram} class (openram.py) organizes execution and -instantiates a single memory design using the {\it sram} class. It -accepts user-provided parameters to generate the design, performs the -optional extraction, performs characterization, and saves the -resulting design files. - -The {\it sram} class (sram.py) decides the appropriate internal parameter -dependencies shown in Table~\ref{table:variables}. They are dependent -on the user-desired data word size, number of words, and number of banks. -It is responsible for instantiation of the single control logic module which -controls the SRAM banks. The control logic ensures that only one bank -is active in a given address range. - -The {\it bank} class (bank.py) does the bulk of the non-control memory layout. It -instantiates $1$, $2$, or $4$ bit-cell arrays and coordinates the row and column -address decoders along with their pre-charge, sense amplifiers, and input/output -data flops. - -\begin{table} -\centering -\caption{Dependencies required for sub-modules} -\begin{tabular}{|c|l|} \hline -Variable&Equation \\ \hline -\texttt{Total Bits} & $word\_size*num\_words$ \\ \hline -\texttt{Words Per Row} & $\sqrt(num\_words)/word\_size$ \\ \hline -\texttt{Num of Rows} & $num\_words/words\_per\_row$ \\ \hline -\texttt{Num of Cols} & $words\_per\_row*word\_size$ \\ \hline -\texttt{Col Addr Size} & $\log_2(words\_per\_row)$ \\ \hline -\texttt{Row Addr Size} & $\log_2(num\_of\_rows)$ \\ \hline -\texttt{Total Addr Size} & $row\_addr\_size + col\_addr\_size$ \\ \hline -\texttt{Data Size} & $word\_size$ \\ \hline -\texttt{Num of Bank} & $num\_banks$ \\ \hline -\end{tabular} -\label{table:variables} -\end{table} - -\subsubsection{Block Classes} - -Every other block in the memory design has a class for its base cell -(e.g., sense\_amplifier.py) and an array class (e.g., -sense\_amplifier\_array.py) that is responsible for tiling the base -cell. Each class is responsible for physically placing and logically -connecting its own sub-circuits while passing its dimensions and port -locations up to higher-level modules. - -\subsubsection{Low-Level Classes} - -OpenRAM provides parameterized transistor and logic gate -classes that help with technology portability. These classes generate -a technology-specific transistor and simple logic gate layouts so that -many modules do not rely on library cells. It is also used -when a module such as the write driver needs transistor sizing -to optimize performance. The parameterized transistor (ptx.py) generates a -basic transistor of specified type and size. The parameterized -transistor class is used to provide several parameterized gates -including pinv.py, nand2.py, nand3.py, and nor2.py. - -% FIXME -% crude fix to preven widow Section -%\clearpage -\subsection{Characterization} - -% overview -OpenRAM includes a memory characterizer that measures the timing and -power characteristics through SPICE simulation. The -characterizer has four main stages: generating the SPICE stimulus, -running the circuit simulations, parsing the simulator's output, and -producing the characteristics in a Liberty (.lib) file. - -% standard format of stimulus -The stimulus is written in standard SPICE format and can be used with -any simulator that supports this. The stimulus only uses the -interface of the memory (e.g., bi-directional data bus, address bus, -and control signals) to perform \enquote{black box} timing measurements. - -% what is measured and how -Results from simulations are used to produce the average power, -setup/hold times, and timing delay of the memory design. Setup and -hold times are obtained by analyzing the flip-flop library cell -because OpenRAM uses a completely synchronous input interface. The -setup time, hold time, and delay are found using a fast bisection -search. - -\subsection{Unit Tests} - -Probably the most important feature of OpenRAM is the set of thorough -regression tests implemented with the Python unit test framework. -These unit tests allow users to add features and easily verifying if -functionality is broken. The tests also work in multiple technologies -so they can guide users when porting to new technologies. Every module -has its own regression test and there are also regression tests for -memory functionality, verifying library cells, timing -characterization, and technology verification. - diff --git a/ICCAD16_openram_paper/introduction.tex b/ICCAD16_openram_paper/introduction.tex deleted file mode 100644 index 5d9ce448..00000000 --- a/ICCAD16_openram_paper/introduction.tex +++ /dev/null @@ -1,68 +0,0 @@ -\section{Introduction} -\label{sec:introduction} - -% why memory compilers are important -Static Random Access Memories (SRAMs) have become a standard component -embedded in all System-on-Chip (SoC), Application-Specific Integrated -Circuit (ASIC), and micro-processor designs. Their wide application -leads to a variety of requirements in circuit design and memory -configuration. However, manual design is -too time consuming. The -regular structure of memories leads well to automation that produces -size and configuration variations quickly, but developing this with -multiple technologies and tool methodologies is challenging. In -addition, memory designs play a significant role in overall system -performance and costs, so optimization is important. Thus, a memory -compiler is a critical tool. - -% why academics need memory compilers -Most academic ICs design methodologies are limited by the availability -of memories. Many standard-cell Process Design Kits (PDKs) are -available from foundries and vendors, but these PDKs frequently do not -come with memory arrays or memory compilers. If a memory compiler is -freely available, it often only supports a generic process technology -that is not fabricable. Due to academic funding restrictions, -commercial industry solutions are often not feasible for -researchers. In addition, these commercial solutions are limited in -customization of the memory sizes and specific components of the -memory. PDKs may have the options to request \enquote{black box} -memory models, but these are also not modifiable and have limited -available configurations. These restrictions and licensing issues make -comparison and experimentation with real world memories impossible. - -% manually designing is time consuming -Academic researchers are able to design their own custom memories, but -this can be a tedious and time-consuming task and may not be the intended -purpose of the research. Frequently, the memory design is the bare -minimum that the research project requires, -and, because of this, the memory designs are often inferior and are not -optimized. In memory research, peripheral circuits are often not -considered when comparing memory performance and density. The -lack of a customizable compiler makes it difficult for researchers to -prototype and verify circuits and methodologies beyond a single row or -column of memory cells. - -% what are the goals of OpenRAM -The OpenRAM project aims to provide an open-source memory compiler -development framework for memories. It provides reference circuit and -physical implementations in a generic $45$nm technology and fabricable -Scalable CMOS (SCMOS), but it has also been ported to several -commercial technology nodes using a simple technology file. OpenRAM -also includes a characterization methodology so that it can generate -the timing and power characterization results in addition to circuits and -layout while remaining independent of specific commercial tools. Most -importantly, OpenRAM is completely user-modifiable since all source -code is open source at: -\begin{center} -\url{https://openram.soe.ucsc.edu/} -\end{center} - -The remainder of this paper is organized as follows: -Section~\ref{sec:background} provides a background on previous memory -compilers. Section~\ref{sec:architecture} presents the reference -memory architecture in OpenRAM. Section~\ref{sec:implementation} -specifically introduces the implementation and main features of the -OpenRAM memory compiler. In Section~\ref{sec:results}, an analysis of -the area, timing and power is shown for different sizes and -technologies of memory. Finally, the paper is summarized in -Section~\ref{sec:conclusions}. diff --git a/ICCAD16_openram_paper/main.tex b/ICCAD16_openram_paper/main.tex deleted file mode 100644 index de7d95de..00000000 --- a/ICCAD16_openram_paper/main.tex +++ /dev/null @@ -1,122 +0,0 @@ -% This file should be compiled with V2.5 of "sig-alternate.cls" May 2012 -% This file has been modified by Brian Chen (bchen12@ucsc.edu) for the purpose of simplifying the sections -% -% This example file demonstrates the use of the 'sig-alternate.cls' -% V2.5 LaTeX2e document class file. It is for those submitting -% articles to ACM Conference Proceedings WHO DO NOT WISH TO -% STRICTLY ADHERE TO THE SIGS (PUBS-BOARD-ENDORSED) STYLE. -% The 'sig-alternate.cls' file will produce a similar-looking, -% albeit, 'tighter' paper resulting in, invariably, fewer pages. -% -% ---------------------------------------------------------------------------------------------------------------- -% This .tex file (and associated .cls V2.5) produces: -% 1) The Permission Statement -% 2) The Conference (location) Info information -% 3) The Copyright Line with ACM data -% 4) NO page numbers -% -% as against the acm_proc_article-sp.cls file which -% DOES NOT produce 1) thru' 3) above. -% -% Using 'sig-alternate.cls' you have control, however, from within -% the source .tex file, over both the CopyrightYear -% (defaulted to 200X) and the ACM Copyright Data -% (defaulted to X-XXXXX-XX-X/XX/XX). -% e.g. -% \CopyrightYear{2007} will cause 2007 to appear in the copyright line. -% \crdata{0-12345-67-8/90/12} will cause 0-12345-67-8/90/12 to appear in the copyright line. -% -% --------------------------------------------------------------------------------------------------------------- -% This .tex source is an example which *does* use -% the .bib file (from which the .bbl file % is produced). -% REMEMBER HOWEVER: After having produced the .bbl file, -% and prior to final submission, you *NEED* to 'insert' -% your .bbl file into your source .tex file so as to provide -% ONE 'self-contained' source file. -% -% ================= IF YOU HAVE QUESTIONS ======================= -% Questions regarding the SIGS styles, SIGS policies and -% procedures, Conferences etc. should be sent to -% Adrienne Griscti (griscti@acm.org) -% -% Technical questions _only_ to -% Gerald Murray (murray@hq.acm.org) -% =============================================================== -% -% For tracking purposes - this is V2.0 - May 2012 -% Custom Modified Version - November 2013 - -\documentclass{sig-alternate-05-2015} -%\RequirePackage[pdftex]{hyperref} -\usepackage{comment} -\usepackage{graphicx} -\usepackage[autostyle]{csquotes} -\usepackage{subfigure} - -\newcommand{\fixme}[1]{{\Large FIXME:} {\bf #1}} -\newcommand{\todo}[1]{{\bf TODO: {#1}}\\} -\newcommand{\note}[1]{{\bf Note:} \{#1\}\\} -\newcommand{\comm}[1]{\small{\it{ //{#1}}}} - - -% --- Author Metadata here --- -\conferenceinfo{ICCAD}{International Conference on Computer-Aided Design} -%\CopyrightYear{2007} % Allows default copyright year (20XX) to be over-ridden - IF NEED BE. -%\crdata{0-12345-67-8/90/01} % Allows default copyright data (0-89791-88-6/97/05) to be over-ridden - IF NEED BE. -% --- End of Author Metadata --- - -\title{OpenRAM: An Open-Source Memory Compiler\\ -\vspace{-0.5cm}\center{\normalsize{Invited Paper}}} -%\titlenote{Some Copyright info about OpenRAM??????}} - -\numberofauthors{1} -\author{ - %% TO DAC: Guthaus, Stine, Ataei, Chen, Wu, Sarwar -\alignauthor Matthew R. Guthaus$^1$, James E. Stine$^2$, Samira Ataei$^2$, \\Brian Chen$^1$, Bin Wu$^1$, Mehedi Sarwar$^2$ \\ -\affaddr{$^1$ Department of Computer Engineering, University of California Santa Cruz, Santa Cruz, CA 95064}\\ -\affaddr\{mrg, bchen12, bwu8\}@ucsc.edu \\ -\affaddr{$^2$ Electrical and Computer Engineering Department, Oklahoma State University, Stillwater, OK 74078}\\ -\affaddr\{james.stine, ataei, mehedis\}@okstate.edu} - - %% \alignauthor Matthew Guthaus, Brian Chen, Bin Wu \\ - %% \affaddr{Department of Computer Engineering} \\ - %% \affaddr{University of California Santa Cruz} \\ - %% \affaddr{Santa Cruz, CA 95064, USA} \\ - %% \affaddr{\{mrg,bchen12,bwu8\}@ucsc.edu} - %% \and - %% \alignauthor James Stine, Samira Ataei, Mehedi Sarwar \\ - %% \affaddr{Electrical and Computer Engineering Department} \\ - %% \affaddr{Oklahoma State University} \\ - %% \affaddr{Stillwater, OK 74078} \\ - %% \affaddr{\{james.stine,ataei,XXXX\}@okstate.edu} - %%} - - -\begin{document} - -\CopyrightYear{2016} -\setcopyright{acmlicensed} -\conferenceinfo{ICCAD '16,}{November 07 - 10, 2016, Austin, TX, USA} -\isbn{978-1-4503-4466-1/16/11}\acmPrice{\$15.00} -\doi{http://dx.doi.org/10.1145/2966986.2980098} -\maketitle - -\input{abstract} - -%\category{J.6}{COMPUTER-AIDED ENGINEERING}{\\Computer-aided design (CAD)} -%\terms{Design, Algorithms} -%\keywords{OpenRAM, Memory Compiler, Open-source} - -\input{introduction} -\input{background} -\input{architecture} -\input{implementation} -\input{results} -\input{conclusion} -\input{acknowledgments} - -\bibliographystyle{abbrv} -\bibliography{references} % Create bibliography using the file: references.bib - -%\input{appendix} -\end{document} diff --git a/ICCAD16_openram_paper/references.bib b/ICCAD16_openram_paper/references.bib deleted file mode 100644 index f5c56f41..00000000 --- a/ICCAD16_openram_paper/references.bib +++ /dev/null @@ -1,586 +0,0 @@ -@Comment @string{DAC = "ACM/IEEE Design Automation Conference~(DAC)"} -@Comment @string{TDEV = "IEEE Transactions on Electron Devices"} -@Comment @string{DATE = "IEEE Design, Automation and Test in Europe~(DATE)"} -@Comment @string{ISSCC = "IEEE International Solid-State Circuits Conference~(ISSCC)"} -@Comment @string{TVLSI = "IEEE Transactions on Very Large Scale Integration~(VLSI) Systems"} -@Comment @string{JSSC = "IEEE Journal of Solid-State Circuits~(JSSC)"} -@Comment @string{ICCD = "International Conference on Computer Design~(ICCD)"} -@Comment @string{ISLPED = "IEEE International Symposium on Low Power Electronics and Design~(ISLPED)"} -@Comment @STRING{ICCAD = "IEEE/ACM International Conference on Computer-Aided Design~(ICCAD)"} -@Comment @string{ASP-DAC = "IEEE Asia and South Pacific Design Automation Conference~(ASP-DAC)"} -@Comment @string{ISCAS = "IEEE International Symposium on Circuits and Systems~(ISCAS)"} -@Comment @string{TCAD = "IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems~(TCAD)"} -@Comment @string{GLSVLSI = "ACM Great Lakes Symposium on VLSI~(GLSVLSI)"} -@Comment @string{TCASI = "IEEE Transactions on Circuits and Systems I~(TCAS-I)"} -@Comment @string{TCASII = "IEEE Transactions on Circuits and Systems II~(TCAS-II)"} -@Comment @string{TC = "IEEE Transactions on Computers"} -@Comment @string{ISPD = "IEEE International Symposium on Physical Design~(ISPD)"} -@Comment @string{TODAES = "ACM Transactions on Design Automation of Electronic Systems~(TODAES)"} -@Comment @string{ISVLSI = "IEEE International Symposium on Very Large Scale Integration~(ISVLSI)"} -@Comment @string{ISQED = "International Symposium on Quality Electronic Design~(ISQED)"} -@Comment @string{TNUKE = "IEEE Transactions on Nuclear Science"} -@Comment @string{MWSCAS = "IEEE Midwest Symposium on Circuits and Systems~(MWSCAS)"} -@Comment @string{MSE = "IEEE International Conference on Microelectronic Systems Education~(MSE)"} - -@string{DAC = "DAC"} -@string{TDEV = "TDEV"} -@string{DATE = "DATE"} -@string{ISSCC = "ISSCC"} -@string{TVLSI = "TVLSI"} -@string{JSSC = "JSSC"} -@string{ICCD = "ICCD"} -@string{ISLPED = "ISLPED"} -@STRING{ICCAD = "ICCAD"} -@string{ASP-DAC = "ASP-DAC"} -@string{ISCAS = "ISCAS"} -@string{TCAD = "TCAD"} -@string{GLSVLSI = "GLSVLSI"} -@string{TCASI = "TCAS-I"} -@string{TCASII = "TCAS-II"} -@string{TC = "TCOMP"} -@string{ISPD = "ISPD"} -@string{TODAES = "TODAES"} -@string{ISVLSI = "ISVLSI"} -@string{ISQED = "ISQED"} -@string{TNUKE = "Trans. on Nuclear Science"} -@string{MWSCAS = "MWSCAS"} -@string{MSE = "MSE"} - -@book{Rabaey:2003, -title = {Digital Integrated Circuits: A Design Perspective}, -author = {J. Rabaey and A. Chandrakasan and B. Nikolić}, -year = {2003}, -publisher = {Pearson Education, Inc.}, -edition = {2nd} -} - -@book{Chandrakasan:2001, -title = {Design of High Performance Microprocessor Circuits}, -booktitle = {Design of High Performance Microprocessor Circuits}, -author = {A. Chandrakasan and W.J. Bowhill and F. Fox}, -year = {2001}, -publisher = {IEEE Press} -} - -@manual{gdsmill, -title = {GDS Mill User Manual}, -author = {M. Wieckowski}, -year = {2010} -} - -%these are end of chapter references from Rabaey -%%%%%%%%%%% -@article{Amrutur:2001, -author = {B.S. Amrutur and M.A. Horowitz}, -journal = JSSC, -title = {Fast Low-Power Decoders for RAMs}, -number = {10}, -pages = {1506-1515}, -volume = {36}, -year = {2001}, -month = {Oct} -} - -@inbook{Preston:2001, -title = {Register Files and Caches}, -author = {R.P. Preston}, -crossref = {Chandrakasan:2001} -} - -@book{Itoh:2001, -title = {VLSI Memory Chip Design}, -author = {K. Itoh}, -publisher = {Springer-Verlag}, -year = {2001} -} - -@article{Itoh:1990, -author = {K. Itoh}, -journal = JSSC, -title = {Trends in Megabit DRAM Circuit Design}, -number = {3}, -pages = {778-798}, -volume = {25}, -year = {1990}, -month = {Jun} -} - -@article{May:1979, -author = {T. May and M. Woods}, -journal = TDEV, -title = {Aplha-Particle-Induced Soft Errors in Dynamic Memories}, -number = {1}, -pages = {2-9}, -volume = {26}, -year = {1979}, -month = {Jan} -} - -@ARTICLE{Tosaka:1997, -author={Y. Tosaka and S. Satoh and T. Itakura and K. Suzuki and T. Sugii and H. Ehara and G.A. Woffinden}, -journal=TDEV, -title={Cosmic Ray Neutron-Induced Soft Errors in Sub-Half Micron CMOS Circuits}, -year={1997}, -volume={18}, -number={3}, -pages={99-101} -} - -@ARTICLE{Regitz:1970, -author={W.M. Regitz and J. Karp}, -journal=JSSC, -title={Three-transistor-cell 1024-bit 500-ns MOS RAM}, -year={1970}, -volume={5}, -number={5}, -pages={181-186} -} - -@INPROCEEDINGS{Kim1:2011, -author={S. Kim and M. Guthaus}, -booktitle=DAC, -title={Leakage-aware redundancy for reliable sub-threshold memories}, -year={2011}, -pages={435-440} -} - -@INPROCEEDINGS{Kim2:2011, -author={S. Kim and M. Guthaus}, -booktitle=VLSISOC, -title={SNM-aware power reduction and reliability improvement in 45nm {SRAM}s}, -year={2011}, -pages={204-207} -} - -@INPROCEEDINGS{Kim3:2011, -author={S. Kim and M. Guthaus}, -booktitle=ICCAD, -title={Low-power multiple-bit upset tolerant memory optimization}, -year={2011}, -pages={577-581} -} - -@INPROCEEDINGS{Kim:2012, -author={S. Kim and M. Guthaus}, -booktitle=VLSISOC, -title={Dynamic voltage scaling for SEU-tolerance in low-power memories}, -year={2012}, -pages={207-212} -} - - -@ARTICLE{Rusu:2003, -author={S. Rusu and J. Stinson and S. Tam and J. Leung and H. Muljono and B. Cherkauer}, -journal=JSSC, -title={A 1.5-GHz 130-nm Itanium reg; 2 Processor with 6-MB on-die L3 cache}, -year={2003}, -volume={38}, -number={11}, -pages={1887-1895} -} - -@article{itrs:2012, -author = {International Technology Roadmap for Semiconductors}, -title = {2012 ITRS Report: System Drivers}, -howpublished = {www.itrs.net}, -year = {2012} -} - -@article{Kurdahi:2006, -author = {F.J. Kurdahi and A.M. Eltawil and Y.H. Park and R.N Kanj and S.R. Nassif}, -journal = ISQED, -title = {System-level {SRAM} Yield Enhancement}, -year = {2006}, -month = {Mar} -} - - -@misc{i7:2011, - author = {A. Shimpi}, - title = {Intel Core i7 3960X (Sandy Bridge) Review: Keeping the High-End Alive}, - howpublished = {\url{http://www.anandtech.com/show/5091/intel-core-i7-3960x-sandy-bridge-e-review-keeping-the-high-end-alive}}, - year = {2011}, - month = {Nov} -} - - - -@misc{calibre:2013, - author = {Mentor Graphics}, - title = {Calibre nmDRC and nmLVS}, - howpublished = {\url{http://www.mentor.com/products/ic_nanometer_design/verification-signoff/physical-verification/}}, - year = {2013} -} - -@misc{hspice:2013, - author = {Synopsis}, - title = {HSPICE}, - howpublished = {\url{http://www.synopsys.com/tools/Verification/AMSVerification/CircuitSimulation/HSPICE/Pages/default.aspx}}, - year = {2013} -} - -@INPROCEEDINGS{Athe:2009, -author={P. Athe and S. Dasgupta}, -booktitle={{ISIEA}}, -title={A comparative study of 6T, 8T and 9T decanano {SRAM} cell}, -year={2009}, -volume={2}, -pages={889-894} -} - -@ARTICLE{Calin:1996, -author={T. Calin and M. Nicolaidis and R. Velazco}, -journal=TNUKE, -title={Upset hardened memory design for submicron CMOS technology}, -year={1996}, -volume={43}, -number={6}, -pages={2874-2878} -} - -@INPROCEEDINGS{Jung:2012, -author={I. Jung and Y. Kim and F. Lombardi}, -booktitle=MWSCAS, -title={A novel sort error hardened 10T {SRAM} cells for low voltage operation}, -year={2012}, -pages={714-717} -} - -@ARTICLE{Goudarzi:2010, -author={M. Goudarzi and T. Ishihara}, -journal=TVLSI, -title={{SRAM} Leakage Reduction by Row/Column Redundancy Under Random Within-Die Delay Variation}, -year={2010}, -volume={18}, -number={12}, -pages={1660-1671} -} - -@techreport{ibm:1997, - author = {IBM}, - title = {Understanding Static RAM Operation}, - howpublished = {IBM Applications Note}, - year = {1997}, - month = {Mar} -} - - -@misc{python:2013, - author = {Python}, - title = {The Python Programming Language}, - howpublished = {\url{http://www.python.org}}, - year = {2013} -} - - -@misc{Wieckowski:2010, - author = {Michael Wieckowski}, - title = {GDS Mill}, - howpublished = {\url{http://michaelwieckowski.com/?page_id=190}}, - year = {2010} -} - -@misc{globalfoundries:2015, - author = {{Global Foundries}}, - title = {{ASICs}}, - howpublished = {\url{http://www.globalfoundries.com/technology-solutions/asics}}, - year = {2015} -} - -@misc{synopsys:2015, - author = {Synopsys}, - title = {DesignWare Memory Compilers}, - howpublished = {\url{http://www.synopsys.com/dw/ipdir.php?ds=dwc_sram_memory_compilers}}, - year = {2015} -} - -@misc{dolphin:2015, - author = {{Dolphin Technology}}, - title = {Memory Products}, - howpublished = {\url{http://www.dolphin-ic.com/memory-products.html}}, - year = {2015} -} - -@misc{faraday:2015, - author = {{Faraday Technologies}}, - title = {Memory Compiler Architecture}, - howpublished = {\url{http://www.faraday-tech.com/html/Product/IPProduct/LibraryMemoryCompiler/index.htm}}, - year = {2015} -} - -@misc{arm:2015, - author = {ARM}, - title = {Embedded Memory {IP}}, - howpublished = {\url{http://www.arm.com/products/physical-ip/embedded-memory-ip/index.php}}, - year = {2015} -} - - -@misc{scmos, - author = {MOSIS}, - title = {{MOSIS} Scalable {CMOS} ({SCMOS})}, - howpublished = {\url{https://www.mosis.com/files/scmos/scmos.pdf}}, - year = {2015} - } - -%%%look at this paper -@article{Hanson:2008, -author = {S. Hanson and M. Seok and D. Sylvester and D. Blaauw}, -journal = TDEV, -title = {Nanometer device scaling in subthreshold logic and {SRAM}}, -number = {1}, -pages = {175-185}, -volume = {55}, -year = {2008} -} - -%%%look at this paper -@article{Baeg:2009, -author={S. Baeg and S. Wen and R. Wong}, -journal=TNUKE, -title={{SRAM} Interleaving Distance Selection With a Soft Error Failure Model}, -year={2009}, -month={Aug.}, -volume={56}, -number={4}, -pages={2111-2118} -} - -%%%look at this paper -@article{Amrutur:2001, -author={B. Amrutur and M. Horowitz}, -journal=JSSC, -title={Fast low-power decoders for {RAMs}}, -year={2001}, -month={Oct}, -volume={36}, -number={10}, -pages={1506-1515} -} - -@inproceedings{Chen:2012, -author={Chen Ming and Bai Na}, -booktitle={{CyberC}}, -title={An Efficient and Flexible Embedded Memory {IP} Compiler}, -year={2012}, -month={Oct}, -pages={268-273}, -keywords={SRAM chips;embedded systems;interpolation;polynomials;circuit structure;efficient embedded memory IP compiler;flexible embedded memory IP compiler;polynomial interpolation algorithm;single-port SRAM compiler;Integrated circuit modeling;Interpolation;Mathematical model;Memory management;Random access memory;Tiles;Timing;SRAM;interpolation;memory compiler;modeling;tiling}, -doi={10.1109/CyberC.2012.52} -} - -@inproceedings{Wu:2010, -author={Sheng Wu and Xiang Zheng and Zhiqiang Gao and Xiangqing He}, -booktitle={{DDECS}}, -title={A 65nm embedded low power {SRAM} compiler}, -year={2010}, -month={April}, -pages={123-124}, -keywords={CMOS technology;Design methodology;Helium;Kernel;Layout;Libraries;Microelectronics;Program processors;Random access memory;SRAM chips;SRAM compiler;SoC IP;low power}, -doi={10.1109/DDECS.2010.5491802} -} - -@inproceedings{Xu:2007, -author={Yi Xu and Zhiqiang Gao and Xiangqing He}, -booktitle=ISCAS, -title={A Flexible Embedded {SRAM} {IP} Compiler}, -year={2007}, -month={May}, -pages={3756-3759}, -keywords={SRAM chips;circuit layout CAD;elemental semiconductors;embedded systems;logic design;program compilers;silicon;Si;atatic random access memory;block assembly techniques;embedded SRAM IP compiler;physical data syntax;silicon compiler;Assembly;Capacitance;Circuits;Energy consumption;Graphical user interfaces;Helium;Microelectronics;Random access memory;SRAM chips;Silicon compiler}, -doi={10.1109/ISCAS.2007.378778} -} - -%%%%Newest memory compiler on market in 2014 -@inproceedings{Goldman:2014, -author={Goldman, R. and Bartleson, K. and Wood, T. and Melikyan, V. and Babayan, E.}, -booktitle={{EWME}}, -title={Synopsys' Educational Generic Memory Compiler}, -year={2014}, -month={May}, -pages={89-92}, -keywords={SRAM chips;courseware;electronic engineering computing;electronic engineering education;GMC software tool;Synopsys educational generic memory compiler software tool;automatic SRAM cell generation;automatic static RAM cell generation;educational designs;educational process;intellectual property restrictions;Educational institutions;Layout;Memory management;Multiplexing;Ports (Computers);Random access memory;Software}, -doi={10.1109/EWME.2014.6877402} -} - -@mastersthesis{butera:2013, - author = {J. Butera}, - title = {OpenRAM: An Open-Source Memory Compiler}, - school = {University of California - Santa Cruz}, - year = {2013} -} - -@inproceedings{johannsen:blocks, - author = {D. Jahannsen}, - title = {Bristle Blocks: A Silicon Compiler}, - booktitle = DAC, - pages = {195-198}, - year = {1979} -} - -@book{broderson:sicompiler, - author = {R. Broderson}, - title = {Anatomy of a Silicon Compiler}, - publisher = {Springer}, - year = {1992} -} - -@inproceedings{poechmueller:array, - author = {P. Poechmueller and G.~K. Sharma and M. Glesner}, - title = {A {CAD} Tool for Designing Large, Fault-Tolerant {VLSI} Arrays}, - booktitle = GLSVLSI, - year = {1991} -} - -@inproceedings{huang:array, - author = {T.-H. Huang and C.-M. Liu and C.-W. Jen}, - title = {A High-Level Synthesizer for {VLSI} Array Architectures Dedicated to Digital Signal Processing}, - booktitle = {International Conference on Acoustics, Speech and Signal Processing}, - pages = {1221-1224}, - year = {1991} -} - - -@article{cabe:flexible, -author = {AC Cabe and Z Qi and W Huang and Y Zhang and MR Stan and GS Rose}, -journal = {Cadence CDNLive}, -title = {A flexible, technology adaptive memory generation tool}, -year = {2006}, -} - -@mastersthesis{fabmem:2010, - author = {T. Shah}, - title = {{FabMem}: A Multiported {RAM} and {CAM} Compiler for Superscalar Design Space Exploration}, - school = {North Carolina State University}, - year = {2010} -} - -@misc{virage:2015, - author = {{Virage Logic}}, - title = {{SiWare} Memory}, - howpublished = {\url{http://www.viragelogic.com}}, - year = {2015} -} - - -@article{RBL:1998, -author = {B. S. Amrutur and M. A. Horowitz}, -journal = JSSC, -title = {A Replica Technique for Wordline and Sense Control in Low-Power {SRAM}s}, -number = {8}, -pages = {1208-1219}, -volume = {33}, -year = {1998}, -month = {Aug} -} - - - - -% references for bit-density comparison - - -@article{Bit_Density_1, -author = {K. Kushida and others}, -journal = JSSC, -title = {A 0.7 {V} Single-Supply {SRAM} With 0.495 $um^2$ Cell in 65 nm Technology Utilizing Self-Write-Back Sense Amplifier and Cascaded Bit Line Scheme}, -number = {4}, -pages = {1192-1198}, -volume = {44}, -year = {2009}, -month = {Apr} -} - - -@article{Bit_Density_2, -author = {Sh. Miyano and others}, -journal = JSSC, -title = {Highly Energy-Efficient {SRAM} With Hierarchical Bit Line Charge-Sharing Method Using Non-Selected Bit Line Charges}, -number = {4}, -pages = {924-931}, -volume = {48}, -year = {2013}, -month = {Apr} -} - -@article{Bit_Density_3, -author = {S. O. Toh and Zh. Guo and T. K. Liu and B. Nikolic}, -journal = JSSC, -title = {Characterization of Dynamic {SRAM} Stability in 45 nm {CMOS}}, -number = {11}, -pages = {2702-2712}, -volume = {46}, -year = {2011}, -month = {Nov} -} - -@article{Bit_Density_4, -author = {K. Yamaguchi and others}, -journal = JSSC, -title = {A 1.5-ns Access Time, 78- $um^2$ Memory-Cell Size, 64-kb {ECL-CMOS SRAM}}, -number = {2}, -pages = {167-174}, -volume = {27}, -year = {1992}, -month = {Feb} -} - -@article{Bit_Density_5, -author = {N. Shibata and H. Morimura and M. Watanabe}, -journal = JSSC, -title = {A {1-V}, {10-MHz}, 3.5-mW, {1-Mb} {MTCMOS SRAM} with Charge-Recycling Input/Output Buffers}, -number = {6}, -pages = {866-877}, -volume = {34}, -year = {1999}, -month = {Jun} -} - -@article{Bit_Density_6, -author = {N. Tamba and others}, -journal = JSSC, -title = {A 1.5-ns 256-kb {BiCMOS SRAM} with 60-ps 11-K Logic Gates}, -number = {11}, -pages = {1344-1352}, -volume = {48}, -year = {1994}, -month = {Nov} -} - -%author={Yamaguchi, K. and Nambu, H. and Kanetani, K. and Idei, Y. and Homma, N. and Hiramoto, T. and Tamba, N. and Watanabe, K. and Odaka, Masanori and Ikeda, T. and Ohhata, K. and Sakurai, Y.}, -@ARTICLE{127339, -author={Yamaguchi, K. and others}, -journal=JSSC, -title={A $1.5$-ns access time, $78~um^2$ memory-cell size, $64$-kb {ECL-CMOS SRAM}}, -year={1992}, -volume={27}, -number={2}, -pages={167-174}, -doi={10.1109/4.127339}, -ISSN={0018-9200}, -month={Feb}, -} - -%author={Kushida, K. and Suzuki, A. and Fukano, G. and Kawasumi, A. and Hirabayashi, O. and Takeyama, Y. and Sasaki, T. and Katayama, A. and Fujimura, Y. and Yabe, T.}, -@INPROCEEDINGS{4585946, -author={Kushida, K. and others}, -booktitle=ISVLSI, -title={A $0.7$V single-supply {SRAM} with $0.495~um^2$ cell in $65$nm technology - utilizing self-write-back sense amplifier and cascaded bit - line scheme}, -year={2008}, -pages={46-47}, -doi={10.1109/VLSIC.2008.4585946}, -month={June} -} - -%author={Stine, J.E. and Castellanos, I. and Wood, M. and Henson, J. and Love, F. and Davis, W.R. and Franzon, P.D. and Bucher, M. and Basavarajaiah, S. and Julie Oh and Jenkal, R.}, -@INPROCEEDINGS{4231502, -author={J. E. Stine and others}, -booktitle=MSE, -title={{FreePDK}: An Open-Source Variation-Aware Design Kit}, -year={2007}, -pages={173-174}, -doi={10.1109/MSE.2007.44}, -month={June} -} \ No newline at end of file diff --git a/ICCAD16_openram_paper/results.tex b/ICCAD16_openram_paper/results.tex deleted file mode 100644 index e6cd5380..00000000 --- a/ICCAD16_openram_paper/results.tex +++ /dev/null @@ -1,115 +0,0 @@ -\section{Results} -\label{sec:results} - -Figure~\ref{fig:layout} shows several different SRAM layouts -generated by OpenRAM in FreePDK45. OpenRAM can generate single -bank and multi-bank SRAM arrays. Banks are -symmetrically placed to have the same delay for data and address -while sharing peripheral blocks such as decoders. -\begin{figure}[tb] -\centering -\includegraphics[scale=.4]{./figs/layout.pdf} -\caption{Single bank and multi-bank SRAMs (not to scale) use - symmetrical bank placement to share peripheral circuitry and - equalize signal delays.} -\label{fig:layout} -\end{figure} - -Figure~\ref{fig:density_figure} shows the memory area of different -total size and data word width memories in both FreePDK45 and -SCMOS. As expected, the smaller process technology (45nm) has lower -total area overall but the trends are similar in both technologies. - -Figure~\ref{fig:density_figure} also shows the access time of -different size and data word width in FreePDK45 and SCMOS. Increasing -the memory size generally increases the access time; long bit-lines -and word-lines increase the access time by adding more parasitic -capacitance and resistance. Since OpenRAM uses multiple banks and -column muxing, it is possible to have a smaller access time for larger -memory designs, but this will sacrifice density. - -\begin{figure}[tb] -\begin{center} -\centering -%\includegraphics[width=8.5cm]{./figs/Results.pdf} -\includegraphics[width=7.5cm , height=14cm]{./figs/Results2.pdf} -% \subfigure[FreePDK45 memory area \label{fig:freepdk_area}]{ -% \includegraphics[scale=1]{./figs/Freepdk_Area.pdf}} -% \subfigure[SCMOS memory area \label{fig:scn3me_area}]{ -% \includegraphics[scale=.5]{./figs/Scn3me_Area.pdf}} - \caption{OpenRAM provides high-density memories in multiple - technologies and sizes with corresponding characterized - delays. \label{fig:density_figure}} - \vspace{-0.5cm} -\end{center} -\end{figure} - -%Table~\ref{table:bit-density-comparison} shows a comparison between bit -%density of OpenRAM's generated memory designs and other publications -%which are close in technology node with FreePDK45 and SCMOS. As shown -%in this table, OpenRAM provides very dense SRAM arrays in both technologies. - -\begin{table}[t] -\centering -\caption{OpenRAM has high density compared to other published memories in - similar technologies.} -\begin{tabular}{|c|c|c|c|l|l|l|l|l|} \hline -\texttt{Ref.} & \texttt{Feature} & \texttt{Tech.} & \texttt{Density} \\ - & \texttt{Size} & & [Mb/$mm^2$] \\ -\hline \hline -$~\cite{4585946}$ & $65$ nm & CMOS & $0.7700$ \\ \hline -$~\cite{Bit_Density_3}$ & $45$ nm & CMOS & $0.3300$ \\ \hline -$~\cite{Bit_Density_2}$ & $40$ nm & CMOS & $0.9400$ \\ \hline -\verb+OpenRAM+ & $45$ nm & FreePDK45 & $0.8260$ \\ \hline \hline -$~\cite{127339}$ & $0.5$ um & CMOS & $0.0036$ \\ \hline -$~\cite{Bit_Density_6}$ & $0.5$ um & BiCMOS & $0.0020$ \\ \hline -$~\cite{Bit_Density_5}$ & $0.5$ um & CMOS & $0.0050$ \\ \hline -\verb+OpenRAM+ & $0.5$ um & SCMOS & $0.0050$ \\ \hline -\end{tabular} -\label{table:bit-density-comparison} -\end{table} - -%\begin{table*} -%\centering -%\caption{OpenRAM has high density, fast access time and low power consumption compared to other published memories in similar technologies.} -%\begin{tabular}{|c|l|l|l|l|l|l|l|l|} \hline -%\texttt{Reference} & \texttt{Technology} & \texttt{Density (Mb/$mm^2$)}& \texttt{Access time (ns)}& \texttt{Power consumption} \\ \hline \hline -%$~\cite{Bit_Density_1}$ & $65 nm CMOS$ & $0.77$ & $28$ & $22$ $uW/MHz$ \\ \hline -%$~\cite{Bit_Density_2}$ & $40 nm CMOS$ & $0.94$ & $45$ & $13.8$ $pJ/access/Mbit$ \\ \hline -%$OpenRAM$ & $45 nm FreePDK45$ & $0.826$ & $9.86$ & $13.14$ $mW$ \\ \hline \hline -%$~\cite{Bit_Density_4}$ & $0.5 um CMOS$ & $0.0036$ & $1.5$ & $6$ $W$ \\ \hline -%$~\cite{Bit_Density_6}$ & $0.5 um BiCMOS$ & $0.002$ & $1.5$ & $35$ $W$ \\ \hline -%$~\cite{Bit_Density_5}$ & $0.5 um CMOS$ & $0.005$ & $75$ & $3.9$ $mW$ \\ \hline -%$OpenRAM$ & $0.5 um SCMOS$ & $0.005$ & $44.9$ & $115$ $mW$ \\ \hline -%\end{tabular} -%\label{table:bit-density-comparison} -%\end{table*} - -Comparison of power consumption and read access time of different -memories is a bit more complicated to make a conclusion, because there -are many trade-offs. Power and performance are highly dependent on -circuit style (CMOS, ECL, etc.), memory organization (more banks is -faster but sacrifices density), and the optimization goal: low-power -or high-performance. In general, OpenRAM has reasonable trade-off -between the two and can be customized by using an alternate sense -amplifiers, decoders, or overall dimensional organization. -Table~\ref{table:bit-density-comparison} compares the bit-density of -OpenRAM against published designs using similar technology nodes. The -results show the benefit of technology scaling and that OpenRAM has -very good density in both technologies. As a comparison, a 76ns SRAM -consumes 3.9mW~\cite{Bit_Density_5} while OpenRAM is much faster at -44.9ns but consumes 115mW for the same size. - -%Table~\ref{table:bit-density-comparison} shows a comparison between bit density, access -%time and power consumption of OpenRAM’s generated mem- -%ory designs and other publications which are close in tech- -%nology node with FreePDK45 and SCMOS. As shown in this -%table, OpenRAM provides very dense SRAM arrays in both -%technologies. There is no easy comparison on power con- -%sumption and read access time as these values vary with the -%array size and configuration. Therefore, we only try to com- -%pare the features of each work from a more general point of -%view. - - - diff --git a/ICCAD16_openram_paper/sig-alternate-05-2015.cls b/ICCAD16_openram_paper/sig-alternate-05-2015.cls deleted file mode 100755 index b922ec29..00000000 --- a/ICCAD16_openram_paper/sig-alternate-05-2015.cls +++ /dev/null @@ -1,1893 +0,0 @@ -% SIG-ALTERNATE.CLS - VERSION 2.8 -% "COMPATIBLE" WITH THE "ACM_PROC_ARTICLE-SP.CLS" V3.2SP -% Gerald Murray - May 23rd 2012 -% Boris Veytsman - April 23 2013 -% Boris Veytsman - May 12 2013 -% Boris Veytsman - June 09 2013 -% Boris Veytsman - August 12 2013 -% -% ---- Start of 'updates' ---- -% Added new permission/copyright statement - BV -% Changed $10 fee to $15 -- May 2012 -- Gerry -% Changed $5 fee to $10 -- April 2009 -- Gerry -% April 22nd. 2009 - Fixed 'Natbib' incompatibility problem - Gerry -% April 22nd. 2009 - Fixed 'Babel' incompatibility problem - Gerry -% April 22nd. 2009 - Inserted various bug-fixes and improvements - Gerry -% -% To produce Type 1 fonts in the document plus allow for 'normal LaTeX accenting' in the critical areas; -% title, author block, section-heads, confname, etc. etc. -% i.e. the whole purpose of this version update is to NOT resort to 'inelegant accent patches'. -% After much research, three extra .sty packages were added to the the tail (ae, aecompl, aeguill) to solve, -% in particular, the accenting problem(s). We _could_ ask authors (via instructions/sample file) to 'include' these in -% the source .tex file - in the preamble - but if everything is already provided ('behind the scenes' - embedded IN the .cls) -% then this is less work for authors and also makes everything appear 'vanilla'. -% NOTE: all 'patchwork accenting" has been commented out (here) and is no longer 'used' in the sample .tex file (either). -% Gerry June 2007 -% -% Patch for accenting in conference name/location. Gerry May 3rd. 2007 -% Rule widths changed to .5, author count (>6) fixed, roll-back for Type 3 problem. Gerry March 20th. 2007 -% Changes made to 'modernize' the fontnames but esp. for MikTeX users V2.4/2.5 - Nov. 30th. 2006 -% Updated the \email definition to allow for its use inside of 'shared affiliations' - Nov. 30th. 2006 -% Fixed the 'section number depth value' - Nov. 30th. 2006 -% -% Footnotes inside table cells using \minipage (Oct. 2002) -% Georgia fixed bug in sub-sub-section numbering in paragraphs (July 29th. 2002) -% JS/GM fix to vertical spacing before Proofs (July 30th. 2002) -% -% Made the Permission Statement / Conference Info / Copyright Info -% 'user definable' in the source .tex file OR automatic if -% not specified. -% -% Allowance made to switch default fonts between those systems using -% normal/modern font names and those using 'Type 1' or 'Truetype' fonts. -% See LINE NUMBER 255 for details. -% Also provided for enumerated/annotated Corollaries 'surrounded' by -% enumerated Theorems (line 848). -% Gerry November 11th. 1999 -% -% ---- End of 'updates' ---- -% -\def\fileversion{v2.9} % for ACM's tracking purposes -\def\filedate{August 12, 2013} % Gerry Murray's tracking data -\def\docdate {\filedate} -\usepackage{epsfig} -\usepackage{amssymb} -\usepackage{amsmath} -\usepackage{amsfonts} -% Need this for accents in Arial/Helvetica -%\usepackage[T1]{fontenc} % Gerry March 12, 2007 - causes Type 3 problems (body text) -%\usepackage{textcomp} -% -% SIG-ALTERNATE DOCUMENT STYLE -% G.K.M. Tobin August-October 1999 -% adapted from ARTICLE document style by Ken Traub, Olin Shivers -% also using elements of esub2acm.cls -% HEAVILY MODIFIED, SUBSEQUENTLY, BY GERRY MURRAY 2000 -% ARTICLE DOCUMENT STYLE -- Released 16 March 1988 -% for LaTeX version 2.09 -% Copyright (C) 1988 by Leslie Lamport -% -% -%%% sig-alternate.cls is an 'ALTERNATE' document style for producing -%%% two-column camera-ready pages for ACM conferences. -%%% THIS FILE DOES NOT STRICTLY ADHERE TO THE SIGS (BOARD-ENDORSED) -%%% PROCEEDINGS STYLE. It has been designed to produce a 'tighter' -%%% paper in response to concerns over page budgets. -%%% The main features of this style are: -%%% -%%% 1) Two columns. -%%% 2) Side and top margins of 4.5pc, bottom margin of 6pc, column gutter of -%%% 2pc, hence columns are 20pc wide and 55.5pc tall. (6pc =3D 1in, approx) -%%% 3) First page has title information, and an extra 6pc of space at the -%%% bottom of the first column for the ACM copyright notice. -%%% 4) Text is 9pt on 10pt baselines; titles (except main) are 9pt bold. -%%% -%%% -%%% There are a few restrictions you must observe: -%%% -%%% 1) You cannot change the font size; ACM wants you to use 9pt. -%%% 3) You must start your paper with the \maketitle command. Prior to the -%%% \maketitle you must have \title and \author commands. If you have a -%%% \date command it will be ignored; no date appears on the paper, since -%%% the proceedings will have a date on the front cover. -%%% 4) Marginal paragraphs, tables of contents, lists of figures and tables, -%%% and page headings are all forbidden. -%%% 5) The `figure' environment will produce a figure one column wide; if you -%%% want one that is two columns wide, use `figure*'. -%%% -% -%%% Copyright Space: -%%% This style automatically reserves 1" blank space at the bottom of page 1/ -%%% column 1. This space can optionally be filled with some text using the -%%% \toappear{...} command. If used, this command must be BEFORE the \maketitle -%%% command. If this command is defined AND [preprint] is on, then the -%%% space is filled with the {...} text (at the bottom); otherwise, it is -%%% blank. If you use \toappearbox{...} instead of \toappear{...} then a -%%% box will be drawn around the text (if [preprint] is on). -%%% -%%% A typical usage looks like this: -%%% \toappear{To appear in the Ninth AES Conference on Medievil Lithuanian -%%% Embalming Technique, June 1991, Alfaretta, Georgia.} -%%% This will be included in the preprint, and left out of the conference -%%% version. -%%% -%%% WARNING: -%%% Some dvi-ps converters heuristically allow chars to drift from their -%%% true positions a few pixels. This may be noticeable with the 9pt sans-serif -%%% bold font used for section headers. -%%% You may turn this hackery off via the -e option: -%%% dvips -e 0 foo.dvi >foo.ps -%%% -\typeout{Document Class 'sig-alternate' <9th June '13>. Modified by - G.K.M. Tobin/Gerry Murray/Boris Veytsman} -\typeout{Based in part upon document Style `acmconf' <22 May 89>. Hacked 4/91 by} -\typeout{shivers@cs.cmu.edu, 4/93 by theobald@cs.mcgill.ca} -\typeout{Excerpts were taken from (Journal Style) 'esub2acm.cls'.} -\typeout{****** Bugs/comments/suggestions/technicalities to Gerry Murray -- murray@hq.acm.org ******} -\typeout{Questions on the style, SIGS policies, etc. to Adrienne Griscti griscti@acm.org} - -% New option code by BV - -\newcount\ACM@basesize -\ACM@basesize=9\relax -\DeclareOption{9pt}{\ACM@basesize=9\relax} -\DeclareOption{10pt}{\ACM@basesize=10\relax} -\DeclareOption{11pt}{\ClassError{sig-alternate}{The `11pt' option is - not allowed}{sig-alternate now exists in 9pt and 10pt versions only}} -\DeclareOption{12pt}{\ClassError{sig-alternate}{The `12pt' option is - not allowed}{sig-alternate now exists in 9pt and 10pt versions only}} - -\ExecuteOptions{9pt} -\ProcessOptions - - -\let\@concepts\@empty -% Support for CCSXML file -\RequirePackage{comment} -\excludecomment{CCSXML} - -% New concepts scheme -% -% The first argument is the significance, the -% second is the concept(s) -% -\newcommand\ccsdesc[2][100]{% - \ccsdesc@parse#1~#2~} -% -% The parser of the expression Significance~General~Specific -% -\def\ccsdesc@parse#1~#2~#3~{% - \expandafter\ifx\csname CCS@#2\endcsname\relax - \expandafter\gdef\csname CCS@#2\endcsname{\textbullet\textbf{#2} $\to$ }% - \g@addto@macro{\@concepts}{\csname CCS@#2\endcsname}\fi - \expandafter\g@addto@macro\expandafter{\csname CCS@#2\endcsname}{% - \ifnum#1>499\textbf{#3; }\else - \ifnum#1>299\textit{#3; }\else - #3; \fi\fi}} - -\newcommand\printccsdesc{% - \ifx\@concepts\@empty\else - \if@twocolumn - \section*{CCS Concepts} - \@concepts - \else \small - \quotation{\@concepts}% - \fi - \fi} - - - - -\def\doi#1{\def\@doi{#1}} -\doi{http://dx.doi.org/10.1145/0000000.0000000} - -\oddsidemargin 4.5pc -\evensidemargin 4.5pc -\advance\oddsidemargin by -1in % Correct for LaTeX gratuitousness -\advance\evensidemargin by -1in % Correct for LaTeX gratuitousness -\marginparwidth 0pt % Margin pars are not allowed. -\marginparsep 11pt % Horizontal space between outer margin and - % marginal note - - % Top of page: -\topmargin 4.5pc % Nominal distance from top of page to top of - % box containing running head. -\advance\topmargin by -1in % Correct for LaTeX gratuitousness -\headheight 0pt % Height of box containing running head. -\headsep 0pt % Space between running head and text. - % Bottom of page: -\footskip 30pt % Distance from baseline of box containing foot - % to baseline of last line of text. -\@ifundefined{footheight}{\newdimen\footheight}{}% this is for LaTeX2e -\footheight 12pt % Height of box containing running foot. - -%% Must redefine the top margin so there's room for headers and -%% page numbers if you are using the preprint option. Footers -%% are OK as is. Olin. -\advance\topmargin by -37pt % Leave 37pt above text for headers -\headheight 12pt % Height of box containing running head. -\headsep 25pt % Space between running head and text. - -\textheight 666pt % 9 1/4 column height -\textwidth 42pc % Width of text line. - % For two-column mode: -\columnsep 2pc % Space between columns -\columnseprule 0pt % Width of rule between columns. -\hfuzz 1pt % Allow some variation in column width, otherwise it's - % too hard to typeset in narrow columns. - -\ifnum\ACM@basesize=9\relax -\footnotesep 5.6pt % Height of strut placed at the beginning of every - % footnote =3D height of normal \footnotesize strut, - % so no extra space between footnotes. -\fi -\ifnum\ACM@basesize=10\relax -\footnotesep 6.22pt % Height of strut placed at the beginning of every - % footnote =3D height of normal \footnotesize strut, - % so no extra space between footnotes. -\fi - -\skip\footins 8.1pt plus 4pt minus 2pt % Space between last line of text and - % top of first footnote. -\floatsep 11pt plus 2pt minus 2pt % Space between adjacent floats moved - % to top or bottom of text page. -\textfloatsep 18pt plus 2pt minus 4pt % Space between main text and floats - % at top or bottom of page. -\intextsep 11pt plus 2pt minus 2pt % Space between in-text figures and - % text. -\@ifundefined{@maxsep}{\newdimen\@maxsep}{}% this is for LaTeX2e -\@maxsep 18pt % The maximum of \floatsep, - % \textfloatsep and \intextsep (minus - % the stretch and shrink). -\dblfloatsep 11pt plus 2pt minus 2pt % Same as \floatsep for double-column - % figures in two-column mode. -\dbltextfloatsep 18pt plus 2pt minus 4pt% \textfloatsep for double-column - % floats. -\@ifundefined{@dblmaxsep}{\newdimen\@dblmaxsep}{}% this is for LaTeX2e -\@dblmaxsep 18pt % The maximum of \dblfloatsep and - % \dbltexfloatsep. -\@fptop 0pt plus 1fil % Stretch at top of float page/column. (Must be - % 0pt plus ...) -\@fpsep 8pt plus 2fil % Space between floats on float page/column. -\@fpbot 0pt plus 1fil % Stretch at bottom of float page/column. (Must be - % 0pt plus ... ) -\@dblfptop 0pt plus 1fil % Stretch at top of float page. (Must be 0pt plus ...) -\@dblfpsep 8pt plus 2fil % Space between floats on float page. -\@dblfpbot 0pt plus 1fil % Stretch at bottom of float page. (Must be - % 0pt plus ... ) -\marginparpush 5pt % Minimum vertical separation between two marginal - % notes. - -\parskip 0pt plus 1pt % Extra vertical space between - % paragraphs. -\ifnum\ACM@basesize=9\relax -\parindent 9pt % GM July 2000 / was 0pt - width of paragraph - % indentation. -\fi -\ifnum\ACM@basesize=10\relax -\parindent 10pt % GM July 2000 / was 0pt - width of paragraph - % indentation. -\fi -\partopsep 2pt plus 1pt minus 1pt% Extra vertical space, in addition to - % \parskip and \topsep, added when user - % leaves blank line before environment. - -\@lowpenalty 51 % Produced by \nopagebreak[1] or \nolinebreak[1] -\@medpenalty 151 % Produced by \nopagebreak[2] or \nolinebreak[2] -\@highpenalty 301 % Produced by \nopagebreak[3] or \nolinebreak[3] - -\@beginparpenalty -\@lowpenalty % Before a list or paragraph environment. -\@endparpenalty -\@lowpenalty % After a list or paragraph environment. -\@itempenalty -\@lowpenalty % Between list items. - - -\RequirePackage{ifpdf}% -\ifpdf -\pdfpagewidth=8.5in -\pdfpageheight=11in -\fi - - -\lineskip 2pt % \lineskip is 1pt for all font sizes. -\normallineskip 2pt -\def\baselinestretch{1} - - -\ifnum\ACM@basesize=9\relax -\abovedisplayskip 9pt plus2pt minus4.5pt% -\belowdisplayskip \abovedisplayskip -\abovedisplayshortskip \z@ plus3pt% -\belowdisplayshortskip 5.4pt plus3pt minus3pt% -\let\@listi\@listI % Setting of \@listi added 9 Jun 87 - -\def\small{\@setsize\small{9pt}\viiipt\@viiipt -\abovedisplayskip 7.6pt plus 3pt minus 4pt% -\belowdisplayskip \abovedisplayskip -\abovedisplayshortskip \z@ plus2pt% -\belowdisplayshortskip 3.6pt plus2pt minus 2pt -\def\@listi{\leftmargin\leftmargini %% Added 22 Dec 87 -\topsep 4pt plus 2pt minus 2pt\parsep 2pt plus 1pt minus 1pt -\itemsep \parsep}} - -\def\footnotesize{\@setsize\footnotesize{9pt}\ixpt\@ixpt -\abovedisplayskip 6.4pt plus 2pt minus 4pt% -\belowdisplayskip \abovedisplayskip -\abovedisplayshortskip \z@ plus 1pt% -\belowdisplayshortskip 2.7pt plus 1pt minus 2pt -\def\@listi{\leftmargin\leftmargini %% Added 22 Dec 87 -\topsep 3pt plus 1pt minus 1pt\parsep 2pt plus 1pt minus 1pt -\itemsep \parsep}} -\fi - -\ifnum\ACM@basesize=10\relax -\abovedisplayskip 10pt plus2pt minus4.5pt% -\belowdisplayskip \abovedisplayskip -\abovedisplayshortskip \z@ plus3pt% -\belowdisplayshortskip 6pt plus3pt minus3pt% -\let\@listi\@listI % Setting of \@listi added 9 Jun 87 - -\def\small{\@setsize\small{10pt}\ixpt\@ixpt -\abovedisplayskip 8.5pt plus 3pt minus 4pt% -\belowdisplayskip \abovedisplayskip -\abovedisplayshortskip \z@ plus2pt% -\belowdisplayshortskip 4pt plus2pt minus 2pt -\def\@listi{\leftmargin\leftmargini %% Added 22 Dec 87 -\topsep 4.5pt plus 2pt minus 2pt\parsep 2pt plus 1pt minus 1pt -\itemsep \parsep}} - -\def\footnotesize{\@setsize\footnotesize{10pt}\xpt\@xpt -\abovedisplayskip 7.6pt plus 2pt minus 4pt% -\belowdisplayskip \abovedisplayskip -\abovedisplayshortskip \z@ plus 1pt% -\belowdisplayshortskip 3.0pt plus 1pt minus 2pt -\def\@listi{\leftmargin\leftmargini %% Added 22 Dec 87 -\topsep 3.2pt plus 1pt minus 1pt\parsep 2pt plus 1pt minus 1pt -\itemsep \parsep}} -\fi - - -\newcount\aucount -\newcount\originalaucount -\newdimen\auwidth -\auwidth=\textwidth -\newdimen\auskip -\newcount\auskipcount -\newdimen\auskip -\global\auskip=1pc -\newdimen\allauboxes -\allauboxes=\auwidth -\newtoks\addauthors -\newcount\addauflag -\global\addauflag=0 %Haven't shown additional authors yet - -\newtoks\subtitletext -\gdef\subtitle#1{\subtitletext={#1}} - -\gdef\additionalauthors#1{\addauthors={#1}} - -\gdef\numberofauthors#1{\global\aucount=#1 -\ifnum\aucount>3\global\originalaucount=\aucount \global\aucount=3\fi %g} % 3 OK - Gerry March 2007 -\global\auskipcount=\aucount\global\advance\auskipcount by 1 -\global\multiply\auskipcount by 2 -\global\multiply\auskip by \auskipcount -\global\advance\auwidth by -\auskip -\global\divide\auwidth by \aucount} - -% \and was modified to count the number of authors. GKMT 12 Aug 1999 -\def\alignauthor{% % \begin{tabular} -\end{tabular}% - \begin{tabular}[t]{p{\auwidth}}\centering}% - -% *** NOTE *** NOTE *** NOTE *** NOTE *** -% If you have 'font problems' then you may need -% to change these, e.g. 'arialb' instead of "arialbd". -% Gerry Murray 11/11/1999 -% *** OR ** comment out block A and activate block B or vice versa. -% ********************************************** -% -% -- Start of block A -- (Type 1 or Truetype fonts) -%\newfont{\secfnt}{timesbd at 12pt} % was timenrb originally - now is timesbd -%\newfont{\secit}{timesbi at 12pt} %13 Jan 00 gkmt -%\newfont{\subsecfnt}{timesi at 11pt} % was timenrri originally - now is timesi -%\newfont{\subsecit}{timesbi at 11pt} % 13 Jan 00 gkmt -- was times changed to timesbi gm 2/4/2000 -% % because "normal" is italic, "italic" is Roman -%\newfont{\ttlfnt}{arialbd at 18pt} % was arialb originally - now is arialbd -%\newfont{\ttlit}{arialbi at 18pt} % 13 Jan 00 gkmt -%\newfont{\subttlfnt}{arial at 14pt} % was arialr originally - now is arial -%\newfont{\subttlit}{ariali at 14pt} % 13 Jan 00 gkmt -%\newfont{\subttlbf}{arialbd at 14pt} % 13 Jan 00 gkmt -%\newfont{\aufnt}{arial at 12pt} % was arialr originally - now is arial -%\newfont{\auit}{ariali at 12pt} % 13 Jan 00 gkmt -%\newfont{\affaddr}{arial at 10pt} % was arialr originally - now is arial -%\newfont{\affaddrit}{ariali at 10pt} %13 Jan 00 gkmt -%\newfont{\eaddfnt}{arial at 12pt} % was arialr originally - now is arial -%\newfont{\ixpt}{times at 9pt} % was timenrr originally - now is times -%\newfont{\confname}{timesi at 8pt} % was timenrri - now is timesi -%\newfont{\crnotice}{times at 8pt} % was timenrr originally - now is times -%\newfont{\ninept}{times at 9pt} % was timenrr originally - now is times - -% ********************************************* -% -- End of block A -- -% -% -% -- Start of block B -- UPDATED FONT NAMES -% ********************************************* -% Gerry Murray 11/30/2006 -% ********************************************* -\ifnum\ACM@basesize=9\relax -\newfont{\secfnt}{ptmb8t at 12pt} -\newfont{\secit}{ptmbi8t at 12pt} %13 Jan 00 gkmt -\newfont{\subsecfnt}{ptmri8t at 11pt} -\newfont{\subsecit}{ptmbi8t at 11pt} % -\newfont{\ttlfnt}{phvb8t at 18pt} -\newfont{\ttlit}{phvbo8t at 18pt} % GM 2/4/2000 -\newfont{\subttlfnt}{phvr8t at 14pt} -\newfont{\subttlit}{phvro8t at 14pt} % GM 2/4/2000 -\newfont{\subttlbf}{phvb8t at 14pt} % 13 Jan 00 gkmt -\newfont{\aufnt}{phvr8t at 12pt} -\newfont{\auit}{phvro8t at 12pt} % GM 2/4/2000 -\newfont{\affaddr}{phvr8t at 10pt} -\newfont{\affaddrit}{phvro8t at 10pt} % GM 2/4/2000 -\newfont{\eaddfnt}{phvr8t at 12pt} -\newfont{\ixpt}{ptmr8t at 9pt} -\newfont{\confname}{ptmri8t at 8pt} -\newfont{\crnotice}{ptmr8t at 8pt} -\newfont{\ninept}{ptmr8t at 9pt} -\fi -\ifnum\ACM@basesize=10\relax -\newfont{\secfnt}{ptmb8t at 13pt} -\newfont{\secit}{ptmbi8t at 13pt} %13 Jan 00 gkmt -\newfont{\subsecfnt}{ptmri8t at 12pt} -\newfont{\subsecit}{ptmbi8t at 12pt} % -\newfont{\ttlfnt}{phvb8t at 20pt} -\newfont{\ttlit}{phvbo8t at 20pt} % GM 2/4/2000 -\newfont{\subttlfnt}{phvr8t at 15pt} -\newfont{\subttlit}{phvro8t at 15pt} % GM 2/4/2000 -\newfont{\subttlbf}{phvb8t at 15pt} % 13 Jan 00 gkmt -\newfont{\aufnt}{phvr8t at 12pt} -\newfont{\auit}{phvro8t at 12pt} % GM 2/4/2000 -\newfont{\affaddr}{phvr8t at 11pt} -\newfont{\affaddrit}{phvro8t at 11pt} % GM 2/4/2000 -\newfont{\eaddfnt}{phvr8t at 12pt} -\newfont{\ixpt}{ptmr8t at 10pt} -\newfont{\confname}{ptmri8t at 9pt} -\newfont{\crnotice}{ptmr8t at 9pt} -\newfont{\ninept}{ptmr8t at 10pt} -\fi -% +++++++++++++++++++++++++++++++++++++++++++++ -% -- End of block B -- - -%\def\email#1{{{\eaddfnt{\vskip 4pt#1}}}} -% If we have an email, inside a "shared affiliation" then we need the following instead -\def\email#1{{{\eaddfnt{\par #1}}}} % revised - GM - 11/30/2006 - -\def\addauthorsection{\ifnum\originalaucount>6 % was 3 - Gerry March 2007 - \section{Additional Authors}\the\addauthors - \fi} - -\newcount\savesection -\newcount\sectioncntr -\global\sectioncntr=1 - -\setcounter{secnumdepth}{3} - -\def\appendix{\par -\section*{APPENDIX} -\setcounter{section}{0} - \setcounter{subsection}{0} - \def\thesection{\Alph{section}} } - -\leftmargini 22.5pt -\leftmarginii 19.8pt % > \labelsep + width of '(m)' -\leftmarginiii 16.8pt % > \labelsep + width of 'vii.' -\leftmarginiv 15.3pt % > \labelsep + width of 'M.' -\leftmarginv 9pt -\leftmarginvi 9pt - -\leftmargin\leftmargini -\labelsep 4.5pt -\labelwidth\leftmargini\advance\labelwidth-\labelsep - -\def\@listI{\leftmargin\leftmargini \parsep 3.6pt plus 2pt minus 1pt% -\topsep 7.2pt plus 2pt minus 4pt% -\itemsep 3.6pt plus 2pt minus 1pt} - -\let\@listi\@listI -\@listi - -\def\@listii{\leftmargin\leftmarginii - \labelwidth\leftmarginii\advance\labelwidth-\labelsep - \topsep 3.6pt plus 2pt minus 1pt - \parsep 1.8pt plus 0.9pt minus 0.9pt - \itemsep \parsep} - -\def\@listiii{\leftmargin\leftmarginiii - \labelwidth\leftmarginiii\advance\labelwidth-\labelsep - \topsep 1.8pt plus 0.9pt minus 0.9pt - \parsep \z@ \partopsep 1pt plus 0pt minus 1pt - \itemsep \topsep} - -\def\@listiv{\leftmargin\leftmarginiv - \labelwidth\leftmarginiv\advance\labelwidth-\labelsep} - -\def\@listv{\leftmargin\leftmarginv - \labelwidth\leftmarginv\advance\labelwidth-\labelsep} - -\def\@listvi{\leftmargin\leftmarginvi - \labelwidth\leftmarginvi\advance\labelwidth-\labelsep} - -\def\labelenumi{\theenumi.} -\def\theenumi{\arabic{enumi}} - -\def\labelenumii{(\theenumii)} -\def\theenumii{\alph{enumii}} -\def\p@enumii{\theenumi} - -\def\labelenumiii{\theenumiii.} -\def\theenumiii{\roman{enumiii}} -\def\p@enumiii{\theenumi(\theenumii)} - -\def\labelenumiv{\theenumiv.} -\def\theenumiv{\Alph{enumiv}} -\def\p@enumiv{\p@enumiii\theenumiii} - -\def\labelitemi{$\bullet$} -\def\labelitemii{\bf --} -\def\labelitemiii{$\ast$} -\def\labelitemiv{$\cdot$} - -\def\verse{\let\\=\@centercr - \list{}{\itemsep\z@ \itemindent -1.5em\listparindent \itemindent - \rightmargin\leftmargin\advance\leftmargin 1.5em}\item[]} -\let\endverse\endlist - -\def\quotation{\list{}{\listparindent 1.5em - \itemindent\listparindent - \rightmargin\leftmargin \parsep 0pt plus 1pt}\item[]} -\let\endquotation=\endlist - -\def\quote{\list{}{\rightmargin\leftmargin}\item[]} -\let\endquote=\endlist - -\def\descriptionlabel#1{\hspace\labelsep \bf #1} -\def\description{\list{}{\labelwidth\z@ \itemindent-\leftmargin - \let\makelabel\descriptionlabel}} - -\let\enddescription\endlist - -\def\theequation{\arabic{equation}} - - -\ifnum\ACM@basesize=9\relax -\arraycolsep 4.5pt % Half the space between columns in an array environment. -\tabcolsep 5.4pt % Half the space between columns in a tabular environment. -\arrayrulewidth .5pt % Width of rules in array and tabular environment. % (was .4) updated Gerry March 20 2007 -\doublerulesep 1.8pt % Space between adjacent rules in array or tabular env. - -\fi - -\ifnum\ACM@basesize=10\relax -\arraycolsep 5pt % Half the space between columns in an array environment. -\tabcolsep 6pt % Half the space between columns in a tabular environment. -\arrayrulewidth .5pt % Width of rules in array and tabular environment. % (was .4) updated Gerry March 20 2007 -\doublerulesep 1.8pt % Space between adjacent rules in array or tabular env. - -\fi - -\tabbingsep \labelsep % Space used by the \' command. (See LaTeX manual.) - -\skip\@mpfootins =\skip\footins - -\fboxsep =2.7pt % Space left between box and text by \fbox and \framebox. -\fboxrule =.5pt % Width of rules in box made by \fbox and \framebox. % (was .4) updated Gerry March 20 2007 - -\def\thepart{\Roman{part}} % Roman numeral part numbers. -\def\thesection {\arabic{section}} -\def\thesubsection {\thesection.\arabic{subsection}} -%\def\thesubsubsection {\thesubsection.\arabic{subsubsection}} % GM 7/30/2002 -%\def\theparagraph {\thesubsubsection.\arabic{paragraph}} % GM 7/30/2002 -\def\thesubparagraph {\theparagraph.\arabic{subparagraph}} - -\def\@pnumwidth{1.55em} -\def\@tocrmarg {2.55em} -\def\@dotsep{4.5} -\setcounter{tocdepth}{3} - -%\def\tableofcontents{\@latexerr{\tableofcontents: Tables of contents are not -% allowed in the `acmconf' document style.}\@eha} - -\def\tableofcontents{\ClassError{% - \string\tableofcontents\space is not allowed in the `acmconf' document % January 2008 - style}\@eha} - -\def\l@part#1#2{\addpenalty{\@secpenalty} - \addvspace{2.25em plus 1pt} % space above part line - \begingroup - \@tempdima 3em % width of box holding part number, used by - \parindent \z@ \rightskip \@pnumwidth %% \numberline - \parfillskip -\@pnumwidth - {\large \bf % set line in \large boldface - \leavevmode % TeX command to enter horizontal mode. - #1\hfil \hbox to\@pnumwidth{\hss #2}}\par - \nobreak % Never break after part entry - \endgroup} - -\def\l@section#1#2{\addpenalty{\@secpenalty} % good place for page break - \addvspace{1.0em plus 1pt} % space above toc entry - \@tempdima 1.5em % width of box holding section number - \begingroup - \parindent \z@ \rightskip \@pnumwidth - \parfillskip -\@pnumwidth - \bf % Boldface. - \leavevmode % TeX command to enter horizontal mode. - \advance\leftskip\@tempdima %% added 5 Feb 88 to conform to - \hskip -\leftskip %% 25 Jan 88 change to \numberline - #1\nobreak\hfil \nobreak\hbox to\@pnumwidth{\hss #2}\par - \endgroup} - - -\def\l@subsection{\@dottedtocline{2}{1.5em}{2.3em}} -\def\l@subsubsection{\@dottedtocline{3}{3.8em}{3.2em}} -\def\l@paragraph{\@dottedtocline{4}{7.0em}{4.1em}} -\def\l@subparagraph{\@dottedtocline{5}{10em}{5em}} - -%\def\listoffigures{\@latexerr{\listoffigures: Lists of figures are not -% allowed in the `acmconf' document style.}\@eha} - -\def\listoffigures{\ClassError{% - \string\listoffigures\space is not allowed in the `acmconf' document % January 2008 - style}\@eha} - -\def\l@figure{\@dottedtocline{1}{1.5em}{2.3em}} - -%\def\listoftables{\@latexerr{\listoftables: Lists of tables are not -% allowed in the `acmconf' document style.}\@eha} -%\let\l@table\l@figure - -\def\listoftables{\ClassError{% - \string\listoftables\space is not allowed in the `acmconf' document % January 2008 - style}\@eha} - \let\l@table\l@figure - -\def\footnoterule{\kern-3\p@ - \hrule width .5\columnwidth % (was .4) updated Gerry March 20 2007 - \kern 2.6\p@} % The \hrule has default height of .4pt % (was .4) updated Gerry March 20 2007 -% ------ -\long\def\@makefntext#1{\noindent -%\hbox to .5em{\hss$^{\@thefnmark}$}#1} % original -\hbox to .5em{\hss\textsuperscript{\@thefnmark}}#1} % C. Clifton / GM Oct. 2nd. 2002 -% ------- - -\long\def\@maketntext#1{\noindent -#1} - -\long\def\@maketitlenotetext#1#2{\noindent - \hbox to 1.8em{\hss$^{#1}$}#2} - -\setcounter{topnumber}{2} -\def\topfraction{.7} -\setcounter{bottomnumber}{1} -\def\bottomfraction{.3} -\setcounter{totalnumber}{3} -\def\textfraction{.2} -\def\floatpagefraction{.5} -\setcounter{dbltopnumber}{2} -\def\dbltopfraction{.7} -\def\dblfloatpagefraction{.5} - -% -\long\def\@makecaption#1#2{ - \vskip \baselineskip - \setbox\@tempboxa\hbox{\textbf{#1: #2}} - \ifdim \wd\@tempboxa >\hsize % IF longer than one line: - \textbf{#1: #2}\par % THEN set as ordinary paragraph. - \else % ELSE center. - \hbox to\hsize{\hfil\box\@tempboxa\hfil}\par - \fi} - -% - -\long\def\@makecaption#1#2{ - \vskip 10pt - \setbox\@tempboxa\hbox{\textbf{#1: #2}} - \ifdim \wd\@tempboxa >\hsize % IF longer than one line: - \textbf{#1: #2}\par % THEN set as ordinary paragraph. - \else % ELSE center. - \hbox to\hsize{\hfil\box\@tempboxa\hfil} - \fi} - -\@ifundefined{figure}{\newcounter {figure}} % this is for LaTeX2e - -\def\fps@figure{tbp} -\def\ftype@figure{1} -\def\ext@figure{lof} -\def\fnum@figure{Figure \thefigure} -\def\figure{\@float{figure}} -%\let\endfigure\end@float -\def\endfigure{\end@float} % Gerry January 2008 -\@namedef{figure*}{\@dblfloat{figure}} -\@namedef{endfigure*}{\end@dblfloat} - -\@ifundefined{table}{\newcounter {table}} % this is for LaTeX2e - -\def\fps@table{tbp} -\def\ftype@table{2} -\def\ext@table{lot} -\def\fnum@table{Table \thetable} -\def\table{\@float{table}} -%\let\endtable\end@float -\def\endtable{\end@float} % Gerry January 2008 -\@namedef{table*}{\@dblfloat{table}} -\@namedef{endtable*}{\end@dblfloat} - -\newtoks\titleboxnotes -\newcount\titleboxnoteflag - -\def\maketitle{\par - \begingroup - \def\thefootnote{\fnsymbol{footnote}} - \def\@makefnmark{\hbox - to 0pt{$^{\@thefnmark}$\hss}} - \twocolumn[\@maketitle] -\@thanks - \endgroup - \setcounter{footnote}{0} - \let\maketitle\relax - \let\@maketitle\relax - \gdef\@thanks{}\gdef\@author{}\gdef\@title{}\gdef\@subtitle{}\let\thanks\relax - \@copyrightspace} - -%% CHANGES ON NEXT LINES -\newif\if@ll % to record which version of LaTeX is in use - -\expandafter\ifx\csname LaTeXe\endcsname\relax % LaTeX2.09 is used -\else% LaTeX2e is used, so set ll to true -\global\@lltrue -\fi - -\if@ll - \NeedsTeXFormat{LaTeX2e} - \ProvidesClass{sig-alternate} [2013/05/12 v2.7 based on acmproc.cls V1.3 ] - \RequirePackage{latexsym}% QUERY: are these two really needed? - \let\dooptions\ProcessOptions -\else - \let\dooptions\@options -\fi -%% END CHANGES - -\def\@height{height} -\def\@width{width} -\def\@minus{minus} -\def\@plus{plus} -\def\hb@xt@{\hbox to} -\newif\if@faircopy -\@faircopyfalse -\def\ds@faircopy{\@faircopytrue} - -\def\ds@preprint{\@faircopyfalse} - -\@twosidetrue -\@mparswitchtrue -\def\ds@draft{\overfullrule 5\p@} -%% CHANGE ON NEXT LINE -\dooptions - -\lineskip \p@ -\normallineskip \p@ -\def\baselinestretch{1} -\def\@ptsize{0} %needed for amssymbols.sty - -%% CHANGES ON NEXT LINES -\if@ll% allow use of old-style font change commands in LaTeX2e -\@maxdepth\maxdepth -% -\DeclareOldFontCommand{\rm}{\ninept\rmfamily}{\mathrm} -\DeclareOldFontCommand{\sf}{\normalfont\sffamily}{\mathsf} -\DeclareOldFontCommand{\tt}{\normalfont\ttfamily}{\mathtt} -\DeclareOldFontCommand{\bf}{\normalfont\bfseries}{\mathbf} -\DeclareOldFontCommand{\it}{\normalfont\itshape}{\mathit} -\DeclareOldFontCommand{\sl}{\normalfont\slshape}{\@nomath\sl} -\DeclareOldFontCommand{\sc}{\normalfont\scshape}{\@nomath\sc} -\DeclareRobustCommand*{\cal}{\@fontswitch{\relax}{\mathcal}} -\DeclareRobustCommand*{\mit}{\@fontswitch{\relax}{\mathnormal}} -\fi -% -\if@ll - \renewcommand{\rmdefault}{cmr} % was 'ttm' -% Note! I have also found 'mvr' to work ESPECIALLY well. -% Gerry - October 1999 -% You may need to change your LV1times.fd file so that sc is -% mapped to cmcsc - -for smallcaps -- that is if you decide -% to change {cmr} to {times} above. (Not recommended) -\ifnum\ACM@basesize=9\relax - \renewcommand{\@ptsize}{} - \renewcommand{\normalsize}{% - \@setfontsize\normalsize\@ixpt{10.5\p@}%\ninept% - \abovedisplayskip 6\p@ \@plus2\p@ \@minus\p@ - \belowdisplayskip \abovedisplayskip - \abovedisplayshortskip 6\p@ \@minus 3\p@ - \belowdisplayshortskip 6\p@ \@minus 3\p@ - \let\@listi\@listI} -\fi -\ifnum\ACM@basesize=10\relax - \renewcommand{\@ptsize}{} - \renewcommand{\normalsize}{% - \@setfontsize\normalsize\@xpt{11.5\p@}%\ninept% - \abovedisplayskip 6.5\p@ \@plus2\p@ \@minus\p@ - \belowdisplayskip \abovedisplayskip - \abovedisplayshortskip 6.5\p@ \@minus 3\p@ - \belowdisplayshortskip 6.5\p@ \@minus 3\p@ - \let\@listi\@listI} -\fi -\else - \def\@normalsize{%changed next to 9 from 10 - \@setsize\normalsize{9\p@}\ixpt\@ixpt - \abovedisplayskip 6\p@ \@plus2\p@ \@minus\p@ - \belowdisplayskip \abovedisplayskip - \abovedisplayshortskip 6\p@ \@minus 3\p@ - \belowdisplayshortskip 6\p@ \@minus 3\p@ - \let\@listi\@listI - }% -\fi -\if@ll -\ifnum\ACM@basesize=9\relax - \newcommand\scriptsize{\@setfontsize\scriptsize\@viipt{8\p@}} - \newcommand\tiny{\@setfontsize\tiny\@vpt{6\p@}} - \newcommand\large{\@setfontsize\large\@xiipt{14\p@}} - \newcommand\Large{\@setfontsize\Large\@xivpt{18\p@}} - \newcommand\LARGE{\@setfontsize\LARGE\@xviipt{20\p@}} - \newcommand\huge{\@setfontsize\huge\@xxpt{25\p@}} - \newcommand\Huge{\@setfontsize\Huge\@xxvpt{30\p@}} -\fi -\ifnum\ACM@basesize=10\relax - \newcommand\scriptsize{\@setfontsize\scriptsize\@viiipt{9\p@}} - \newcommand\tiny{\@setfontsize\tiny\@vipt{7\p@}} - \newcommand\large{\@setfontsize\large\@xiiipt{15\p@}} - \newcommand\Large{\@setfontsize\Large\@xvpt{20\p@}} - \newcommand\LARGE{\@setfontsize\LARGE\@xixpt{22\p@}} - \newcommand\huge{\@setfontsize\huge\@xixpt{30\p@}} - \newcommand\Huge{\@setfontsize\Huge30pt{36\p@}} -\fi -\else - \def\scriptsize{\@setsize\scriptsize{8\p@}\viipt\@viipt} - \def\tiny{\@setsize\tiny{6\p@}\vpt\@vpt} - \def\large{\@setsize\large{14\p@}\xiipt\@xiipt} - \def\Large{\@setsize\Large{18\p@}\xivpt\@xivpt} - \def\LARGE{\@setsize\LARGE{20\p@}\xviipt\@xviipt} - \def\huge{\@setsize\huge{25\p@}\xxpt\@xxpt} - \def\Huge{\@setsize\Huge{30\p@}\xxvpt\@xxvpt} -\fi -\normalsize - -% make aubox hsize/number of authors up to 3, less gutter -% then showbox gutter showbox gutter showbox -- GKMT Aug 99 -\newbox\@acmtitlebox -\ifnum\ACM@basesize=9\relax -\def\@maketitle{\newpage - \null - \setbox\@acmtitlebox\vbox{% -\baselineskip 20pt -\vskip 2em % Vertical space above title. - \begin{center} - {\ttlfnt \@title\par} % Title set in 18pt Helvetica (Arial) bold size. - \vskip 1.5em % Vertical space after title. -%This should be the subtitle. -{\subttlfnt \the\subtitletext\par}\vskip 1.25em%\fi - {\baselineskip 16pt\aufnt % each author set in \12 pt Arial, in a - \lineskip .5em % tabular environment - \begin{tabular}[t]{c}\@author - \end{tabular}\par} - \vskip 1.5em % Vertical space after author. - \end{center}} - \dimen0=\ht\@acmtitlebox - \advance\dimen0 by -12.75pc\relax % Increased space for title box -- KBT - \unvbox\@acmtitlebox - \ifdim\dimen0<0.0pt\relax\vskip-\dimen0\fi} -\fi -\ifnum\ACM@basesize=10\relax -\def\@maketitle{\newpage - \null - \setbox\@acmtitlebox\vbox{% -\baselineskip 22pt -\vskip 2.2em % Vertical space above title. - \begin{center} - {\ttlfnt \@title\par} % Title set in 18pt Helvetica (Arial) bold size. - \vskip 2em % Vertical space after title. -%This should be the subtitle. -{\subttlfnt \the\subtitletext\par}\vskip 1.25em%\fi - {\baselineskip 18pt\aufnt % each author set in \12 pt Arial, in a - \lineskip .5em % tabular environment - \begin{tabular}[t]{c}\@author - \end{tabular}\par} - \vskip 2em % Vertical space after author. - \end{center}} - \dimen0=\ht\@acmtitlebox - \advance\dimen0 by -12.75pc\relax % Increased space for title box -- KBT - \unvbox\@acmtitlebox - \ifdim\dimen0<0.0pt\relax\vskip-\dimen0\fi} -\fi - -\newcount\titlenotecount -\global\titlenotecount=0 -\newtoks\tntoks -\newtoks\tntokstwo -\newtoks\tntoksthree -\newtoks\tntoksfour -\newtoks\tntoksfive - -\def\abstract{ -\ifnum\titlenotecount>0 % was =1 - \insert\footins{% - \reset@font\footnotesize - \interlinepenalty\interfootnotelinepenalty - \splittopskip\footnotesep - \splitmaxdepth \dp\strutbox \floatingpenalty \@MM - \hsize\columnwidth \@parboxrestore - \protected@edef\@currentlabel{% - }% - \color@begingroup -\ifnum\titlenotecount=1 - \@maketntext{% - \raisebox{4pt}{$\ast$}\rule\z@\footnotesep\ignorespaces\the\tntoks\@finalstrut\strutbox}% -\fi -\ifnum\titlenotecount=2 - \@maketntext{% - \raisebox{4pt}{$\ast$}\rule\z@\footnotesep\ignorespaces\the\tntoks\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\dagger$}\rule\z@\footnotesep\ignorespaces\the\tntokstwo\@finalstrut\strutbox}% -\fi -\ifnum\titlenotecount=3 - \@maketntext{% - \raisebox{4pt}{$\ast$}\rule\z@\footnotesep\ignorespaces\the\tntoks\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\dagger$}\rule\z@\footnotesep\ignorespaces\the\tntokstwo\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\ddagger$}\rule\z@\footnotesep\ignorespaces\the\tntoksthree\@finalstrut\strutbox}% -\fi -\ifnum\titlenotecount=4 - \@maketntext{% - \raisebox{4pt}{$\ast$}\rule\z@\footnotesep\ignorespaces\the\tntoks\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\dagger$}\rule\z@\footnotesep\ignorespaces\the\tntokstwo\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\ddagger$}\rule\z@\footnotesep\ignorespaces\the\tntoksthree\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\S$}\rule\z@\footnotesep\ignorespaces\the\tntoksfour\@finalstrut\strutbox}% -\fi -\ifnum\titlenotecount=5 - \@maketntext{% - \raisebox{4pt}{$\ast$}\rule\z@\footnotesep\ignorespaces\the\tntoks\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\dagger$}\rule\z@\footnotesep\ignorespaces\the\tntokstwo\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\ddagger$}\rule\z@\footnotesep\ignorespaces\the\tntoksthree\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\S$}\rule\z@\footnotesep\ignorespaces\the\tntoksfour\par\@finalstrut\strutbox}% -\@maketntext{% - \raisebox{4pt}{$\P$}\rule\z@\footnotesep\ignorespaces\the\tntoksfive\@finalstrut\strutbox}% -\fi - \color@endgroup} %g} -\fi -\setcounter{footnote}{0} -\section*{ABSTRACT}\normalsize%\ninept -} - -\def\endabstract{\if@twocolumn\else\endquotation\fi} - -\def\keywords{\if@twocolumn -\section*{Keywords} -\else \small -\quotation -\fi} - -\def\terms#1{% -%\if@twocolumn -%\section*{General Terms} -%\else \small -%\quotation -%\fi -} - -% -- Classification needs to be a bit smart due to optionals - Gerry/Georgia November 2nd. 1999 -\newcount\catcount -\global\catcount=1 - -\def\category#1#2#3{% -\ifnum\catcount=1 -\section*{Categories and Subject Descriptors} -\advance\catcount by 1\else{\unskip; }\fi - \@ifnextchar [{\@category{#1}{#2}{#3}}{\@category{#1}{#2}{#3}[]}% -} - - -\def\@category#1#2#3[#4]{% - \begingroup - \let\and\relax - #1 [\textbf{#2}]% - \if!#4!% - \if!#3!\else : #3\fi - \else - :\space - \if!#3!\else #3\kern\z@---\hskip\z@\fi - \textit{#4}% - \fi - \endgroup -} -% - - - - - - -%%% This section (written by KBT) handles the 1" box in the lower left -%%% corner of the left column of the first page by creating a picture, -%%% and inserting the predefined string at the bottom (with a negative -%%% displacement to offset the space allocated for a non-existent -%%% caption). -%%% -\newtoks\copyrightnotice -\def\ftype@copyrightbox{8} -\def\@copyrightspace{ -\@float{copyrightbox}[b] -\begin{center} -\setlength{\unitlength}{1pc} -\ifnum\ACM@basesize=9 -\begin{picture}(20,6) %Space for copyright notice -\put(0,-0.95){\crnotice{\@toappear}} -\end{picture} -\fi -\ifnum\ACM@basesize=10 -\begin{picture}(20,7) %Space for copyright notice -\put(0,-0.95){\crnotice{\@toappear}} -\end{picture} -\fi -\end{center} -\end@float} - -\def\@toappear{} % Default setting blank - commands below change this. -\long\def\toappear#1{\def\@toappear{\parbox[b]{20pc}{\baselineskip 9pt#1}}} -\def\toappearbox#1{\def\@toappear{\raisebox{5pt}{\framebox[20pc]{\parbox[b]{19pc}{#1}}}}} - -\newtoks\conf -\newtoks\confinfo -\def\conferenceinfo#1#2{\global\conf={#1}\global\confinfo{#2}} - - -%\def\marginpar{\@latexerr{The \marginpar command is not allowed in the -% `acmconf' document style.}\@eha} - -\def\marginpar{\ClassError{% - \string\marginpar\space is not allowed in the `acmconf' document % January 2008 - style}\@eha} - -\mark{{}{}} % Initializes TeX's marks - -\def\today{\ifcase\month\or - January\or February\or March\or April\or May\or June\or - July\or August\or September\or October\or November\or December\fi - \space\number\day, \number\year} - -\def\@begintheorem#1#2{% - \parskip 0pt % GM July 2000 (for tighter spacing) - \trivlist - \item[% - \hskip 10\p@ - \hskip \labelsep - {{\sc #1}\hskip 5\p@\relax#2.}% - ] - \it -} -\def\@opargbegintheorem#1#2#3{% - \parskip 0pt % GM July 2000 (for tighter spacing) - \trivlist - \item[% - \hskip 10\p@ - \hskip \labelsep - {\sc #1\ #2\ % This mod by Gerry to enumerate corollaries - \setbox\@tempboxa\hbox{(#3)} % and bracket the 'corollary title' - \ifdim \wd\@tempboxa>\z@ % and retain the correct numbering of e.g. theorems - \hskip 5\p@\relax % if they occur 'around' said corollaries. - \box\@tempboxa % Gerry - Nov. 1999. - \fi.}% - ] - \it -} -\newif\if@qeded -\global\@qededfalse - -% -- original -%\def\proof{% -% \vspace{-\parskip} % GM July 2000 (for tighter spacing) -% \global\@qededfalse -% \@ifnextchar[{\@xproof}{\@proof}% -%} -% -- end of original - -% (JSS) Fix for vertical spacing bug - Gerry Murray July 30th. 2002 -\def\proof{% -\vspace{-\lastskip}\vspace{-\parsep}\penalty-51% -\global\@qededfalse -\@ifnextchar[{\@xproof}{\@proof}% -} - -\def\endproof{% - \if@qeded\else\qed\fi - \endtrivlist -} -\def\@proof{% - \trivlist - \item[% - \hskip 10\p@ - \hskip \labelsep - {\sc Proof.}% - ] - \ignorespaces -} -\def\@xproof[#1]{% - \trivlist - \item[\hskip 10\p@\hskip \labelsep{\sc Proof #1.}]% - \ignorespaces -} -\def\qed{% - \unskip - \kern 10\p@ - \begingroup - \unitlength\p@ - \linethickness{.4\p@}% - \framebox(6,6){}% - \endgroup - \global\@qededtrue -} - -\def\newdef#1#2{% - \expandafter\@ifdefinable\csname #1\endcsname - {\@definecounter{#1}% - \expandafter\xdef\csname the#1\endcsname{\@thmcounter{#1}}% - \global\@namedef{#1}{\@defthm{#1}{#2}}% - \global\@namedef{end#1}{\@endtheorem}% - }% -} -\def\@defthm#1#2{% - \refstepcounter{#1}% - \@ifnextchar[{\@ydefthm{#1}{#2}}{\@xdefthm{#1}{#2}}% -} -\def\@xdefthm#1#2{% - \@begindef{#2}{\csname the#1\endcsname}% - \ignorespaces -} -\def\@ydefthm#1#2[#3]{% - \trivlist - \item[% - \hskip 10\p@ - \hskip \labelsep - {\it #2% -% \savebox\@tempboxa{#3}% - \saveb@x\@tempboxa{#3}% % January 2008 - \ifdim \wd\@tempboxa>\z@ - \ \box\@tempboxa - \fi.% - }]% - \ignorespaces -} -\def\@begindef#1#2{% - \trivlist - \item[% - \hskip 10\p@ - \hskip \labelsep - {\it #1\ \rm #2.}% - ]% -} -\def\theequation{\arabic{equation}} - -\newcounter{part} -\newcounter{section} -\newcounter{subsection}[section] -\newcounter{subsubsection}[subsection] -\newcounter{paragraph}[subsubsection] -\def\thepart{\Roman{part}} -\def\thesection{\arabic{section}} -\def\thesubsection{\thesection.\arabic{subsection}} -\def\thesubsubsection{\thesubsection.\arabic{subsubsection}} %removed \subsecfnt 29 July 2002 gkmt -\def\theparagraph{\thesubsubsection.\arabic{paragraph}} %removed \subsecfnt 29 July 2002 gkmt -\newif\if@uchead -\@ucheadfalse - -%% CHANGES: NEW NOTE -%% NOTE: OK to use old-style font commands below, since they were -%% suitably redefined for LaTeX2e -%% END CHANGES -\setcounter{secnumdepth}{3} -\def\part{% - \@startsection{part}{9}{\z@}{-10\p@ \@plus -4\p@ \@minus -2\p@} - {4\p@}{\normalsize\@ucheadtrue}% -} -\def\section{% - \@startsection{section}{1}{\z@}{-10\p@ \@plus -4\p@ \@minus -2\p@}% GM - {4\p@}{\baselineskip 14pt\secfnt\@ucheadtrue}% -} - -\def\subsection{% - \@startsection{subsection}{2}{\z@}{-8\p@ \@plus -2\p@ \@minus -\p@} - {4\p@}{\secfnt}% -} -\def\subsubsection{% - \@startsection{subsubsection}{3}{\z@}{-8\p@ \@plus -2\p@ \@minus -\p@}% - {4\p@}{\subsecfnt}% -} -%\def\paragraph{% -% \vskip 12pt\@startsection{paragraph}{3}{\z@}{6\p@ \@plus \p@}% original -% {-5\p@}{\subsecfnt}% -%} -% If one wants sections, subsections and subsubsections numbered, -% but not paragraphs, one usually sets secnumepth to 3. -% For that, the "depth" of paragraphs must be given correctly -% in the definition (``4'' instead of ``3'' as second argument -% of @startsection): -\def\paragraph{% - \vskip 12pt\@startsection{paragraph}{4}{\z@}{6\p@ \@plus \p@}% % GM and Wolfgang May - 11/30/06 - {-5\p@}{\subsecfnt}% -} -\let\@period=. -\def\@startsection#1#2#3#4#5#6{% - \if@noskipsec %gkmt, 11 aug 99 - \global\let\@period\@empty - \leavevmode - \global\let\@period.% - \fi - \par % - \@tempskipa #4\relax - \@afterindenttrue - \ifdim \@tempskipa <\z@ - \@tempskipa -\@tempskipa - \@afterindentfalse - \fi - \if@nobreak - \everypar{}% - \else - \addpenalty\@secpenalty - \addvspace\@tempskipa - \fi -\parskip=0pt % GM July 2000 (non numbered) section heads - \@ifstar - {\@ssect{#3}{#4}{#5}{#6}} - {\@dblarg{\@sect{#1}{#2}{#3}{#4}{#5}{#6}}}% -} -\def\@sect#1#2#3#4#5#6[#7]#8{% - \ifnum #2>\c@secnumdepth - \let\@svsec\@empty - \else - \refstepcounter{#1}% - \edef\@svsec{% - \begingroup - %\ifnum#2>2 \noexpand\rm \fi % changed to next 29 July 2002 gkmt - \ifnum#2>2 \noexpand#6 \fi - \csname the#1\endcsname - \endgroup - \ifnum #2=1\relax .\fi - \hskip 1em - }% - \fi - \@tempskipa #5\relax - \ifdim \@tempskipa>\z@ - \begingroup - #6\relax - \@hangfrom{\hskip #3\relax\@svsec}% - \begingroup - \interlinepenalty \@M - \if@uchead - \uppercase{#8}% - \else - #8% - \fi - \par - \endgroup - \endgroup - \csname #1mark\endcsname{#7}% - \vskip -12pt %gkmt, 11 aug 99 and GM July 2000 (was -14) - numbered section head spacing -\addcontentsline{toc}{#1}{% - \ifnum #2>\c@secnumdepth \else - \protect\numberline{\csname the#1\endcsname}% - \fi - #7% - }% - \else - \def\@svsechd{% - #6% - \hskip #3\relax - \@svsec - \if@uchead - \uppercase{#8}% - \else - #8% - \fi - \csname #1mark\endcsname{#7}% - \addcontentsline{toc}{#1}{% - \ifnum #2>\c@secnumdepth \else - \protect\numberline{\csname the#1\endcsname}% - \fi - #7% - }% - }% - \fi - \@xsect{#5}\hskip 1pt - \par -} -\def\@xsect#1{% - \@tempskipa #1\relax - \ifdim \@tempskipa>\z@ - \par - \nobreak - \vskip \@tempskipa - \@afterheading - \else - \global\@nobreakfalse - \global\@noskipsectrue - \everypar{% - \if@noskipsec - \global\@noskipsecfalse - \clubpenalty\@M - \hskip -\parindent - \begingroup - \@svsechd - \@period - \endgroup - \unskip - \@tempskipa #1\relax - \hskip -\@tempskipa - \else - \clubpenalty \@clubpenalty - \everypar{}% - \fi - }% - \fi - \ignorespaces -} -\def\@trivlist{% - \@topsepadd\topsep - \if@noskipsec - \global\let\@period\@empty - \leavevmode - \global\let\@period.% - \fi - \ifvmode - \advance\@topsepadd\partopsep - \else - \unskip - \par - \fi - \if@inlabel - \@noparitemtrue - \@noparlisttrue - \else - \@noparlistfalse - \@topsep\@topsepadd - \fi - \advance\@topsep \parskip - \leftskip\z@skip - \rightskip\@rightskip - \parfillskip\@flushglue - \@setpar{\if@newlist\else{\@@par}\fi} - \global\@newlisttrue - \@outerparskip\parskip -} - -%%% Actually, 'abbrev' works just fine as the default -%%% Bibliography style. - -\typeout{Using 'Abbrev' bibliography style} -\newcommand\bibyear[2]{% - \unskip\quad\ignorespaces#1\unskip - \if#2..\quad \else \quad#2 \fi -} -\newcommand{\bibemph}[1]{{\em#1}} -\newcommand{\bibemphic}[1]{{\em#1\/}} -\newcommand{\bibsc}[1]{{\sc#1}} -\def\@normalcite{% - \def\@cite##1##2{[##1\if@tempswa , ##2\fi]}% -} -\def\@citeNB{% - \def\@cite##1##2{##1\if@tempswa , ##2\fi}% -} -\def\@citeRB{% - \def\@cite##1##2{##1\if@tempswa , ##2\fi]}% -} -\def\start@cite#1#2{% - \edef\citeauthoryear##1##2##3{% - ###1% - \ifnum#2=\z@ \else\ ###2\fi - }% - \ifnum#1=\thr@@ - \let\@@cite\@citeyear - \else - \let\@@cite\@citenormal - \fi - \@ifstar{\@citeNB\@@cite}{\@normalcite\@@cite}% -} -%\def\cite{\start@cite23} -\DeclareRobustCommand\cite{\start@cite23} % January 2008 -\def\citeNP{\cite*} % No Parentheses e.g. 5 -%\def\citeA{\start@cite10} -\DeclareRobustCommand\citeA{\start@cite10} % January 2008 -\def\citeANP{\citeA*} -%\def\shortcite{\start@cite23} -\DeclareRobustCommand\shortcite{\start@cite23} % January 2008 -\def\shortciteNP{\shortcite*} -%\def\shortciteA{\start@cite20} -\DeclareRobustCommand\shortciteA{\start@cite20} % January 2008 -\def\shortciteANP{\shortciteA*} -%\def\citeyear{\start@cite30} -\DeclareRobustCommand\citeyear{\start@cite30} % January 2008 -\def\citeyearNP{\citeyear*} -%\def\citeN{% -\DeclareRobustCommand\citeN{% % January 2008 - \@citeRB - \def\citeauthoryear##1##2##3{##1\ [##3% - \def\reserved@a{##1}% - \def\citeauthoryear####1####2####3{% - \def\reserved@b{####1}% - \ifx\reserved@a\reserved@b - ####3% - \else - \errmessage{Package acmart Error: author mismatch - in \string\citeN^^J^^J% - See the acmart package documentation for explanation}% - \fi - }% - }% - \@ifstar\@citeyear\@citeyear -} -%\def\shortciteN{% -\DeclareRobustCommand\shortciteN{% % January 2008 - \@citeRB - \def\citeauthoryear##1##2##3{##2\ [##3% - \def\reserved@a{##2}% - \def\citeauthoryear####1####2####3{% - \def\reserved@b{####2}% - \ifx\reserved@a\reserved@b - ####3% - \else - \errmessage{Package acmart Error: author mismatch - in \string\shortciteN^^J^^J% - See the acmart package documentation for explanation}% - \fi - }% - }% - \@ifstar\@citeyear\@citeyear % GM July 2000 -} - -\def\@citenormal{% - \@ifnextchar [{\@tempswatrue\@citex;}% -% original {\@tempswafalse\@citex,[]}% was ; Gerry 2/24/00 -{\@tempswafalse\@citex[]}% % GERRY FIX FOR BABEL 3/20/2009 -} - -\def\@citeyear{% - \@ifnextchar [{\@tempswatrue\@citex,}% -% original {\@tempswafalse\@citex,[]}% -{\@tempswafalse\@citex[]}% % GERRY FIX FOR BABEL 3/20/2009 -} - -\def\@citex#1[#2]#3{% - \let\@citea\@empty - \@cite{% - \@for\@citeb:=#3\do{% - \@citea -% original \def\@citea{#1 }% - \def\@citea{#1, }% % GERRY FIX FOR BABEL 3/20/2009 -- SO THAT YOU GET [1, 2] IN THE BODY TEXT - \edef\@citeb{\expandafter\@iden\@citeb}% - \if@filesw - \immediate\write\@auxout{\string\citation{\@citeb}}% - \fi - \@ifundefined{b@\@citeb}{% - {\bf ?}% - \@warning{% - Citation `\@citeb' on page \thepage\space undefined% - }% - }% - {\csname b@\@citeb\endcsname}% - }% - }{#2}% -} -%\let\@biblabel\@gobble % Dec. 2008 - Gerry -% ---- -\def\@biblabelnum#1{[#1]} % Gerry's solution #1 - for Natbib -- April 2009 -\let\@biblabel=\@biblabelnum % Gerry's solution #1 - for Natbib -- April 2009 -\def\newblock{\relax} % Gerry Dec. 2008 -% --- -\newdimen\bibindent -\setcounter{enumi}{1} -\bibindent=0em -\def\thebibliography#1{% -\ifnum\addauflag=0\addauthorsection\global\addauflag=1\fi - \section[References]{% <=== OPTIONAL ARGUMENT ADDED HERE - {References} % was uppercased but this affects pdf bookmarks (SP/GM October 2004) - {\vskip -9pt plus 1pt} % GM Nov. 2006 / GM July 2000 (for somewhat tighter spacing) - \@mkboth{{\refname}}{{\refname}}% - }% - \list{[\arabic{enumi}]}{% - \settowidth\labelwidth{[#1]}% - \leftmargin\labelwidth - \advance\leftmargin\labelsep - \advance\leftmargin\bibindent - \parsep=0pt\itemsep=1pt % GM July 2000 - \itemindent -\bibindent - \listparindent \itemindent - \usecounter{enumi} - }% - \let\newblock\@empty - \raggedright % GM July 2000 - \sloppy - \sfcode`\.=1000\relax -} - - -\gdef\balancecolumns -{\vfill\eject -\global\@colht=\textheight -\global\ht\@cclv=\textheight -} - -\newcount\colcntr -\global\colcntr=0 -%\newbox\savebox -\newbox\saveb@x % January 2008 - -\gdef \@makecol {% -\global\advance\colcntr by 1 -\ifnum\colcntr>2 \global\colcntr=1\fi - \ifvoid\footins - \setbox\@outputbox \box\@cclv - \else - \setbox\@outputbox \vbox{% -\boxmaxdepth \@maxdepth - \@tempdima\dp\@cclv - \unvbox \@cclv - \vskip-\@tempdima - \vskip \skip\footins - \color@begingroup - \normalcolor - \footnoterule - \unvbox \footins - \color@endgroup - }% - \fi - \xdef\@freelist{\@freelist\@midlist}% - \global \let \@midlist \@empty - \@combinefloats - \ifvbox\@kludgeins - \@makespecialcolbox - \else - \setbox\@outputbox \vbox to\@colht {% -\@texttop - \dimen@ \dp\@outputbox - \unvbox \@outputbox - \vskip -\dimen@ - \@textbottom - }% - \fi - \global \maxdepth \@maxdepth -} -\def\titlenote{\@ifnextchar[\@xtitlenote{\stepcounter\@mpfn -\global\advance\titlenotecount by 1 -\ifnum\titlenotecount=1 - \raisebox{9pt}{$\ast$} -\fi -\ifnum\titlenotecount=2 - \raisebox{9pt}{$\dagger$} -\fi -\ifnum\titlenotecount=3 - \raisebox{9pt}{$\ddagger$} -\fi -\ifnum\titlenotecount=4 -\raisebox{9pt}{$\S$} -\fi -\ifnum\titlenotecount=5 -\raisebox{9pt}{$\P$} -\fi - \@titlenotetext -}} - -\long\def\@titlenotetext#1{\insert\footins{% -\ifnum\titlenotecount=1\global\tntoks={#1}\fi -\ifnum\titlenotecount=2\global\tntokstwo={#1}\fi -\ifnum\titlenotecount=3\global\tntoksthree={#1}\fi -\ifnum\titlenotecount=4\global\tntoksfour={#1}\fi -\ifnum\titlenotecount=5\global\tntoksfive={#1}\fi - \reset@font\footnotesize - \interlinepenalty\interfootnotelinepenalty - \splittopskip\footnotesep - \splitmaxdepth \dp\strutbox \floatingpenalty \@MM - \hsize\columnwidth \@parboxrestore - \protected@edef\@currentlabel{% - }% - \color@begingroup - \color@endgroup}} - -%%%%%%%%%%%%%%%%%%%%%%%%% -\ps@plain -\baselineskip=11pt -\let\thepage\relax % For NO page numbers - GM Nov. 30th. 1999 and July 2000 -\def\setpagenumber#1{\global\setcounter{page}{#1}} -%\pagenumbering{arabic} % Arabic page numbers GM July 2000 -\twocolumn % Double column. -\flushbottom % Even bottom -- alas, does not balance columns at end of document -\pagestyle{plain} - -% Need Copyright Year and Copyright Data to be user definable (in .tex file). -% Gerry Nov. 30th. 1999 -\newtoks\copyrtyr -\newtoks\acmcopyr -\newtoks\boilerplate -\global\acmcopyr={X-XXXXX-XX-X/XX/XX} % Default - 5/11/2001 *** Gerry -\global\copyrtyr={\the\year} % Default - 3/3/2003 *** Gerry -\def\acmPrice#1{\gdef\@acmPrice{#1}} -\acmPrice{} %article price % Changed to 15 - June 2012 - Gerry - - -\def\CopyrightYear#1{\global\copyrtyr{#1}} -\def\crdata#1{\global\acmcopyr{#1}} -\def\permission#1{\global\boilerplate{#1}} - -% ISBN -% -\def\isbn#1{\global\acmcopyr={#1}} -\isbn{978-1-4503-2138-9} - -\RequirePackage{url} -\urlstyle{rm} -\def\doi#1{\def\@doi{#1}} -\doi{10.1145/1235} -\def\printdoi#1{\url{#1}} - - - -% Copyright -\RequirePackage{acmcopyright} -\setcopyright{none} - -% -\global\boilerplate={\@copyrightpermission} - - - -\newtoks\copyrightetc -\ifnum\ACM@basesize=9\relax -\global\copyrightetc{% -{\noindent\confname\ \the\conf\ \the\confinfo}\par\smallskip - \if@printcopyright - \copyright\ \the\copyrtyr\ \@copyrightowner - \fi - \if@acmowned ISBN \else\ifnum\acm@copyrightmode=2 ISBN \else \par\smallskip ACM ISBN \fi\fi - \the\acmcopyr\ifx\@acmPrice\@empty.\else\dots\@acmPrice\fi\par\smallskip -{DOI: \small\expandafter\printdoi\expandafter{\@doi}}} -\toappear{\fontsize{7pt}{8pt}\fontfamily{ptm}\selectfont - \the\boilerplate\par\smallskip - \the\copyrightetc} -\fi -\ifnum\ACM@basesize=10\relax -\global\copyrightetc{% -{\noindent\confname\ \the\conf\ \the\confinfo}\par\smallskip - \if@printcopyright - \copyright\ \the\copyrtyr\ \@copyrightowner - \fi - \if@acmowned ISBN \else\ifnum\acm@copyrightmode=2 ISBN \else \par\smallskip ACM ISBN \fi\fi - \the\acmcopyr\ifx\@acmPrice\@empty.\else\dots\@acmPrice\fi\par\smallskip -{DOI: \small\expandafter\printdoi\expandafter{\@doi}}} -\toappear{\fontsize{7.5pt}{8.5pt}\fontfamily{ptm}\selectfont - \the\boilerplate\par\smallskip - \the\copyrightetc} -\fi -%\DeclareFixedFont{\altcrnotice}{OT1}{tmr}{m}{n}{8} % << patch needed for accenting e.g. Montreal - Gerry, May 2007 -%\DeclareFixedFont{\altconfname}{OT1}{tmr}{m}{it}{8} % << patch needed for accenting in italicized confname - Gerry, May 2007 -% -%{\altconfname{{\the\conf}}} {\altcrnotice\the\confinfo\par} \the\copyrightetc.} % << Gerry, May 2007 -% -% The following section (i.e. 3 .sty inclusions) was added in May 2007 so as to fix the problems that many -% authors were having with accents. Sometimes accents would occur, but the letter-character would be of a different -% font. Conversely the letter-character font would be correct but, e.g. a 'bar' would appear superimposed on the -% character instead of, say, an unlaut/diaresis. Sometimes the letter-character would NOT appear at all. -% Using [T1]{fontenc} outright was not an option as this caused 99% of the authors to 'produce' a Type-3 (bitmapped) -% PDF file - useless for production. -% -% For proper (font) accenting we NEED these packages to be part of the .cls file i.e. 'ae', 'aecompl' and 'aeguil' -% ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -%% This is file `ae.sty' -\def\fileversion{1.3} -\def\filedate{2001/02/12} -\NeedsTeXFormat{LaTeX2e} -%\ProvidesPackage{ae}[\filedate\space\fileversion\space % GM -% Almost European Computer Modern] % GM - keeping the log file clean(er) -\newif\if@ae@slides \@ae@slidesfalse -\DeclareOption{slides}{\@ae@slidestrue} -\ProcessOptions -\fontfamily{aer} -\RequirePackage[T1]{fontenc} -\if@ae@slides - \renewcommand{\sfdefault}{laess} - \renewcommand{\rmdefault}{laess} % no roman - \renewcommand{\ttdefault}{laett} -\else - \renewcommand{\sfdefault}{aess} - \renewcommand{\rmdefault}{aer} - \renewcommand{\ttdefault}{aett} -\fi -\endinput -%% -%% End of file `ae.sty'. -% -% -\def\fileversion{0.9} -\def\filedate{1998/07/23} -\NeedsTeXFormat{LaTeX2e} -%\ProvidesPackage{aecompl}[\filedate\space\fileversion\space % GM -%T1 Complements for AE fonts (D. Roegel)] % GM -- keeping the log file clean(er) - -\def\@ae@compl#1{{\fontencoding{T1}\fontfamily{cmr}\selectfont\symbol{#1}}} -\def\guillemotleft{\@ae@compl{19}} -\def\guillemotright{\@ae@compl{20}} -\def\guilsinglleft{\@ae@compl{14}} -\def\guilsinglright{\@ae@compl{15}} -\def\TH{\@ae@compl{222}} -\def\NG{\@ae@compl{141}} -\def\ng{\@ae@compl{173}} -\def\th{\@ae@compl{254}} -\def\DJ{\@ae@compl{208}} -\def\dj{\@ae@compl{158}} -\def\DH{\@ae@compl{208}} -\def\dh{\@ae@compl{240}} -\def\@perthousandzero{\@ae@compl{24}} -\def\textperthousand{\%\@perthousandzero} -\def\textpertenthousand{\%\@perthousandzero\@perthousandzero} -\endinput -% -% -%% This is file `aeguill.sty' -% This file gives french guillemets (and not guillemots!) -% built with the Polish CMR fonts (default), WNCYR fonts, the LASY fonts -% or with the EC fonts. -% This is useful in conjunction with the ae package -% (this package loads the ae package in case it has not been loaded) -% and with or without the french(le) package. -% -% In order to get the guillemets, it is necessary to either type -% \guillemotleft and \guillemotright, or to use an 8 bit encoding -% (such as ISO-Latin1) which selects these two commands, -% or, if you use the french package (but not the frenchle package), -% to type << or >>. -% -% By default, you get the Polish CMR guillemets; if this package is loaded -% with the `cm' option, you get the LASY guillemets; with `ec,' you -% get the EC guillemets, and with `cyr,' you get the cyrillic guillemets. -% -% In verbatim mode, you always get the EC/TT guillemets. -% -% The default option is interesting in conjunction with PDF, -% because there is a Type 1 version of the Polish CMR fonts -% and these guillemets are very close in shape to the EC guillemets. -% There are no free Type 1 versions of the EC fonts. -% -% Support for Polish CMR guillemets was kindly provided by -% Rolf Niepraschk in version 0.99 (2000/05/22). -% Bernd Raichle provided extensive simplifications to the code -% for version 1.00. -% -% This package is released under the LPPL. -% -% Changes: -% Date version -% 2001/04/12 1.01 the frenchle and french package are now distinguished. -% -\def\fileversion{1.01} -\def\filedate{2001/04/12} -\NeedsTeXFormat{LaTeX2e} -%\ProvidesPackage{aeguill}[2001/04/12 1.01 % % GM -%AE fonts with french guillemets (D. Roegel)] % GM - keeping the log file clean(er) -%\RequirePackage{ae} % GM May 2007 - already embedded here - -\newcommand{\@ae@switch}[4]{#4} -\DeclareOption{ec}{\renewcommand\@ae@switch[4]{#1}} -\DeclareOption{cm}{\renewcommand\@ae@switch[4]{#2}} -\DeclareOption{cyr}{\renewcommand\@ae@switch[4]{#3}} -\DeclareOption{pl}{\renewcommand\@ae@switch[4]{#4}} - - -% -% Load necessary packages -% -\@ae@switch{% ec - % do nothing -}{% cm - \RequirePackage{latexsym}% GM - May 2007 - already 'mentioned as required' up above -}{% cyr - \RequirePackage[OT2,T1]{fontenc}% -}{% pl - \RequirePackage[OT4,T1]{fontenc}% -} - -% The following command will be compared to \frenchname, -% as defined in french.sty and frenchle.sty. -\def\aeguillfrenchdefault{french}% - -\let\guill@verbatim@font\verbatim@font -\def\verbatim@font{\guill@verbatim@font\ecguills{cmtt}% - \let\guillemotleft\@oguills\let\guillemotright\@fguills} - -\begingroup \catcode`\<=13 \catcode`\>=13 -\def\x{\endgroup - \def\ae@lfguill{<<}% - \def\ae@rfguill{>>}% -}\x - -\newcommand{\ecguills}[1]{% - \def\selectguillfont{\fontencoding{T1}\fontfamily{#1}\selectfont}% - \def\@oguills{{\selectguillfont\symbol{19}}}% - \def\@fguills{{\selectguillfont\symbol{20}}}% - } - -\newcommand{\aeguills}{% - \ae@guills - % We redefine \guillemotleft and \guillemotright - % in order to catch them when they are used - % with \DeclareInputText (in latin1.def for instance) - % We use \auxWARNINGi as a safe indicator that french.sty is used. - \gdef\guillemotleft{\ifx\auxWARNINGi\undefined - \@oguills % neither french.sty nor frenchle.sty - \else - \ifx\aeguillfrenchdefault\frenchname - \ae@lfguill % french.sty - \else - \@oguills % frenchle.sty - \fi - \fi}% - \gdef\guillemotright{\ifx\auxWARNINGi\undefined - \@fguills % neither french.sty nor frenchle.sty - \else - \ifx\aeguillfrenchdefault\frenchname - \ae@rfguill % french.sty - \else - \@fguills % frenchle.sty - \fi - \fi}% - } - -% -% Depending on the class option -% define the internal command \ae@guills -\@ae@switch{% ec - \newcommand{\ae@guills}{% - \ecguills{cmr}}% -}{% cm - \newcommand{\ae@guills}{% - \def\selectguillfont{\fontencoding{U}\fontfamily{lasy}% - \fontseries{m}\fontshape{n}\selectfont}% - \def\@oguills{\leavevmode\nobreak - \hbox{\selectguillfont (\kern-.20em(\kern.20em}\nobreak}% - \def\@fguills{\leavevmode\nobreak - \hbox{\selectguillfont \kern.20em)\kern-.2em)}% - \ifdim\fontdimen\@ne\font>\z@\/\fi}}% -}{% cyr - \newcommand{\ae@guills}{% - \def\selectguillfont{\fontencoding{OT2}\fontfamily{wncyr}\selectfont}% - \def\@oguills{{\selectguillfont\symbol{60}}}% - \def\@fguills{{\selectguillfont\symbol{62}}}} -}{% pl - \newcommand{\ae@guills}{% - \def\selectguillfont{\fontencoding{OT4}\fontfamily{cmr}\selectfont}% - \def\@oguills{{\selectguillfont\symbol{174}}}% - \def\@fguills{{\selectguillfont\symbol{175}}}} -} - - -\AtBeginDocument{% - \ifx\GOfrench\undefined - \aeguills - \else - \let\aeguill@GOfrench\GOfrench - \gdef\GOfrench{\aeguill@GOfrench \aeguills}% - \fi - } - -\endinput -% - diff --git a/ICCAD16_openram_paper/t.sh b/ICCAD16_openram_paper/t.sh deleted file mode 100755 index 851c32cd..00000000 --- a/ICCAD16_openram_paper/t.sh +++ /dev/null @@ -1,16 +0,0 @@ -#!/bin/sh -# This is a short script to simplify generating a PDF document from LaTeX and BibTeX code. -# The script cleans plausibly existing files, generates the PDF, then cleans furthur generated files. - -# Clean any latent files. -rm -rf *.aux *.bbl *.blg *.log #*.pdf - -# Generate the actual output. -pdflatex main.tex -bibtex main.aux -pdflatex main.tex -pdflatex main.tex -mv main.pdf openram.pdf - -# Clean all the generated files except for the .pdf -rm -rf *.aux *.bbl *.blg *.log *.lof *.lot *.out *.toc